We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
There was an error while loading. Please reload this page.
1 parent f6c38d9 commit 34da31dCopy full SHA for 34da31d
regression/verilog/SVA/cycle_delay1.desc
@@ -0,0 +1,10 @@
1
+CORE
2
+cycle_delay1.sv
3
+
4
+^C string exception : failed to convert sva_cycle_delay offsets$
5
+^EXIT=2$
6
+^SIGNAL=0$
7
+--
8
+^warning: ignoring
9
10
+The error message could be better.
regression/verilog/SVA/cycle_delay1.sv
@@ -0,0 +1,11 @@
+module main(input clk, input [31:0] from);
+ reg [31:0] x;
+ always_ff @(posedge clk)
+ x++;
+ // The cycle delay must be elaboration-time constant
+ initial assert property (##[from:2] x!=10);
11
+endmodule
0 commit comments