We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
1 parent c5ba47e commit 3065320Copy full SHA for 3065320
10_HDL/counter_tb.vhd
@@ -9,26 +9,26 @@ architecture testmain of testbench is
9
10
component counterx is
11
port (clk: in std_logic;
12
- rst: in std_logic;
+ nrst: in std_logic;
13
count: out std_logic_vector(2 downto 0)
14
);
15
end component;
16
17
- signal clk,rst: std_logic;
+ signal clk,nrst: std_logic;
18
signal count: std_logic_vector(2 downto 0);
19
20
begin
21
22
-ctr: counterx port map(clk => clk, rst => rst, count => count);
+ctr: counterx port map(clk => clk, nrst => nrst, count => count);
23
24
process
25
26
- rst <= '1';
+ nrst <= '0';
27
clk <= '0';
28
WAIT FOR 50 ns;
29
clk <= '1';
30
31
- rst <= '0';
+ nrst <= '1';
32
33
loop
34
0 commit comments