Skip to content

Commit 3065320

Browse files
committed
update counter testbench
1 parent c5ba47e commit 3065320

File tree

1 file changed

+5
-5
lines changed

1 file changed

+5
-5
lines changed

10_HDL/counter_tb.vhd

+5-5
Original file line numberDiff line numberDiff line change
@@ -9,26 +9,26 @@ architecture testmain of testbench is
99

1010
component counterx is
1111
port (clk: in std_logic;
12-
rst: in std_logic;
12+
nrst: in std_logic;
1313
count: out std_logic_vector(2 downto 0)
1414
);
1515
end component;
1616

17-
signal clk,rst: std_logic;
17+
signal clk,nrst: std_logic;
1818
signal count: std_logic_vector(2 downto 0);
1919

2020
begin
2121

22-
ctr: counterx port map(clk => clk, rst => rst, count => count);
22+
ctr: counterx port map(clk => clk, nrst => nrst, count => count);
2323

2424
process
2525
begin
26-
rst <= '1';
26+
nrst <= '0';
2727
clk <= '0';
2828
WAIT FOR 50 ns;
2929
clk <= '1';
3030
WAIT FOR 50 ns;
31-
rst <= '0';
31+
nrst <= '1';
3232

3333
loop
3434
clk <= '0';

0 commit comments

Comments
 (0)