From f07f639e5974fb45c56075a6661768f3f9621db7 Mon Sep 17 00:00:00 2001 From: AlexandreSinger Date: Thu, 1 May 2025 15:25:16 -0400 Subject: [PATCH] [STA] Added Tutorial for Post-Implementation Timing Analysis Created a tutorial demonstrating how OpenSTA can be used after VPR to perform static timing analysis. --- doc/src/tutorials/index.rst | 1 + doc/src/tutorials/timing_analysis/index.rst | 170 ++++++++ .../timing_analysis_design_cycle.png | Bin 0 -> 212906 bytes vtr_flow/primitives.lib | 370 ++++++++++++++++++ 4 files changed, 541 insertions(+) create mode 100644 doc/src/tutorials/timing_analysis/index.rst create mode 100644 doc/src/tutorials/timing_analysis/timing_analysis_design_cycle.png create mode 100644 vtr_flow/primitives.lib diff --git a/doc/src/tutorials/index.rst b/doc/src/tutorials/index.rst index 1c25145ef32..b9c661fb374 100644 --- a/doc/src/tutorials/index.rst +++ b/doc/src/tutorials/index.rst @@ -10,3 +10,4 @@ Tutorials arch/index titan_benchmarks/index timing_simulation/index + timing_analysis/index diff --git a/doc/src/tutorials/timing_analysis/index.rst b/doc/src/tutorials/timing_analysis/index.rst new file mode 100644 index 00000000000..6f93faa4c0e --- /dev/null +++ b/doc/src/tutorials/timing_analysis/index.rst @@ -0,0 +1,170 @@ +.. _timing_analysis_tutorial: + +Post-Implementation Timing Analysis +----------------------------------- + +This tutorial describes how to perform static timing analysis (STA) on a circuit which has +been implemented by :ref:`VPR` using OpenSTA, an external timing analysis tool. + +External timing analysis can be useful since VPR's timing analyzer (Tatum) does +not support all timing constraints and does not provide a TCL interface to allow +you to directly interrogate the timing graph. VPR also has limited support for +timing exceptions such as multi-cycles and false paths, which tools like OpenSTA +have better support for. + +Some external tools can also ingest more complex timing models (e.g. four +transition rr, rf, fr, ff delays vs. VTR's modeling of all transitions having +the same min,max range). + +.. _fig_timing_analysis_design_cycle: + +.. figure:: timing_analysis_design_cycle.png + + Post-implementation timing analysis design cycle. + +A user design cycle which would use post-implementation timing analysis could perform the following: + 1. Run VPR with the timing commands it can support (simplified constraints). + 2. Perform timing analysis on the resulting netlist using OpenSTA with + more complex timing commands. + 3. The user can then modify the design to meet the complex timing constraints based on the timing report produced by OpenSTA. + 4. The design can then be fed back into VPR and the process can repeat until all constraints are met. + +Generating the Post-Implementation Netlist for STA +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +For this tutorial, we will be using the ``clma`` :ref:`benchmark ` +targetting the ``k6_frac_N10_frac_chain_mem32K_40nm.xml`` architecture. + +We will first create a working directory to hold all the timing analysis files: + +.. code-block:: console + + $ mkdir timing_analysis_tut + $ cd timing_analysis_tut + +Next we will copy over the benchmark and FPGA architecture into the working +directory for convenience: + +.. code-block:: console + + $ cp $VTR_ROOT/vtr_flow/benchmarks/blif/clma.blif . + $ cp $VTR_ROOT/vtr_flow/arch/timing/k6_frac_N10_frac_chain_mem32K_40nm.xml . + +.. note:: Replace :term:`$VTR_ROOT` with the root directory of the VTR source tree + +To perform timing analysis externally to VTR, we need to provide an SDC file +which will contain the timing constraints on the clocks and I/Os in the circuit. +For this tutorial, we will use the following ``clma.sdc`` file: + +.. code-block:: tcl + :linenos: + :caption: SDC file ``clma.sdc`` used for timing analysis. + + # Set pclk to be a clock with a 16ns period. + create_clock -period 16 pclk + + # Set the input delays of all input ports in the clma design to be 0 relative to pclk. + set_input_delay -clock pclk -max 0 [get_ports {pi*}] + + # Set the output delays of all output ports in the clma design to be 0 relative to pclk. + set_output_delay -clock pclk -max 0 [get_ports {p__*}] + +Next, we can generate the post-implementation netlist and other necessary files +for timing analysis using VPR. + +.. code-block:: console + + $ vpr \ + $ k6_frac_N10_frac_chain_mem32K_40nm.xml \ + $ clma.blif \ + $ --route_chan_width 100 \ + $ --sdc_file clma.sdc \ + $ --gen_post_synthesis_netlist on \ + $ --gen_post_implementation_sdc on \ + $ --post_synth_netlist_unconn_inputs gnd \ + $ --post_synth_netlist_module_parameters off + +In this command, we provide the architecture, circuit, the channel width, and +the SDC file. The other four commands are what generate the necessary netlist +files for timing analysis: + * ``--gen_post_synthesis_netlist on``: This will generate the post-implementation netlist as a Verilog file. + * ``--gen_post_implementation_sdc on``: This will have VPR generate a new SDC file which contains extra timing information (e.g. clock delays) based on how VPR implemented the design. + * ``--post_synth_netlist_unconn_inputs gnd``: For timing analysis with OpenSTA, we should be explicit about how we handle unconnected signal ports. Here we just ground them for simplicity. + * ``--post_synth_netlist_module_parameters off``: OpenSTA does not allow parameters to be used in the netlist. This command tells VPR to generate a netlist without using parameters. + +Once VPR has completed, we should see the generated Verilog netlist, SDF file, and SDC file: + +.. code-block:: console + + $ ls *.v *.sdf *.sdc + top_post_synthesis.sdc top_post_synthesis.sdf top_post_synthesis.v + + +Performing Timing Analysis using OpenSTA +~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +To perform static timing analysis for this tutorial, we will be using OpenSTA (https://github.com/parallaxsw/OpenSTA ). +Other STA tools can be used, however they may use slightly different commands. + +First, install OpenSTA onto your system. Building from source is a good option, +which can be done using the following instructions: +https://github.com/parallaxsw/OpenSTA?tab=readme-ov-file#build-from-source + +After OpenSTA is installed, we can perfrom static timing analysis on the post-implementation +netlist generated by VPR. + +It is easiest to write a ``sdf_delays.tcl`` file to setup and configure the timing analysis: + +.. code-block:: tcl + :linenos: + :caption: OpenSTA TCL file ``sdf_delays.tcl``. Note that :term:`$VTR_ROOT` should be replaced with the relevant path. + + # Read a skeleton of a liberty file which contains just enough information to + # allow OpenSTA to perform timing analysis on the post-synthesized netlist using + # an SDF file. This contains descriptions of the timing arcs of the primitives + # in the circuit. + read_liberty $VTR_ROOT/vtr_flow/primitives.lib + + # Read the post-implementation netlist generated by VPR. + read_verilog top_post_synthesis.v + + # Link the top-level design. + link_design top + + # Read the post-synthesis SDF file. + read_sdf top_post_synthesis.sdf + + # Read the SDC commands generated by VPR. + read_sdc top_post_synthesis.sdc + + # Report the setup and hold timing checks using OpenSTA and write them to files. + report_checks -group_path_count 100 -digits 3 -path_delay max > open_sta_report_timing.setup.rpt + report_checks -group_path_count 100 -digits 3 -path_delay min > open_sta_report_timing.hold.rpt + + # Report the minimum period of the clocks and their fmax. + report_clock_min_period + + # Exit OpenSTA's TCL terminal. + # This can be removed if you want terminal access to write TCL commands after + # executing the prior commands. + exit + +Now that we have a ``.tcl`` file, we can launch OpenSTA from the terminal and run it: + +.. code-block:: console + + $ sta sdf_delays.tcl + +Running this command will open a TCL terminal which will execute all of the commands +in ``sdf_delays.tcl``. The TCL file above will write setup and hold timing reports (similar to +the reports written by VPR), report the minimum period of all clocks, and then exit the OpenSTA TCL terminal. + +You can compare the timing reports generated by OpenSTA (``open_sta_report_timing.{setup/hold}.rpt``) +to the timing reports generated by VPR (``report_timing.{setup/hold}.rpt``). +You can also compare the minimum period reported by OpenSTA with the final +period reported by VTR at the bottom of ``vpr_stdout.log``. + +The TCL file above is just an example of what OpenSTA can do. For full documentation +of the different commands available in OpenSTA, see: +https://github.com/parallaxsw/OpenSTA/blob/master/doc/OpenSTA.pdf + diff --git a/doc/src/tutorials/timing_analysis/timing_analysis_design_cycle.png b/doc/src/tutorials/timing_analysis/timing_analysis_design_cycle.png new file mode 100644 index 0000000000000000000000000000000000000000..98b5e76629728be01fcdc028ab0a93e86d5e8353 GIT binary patch literal 212906 zcmeFZWn7f|_C8D~4I(lsDX6r7N=cW9ATc1Iv?3`f%^)CcgCa<$q?FXqC>BZ%DGegs z(*3O4t?qq1=XXB;_s@BuTiwGw-&k?2YhCNUhgMUhB%>$8!^5Lgx_Dj_503~1ziyBa z!N2U0774(A@SQXj*O$pwgpIgIWd;f8YP{ zo9{k?z2jO#bh7{VNARTy0?53dog?}`AA-N{A=)?izdT{zZBlCPb(60w|JO3$(JZiv z|FTegV^~FX(QD@!^^Y|t*sn}?@sF9e3jc}X9NA?u zLIrNx1>g~ou(0?ppsz2DH72rz-tuK~>%)0(FMceT7PjmALVB26JL}n^=PlVkpP;OI z2c6W8iCsMoEA=?cqE+Wa{Ot8?h{X4c`uW#n&tDREp15{7U5(ebv+ew!KU}~AUvFrf z`xyCpYCveOPdu^pEwSzGX^0ZIVdsQXIMO|VODXj7%nd<40$=g#HyI6bYHnJWwbpa+ zP!@MMqBqlFCNDLxcKtK2J~BH+cT{=0c`oA=>!aP58bx~V;1k}@`BX&whe`Uz0>1tB zFq(lCdG6%qbFEGmW|!DqK@wro7Ui8or%%EZ2EC1Po>D_i$QW3*6Vi1hB4jWx;DFKY~;N$DrbLo<=>1n9S&V|bjq1$DP2Sy=vlDaqx7Ar7t4kTX z@MCo}P@{;}1>G^{XwNn5-CZ9!z++rp$*W(|u-aqI->h#4dvpaedG6)C*6-H4F`kg{ zDC?H$za6169AQRz*au|EZ;rqbdLKjSQSNOosf(|ExiMSZW#p8Vt4o3%^!BVZcb@C( zW>=3laP7<1EzEYA?j&sEf*W>LdwIs3xe?U% z*=x1?<6%An%W$46YG0;mb~o#zMeObDD;I;DY&x>EZFjdfN(Q~R*d(44{teOp`V*%% zzFeT@tVhoyIPGsrchIcr3kvSNHG8|g<*u_gFQ=*8(!;KF>i2riS6O(@m8H*jdM~~| z(wVI*U~$f+FZSvmc!UF4fIfwXEqmwc$ZNwcn~bGMS?yf-JUjGvSL zGqNE^fj$M#dfyuMBQ$VQ!yOV#X5eW%jeL0I+V9fUeOX=7tUiKwDZ86BnDOgOVd9-6 zwKy>@tV|)Zd1JJ;>+Z|E>M5Hsm47D(1fWhPKrYfZmJA}_vx*7364OsK90u;+KXJ_Z zACS7sa5~nt$Eq{+(vg?iFLgAjraN<-u~@*T+IO=#iBKNvNt$3*ZO&&{MK-nl+p8%Rfal=iBi{Xkz)i2vttjlEA!1VvMlI6hhfn4E>~4H4 zc2$iQytQh47kj?d(5>IhYipHP+-K;uukg+G47}oqtUvE6R3EOV591^Pr<(W;Y)g6f zU>(=%sg9TRtJmB|!>`QD*X*rQ92N9WvhK<~<9V8bS>j7BcyYnfhsR9TM+_Wf)q3AF z{xqrIhd^>4_K(K+VUX`*I}UzvoQdhRd9GnaXPR2vRKqoQ@KamJAh!sEn&QNJ?J8!+ z7~3G}#hklk(!JQ0u8s{;mlC~`^FsO0lQ6vkCs9#tz=Rw)3&b>E#p5IdJ$E)H(-T|? zf*?cn9P`8VVf`41T310K811gLPS;BW6opr*h|Gn{a; zAbvh|D(gOk09t=If-}p2EKf4TY8ByByY5fD^ng3tw^+^F)}Mdx7Hb&;0n)vn=>v=BmW(1^D3i zlK}lV-0fmC;OP$?9nbu0a`7dAl+Bsgl$?be{u#&wwDSC|ME_3m`D!Ab+!3GNk9;s5 zw*Gxzv#kI(JY7SLFX)f+l0iaryRH@r_RC2G-)u6W&;zhj;Ln52f)A$lEEO>z2O9?K zjvp$sy#S|j_VcIre;zEr#-ux953-On_?`t0mZIt+!+)SOl_3HpX?e!G{>b&P!MZ~d z*v1Ta`UTOlqyKWVe;b@O5>}2Pc_!(I6(M-Szi#P{OeS2*vzyIrc8D{p!@52E#!Kkn zRO&c6jv(d0KbHHSP3%7qxc><^^a-cH)9FUPnf%iR5o3b8M9ah}Xd_wsx7Q$u@Q)9s z!nL5YB-JaB4@w}&n>4=HTnnO6N~}Rc@N3A&R{A!t0z*!Sz6-&N_kMPNDH4G@R#yYb zQ1=Uci^zWMrp^~mjViQkKXPiX_uzQk>3`bZ87JFyz%90bjC5X^D7@523Cer(89~Td z+AqyJUS0{&eZ;kg#P7%XCk%^%%FY$(7o|cVZIRWz$`GSOf)> z-WrL`o7%FRXi3s3G;eHGNE-dMEt&gpAY8S#=#f>(+=Rbmmfh0Hht>FX94pIiM516A zhk8kuu}?o_LAy&4r(VGV6t$8d>*(YeNdh7YL@+?fc)aI@+fw!e65?~EBQv$+{6%MO zd~nw>zW!cKeAcvP`}?JLX9jOCQ4%I`Ib%X4_jb4JV?>?O0tb@SOFS^s-Y;`X3V5-7 ztsCh#JF=Pt3L1rbPkLr1OM1_IdF2XaltPjEo)l(zBH31e^0!SCK*bfxtNRxcAA-Ai z?XN)rn|R;y;8U@z0Qp?ino-4k^_B_I#vwzcVYxh`s%*mw*Cv_^fSil=lOMjiHrH=k z8$cSLuAU&(--ES3wzQFh9k}`2z)>l=_q)e4nNUd-E!V@(&Keo{YXhG8kgjH1LQitv#T=>fW;{u?2ZvDjMVxb(}NGkJ|FzesI-3o8||>Mt*bI;nms zvdhR5{$PE0Y2)nyX&Xt*@SQ1ZeIheBe4If+n^VgSFebZrz+h-IY}#+ zimAYHC(&s6%Z-rYBgVJSBxA@NmnHRc4ayRAVlcnMp)VjF5>qUi3-MTYh!yv-_Lnlv zAdujC$&c_ko0HuJEP0Sp$K3%^xBmP<)d-*b3)$aOvSf4a<|m9xJHB493lWL)e*EoC0N;OWbLrrw_8A+H%%7yONzJvnn&u$^B{1F@y1;JjG zQxdG$;Lxd$LQs6|ydR|`4_--GNg%qC6wF*u*d)f2R$|*z^hzm=ovm1>Y85@vm1o@0 zu)oo+rJ7>#e#Cn^Y41wOmG;Z74QD=nfda~YYo<`$my;+jI%VE=UQuKdi>Ok>_5`|MW|_6Mfo2i8HM`dW%!G#jM{+!hz%^|tk&VBiys(f@AKr| zE!&NIBGN|DHc&uiRJ(iT!4J3TGpdZU4H#iwdxBlu*+T zFS!WyB&(U28U>P-?}(l7*WQ53h?At~TSc#beZX%M%;b7;%TDjZ#Y?#XpCRPsK)^ss z5L4qJC>jdDFB{7=_~iD_;twA-VH3a1YA^ zL16&D^%o#O+0^ZisFHo)7Rxxc$dw~SI^gJi6Uk4`y<^ z3)m#PP}$)h@mCH^H{d+uuR2UgXEE@IQGNuk{)gD`N3xxhZRz@3tFv*QRS=0fGc;08 zTGtPk9WI?neqaMp(hSS{4K4eq#nA{#oqV2=_RPyU^1)PP>|@@4U-kc$>E5qVI8S#r zGJDRviVW&^Zc}ybHhXSX^rlDgIvB5uu&wuG>ho-c2Z!mZq>j{Q-w_^{ny*|s&Zjq# zR15LXTFAQ7IZ$jY@`eg4!~S3Q3hv2|4~T~nx#yqdT1<=?Qp8`%_bAU^6b!ZYDXB>e@r{y{mL&iQk9c5#!sh3pujJG5OA^jew#u2sm%=FFAkOg8kjamg9 z)`x?8Wr>-dY4$HmE_YibbQhS_&pY9a6Rk zubVc|Y*~W&wqBoY-%e?rQ*m@|#?g=U=!KfXKq>pMd-8UXKG@ zneJe<*A4pAN7h26<0ZOwja>hA6CkUOH2sY0vWllHC#{B6Pf_L}z6{I40SKk_NK(3csSh|8*SWHBjL{VV z9=qxdbBk`q9iP0jP>xi9tKOJepDAn>bGd(|=#=_%K0^~KjZm5@U)Ob6dM)jpk#JLq z4(Th#Ud7{y6>}-qSj#6EDYY!JOmC6&cKViT;ji~HJuK|LgV1ECJaGe`1Hnnm)S$=5;!-!j0aUqP2!Ku)(aV> zVw70@r+gsgiN8^GF8lWMQtT?NT>f)fF=7P&B8X`SVkAKqn-(Gs;Isqx{W>?OX~mQz zcWb3+RnUQfr5z7T#Oh>-)aVpxH_=_T3j;h_Xofj}YrlB5fxNA703)w&Usn-s zDv6TP%D#Fg)voKpV1I?1`lJCitbmSt!W)w^FiZDfoGxp8dt)gMJ24U{Ic(c1aK^mh zsQ6NYfT2ht71K-AhT`#y>xF6E`=TE$toGVh^k4$XeD-#*z<+g-1{Xb7a#mv3YI&+b zR{w(yjQT;U&1e~U&I(;dA2NdYYvwsye5Jt)r9}nQK#^62KFLvUIZiFKW$UXOA4>M8)QSVSlQJTxKFsUmuFd9mG5 zZOV=JOv?90k-;y1`^inFdNMDkN9Ik=ZUV)0?nbjpiF}|tgRr&7u{@E1;%_Zh>2W%p zYLvOgPK`oMn%DKFE(+KPTEFFWK-YvYinexKd=naRj%+^}nPrjI)kpL~u_G%K4FKo_ z!@feW;;#tO&q#c;&Mb*}M=7}-M0PWH?jW->1>?Dv+={vKf;XOCc^P~5H9M}xJ9(jY zADP@#N-ZArB+;=(uMA;L#rnQ`8bAJ-R(x9zLI#hkPD@2Blm)R+9HrKARj^J$d_w2) z&YNp`;zrid3C^ubqB*k&|CWubZkF0|uMTHo;SryoO(*<+?9DlNS*IdR7fonz%fAO?$#6#|W;ShZKTPU%d)dt4r9gN!ii@4Hw8C6FBGa5F{(S`C0WQdKkc14JWD)u z*nukp*J{Wet&x%%Bq+~Xz6}PcmVv@__RH-LG@Rn-kljiv-OuP9FXAR_Xh=5J>Q?5TRz2I0Tg*X9}XB{fF9vX^Lf>qSptVnlw0~7 zn#G1r866hgN&5JUzDi^P+)Gx_n;{4miY^5_5ZLS1zU_ll6-$Ee^r}bgHs*mNTcz6G3`rBO(gKV+=ow}bD7!C$2KRC>*Vy_VV87%MX zDeXhtVpr- z%?n~ECop->Jdq)xYdQEc6Uiek@%M>(g?QfBUI>)xDojg#nf!nVSNu`Y{aRuat9F(a zm$)E~`4}WIyKeS+yCgqzA=| zOT&#ZU!Hl#lN2TBEqqf)=17%BX~KA;?I7v?!;7CkaU?LlDl31iEi+!c=sckZO-Hvl ztM&>vdkbkYY?ah5v`H-JQYUn&HoMbCb2xv&Dj8vLvVx?~LO&>A2{0h{b3beO#Twg| z*Q3w@GGuu1NY@QORi#j;_1mHINq!Nh#fI(>noRA?`|OFE(1p8GGMq6v|L#t1!l)L~ zcLT!xUYX7E(5(qP%KUhjPThkax9GlgY<|ZTvTZ}5jyViA!RA)!Cot=IP%7-af7fRB z5k1F?g|tQQ(8*s*48oh}q;8iM&dX(RzB@PaP~h{f;UxyZ-J5boXeosTDQk|+z|~X>E?_Gq5DQn6Mp1;4g~x2x>?Hat>S51 zJYrNd=r8P__8UZZBW<4`?ymC)q+ft4a%lJ`IrV<8MAkn|FX&^=N&3p>K)+rYlVpq) z?@G&Ao+Pmky}c~uOg$Oykm*C*mvjkZZhU;K`sLe1H?iIcWB>Pd_E*Qnw9uoM7f+3$ zWeFE48HAl@uh>@SMBydT^rWyX&qs%U6((fcG(oCUVU@>x7~y#LulEZ0t-}_e!yxqa zH06-BN2*@&&9Y97dWMc{-8PTQ(i#~K2#$y!S$N8+)si;ZGb3Jkp8wF8&MSoKEt;2e z#u-r?)dx>rwS6iD>Gy71(aESey1c&ION~wJxM79*<5o39WIr<)ODH8oR+|jRznX38 z0C<@n|KyOi?HQmuIaYaQR%dz4YqqC&spsmf7j;#(QZjnDuvw}Qki2;EfZm?r^y@9I z2S@lHf63Mz@AKZ8?X2{}PU!Ev3PxdJG z5Xvw(1>cto^4{6pzz}USzIgN=btmwC#MsTg(pS@&2%;{msngN7MJ<&@IJ63kcRX$( zUF&lSv7$>tw(r1@9g>UH53H({di&NAxb?|a5U6qGph z!|X*7evV5-r2Z*XFcH_59J+BSisB51E5avsh`*yHo%EX}H8K{Ubn69FNvF|oDi;Wu ztbTKKmf==)M84?ci?FlGp&?NCAs$?GujEQi^+GKr`fNP_$2D+%%{s=E7?q*cZ;Nt-M*1IWs)G`f%z)G^<9gfoQXs`|RKo%L8QC#-^PB zGCh-QD1Q#F;7%RdFH8H2EMQ@PJ#?c-qkrro9@!A%Ps($BP*^4M^cxqe!(M4-SBgG( zNL}LgA2PnZ7-)4MVBx7sMxx7?l;^5AhFKu9-7j!KdF)iq+vU7Dncn;E-l0PYGb1F8 zG6+sI2-#kEd%(74XO(9w8wwR+r?G|tpDsr^+;CWk{s+l-ye;>oWQYCYaZXL5AG5SF zzivfjO4uh!G9=q4w=!_SsuYtAR2P;7%49q&$?F?)P_a`(}VwyG=KKC=aB+Ddt0RIoRRYQZE-N9&*SZ>ba-3zD;I5m6ky zrpL?~rm*E71r`;ze`H0KR9Rm(p})q3!l4^TF!%#pvM|{-|os z08)NQ&s9O*%d~j_>}Fi4esw7BKpC^|#fc0eB-wJqWu&&Yz3*2896dQGy}SO_CC;_D zrJ3bg37aO}K6SS=KjD_Rvu4WY+w96l4_jQGR*BFe@^JBy3v6i6YN3&$KOHhqDE|Q$ z!)f1B^r-|Yy}NVvUAb{q-ElUwfqG=v?PpM1o)ZxHIy;y&{bIAyiapreIpD~CdT44a z7z8OXT(&-}<-RDebPxSTJ;aIPr;qQ0rT{BRl?)S7$I>9geo_xS zM+%oSYfil{bbVv}%FYL(S)WiR{3=P%U>1I@huRTBgYzJSCYs5KH%xp;y97-S*Wd-36k_@+6p=1V^KRIXZ{*1J?UQ#$haOmM1L-KC7sC^Rc3 zBmhm!rLr~kGS6gSJI}bL+6#KE2X{(uZ+ws35r67Yy~9`m<#q+&=@Y9?4&v&B-}MR_ zUcv!SVjwgZA&_~jl?+wn;cR(0SQy(G^l7+I`tiB1B%VMd-Z00w7yC-0wk1%E{y&k$ z1|r09JK*30ew+9Xwxpc>_CZk@fUu5NP!Ja)zLoo^wUt8;E6HF66hevfWNWE)E!emF zSd_)gw=ng`R^R)|oN}PetfUbjJn#d;+J^-{*CO^HMYSG4^{w6`S$SkmOu-~3n3kX} zxuVrJKtG)7xi)vEetX?RhOcr#Zfh*Y-e#&j)0@ieF)rx+b3@mt$*m7B5w?xiyEI%Y z0ozh6wX=M=jhPmx@q1<4Q0|)oHQ>@sVV<_%Io)vjuTLi0z{4NqC+gTB-~IdP*2$5InP{9Rwp)4M%OyFTjRL2fX~T$jn# z_ss4?_-(yAb_xul(_8JZ=~ zobw;TT-t#;^ImC0*Q;UTvOqHW2E!U}uUbs%*U43#y;~odEFcgoxz{)!aPS=4KKi~Q zZ(wyzZ_uapg;LY&YJFU*9Izke4T=Q_J&+C7T^;?BGP2mhpQs;25O7}tWw6m*^VV84 zS;}kk3$#@Xrk|)~BBg$ox;b>OuKp(F-lBjMqu4(rZG0D5M zn!kb;i(QX)drW7Xn2Te?6C6V$qM@=a8;ywXQZ=KNSY~%$SBMd``r21-zt~f$XKzsh zR7RTYwmX}kO!kd5^@O?dSk3h_N!DC89w7DkYNT&HqsX87;*hZYKy1E8rh9oH*(VHp zc4R$1QvOe~4^IY=C5>kEO{Ki?p5_0lT~rj>^)L(**+DYz8Pl{9pM0bt-0jOp| zDYbL&Ayy(ILwK3K566&4NKED2Il~*^;EQG@K0u7ED~Dt2ytm{?xMKiV>ZNMDMEA-r zra~M;IAY4Z2|S;EjrUIMsG$}u#XOLbxAVoB5z#qDf2&Df^Xj|i>KgFi#_@(`w5X5k9HQVQ+BVUVB+@Zb7KsZ8qZN&HPtY~ za1h@%NpfIkMU{40%DhNo<;e*VZ9Ri5s^vj6g0? zBbhAOZiFnB4f>t<@taHI6TXI&oq0fLg3{&rLVOZoli2jBw%&d_g|0Td+z9?2moCFN ze3RMtiK;n5rOe!m15>Md=AUepI4SQ>^J4Z-7%BL_nyPSTL#YQ6+u`5u@c92{|8Gct8P3h778Yy#tvZRINOPI>_KEu#hMb=RfrF!QwY(#^QS zW3}o!oSeZ6$=!-%3|~>U+l)qT`;U`x(2~sMzok;UmNrBTG57oFtZ?5>8+;Csf1iAFPCk#EAKy9s;SAL-Ut^=WA>1^TrfM0j984LdTY zkhB@#7qPHqDWgS*rGM5b^J>7LYp7HinuI0;W1U?c{PZU~RVXP}FVuu5WTg)jxRF0u z9_$@{8yq9YO!@hx&xkE;Y<9}POxGPBXB=Lk!AK)F`dr!@tE$`7(R3rOK6I0AL8i); zY|pk0K3cO#V??vlRAa=pbliE1Q^(~P)PnDCj(`KLP&D<)o$ViaBr>p&y$Vgj=ga2x zxFc% z7HR-yL%ImGBr}kyS8<*nkW52uj)=k8UK}P!lJk{z&>BRzv0Y+WLQnZ1iZfMK$MP&& z*6t8eISgcUUdW-p-6`|JoZZs?&cdjo;JK{P>$SvkRk=AWR~g2*L+|oo2)9$88#yw? zwgg(_Y|{a zS%dZzcg6Xv_$2aD-b;rJrHgeLbUCv0kBfWlZcgX*l5)gSyIr_L^yq-p`ZbRAZYTre zRQ-zuBYJWky&q|0Z+XZNNNOj*O4FgfreP@rsqB34huh5aWe&YJ z;_~>y_-)WsHc^mblg$dLB$v@$&C9|yT~D>6DU+`87s)!cQa%=wo%I2wvB7k59c~Cx8<%Yv${lc^GTuEI-f4Y|fRg?uSGc=EA;nl@%s2&iuyBxzxDnwe z%#yCXp7pGA&htEx<$k%l6H6#DK%e#1mh3Xg$=I*OT>*p1qxR0WdA>~x(A z^=8WxxTaH#`(=$3WWzVr>K&ld`dZgSsnDcdSaM{M;A9|w&o%w}9JbAkFx-XTf+5bE z;OxnBM)bjW1gXapu><8U(}%HTvZP1gEJrg>&ACFI;kI`HtHyB3I>?uZZ-=5MI3drs z5S}%MuzsOzaW2!>fbs$)Vez@w z%rpozKdktsvAka}F?Z;L^^O~5#?4QhvxA8Rw?;lhqGf&kiONz$s?|E$a-LwsjqHo2 zecnDWCx6T+de;1OkNvT!bF*&~uO06{{*qqk=DFs#kjExws*+v7qSB=+NP7`X-#drG(C~sv%KDoJ()o8X{s9i)UJ&&Hd3^jcSdo8 zX2HRnflEe<&(%dzA3tSvBlMxi(|Wtzvb3HMD7UF#7W?Lz7SO#li?uCfSOWm zy4_#^-t9;HnEmwSq<{qcs)O0SGL!|@JFZn+**;p!L4^Z&T{5}5HAIewX3Nl)&u(Ic zAMXG?80Tu;vih3vfOEv1);)H;IZh)_(5k3f_}f&y&v`BX7$a^t-4fia(lSHDOPT7c z?bQhq!-uCtzhU`{DnqBT@NWkyKnHZ$^QH!KI-B2s$2gP49wG1hl+l_ZkvryZC!#`e zo%;51`LjJf=et@SQuEfoSh%=#Z6d18ycxqM7$z@3CYU%-dh5ox#jTS1&6R1SY-yS~ zxKb9O+j{C~$0#rk`Nh^W7uzCuE7kPmHKsXFgF|TYn8wkpUxrLInHzVf%`b(-IJ@f zzt^kvTQo+Go)V9+(O6GB&3AjmcK;c&3xCs{tRUE;HObSkKuBK&mZ)wryJ5cw{tV4j z4;+Rxlw}jp(q<@D9jpX0VRUjussJm4c;t-1VfUlMXPk^!7Gz*VG zOToo%67V$l+Yf#z|BxS=Bj`~?G)`$=2jt8k16$d;=2RUn>U$!rM`fG;So#Wda7a?Yg=(5cgdKC1vdAgYCl!@rb}NNVca|5NJwXgwb^N5ZZ%S3${t zyVZt4*d`y4^|RT=h1N^_y3jOuT200AdjqnNxJZ=M(FfqnS>y?#B6FyX!lCmfDsbd1 z3clvWAP%~|agxv|<1(~F)Knl5yZZhx zAbIxm_4?w-Y^+n$J*xsgyvA?sV~zTDWoB|u5n;#jU^^lpOICOgJ5roi3&NX2@%8vW zm@@>zghC>Hbi3 z&&i*N(gt{2*InGa5`&0c5frFR8y2ubS7hP=Q7h-B?0HYS6)g+~CjOqLAcLYaiQ4m# z7{ZT`?i19P^`YQ5EEgQn*-Y7ZdWr9rIp0Lclqq?VT!G1}kJg6cV4@%6Z+}+;)rVgm zIX49qadAR7+v~D)a_H9>1F1j)?Ls8Cd|~w4r?4B3k;Y`4>Kma_7=&^$cHvBeO7EAR zo|@-0X4!IF;l2vWwnf6Uj`}5_`ZT^zE`OlVrbT7;H0YD#S;+* zU!BTfMGUq%#CsZ~c;hv0OXJPzQC85s!@{k;*DJA!`Y!bMbO8w>Q*M#)cY6Oz3xEmO zL`;s3_6s1g!m|)J?ju(zYrnLC>UA6L4u723*zGFD>i`epuN)E?c+Q8n1;b= z-%p34jer$hUpZ2YsDqx;)UDbCPB_TE@goRwMUQNZG6wbIiu^c2!_jZ%V~zrkER@3=^&r`E^kybevC>+- zLXzPmPn+>1!*|9s`^d&|v?q_{+cyT`NZSt~N17$!vD0#%knVnl?)38EZV>4`v!@^;_`jIzt7;aZAW) zpceUPwf-4#PjoFx&?(6W6sXr;<5_s%$pz97IOld#U4BIraD3#lkaJJPyYBj67xrs8eo_rAQD`x_Le%TU_O1VvH1FUaRI}T!jVTf_@&G3#4 zjT5QXT(spIV=-QF`eeihxu41Lw_W(j!~A5S@kM-}lA;8!9gzwRZ~Q2`Rt8gKg&q$I ztlM&zqbBo~DLts#L>k^ZmA0vgcLU4OnrB0l2S+U1uvgIz?3pc0apfLiK4TIvZh_;F z06@{1Bp+PB&kro&R99ZY2HBAb6e)_VXe2}USKfeKA`!HQfb9_X0=r93^Zmk1VFq;i zc%4&Zd_vQhs7m8$<1OxhE*;NPmT7*Qr$ME!Io<4T;RU@iQ1PmE$@Zs5L}EeEYZ%BL7K=^k-B6hEfO8a{f5o;UA~Vd~{jmSooW@zjk*jZ9E?^?kZ04 zSZc`AT2xUw66|M_AMl;csc()a<6>d=P!3_1lvq=5(Y*R281aDWHytFvRRuQ$t&>1i ztJIlXdVu4cQ4umY$4HSzV=s=xn13?!FL{K+45B=Ix$N>ewq=Irtk>MW-g?%Vvv-7&>Dkj zG(--4&Un5(GmI-T`5hp<1+CxU<1Y*P$M}P9@)37n6LR1Qy4Ds2*X1&fgUe1ojU+dA z>CkTau3%>d(YT35dw3M6zgklBS!8?c~PV|r2pvt+}+3l5P%NW&akU_?aPe=TY%;v z!aJHJSeM+ZB|=F`!<1R!!tQ6oMt>g;xxk^dgF-(WXur;}?lFWqSA#Nc@W{|Bz7sBs z0vb_BQqUda>^RM;MJyMnvK+K$?F_1AU^2!qwIG$tffiSjMNgy$2sU`d=YA%_%^Hn+ zOiCGO6DBpMA6kIFclQ}8WA~%T9*-ZYTRycvkTg0fG1YNoEShsnBPz6HNm~ z1U~OG9)c<2Lc9L*R6`s(GLh{TG6220A_qgCbw^Y4$l(P&-5^yywgsWuAf$^%SC1?c za$pGz&yGj_isV6x|44ODqn=nR3l`84knXHc3% zckg zk)r&%>tP`RlJl9gvs|qp?9@`@&2$2gF&;pYq8Rcx3SS5`@f4S)tT=Z+l-LgrkkZ!H zgC=1#VDWb^I`J8tlycdc6^sbdhRb9X($cb9n)|J))R`maH285ADuH57?pH5W$c$pWo75|klA9`;Vx<$}M>8QF)-5o-=LM?UCfS~m zYd~lCboHe3^3=GjCFPG)eBXr*`uOgpgP>k9eY{sKtnNbKb;x5)|c<>qGu@6$g&| z#$Eq{*)<40HE4bTED;+;O3(87eM7)RlgUQP#U~KT&TTP4$DZ}8zd+Nuw;m@-9Y;UY zc@C(b8{QWq=~*(qLUe%H2C5>%4|7)L1;zdzs$Aj6;F%nH@;1m+o`sN|8@_{x+@Yl5e;BkcI|AgV&u7c0bDJ8{7U1ymAAgp2DZ;j0 z;;%AY8}#vEo@3sN$ZSVuPy~3%&v|dVzcj2US%FF7$5NXUuZtg%{hsb9Lt3iE=hhIJ z;Mr55mJxon0ltL9W4EJDx_%(R{JAy#;zYc1R6)A)cOcAGcr&!$(}8P;%G_ zcCCv|JPC>wvxSLls+#>Rq6xO7tyMo?lz^Wk7b?cq>_U1V#;-(LDReqw+-_v`7xa)( z2GGIv>J|;eSZYRm-k3JDr_#;QI;#t)qWY_wbF4VuC3El zn8M$3OM*lh8Z+slZWMYM)ZfZS^B9Omcy>lV2eyH`6u+ zK1aa905r~Ot6Y(xj;Z*Y}pwJH)xCkkdA>b%@KEa)c``-In(+vvj>#lN3(uU>Y#75t3NoIVN<1w zcxf+ai1c-y#MqU8g2Y}xvuCHAyAeS4$zycM5{n*A^rtEaBtJ4%sJGu|9$GZrP%pqC zR&xln-2qNte|^wRmJH=*fNhv?Lj8^rYXrKaD91sHxx0``p2f?6u?Qb*Z`fzceDodU zUP<{3YOe1v(ov!zo7Ery44oHkiG5liiw$LBf&jq;e-42h=8MTh4@0Gi zMP_c1S1%w#9f-s-xg=V06{dlEkarItUeFFM(R`2^LiY#R`9AP_|y zoXf1>rFEf%TMVQ z)ggjF$4>n@=(7u~7-o5Xrd9R{TvHcjtX}_0-gb!uc}WL!H+yUM7_vRB(|V9uumrEw zs3pdUvW~(dJGUz&?@kp$dJ^xt%a;ja8Byu&1^*?++Z&_LS0Q@nK}BW@ZA-^pr7_(` z=YH?q4GZMm4U;~_bj1;|DycB9{GpxWX2N~%_?d!wzNWQG;c)eI)55&A%p>E!lnu8K zV$h%NXMTq&C`=q zPv=(HKq<9a&tLPdXcfjX^5I{aihPuvajmBSYg7p&G8+os&Dv3eIlw1qv`Y*7Cq&AI zWPj0WAWnp>T2GGeSw~fZ6s?z1q;E*?BQo`4ZuGlE6Ubxrh z2Czx-hKODp+Aa|hKQq#{1yfev**2qlQr^>*p_GiY=w{sf|V5dARQ%^>Rd;*eY^ z%209ZyMZ%KA-?|>z~GO!q2MEKi_FMhl;*~;J*dhc%``Ic- zU||%`Awztmm$whw(n`V%Hu8cN5al?0urX2jw3X0_aS#j)K~z@_qz$Tg<@X zePKf%*z4y3yH1uGq6^2|hkOGXYv83Bvj_nuiF6o|_fdR_a~q%&ILbhVg7@YqTHtBb zXR~qBf9de>RxVHSlcS)F-{g%hTL5JnG6s1=jqBO2qqQ|VlVFlKor!|PBLXJ36)3)5ZC7c9=ZkE|@o$&NvPY)C2gWwlyKh$y0+K`IAj zMWFYbH=`pE6Z8ccD#>{f^gThqRjvCPh9^G-ks+F$e#d&=Th$_D=v>|_F55%yssn6sT2=k%FbR?3hx?hVQNQu0fhV4?sdhR$>qc(&@6c^oZ zXMt$yo@T!g`3bG%)v|$W_FwXo5YR~KjA%Xef+z6%2EQuOk|Xc)~qQ zMJ2G)X2sIb&Q__fvi$TE=0*0H8liGG#DLSH*a;2JYGeXJdbS_MwX)SH(Ds@oNk zC-)X20uKp)?^Ugqc;;dMNf=)XhN0PW=21Mni$^o~{niHcj6~N=uVIBt&yZN1R=zpV)o^fU<}Tl+ZZg}G+DlSfJ@d`fzsD-RLgmD{ zE0q?A5I2cX&JlDlX|XE+kf0s@?x0ry@#VD8(LA4@bnShM9HF5smq~aB$>CcM%}ER# z-t51n|1#AKx;qxYTX2foy$zyF^Bq`lS&%=r3-XbY(FlF9y)1nFDqIarF^bwFEah-+ zeNjMD2c8v7+o}RMQuPX?0b$ItL5Y%#{o)@T_6e-kpVL1GXC=`>bg_Q6<5DnM65!<*{SsY<6S+&;S z1ZDi&yttXyRZShI2yNM!jcD6}{AeofiLOetI9JJtP?nMhzJ{Alr|lfjGR+)qbPkyS z8Sy&Iu*9K{OPp3(&Ys@7S{SulMQ11&mV#NCGBfTJNXV;x{Gc)TCmKX+LS|s==odsv zkh&T$!QHJp^e_0K>V^dh_C3~xI=m|* zI@No-p1nW^m`Jrc3P7^UpBB|)9|c_KvZF#+Odor|wuM2=68s|Vk&}EOd$M|`-gnSX zCR%K1lA?-cG&m_*(u#U4YU@RuM<%e{^2U2m6ntLIAo0pUZ}Rj~6_6gFs1?_^{zUEs zHhH(@n!7XUU}WEHr77{83+3#7N6;8vFi^vPx=MYEC(D0TmxP~8f;RU(Pi8ysHYS?& zU{#)SGFrBtE|C9BDke*v##S&lfn1M|tK4!A#asVP$FaZb)~gNbU(SiVmgz-#%m*Zj zZ6Fo8&oQ+BG7`l^8ahy|q$sVA5S&HL3$NNb_d=2=Rc#rv1@7(mW1OSHeAQa~_#OL+ zA!M}4Jbw>#FfI|wjy!s~pLmB_zMrOlxQwaaPEYVI5ObL-VbjK?I z%8Ko6%`}=~vbLfy`(W@~rcG0Y>|FAt+%2(TkyFG!2`8CPAPat`a-|K8;E1DOEpH}> zZ$60!OTl=TNr?BO-^^~Gx3_!gg^cnrxwLB>RPE3o0yUgY?bzA`?u5B<5NIR&#N6g) zFmuT4mn2i|g9uw(%=ltN4sKB|VsvnC*R8>&xOxYmyySGWO-5qzw&KI18m?9F{(`rH zF()+WAT{>`opvU8(z<6sxO`t#jpOK0=e(W?2j+uvQNqBpE{3IHHeAd`CPklhS!zr5 z(IihR^HNmT5=(`hLBQTBbC>%k>zgX>-(wov) z(l1=rNz@hHKdj@}-F2_pcmrYb{vT4?WDr?Toz5kEm=#K@RXpZJTlnrtg3ypl0+fdB z@GhN_yPl7aNlTfJ$xqyY5Xfpyc-6K&TQ&3$stP|B+tx4q;*khR9BkZIlQPmg%bl0> z(DLr*aR1(GpPP zWIEBr`00dlnjW^rh4x#Z{nOmMkL0?2=5(2HdUN&aI2t2(aoD3MUK;*y^^lUR2!D+e z$XFq_d_lTR8M)=t2wI#Z<9-?hw@mLYDkap99uAeKIx}lQZ3NQ@#$GGo0#}b2!E7AP zzHpizAX)-KjOAiLqgXz)F#HzgWv`#<0zb#aOa@UY3u`${6Xyse+{8USi+l4R7lrIj zK8vu?&Q~W{WVVf|bPYqa?3KPFhrA`C`QypnBtQMSuPAOrx_^*?JD3}pvbXSetV#Vf zrp1`+@c*&*3q-W_bk8X@8|ia)9Iz@p8LM;>-v1&+vlB)*ciYq@)5l_;!|8(sZoQz**t3X2^nABu6TT%qH*v-YObNz9;d) zJSF}MH(^_R-Mb{q^@U2jU%)r<^htyao1|$sFS5XNo3P2p~CiImp|Km#a`6L)g|7O zFkRpoF1W77oNutB&A14Vi~C}c{hL)eFb*RjH9mu9YIRXmNsIw2TN6M|CapBGb&HgZ zeCEIt8Jv(uRHk46Tbrk<=fUHVpg-;~`1HXR0suWTTqnB@1$x@##?+L}wXgec9BL(k#s1Q# z13@L0nwdb~5N}2Vx8r@eMXjdo^DRA~h;adGY{9FUd_M1R?IVd_#MmIMa17V|@bAPp zVGp=viIZ2iqkeepX}D#VmQ-ynaDg77PF%opv*?eDn^wN;R8Y4y%?GS+mZ{pG4YE^; z`0U?q-b`)mN%svn6Db(TR_OF$K*bR%$iw?uz4-T>cyP~c!wgx~Z`~Ijqnn$*&Bh0^ z5pLLn__*rmr~8MC9x1Dy_A9g*JNjpA0tV<~Z!0^czn=X(I(>p?8 zM}C|Tk3C){>oJS7;q7$1-+`N9Mv4tTH~?EeT19pjt0F zwpU)@uRTi&=}tDEkoXBq_W@Ja%KaIe58 zm<M&v^lZHe8ZPg6fGQN91aKVP){>hk5( zFD=09YfAO!U>n^L-SQ40-wX8emGSi0wx{`sDg=e=XNWZ9lAcNnUaO@0AsoGVofEV0 zo<{M2y3V48qc^Ze_?{s`n3f?aJxHb6;HzC%*IYN6_t-4jb2)!o?`kwr?F^Y1@ zd=TQ00*6H%)iHBpl;`Xy#{OoBffBrlW*d8c<@XD-!kZX6JlG?1!Ta*C_zrD%FOZN% zYk!8anm3w_$3S}~uoa>&V&vv$gnCfSpoesZP7SP&!JDH6KyFwzs60Pfq%AGkJQuE2 z%8F9ff{SB7#M4xc{GRI){s=y-ip(N-+1{p#XQ?w>1pf(q^}fi@ z@B#ei!$JOK2%Wl#JJbnfURDmjEc8zizOt^!of3T{nQRp%{+{3SX2*>zgzuDsuCz=t zy`tB|<;y$zx5A@SP!`FQCM2!CVx!C>3T5BRhal{W)DyYyiHB0|FyP0CfLsE)#@yyn z{=CVqH#;HuWe(8L2tC=Tmwapq_?IwXBH+TvNbjxv32I+wNbPrw5?|sgji?}B+h5Be zi87*~2zsk=>Gjos7Em1ZZY{r3)l=a&4iML4dATl+Y49UQ@Gzj|;c|Jp&YWzk_G6OW zYn_Us%a|1)3&90t)a-)_r#yGbfbQn{5VkG%M&H_Xx7NHu=|)SGt!>TvH3HfIcg!lN zPLhm|6x3~*V!K+oiv{dy`H=PJKaQ-{V^_ZFxq#lx2$VT*-!n{PZflB{ZcXG3lJTaQ=sbVRfqwa;Bz48o4D8LDfAM z%im_@F*o+*P@Fd$8_7`@zkP&dX9LAnuzWwlTUUENA^t+d`!4cK)&IP?J~1QGJ05BJLc5k$7`0(XXIyhuqCKjc%IHtnaN9N z7mzBtxGRv&qYqL$+BW;~TZgUNp1AVkV}_`HO)fn7WQ{^oW#~q~C&VuPjMYLaquTq1 zq+(3Ij_UhTAk|t++@}j>OQ4w^ z16<^x{NS;b`E!*~4{z0!SZxY)D=+paeaBZ+$k14meR8M0|A^-q)%UEA^{Le{hnZd32Ob?;cH5uA)-7ZFJOFak=9BU3I)Y-qA@U!pyBP1pKOS7C zp`@4-9m!CL9HO6v;%AP-{`t0yy2#neXYKVb8nn&%l!J}x=OLHH2F%|sHr|;FZT0nM z9{m-t=<^9Vl~s=6vM#PzSucTl3iD0K`NzQQ$p#1+i7}IsO}Y&ELiapfmv&{WZUT}Q z2@k9d+Yc8F<4lU24lPw?$l-dILJ2;}LU`8FLr$ZquLxZc!CrovykO5I$V{;Enm9el zS$-Yq3QukOnTGb{uNvdc0?$=FC#2ic-?;*H+e>S@aC0;0oMsp}Qt99Z`6A4*oQ=5; z;@bz)yben{8}(|;Hk7Tpzg+w>zkqD1;?}sGMhF|#b65IXv>x{sz-_yfAGexqk4%_SltESD z1np_L{gGdRwkX4S*B>TnH{OA!&TeTyzg&1!JVv($P$$}%Z5$XI>sNK-zQ&-4E#Hb0 zEnZ$lJ5UshUZAWjl(ySZ3h`}&1ljofI65%i^;Q0rjNvshA*pXFaSt6!xf3n> z!8Z4(FfT%?F=Gu$`zEBpO%&z^Q4i>obX4WQOPZRKA3DlqDr1+iFR+s3AVh-qZ20lM zyUi?M&`|rMWc!mg^k%ZSU08UmO}H^M|4xALSV9 zI3bBnbe46Ec)pWtv$8R0X@YR&qwe~v2Cyw&0jz^CpkiTFwqMmb!k9I+ss1HwXy{OFJrq z8Cei39D96z7+1dZ&i)tpvWTdinbTJjdxxGKr>-9zy5(0$SVloduk_4IzvO{^=BbIg z?Xo@;%|zVb_x@o9t!sV*269LgE*?yhOF*`s(?QW4r*n)RDU7Dv^Tc?h#8+8ys@XIN zRNed(9d=TrPi~j)2YC_RTBLmCZ@_n4IGt&gg~dhU?r%G$Q4?&{^chhl^QFgY!cgI~ zZ6}p|m#K!WI_>C^L~=JymXlPZnP06jeiz9p@kEv_5e zK=Ly^*l0LQIG+UxFGmF4v18PRL{%C*COlTF+C1QoZaK4_B$wj+5Xvcq=kFyzjFoCx zlDIFVKW%`}F}D!Cl9oC#;3jW-+59n2ZlY*^D_$ju+3G$l4YNN64Ldv z(#LDIYp~obntQNKIy!Fit$lI$@gYmme>BY3_vub~Op8YA?zjbE)B^5cV!3Z+YE@)g zWW%9dp=}}F<1`snJ)Ii3W{sxt?N5#8)h4Bx5L(am656o#wT&*t;^EwrM@uo8tDAHu zwv~-!LRgKesm+6Et0!XK(KWXaX@CuGAuXfU2stXf7 z-b4lpR0?zt)5d=k=)`>QqjerM1QT%l!$94adnfCpa_w{?sQ%~(!XArtAxk@VU z250u(Q1hEl*82&czJK^OxlwHw+n(Hz2kW%AncojFITf+Z)BO3~)4@^S7s@9WCx`5h z(LImF)tAQA4|UZqbu1`64t!rfQ;MjZAun(FNlyyvmD{tjLCfY11`vQLBMoUDp>fG8 z&ziiOM`$D{l1us-iJ2-~^AzGLQH-e7xvThXDWT0eU%{4`S9ZdYM=c@3!&fWoWi(WqvjWC4oB>b40y+ z3Ma<^=*pj+lx&l7g7mYjG941U*ADB%PM!EbRXD~CXD_lIA?yyuQ@Gh4aEg6fQA07)tVG)MsUml`PCUm(Hl`kCZ zJ^G?3x;|OruijK8z5@WA0iGrAnjn;7ML9ZMn?a}tC!ixBH<61neBU!({uuatHnqQs z`+No5qNn7y`CAfvm-m^jyuNBH8Pcqynh>_E9-xzOk2l;%4H%2{;gKKrfXD0Jdcyl3 zTR!lL{z$tM{1Yns^$WNSK)8{$XsVSGVwCm?c#^{{-`$7!t2H-bX2@|1wX3nBKbFJ? ziw**`TG{+^A_WSk#L&SbUhv;VVf&50^Z}@JdkSrSf^q00kM$UbB6t$DeK2;uf8KOz!a4-IPL+=fVH{nX>|*f0B-v`G-=^#~M*nSDyBAiw;la zm#-{M4uTr)@cw8!-^MF9TpE{Of^PXR2rtSS{fpC=Ki8!@>oBe2-7K^hwujRY1~qlMMBkqrlMINS*;&{9chp- z=XJ@A^NNX;;;cy=d1D}d)g$@F-5x-V2SQiK^z6CDme#APL0#v^MTmJ;lcs$9nWZ<) zU7@#Lt9<=pU;LqlU7>6#C<%X7Ikj9N{#=BpM}!wIP<8JG>&`Zl0ifDWX?D4*vx}@Q zQ1ggO^sU1sEAD?hy8CFcT(Roo6t(JI0;$;{m{d|9%?G6?q+phM8gYGx8}iy~P*3Ky z;@iG2q*9zZ&YmnHdl^P-K18(;k)C*bAVXnP+r+} zdxJBC0Fh`GORwX`AIlt4b{f{HQ8H^RmH~SJ;;TxiN|4Jo2oIdn-l{Fv*}OgOw&mkt zzAzdPkkKHrTK`g@7)9A5_8B`Io}R*og6~k8iZvhO6&LANUtn5>oX1MjC7>7NN2_Vz!2e#AOYnR#RRltJ0~2{r&Jf=` z|F^jCDS{L_5-~?p48kf12lXx;gg`+4TU4q@=k!6H4O(f=>g%DO5 zp#K|krcE-k`s%%xa|$6PQ#x`4w*r~Et%MJ)FVxB|ztTyW0>K6Ww6#hX11q=B-%wkI za8Oc<4BysFy*1fWqKv58F$WM4g0kcDABMB>hwSInsRioH+6`hVjf&$flEA)VH_eQ; zh&6F&muvtRlcDA`w8sQE$K|Cn=ND%saL%T;kP25Xn31$lDia~XX3!Jis7EAquMTulwnZ{ z+zwXk{6nLxHm_gUcy(|w+D1=>y>pp|mbDJbIpRv{G&gAFqFeAb>HQtcR!I*JXESZK zw4b|}a9`*Tr0}9`vGGn~rGtDot#z+MndIcsBOiPxG`~bmI>gyq-fD?B%NBgN!|spT zxEp$bYJ8+nN!<`q9#{5z%~?~2>V4aG9Eo!uj3P6M*bMDa57&NkvS{^(#HWDtxt_|eZ?>{vV~*i4Dw}Jux;0 zGm+(s@1`vYr>O4hTpIOhZJ8gARM@PX8Nble6Z@bJ&gNqeV#0>nGBp$K6@xM{q4ne1 z03nEL_m&o9S@81u^I|#A`|0P?Z z@~9(hy$chsBx*6D!dvJ21Xi9IG$z>KWv)z0w0%E0q%Xgzy;ZhNbOb_KC`HCAM(ul2 ztpX}xF;9NMGMgYubXJ-a&Sr>Da6O%Fn>!Ge!_9_q$_(_~Fy#448dH)t7dpVqYaF6i z9*c|n5>IF3Hl5AE+6+(19?F~&)PECCH!;!?NkO@vjgDlsUPuf0=y6v~kRB9$p*y^w z`&}ZI&>yh4jduk!MMcO+fhdp=WxlAd$9+f0~f6P88 zY5#DHv8g7nln5=xr0>?vr)+NEoilw2zE!hiu@arew`Gp$`{~lT)L2_3#_AVCJ-;F4mB*=Ro04t%^A%sbTI?n}e{veW2!?^TeF+=hyjPKY1q5 z_vklT^Agup=Vz;bee8KUO)bHK^1$D=wmc7YVCdO73)pD%MXxzBz z%7IUgv-PhbIlE;TZvy}$Q7_<1?n8xNayYbB1?Qo%+4aDFryBFp`(%84Mouu{sPe$m zi$^_)E5a>2$`gs|xXyHbZnfc?Yl??y&N1R4lb3tvr-z9b9Ge9B(#m7qtoqpsdOY7NXAz3={?l#RJ)8LVFKl#Zoe}&g*ycE#;%gvas#& z%}ZUQGmbGkdJdQ-R06PD3)bIf-z1zSe7nTA6|51a<0lR|*`6M_Nd+`1qh)76Uht>1 zHAABJ)ed8`j16%U+$6y{icKat?8fBs;i^x) zJJ?k8XRNg7n~^E3c08mvZ}5V7zWX0uEbAqwy(?8aZnWV@KBMspMd6?WywM~*a+e6P zsA2T3F^eEgh&~#8JHeOw@vd6=8eoGCf(53=+N^9mJy-S_2gQYl=w=ru`E{|-SC;vv zOQTO0REZLUEh%lD>oI9A!&U_lzvx3v2xT&qeP+uXuiu{Q@Ck?eLu<~@5+VP8wfuKf zoPmw>KX}W2BgTp1yO3_}N+)}l&f#5ohmNOW_YO}TvuunUY*Q@LvG=|a3;DFGzJVNU zE0pM(9`x1a9*;N*S_;{b&9L>FV@qnVFOVdK3HN_o2;qQzga6v-VA>b8LQfymjwUr4a4*Y6O+0F|6pzZ6ioJccMv zR7A|m<25stregMW^BkhkfL|_TysV!2S)&7)B!lRcA@hL^m|TUc)uH>MhSqjkzbQL! zie^o9U!fFDfKc&+!YLz5^V^`tWu|@KAu7Bf#~otJX!zS=(Pl$3gu&!nderc zSxZChf~>qyGhE>wfdLwm|9P@Mf2U#yyNcRY_o0M*FQH?8G8M5?RIEbVh5cqChjphI zmBw82pbyBMEdW3g)EodyC7ah23(UbSSt6ZbxwRPC%D}Rs3#p41ztIfYHdHu?MhCa0cpp zjr8rKFt2}$GNK+OsXhPKLA`oyBI=)14Z=FwdfytroCaI4=vIfu<$bYuZV)!=H7a&k zx8X&akbaB?i)_1Df&lQYRrtI+d17g>pH(a~Z^Uq8QGR3VHr6?V4TO8GhtCqCTa*`7 zM>k|IH6r~k8cUU-JQC6?984`-MM$9@)(Z&g)~WD%HO9z2=lD8Zw8Q4YK{THzDPG*H zag;sago2r%8S8e@2mDHHY~s-xlVOVTS>BnL6NWcn6ad#Ua7tZjlW{p066ED3f?xu) zT1k~(>u;v2<7m{OFL{ngHC?16{_zd617bqsEY4N&!DJu{ptjv<@ZF{e_wZ1Z)`CIC zMyVcuFnjq-`!}nr1v;!-RxQ%ZRu)2sIhpl zK#Mu5RuNKFCxND#IP(X3n)`RZr=icSX(eu>`U`VR5prhTfo-=7`O zW!ebUCX2193%-BKLXaUPn}4WdUD{>K8atm#0`M4_ zSZ%|KtcrCz`tiQ-5XW8N?rrDeFqufyV+V>Ty-~G}V()xx2((hN3MHS@*KWk<22ylB zL%u?GTGKXGHifZoE%SR(gANmx><5d=7Y8H`c_XO)a+%uqN4m733+k2ZWpxlU!98^402sP9u zcc4;hA;L~qthQn91Y+Az1C}hVuy!fJ=+>dO!E|tE3h(V-as(2iqd?3Qijr^JH~kcn z{9|2n#=u%%-wKL&Ss=vhi3HvQmoCo)IK4LiG4<5~CXuy{n}+={;nA7kE95{b=ne9H zOno~AbMU07h4iUOzzk$X#twMpUHddUu}Wp36Ia=3fUGr+5LaWd^aYTR^SG8fc%S04 z62)B zytN5f;r~xBNwuMwjbc4h!?ne;qn@&w?VVQayq*qU>bsUFbW|-jL${f7`Ee)9Av*X+ zg&VShsc%cXAhMMd1t=fgo#x82ieOg`rBBX8Rff80ep)gV8-6fI{P+vsv#!D#_##GK`>`dxjdeJ4^lXKa?cXTgwO zXs=%aM|rO-f9~HNnLm4CK?Q(9Lo&z$3y^O$WXM&4Tn>|@_!v3GP3KzIj=*WDpEWQ6 zPnN0M}=p2cB!VGBdP2)Q%REMespB;nc?Gz_n^^`3e+!_Y{)x_a#b-0AaJ13(BQ(& zZwh^C&Nnb`_eC0q<`@EFv|5dtX_7PXt%y<<-%wEv>($=E+1JxkGJs7XNyW$KfCGl) zxTni&!3H;Qrx?Hmo6Z@~zVv4p^~DQ*MTXhT^PWz2j)lPDU98<^8T~}B6BXP-&u@lK zZ#HCh01=FZ*94tjXl(OHUCE!#ek*gE&d=dwz8!zZHU0TQ>JU-m@Gu(7V|Ae=wl-HF zi9{h2Ywzy3HM~&my+kvs1Fz}R!mi1~S`#|Ex=m+Y1`NY{Ix>Z*2J0x**DX&vwfZeP z95bT2#mFW|?}kaJBL~ptR0dU^qozqxfLu0Ep5p+Am;HzJ6~aNUi^jUr9pXre5&X)Jan}@-?|3g zR~tyV-Av;lwd`_9i5D+-;y+d9S_WCG1{FG)Eqf*~Dx<|4956An_FxG!_sS4FQSJvW zo9{~tk&|kCN5{MFYNZQ&Zy`TyP~f@8EyJ{zODsZGja-_3Bhh4tGv(YwBC99yu+FL^ zl?uL_!yd$E4F>DltWRF?6$*8Z(Z?5I#e|%e{W*H@Xg-U&zaIDHf(4T1B(j5z4uJ&9 zmMCF&Yapp~g&p6SX^G+iPI%H4+h0`wKxxeNb_1m+DgrsS&xtC$SK(Tj``W0`VPL7{ zX5;)4!_(G!imMv{O~=8hVNhya+VvUg$fx;ygjFkm91)5BV~O>z7DoX)x~d(o^Dmo8Wy_yGo65Ehr; zA6tGBbtbQvq_>uk<(irCfmda?%-B-wv-2n*i_Bm*CXui1;Vs6pSp^HjN_@2w95W}{ z4;|T0h@p?1cF`7X=b8}H&&(AHo5loeFx=RCfKh2CcF>nwn0?K|0J7hCPvXXD*3pp z-*DY(=C<7@zN(fbIleKOSnmWk&*ZDb$OP) z(ag*8ybclFW;x=SDZ@iOWpt==a?4ccqp#+w%0cg?4Iz{nHvTgMrHZ=t)K@mQbD19t zrI%vYSdl!4Jiv71d^kjXL1JWvZ!|S~Rh<8++A>LU*gS12#aJV7H?Fd6a`F+ju%J9q z->5%fS~=JGDW$-UrCs(mkk!7&Im1(SF+)8=OSN)mr7pT5^4OqU(s76)3lm4{ zcScW?>5J()Mfg=7B2F}jK8LKxw+g2#XiNG_9xJnDDVtRDPKr?%Fy^rBp0}RPK(q2H zLtmQy;=MowjMiiUyZ;u^x1~+tR&ix7sLCsZt#!Qo1?{acO91C;G$0rW8weS8i{%P$vnBFei;QI6cn6*6dVC$3FBv8P$46BSmhLX^$ z$BVfq%Y`BwF%P4Jrk65>Srszbw44UjGw(ZSU&U=8YrXx#yHv>G(prkrx#lks2Seh7 zDjhLIwZ?@E%{k+D92hociy||zy)vc~q#vC|dj@hok{^a(mEHIlJDW}Vl%cB&qaEE$ zVxS(Rv>TYE*`)&T&K{cl@gq~X3VPVLZgy4#Tkv;w>l!05?LW%Kkb`iv-(%4=v&7-} z@Z=IO<>0(MZ03_#ua5JQ?qAlfv<@{fTCnn_Ukz4-c8@3&4ytfH$ne4*P}rf$RY*vI z;;-|xM{Jo86)Vs99XHA%3d%j;D8`Vj><#B9t{K>wh2um^=ge> z-C$FAC^R)&j;3SKCNU|<9mj}bjB~6S;J;4RIl>5es{pK{eA)<&3-Y$AqWS*`0Kt7; z1pn8r3R_>mP)Wlg>tCp=;{>#@k0qddwJdr>w!Ytku!->xqRC4HVCYE8iPh595$ znez^Jl@=rQxzubIM9{YSRIAoNdvYaUvx3>xjsp8ZNV{P(WTtw85Pr(=|M;=?fozCJ zgE{V`(AK6iYlCAN$X19rk#?P zR`d45uH3_{iB!2;PCQZm$BTfVJ8lpS>O3&SbmUmKh&I9a?u>{z$jdTz?R3^_@F3h% zxxoap9}j}UbSmQobXv)aT?yo~PzEzvuh^lgvGSE=3pm2Ct5ike;LFV)NKJKsepW{{;S+b$cryho6Oor!GQ%{56OQ#dQQ8StyLeai(B>Kxu3w=9a63fQYO#`RSamcXwcM)U>ote>Oc?W0}K&Ffx>crX&0l&P90NCx!1bD046cSvUiF z+;1AdAAbC(Y5uPtUB#q_W;<&5Xo&vb-8>zkj;oXC{m&qiTQGR{KtY7Of~9RA;@?TQt8#=H=HXpaa2gKL8vw-c1_%xE9h8Mai_mLkdi&eE z+8ktXK)RPxv32TNyi;69BbT|YRRk4$P<#X=r3)3YeBb-;9dGqoQIry@dYk{D%2OuG z80QEiA;{tXQts_7ef!6h@bmNEb5Ib2AviI>nH!i!FEJ| z5Mb;@{R{073)0Mizp8jPCFFC$%WJ>A-TZJ%c9rmep2)inQt#@LpWoW9Hm++R&V;~J zuj-hA`Fiz@8xNMX@GYBXinF!-w8L;!f)f@DQB~tk_@o<*1{Qs zoApyC^vSE`rfz{R7_KuE_1pJe{{o4#YWvWjPosrW8qSV*DP>TzU8?CtS`nz~zZ`|b z=)_mVJ927uqj_~`nnw~R4o-@MqkdYORpN`_cuT{TSc(7som$W$7(8DL;S;q`F5p_U zQgkdjL{ldaVN|{}ZcA*vixGu6(ygd-Jz$XSualtF#ZI`d!VMRDUU+)L@5|~FnpI!* zjjH+@u}adOtz4o{H|J}meVS84K!`gaWNI7H+gA(zK%A0aIGf&ghlVq2cf-kiZ&lRE ze{TM$WD0SB7euOb71*Do5YZ1ocKTAXTST9-<_Dz}!sN|57=0Le!+xt6W|=`PCxo3< z5SiYf79i@OY9-3F3(6+{4QGDu2Gh5|j^cL4d{ z05+^7gC|l^7vw~887&7X0D@~svTADxUWe-m@k#v^%J83+5Ajxbma>1!(DWb{Mu8S@ zp*`0O){x0P&JEK*7uUkqxD0_sd(Z($0GskN6#A+#<%)8U$koh~ROKaj7fJKf@?~?0 zqT$^+@PC#QjX@8Rieuc^D*zu(DnQItZa^!3%N~coU^PlATHS zzacRYtUJwQZ7FR$vBN!2@7iHN;2m4^^jjd<8yp=RN2lIo9Ft70PwN49mlRTh zff+{~i*H+(>bP$HCwUVHGHeW>OMXZCL)6c}o)eT7`JD5^{+^)~`iQH~zcpSz*BQ6i8?At=0}l?N zDZ)_n7!ftQZtGds<4KpVKuF9Wh$v*`I-M>Ko>@ookP&f*t;EvC`=T1JRDLE5eXwG> z4fE6oZM_$a2J0~rDUU^SEb63qjqQNg%qqV5`W??8_jYMQyw5m|I3aM{ z&6LoKXu87FfyWjr^xK`DsBJ6sWY#YfMI|DlpQVmrx9(N6sq61ZJpJ`PJ|#CNQ@(uD z_WgYKya2|xB;1C#&u}tM|4E!za<_PZv&;G6e1Oo6%58xTApZ3-_{78Rp!#kn z6_|?F5_m~{tQ+*lCp3@a8&TA;rM4TYu3N89qIv0j4BE27(z9!iUJGfRUTT|%UNu{& zM)vC{hDTUeemu9S7SL}?z`E(&W9isUV{rQhL4cN3_FbYssakK=Ea7-rqNcs}Viyp5 zt>#7NEH43kUBxEUp>d4*HfMTlHC>ir=(_mhTkF~^liaj;gB*)Nz^SG-1ZS3f*K!0d z)Ev9o%DECI7qS=0OQM-dXJAvm-JL`l!aQ9of~F_HYRWDPU8yl!zPdEv6{s7hsC$pL z5Wng&GB>;3Ao~=%1+c+{&g|K;VWlb2w2PCtAxg~jI`<-1NHJ~|eWIq3E&vG;;e}`V zlIcs=s*&wn#}y9*h=hq_u`;j>{1ik24+#wG&65ovvfTkMs_(w z6p<&KGrM%!nH7HtEqX!DwVKsgY38gjHfNIwdB;`3&Eo6mbi^r15N+ z{$zy)^WoCdjNkEzzMaMYSm<6ct!e$TAIOIGjqatkb9lojN61jLXcT_%z35~@WZsvL z^y0x=ec;l(34tU8veXEyuADBiT7_@kt50lO*_c$JUhxgGSNi?@Tfg=vTo}>kfqyr- z99r0*TE}2`!BVR|6M<8^81@M1go&@v0Z8a^xuyLgct$VRamTax&Ut7BiKG^?ZAn>? z>s=N~5jR^0oE5F6Q*&?AuyO_abDSRwU6#F(HvZ759<_`pY&BO(#q1%j#jn_n*po%t zCU1Ayf_n@UsM+`1 z_iKNr)iPT)1_dK-npXc2uahH6jpC+gj@)LEO*b>FOIUTn@{;fd2OifHPAXkBolVBV zV-x1Z?0CCex&R28;9ate(M)`;?-n9*&3cRp4vso4HqST zKbnp-0j*c+33pt1Nu;l{vZYKsu8nUql@6tcsDm(?Y1Tk$PUUFEc&UQLC`+Z@y z$cIS@>h8d<(M*BAMXW!6R|)Zh!eMp|I#&=A6uC(G4riaC+02j_Kr*+8O1-np zMH~+$$Zq6R%lg>XuVsZnbNQ~elSz`9CD6D6^2R4Y z95r>$!#VgktYd+`SixkI&*#y|puEJ!u7&xaO8$WTlwN1E4s~WgF(ZyrK$d#1Z{c#j zNjg(>pad@)1~#i}xpfga!%8|SJ$l^6NJT6bAKJNQ)6pLHQZJThOQm7g9&qST<_&Mm z24gGagPCNATN4F!f?%f?@#y$_F2&zv+Mk-p`2r!U6i9#{&JZ_tItx()>}<-K>06M_ z#E>cu>iaRMu$U+7U41AlS@j}WB*$2R9%oV>{tIv+IbOO}?*cgdZvjixs-)m#$n^&$ zQL>QS^4LUXbq0oWJ}8d~Njh5hO*Fg&G$v}A-zmkZOnM*mS?!I~u?eJjgRJkET7maU zx>E{MN6b<<^XU~M5~C4QQ3GxPX(ZRGNUR}H}D2-L9}?dB;-quCDmh7 z3HGcT5oC=i;m43HE$wu>(+$raX zn|J48Ty3w&*!R9Qw7k&Y=C$8yC3p96OXd{e^(mm|X%Ib1T7fv+9FFEko+cTr#VAsL>6&T#mgvqrE z@WpD#|M8?Ckj->xEy&+`=al8&pE8?K)PDggU6_<`l>#MC#uW)}(SrQ#O%Wyvi(gDi zu%g^;*waQHH*k`cjavJNm;PIe@Kj2?(jp!7S{a6Z{!FHxi?pLmF{gF3z7cj3ucyG- zc^R5M>h+?hw2lBlJWBP}UYHw~&R=UUITH<6NZ_PW=hg<(IDTmXe!fHP3q3dUvBp*d z0Vbf*o4BJzhsT)PHV92Z?a@7eGP8GB9v!ZSkJ}Hv27Eo$0wC68Ab$;x)wqHt`ElHy z%Lu=f`1`H-wVqTyqkF%F1Ksylo(76K_TzDiXdG z_5txeg|Mup>ROJE!2m_w3j&>(92*r+E!V)Op(AlBKsS&*hhIi=ibXwjBRk`egEHGzx-t zUiH%-vvE!_mZmR&M9l2X-)X)7d2fQy7Eh2^s1gKW&+A!C4p{y=U6UcOo!MvxcF^tk zE0YIcR3Nz!4siCa=aathJfLniB91>o^nFvIqhKLZpy3L0H0M*(=i!k@N_rcBzFe7k#OINq-onwOASWs&2? z5F9%z(WYS(fieIG@9lXswKQSBiz#63rBt0$_kK-H{rleDQAtIpmaMESbNc{dMc)ql z!nYxmg3z#q;1(@!p9qMSXN4(%?DZ(3&VV|?X-Xdr^u-iP+%rl!h)ju){-1%h-iZhZ!@MlD-#DWs+qQhygLrq>eHTbhA4*v2Q;`s9Rsl{0@K;m7&&-Gn+>keHfi0Svsx&StRB*22aJ->Zru`oG^#8{DfzF zyM_@jNJ!GK)7(76%0m$+D8ab`Wo=1Bn-brRP?#@_2!LhH+@oe$|DmF80i>or;E0#d z9juQt6YFV6fLT2Gb0xC3CjD}r02h3Xm?f@{fYZjpJ(R@o1J7?}Yf?O6H$Ce3Q|%Kg zIn%_pm6?^Xq}Le5s!$N+tI`a2aFBfhNvTfqA#dmhYN4llrq_ENj#(b!9!(RfA&ZCQJP
nmz*tY0@p1^ETF#a-;M12VG$ze3Sh0zEzP_1L);@NXq@a=gvN^z1#0U}7#klcwz zt!z{Ba2kBDHeeMS=J4mserNa?*0+IzN+&Npl?Zv&mdJYD6Ss$~Z*&pOLnu0F7ELn_ zMnrYGiAP?Zp+f|`M+8WyHuQcWxgtBrFvP=79dLKgP`&r`^X}_HB z=;d>~@B+C}c2yHjF%?ZD9RoNmwmt~TJvk>}hGiAnDc2iTIsVwRC@LhpwAlMKgBtT+vXj(}1eAGAbJ z3)%VHksy6f6j`2GG@2IO;tdx-7CP&EIhW%RMX!V-tyOyF04cHBAgW)it=u$hu1%`i zKxV!iY<-1$uC3JeS)kM)`o{3-=b3vqNbW4ySiQo`b?c`o$0bA4BFUJ-xvss zww%2-@rN1WAg9z05&Y2EJR`LErHlI-1mcK=Rd@g24ghLp013X z^GkqITpEcTl$gjD3p*T@cWno$|xQyZjen13r9ZlE2)6?al zNw@mcUOHNlsq)n)@1_F}-(|5KB+m$OBa1mmiZmP&>ebV%poG{=+$5~))?L=B>6wYOkTaw2sABTNVo9=$PP=hGwfSPZocQ^)L8Cy)&IN>uJmWH1%|GZk5dv z+zZbu@Ia&YDE%+)g>Z&s!Z`6(N6TnBJ<`;p+Oe{s)Hw)G5{iZW?Q+r^HLiuWM^zF5 zdHcS%i)(+zg&L;|M;n#OPg3=J+S)XY){We;ieX6z--hCwDED=VJBMLz?(DvTyv0WS z$+p%T6CH(vAQGeBjGZ|0{lgw&bqh>fIY8SUb1nhHj!G_4=EH5$RczefwX;N08rfLO zD;~sp1I&8KENVzpA({>eeboz&_;6FL#%IWCXaN?9*cK~|x8eGxH2~OU zeLJei>#sv4=eF%X2jO486TFG4C-&m}{TF5l_oyG&(D@fBCvoku$Qj&D?H41&m(P4U zO}yXWz|G7@QGYPqlB(&=hs_|Rdd>`grF~<&s0@<0KJqJkCR?tWdKi5o(eJtQo9qXU z7^QRR$GH7}h_i{xsAlx+*00Xr7rwyHH+P$IWazr~L0rl89%_+JJDC{9aVKxny4G2? z1SdCg<<4T^%MAvcUO|kM>FtYohd$C(%a64wd^qW`$zXYCL!`Ts<7v4V8krT4KH{obI=@p*>M)g-^+kI6_(qjgsJZCm?L4~H~ z{A0ebG6fNDh8o#Gt1x2S=ojUvKX@AyZ^Ye5$kGVBPBJ8aWJ^O1YGzJGH=w#2IA3Ug5VlCj&01OZ(7x>-Tdn4P`-lR0Tm6w8{o%Zo!dNV@TdY zy!e3&9a$9;3>pI2CaLZ37<31oIB8r zh7;)>1;dhIV9WwOG4CtHo+M?|fXMgx5mLqEl5yZ2wAMEL&(~U3Kq|!JZ4v8HKB>y$ z%b<+dHeX}Hq$Q>n6Y ztK{1WL@`8x+n#rf4k~anX~)4cv@`3N*#H8~U8b+waZ_i?R6^wq5N!L_kX5(7``P~Ao5BzmcxiLXSI@wm4C48hS@DT9W@+g>ch*8mj$?~uY zxRoY;Z|PU9{>u`K1mz!gW!;{4kiPnMy)?ujzBvJM*;Mdi+=0Ptk5i#Z?O7;Xy*eMX z7n8pR)BWd){NWAb%sV){=Z=c#T0{p6or}F$1r#F$yhP{MD<~!a?t)JEWT=l*rxL;% z0WdktY3|N5QEK_4Bp0C2wf5~m!sE3^uJei!&f3cW)c{wME{ zif{r`*!C-%cEHm|*Q#T5$9wrZ_P>5I!Z5C|U;JxM=w`Uv$Jf?f`~5;sy+%6?OI+s9 zPuq+9QwDvSw!i<_y(IWCB;@_``{_yl+LIB zgT40-r}_{7$8C<)F%Ggy*uoCc?y`sb(YUDAZ zpb>bkzN2z;S^OII&3*^NSdlG{xokDs9#9kL!OTp%-(H4HKGGZ+O?}J^HdQ z@W)?n`4bqcwHB4Fcyy2+tVVvjNxnbdmILx1e7%i_r#8=mtALq`%Xyh2_2*+vCh*wJ zagDoMGem`uGbBfIZ2j#&YnnF$zKWIJy}c!ip|74?JKy|)cMQayK{m%PrWoG$@R;Z& z;%U|2M~;Yu0Wlzf?E+ihAs`1i^@*E^wC-;sT!l=;{vRuwX?R|BcpHlSVAWsqbr6{d zCFwm|ijUiA$;!WT_rK@g?2)7!QhEE^H<5_|Ic7ff5PkEli9p2Sbx5WaQ)!P#RkPS3C`uU$H zIf#(JMA*u@ZGZJ9jL9M=tL0x4aTS?}J*g|3QpCKy@K`?gMWsJVX#%l=zhXli^GIyS zgLr!e{*SIEVqZ!*4t?KlKbQ+R&i|)F6xj*{WJLL$xc}NhQ807HDr??bJM9Rx@)`T# z^M5_Y36G5_h?S$Zb{aqQEebpSj{w*|yNwE#Iht_!^46#kN?@o0o`zrBGBR7{8(Cs; zaPEZVL<@f397Mgx@YsiA#6*8CdMYTx=kvDb-nRRDpmi@5mjBT@`}Ngs*fVjHFLUQN zha?hiKYFh`x_AC*qU=HN1pVAD6Sp;TWQbqj*24AYI^abggs&#Ens4oUv=ub9pPr5m z(YX3=19@ejIgvXJls0$6f4zzSK1CGt3&XYb9WAhvXq4QJZSu%}Uite1i4%m63dRUs z`0KIXmmAg=o~v^h`gdf1{fQVRj3n4oacebsB4&HGwd)@$tzUh)`rB^!eW(1V8w4y2 z35f&umVNjiK}rAaR1`81UAEo-NI?Di=pXxjD>wXCDG8{0;o+-!Y<=4kVFG5Jh-3A6p~vsf z$M}EM*YuxL>tikqrP+QBFU(`z^Iwzy?~=AdYDT2<8NQU_w*7_*^0g@`ck*p3^RKc0 z*9AqofZoPU{!uAO6vPY%c+R zvxJViGIpTjl)`ZxBJEWTTwxsX zLP@rK+eHe5=b&!o4?Hp~ToRamGNEyb?TJ9ntQVe{rhkr!AVSoypRIpvYa$RA>BDI5 z@}G}s@uLB1YGPtix_Gfz)B?r2y=AcA>IPl^dl@vaKxT)k`XzFw-+WgEH2a+R*1-SA zVr@pewxSU5e?bHoQU2gbnLj_DV*#yf4msSnIagPaomRM*v+vKx{$S`30dF*uYLDBW zHkT*}3!@fPS;@BLg{&b)#@E$p=by$*AL?}U+Vr}2AcGk63NcwfFhsxETjW2wpl=$& zlf+xi(E<~Sj*&b3=T~_<;G@pm7rt)V_BhZH4AY_$PXFt%-z$)?9`M}A$)P_zY9f+) z7^`4EPx-Azmmw$f$F45vt@-|Upc#>qIXN>&V6%13h!4BLf&KaCqNh3rBOGx2=9cvU zZ!(lRUV0h*=i7{bTOj(#$=vPK&HCqKW4|vqG9(alu!Zi=`Qk+z!B=_Nu5X)dbLeYj zEbYg?PUhcs!zRS|pPllb*$AQ{3)k+M{DlR8rG%Yi*q)T%T>O83hWEE!8^;R`Afpqq z{%cZxw*goqcrL^K=&!~7Z@<)GDf|mulR+kBrmjok&+%dW%|y*0r}XMtkN=;KAad&6qJZ8%x~lw8=LKThAi4ni*BYr-}Z+wCIc6OimK)Pzm4Di6B^lU z(mVHUfAui*^;|4X(O;AD+gTCk0*~Q$sHOkqE;0TJWW=ogZy@6@x9)!f8Go+7{|#jP zZy;k6O=6fxn^9)k$K3~}^8cv#gtLwxP6R?|O2n2yACW}R z+o@2b@`9F2>D3&l{O*#`W)Q3S`r$4@fHe8A_InY)(+=u?e18%-LxQHszI~J9uX??Z zBSpqmW21`OBkwG`>EkgbaP zP|PI+0=7?GYZhCOlq^#5tKHjtXwwe7q*+^c)LJPTi`2&?EBh8JLQ4PYoBdz6d~fUr zI9`o^QWI@@DMrpgfG=o|=2G6<6K&r}uEwMTe28HvkV2JCsyW&OYs@TCmV z@~952wjrOms2K*NIWG~#Q=}@PwZS1t!{@P8IU*QYN}l#JdST-Q$qJf^zpLSD+w`%|>QagZ0 zW+FSq!X|1juYelkRq(0e+C5`TO6V0vh?W7*?kGP4$<}>xC))`oa?Ui{-fJQhooCV# z@Y^w#e3D0I;tI39!%awC#HF=H9u*#QQ00_Xr<7I$RDoi3gIJicZpsFDgh6c(;Kp~V zN*?|MRmG4TH!J#tlq^m{MTr3o>32j%4(=uxa1Qg?PAWwrrkG?r*Hj9M`$RZB3V0zNxWMhY-e#Gd!pR9G4rSD=;f#U42&G~|5i?{*J1K!A5w6?L;*wOq_$oYB!E+83LWyE{lg4AYR8Zh+fe^mXx<m{|TWTUjZl()clu>RCLlH^)*O-4!i2H zN%V*ffEJI*vFM+E_GQrB9k9i6CZJM*>;Xu*ac%B{M_yBw)=-F4?Bn58e3~=igGTL^ zpRSh$H-ARmB-PFMCcX&U)k}@@SpngXy@2Jr^1)Mu5*hf6c4+4birZBZK_ExfItQ${ zuWx+>02M;m{@}+CK)A>tLZW_v&MEr&7HYP|E&2gT#IQAQL_uQUXy%R8XU}ZzwP(y- z1%VuHm3({Ck0)ukh6jfuqpuClB=e!CF{)(cx5tp0KkNLT{(z%#{B$15sfujvfT8d8 zEiRxv2r@tT)rsW#uPFLtq#aII*@|3*M&!z9{IuiW?#SW$Gh2pb?8i+ zd+H?nrSR*-oxvBvD@g1?HJbEux)80f69ZPjS5IjKH+y z??ctV$?hEeNkIEcRShHvAKs+gA9|OqyTc2LzA@H3`!1yXzZ>|_44-NRhlhFvNX7ntlt=maNHeDh(h7g}e6Y`n&}h z^_267s5FRVlwX~_{fqvJ3JLFVn!9WP9Wn|@fCI4h5a=~Mx6G}+2P7;OcbQZ7I5U3m z%G-=w@gkAf>5jYKBQ5z9UHhe%yEJ#CfQKUG==ZI^!EMj z32dPN6ToV3F7YG`!u#==XBu{$sJ|vs6NhX%b|3-yQkB0cq@1yYEMK0GobnN{aOIsQ zMs*87j+i8GYh?Sf*jhQ;ixd9^WGuuj7VbBnF%Foa+C*PE;~t1^GH_a!}>&H0&K3A1xrr{gDl$s3`t@*ou2$XfRlL?A$v zZtM5!+k1BeVhbZaTN^hcimbt+s7?&3u!*4x8xHjxu(k0XLz7mv1NTn6{y_fyGx!@f z09flkT>V0I!(vKmVvye%YBVPsXr$VQO0I|jrPFA&uZVDBW>a( zdjxc2C9EEUJmelY#3ijR;6st|+@3xCt5z#O5%1V(i&S*B1IfS{3R=thE?^w6bd;uL zldeMuW>PlX9=&G)@|72Y_h0VYvfc#{gv@jaj|$(~ZVPHbXNt{ilSte#@b)-*SR3Ba zUY!1j*kLL-wie=SH_v|%T}JTcF!ybuxyiuF+F6BEmY)Pdl$2SXEx`Yj!r6pvTtnP% z)i`=l*higaUFLznPjG;mW-I&H3L&Pty9|`XTy=;{uN8445XE=AsW?SLK}*fzZE-(J zHN5NHJYdm1r|C~`4LolJEQ@@u^GcgBL8A_m_nXt;a*@DJ2_{h|o_|2% zD2kt{>v2Wg2`U&F{;tYhvO!SenF3|DDS$D$0UM0t`+UG{m?AT@`gSBNs143Ad`o)n z)({)r!;0R;Sll*}Rl^|XzbSZjMy8EPA6i@v+sZKz z1sNb-roqjr?RQZG*_(?aY8A9QWpijHGpLbS-BhK?3&&-}xDj)GK+4IX^pUSaX=PwH z;9s`U4|v4tpZTJ)bL*VFN*;9tS;bce@)1Wh5252M;E-jX<0}QNzf=UE`4t76HB>OP z(C8!}h{#LZe|Rh3k|9BYa2o>C`GR#H!n+FI!`#OC-J8Bs-bHxT2OiDyw(av5SC#KW zX^jTC&30^E4ARC%qr@YN+iP76?NC8-m)W3q@**Iz5}AC10Xy=uUzur*qu|GtTh~L&GefmbM2_8-NZKW7c|xE6)Kyn!0Y*zF&1)=4 ztu{FlqRyD4tAY*}L=K4LgCx4sdadfz&-VEg<<<7~6reCjPuW<1V)J6wk9)N}#cOzK zXuae6#m?1@T|s(G8F}cL_v0f!4+LhBJS0KI5h1@qLx{A)JPJv5)ky@g$S-H6>36lY zY)amFr`2HFGXwtFU_*5-kW3GL@t!9W5z}(-P#AL{Va8O7Bmai{Gbj9W=y5IuYDQjS zM5Z`J?99DtK2v7A? z$%A>T9fBh?LBymK1fZZ6{M>9w{bb~XF!Dd>Bk*PZYH!ZUNFr@=H_GiVQc=QNM=dC0 zgEw0gNEX1C5oKnZ7%b&9fI>Q|l?olzlj5QLc?@Yf=KrVZK?FubWYnVEDIPf;fSoA> z%CRH*AG+UC0A(F5$0oZ37_`8jWYo+eyiqKYV!)^>AZA`H;R2@!Qrrr)NpwHmTe$TD z$r6#y9d8PPuPPMsPi>B^Aes`sJysf(Mv98V>Y!YQDscyIsvK!gQ#5fMu2IZho$S~g zPeZb{>rzs{s3NL#c1}dhw17D`-*dMhS_yt!^`rvC+>bRh4UV8X^lO0BJYc_%u z&{SG@wmnX9;vDIFi_=Sqk(ZGjB$8)>BSHvtjxPt!H+|ar9xVxId@}-3*NF~tHx%PS zJj^PO-Mzbo3>kTG@+&nm$j`zrLFAph$2V6HX%JYxNKm3En?A$IlHi4`Do$c%-@n_~i{4^L))JTuhC(1_Qp^N&@TdNMNTGj78`T zB7SC=w4I;S&v+wiHBOW4WM|qlLKvr|yP^EA&&t6D)Hvz4?6$qoZFP|Wr$9QHOqCI? z0q$<{5}|r?A!}$6<;Q_%(!fr`XURmr>;Jz0g5uB$z!klp^~7$o!ekZo!3=d$PXLS) zWKXma02>?Opu@TOEr(lmPz}=rx05Dd4-{qDeN+U^@W6N8<}j(|;v{hU_*I3+z!3dF zn&+#@3ENZ89rN?+tki%y2;TP063nL<6wWPV^w(ahRrs+sPEM~rZP|5d5wyK7L$yht zXHf`u$Zi_7K`zw*i*MkJjKRGQ6Gn|$x7c6>b5AGbMCBR^4ab+e@9RSucw``IRpX_S_hci0?kEZ_h6Jd+Bmk1uR0UjwF{rWj;yPe-Dro;Acu@rKMGx4z zB9RWx=WzMu6WDK6;j*jv(Ik6NExCG3z0VTy-u@>Ss*{1qcxW6UV?kI0&w<7jNzL)e z>Wgq0o$y}(_Gl3xWENVmiJm``Cc!$%misv?51rdPaTGSBu~49f`&wh+DG0n^4y!DZ z2{3vGm2n}6GVKn4%U=`FOHaQ^d3S0NGzbYm%4C3Rtht0I1=RCR zM6tsH*zP61kY>rSNLcWOg#xwCe!Di1YQN8>cClvme5E{MWD$}tE4g=SB@ky3Idadz zrWVbyNLyMgLqFA|&DkEN`1J|y-VmR5n1mFO`(Zc&=t+>;&15B?g4ed;J9u_ONo;oS zwHU;D1(FS+qS<6LhJd>B6I~b@Y7AL{bw10?YA-)A;~EbP%n+R?FParcEc}e&V{~F* z)$39_P0yY~`yvHm6*PvRu5)P*T<>Znp>9g7Z+8JcKg&D1LBA8PSG?8)D0^PGl0O3!M9EUgUclXY@;Y}R43^2^%I0-+sOSJ4IMmE5B;blfRQ7K<;|`9^Q7H(tFJIk@QoA!UR8hI4>S# zrR@NN?MQMizXbH*U;8jKmrIv1AS>XU(1^B0U4EHCl9=BUN-5r;qe565-*s~}Rj(@{ zdD3t%^8~65K}qWFS7{f%3}orW^ONKsRsFtV(w8t*mDOGKZeQ|RDKL1D2RhoBggJwimW#1>+@+-s5z_ZK(!lQ@SK-VqmDt6#=wOZtBM2MnbT?rgQh+OqpD3f8_OdP|0hko&r8h(g2Tx;Uc zuwNW&UjD(Af8)!ix8e`n-ln3R?f2AuJv-CAt7L!oZPOx4dA>0!jc$;Dv`@II$T~Uw zRXf^(G>V$RN}B#U?Bh!$7%@Eh#yi?PmnEDMhl6dOBKdl$Ic+F zB^!+9Gg4qM|_Pq=B^`|j~aV3|4?yPKJ z2l{CouFmyhB=uy4&K^oF(uMnSbEK~xmZq9~B+V&n@a2p+No#UjUV}$(Bh*rY@TzXr zV&Aw1q1F(k`8-Tf=Y*tpi#|?0f^iV0xanFQkZNf`sL^^V;#ufg;NdS$uKR^T)V;?N>eG8+EYIQ0!7dOBe^?PpK1orR*6NZ`E~un98xp2tV% z`PT27cj)~D+v@S?lsVGaFP-Vi!b9CsxJ=+PG78LVliWlcj_7Dsq=S)lNK(MEKM!0>+4dxzO*cCZa4W*PdX))$Tw1UO<>YvW z!^rHhu)1kk;!Waa96|d?TpvOKI(JATgEPC_A?d;t{uKzZog$|vFMD*&K)~(!hHw=1 zz>y1S{JO;#?w>HmBlTPdpE|HL3BB6sA*&_JyTr|q=_%e>WFY$fdL9xAYF#-FVd%W* zPagNZwa8aa7fN>TqJxam$Ftwk$nF`y_stfUC&4cn5DH}CfGD^RC&ejI2mhpVml(me z2xOR8Wr7#El*0w54E74RYWodcF>WL{3|k`!nw!jHK3!IMF$JMSYLUD?Nmc_trD*QdTMnkCq!9QaEBC4hXABB0LYC z5W1`C);Gsv@%j*xceqAIAnakJl7o4-v*C(`2Jm{2K-{$$rdWz|ei?}7XeNwvU?ae= zJA@N0^}>#Ovv^V@E>|q{dJ4l5_|N;n^UHd8lG9T*C@+dyp*ObY<4J$er`3X}z9)Q0nqTs-#I)uywsVXKSk|R06Leg_0@K7od4?7DthgQwwU}=`S;cL9B)9@d$~g zXaYGqDl`RLe(4hWr?48)3PHdn69u6I#o;M%-B=;QOCKOnv+1+P)%|2r@E8GI>*;JR z&k@Su`Vh*sGYI<~v@ z&ZbIc6mWCxYR?9XO~N4Y$VEMh+9u^IpV+y_!h;Ea3|6~Z=|_qc(60@*FXSXc=5vCP zSoM~dbA@8xLGZ}qP;E83U1V8eVpyZV(hOOJylU^6G1OadMnoV|IdKG!EgSY7_R|H4 zrhQp%Z>zF^K-_XQtp7^Q=T`A6-@XIK$N6p_y}^4>96aEgZ+u$|0x~D~PCu-js-#z( zjaiWe0)qlLV-N*Qq-5F+hg#^YjPDR-b5y)W-kJApS%bL4dl*T+9pM{nc28ipr#NE$f zT7Zu~bq?fcqK-0Q7PuN$+1?c)7N@trbg&Cz@ComBCGPK<-)Qzv_0xpM<=A@w-8^%o_|`*RJNXc0y=V9C7DaVM^6*0bnmMU=}sjqEX`vfsWn6y8U$N zEqG)@O8Eu~$Q?%efVmy_pcb}l6FBxr1DAEEm+aZFYz|i z4LHgxs8R0VmN2@G?dxX1)D&w2&0G_>wM*d?Pm5f*U$3ZD5*dDnHtcq`w0W8_7QE+Z z|6xd#n8ZG7c%mA8z<=(~TDVJ)Q}L#&Yc5nlpuHImz2%$n1+&Q2=T<`Abq3+=e=ZFwOc_0GaO!g^_O#5kHfafFeikBicA62v}v2kW2hnBrM#sowd)usvBu)9($J zs0(l)NN&I__=tvicpedj$3#%j4d6vHR{aZ4z5v3;W(fP=PJ5W1 z7s#|8-gS~Hm_p!w9#K6K3y!7tJlY2g0Y^w%2r5C9kmkFaGk?=Xv+mIj;o9`uO1gKG zoj$!oV@l_FKuu?Z9lqSiD`lV!4*dtM~=}#;V zz!4$rB2K@)M+elQXB0)jbjLA`EGtgXG>vMFkkW0;2$0x416(l)aF9xekvr8lhLLscL+RQ5{sP`Xzxmdn5SFO>Yjss z`XuUfH0QF%8h=G(Tm4-|%t)T@1fHx#}OC1FF?$LlYiZAkloh zZIOS8I5#(5tXVo|PW=K=+ECcI)%$NDq|EERSCl@8`0eceR98l;EBE7&j|nve85zS*a|If>U`C9OD1XJpYr6_8PyF*5AE0>S)VBizd)F#OlnrgE6mp{lNrZlo()YWeJdu$8x|LD zPZ{fG>dpMb#6me|B53qy_Sy!}Qwi1{6ZslrvSHS^N*&gKD`{FAu4lM1FLSd4O`m%( zCF`3ZzbOlu_TAgEx;dnpZz+a2NCFLpwAv~dcNt7*JKN3mi}&lo-7n!KPr-N8Vga~! zvF|Qvc~}GA6Y4aCp0W-uQxNM2YjY_n2dtG|%NYHf!s6f`MXla_;vLLJrZ6_wbox4h zR1kt;IFbO>sp$rUqTOpNxLTqPs!jK2P!OzVn@y5m~zgSKc z%h_dpZMetMdZ=WFQ_$i_6;N)gPr`#A`Fwb#pGvVb*GTLSs3*Y-Ckd95J4Tp&#nw*w zzEP#mA|WFgf2GnLfMiYe+wn73M$+~g&kcd7qU`6y^caXUWW=m{t7d7}y%24qd_nQ5 zr_T4SE1G3Dkp1ScixXTeOKsJ=rN1Q~ha-k7n_;1_o|8|9o#G|4=s@8MZLPh$?^Dy& za}besree*+E}&~V;eQBf+8rEX28^DQjC-}5Jq_c6MqpMjtEDT48HpzvQ^(S5Hfqr)uh23|rAzOXUVG*B z#nI?clWtd~u+&j$JZ7&pHM5rW#PjQi70H!7iDE`e<#Jz?oi(~`fGGpr&Cp9a-lR>W zNuz@M(gMU9olm?9DAn<=i;w(KcraSk=qO7C+g$tQypcXjw(mLoVrw!K1QZ%W+vq$G zL{v&{qmYLd*1E$MgHvE5PwSvypqX`I$@Z}46n>gcJ99Cvao7bK+3uzBme@4@*h7sD z^g5oLz())WYxGiG1=Yz1~`UuLR4Y zloXP7;95nmJ~fJj0{ zsW|!bH2GO|3T-+#zP7th%ODh!b12a8ZaKqN#)c{s{JtRD6S;~95&uEzV)PwuVI1*u zk|_-5tJc%SRx8YXnX1HTR#o8vldlt2mB4XW9NSYeV3hX=0{gKKb-rSfdT_aD(XaF1 zv}pWH7N0D<2j~5v*XE_EH|}FT#+#v>YkQmiGwDm?tY+IOA>G&K%S(`(h_bi3Y*5v_ z%ttxKU0U~pe9kF3j+>@=*`p`(9BmItPOPNMem!s^7BsgKeQet)h|fX{E4Hy|6qn>N zy^^NEHwn2~;g!1r*RsxrR_vw-m8WvWJ-}*_Gk@}wOW*5uVZjRt!suNmN;jKfocIh@ zP!LTE7nBf4DtKK7cVNhRL!m>(Ei1TeFG4;7fo0on{nNP>A&oriT|Pf-3c4j{2-Hq; zuKlHwarr^^{1kbFS9tA2xyf-LP=)+Se)Aj5YyJ1rtr}!48HdELSYu= zn`Rtd@4a%^G||NDz8l4PBR;p1=Q9nChvQG2ow*i$$|OY~>q&uGQL3~q7mk=UuW3h) z9i*KS&s68%eCQ_nwu`~I@5m{6Y+Cd3o;DUjUdFO+%#2o6&1r?RjD#J;swHFhucbkr z4O>ICu+CZXdBXMHVOT3)`T_;?ZefpVlZVh64rxdj-JZa`k*)m_-MG15 z@C@Pw)9AjKwRaREoKN7sQ8Ni4N(?af=`_3-c@i^LrF2a^E4YpL)0cE(?WO4`YS86k zUHjyB!7XEdly|np!vQ0r$8d{_J&@YQ`Ld+Kwx&2QgHbJwUkpDUQhE6+pCJXVll`<0 z+-QU&A1H0?KX@8)ozC(KEZ_u2gPScj-s-1`tcNcCx~cmtLJ(&@4Sq>EuFQ^rYO=;l zca4{;Mu}UcFBRN|;C3YTJCX1W6~8lALyqd=vEisw=jp9+#C}8Z_lI2F=#9#?7cC~{ z_F2VcHNdr{31M6deIeR$V5`@(TUMe_o+eK9S*n{z@6&K=?hENEpIi2eigCJMKDMiB zCTf!<+X*gx8t;@|&>fA_2iIN7tW3ikZ**Jk3?l~pIJDgPq^66a)}X>pigKB# zHU40}{|5tbQ#_008f_;w=TaGq#e?0#$ov>i_C~s{B++D%OctDjV-c%f5-hH(HY%Rdy zOhA{4f7FXvwZx(<>oxa|QvwkCvECVb8A2)oWYgtsR40c+5!0;#&iKIQbte`{yM{Cc zVH35(AlgUJ2%{FI2~jHQfR#k%LTzCZnOx#|KwJY?@3(r_I76Y=vvn6v-PC&n?tbwV zQs1OaRRdNo^LL26ES3asg1a2 zdzmq^`Zrb~yFkSSXEKtWMI#{#JvfuS1mXgbEce=TxxC@HpX|Wb4uL%+du;N8S;9g( zZ&17V9YmsZ3~u3jta)8BA;)9inWoq#&jHDkgV!A#nK5Y(&qMgD36P~XyYhfcW(+a} z<~GR(AjG?$VX04(cA*i8=i?v`lv5mc=?5S|d6eeC?q?V3xv4y2*BNeY=2qnfV>SsDbE5KY9nP=SufzDV=kbS}7{ zBeO>K9E@GUSe*JT)4i4rJ7wI46o{uv2N1#U+X?&}$7vh)XN!qtP)SC;{4g>6VEh|g zX`|pee5?=z8uC$aF9F8KvymcV3iCF1dtP{O4nv-)jmAqKPZS8r3sjDa%;03l4edu~ z-gjS=K&}&GpoFgXL3f|8+U}e@NNOZxHK_gkCXoHKU4!_`sAz5)zksVzE^)Xf$+^kH zDYColF8N0`=x@-lcu+E9NGRHo{Bi?wjCqt?`P}>&?&~xTb?1l|mW;na0G9xXp3fBQ+KfB^cYDvp-G@zsmZC`@+=OQe#4=NuR-|%Kp)Xvy| zGTr)o!HU6}Z*D5f>l+1HVSr_fg#6?=?qgM~BV@{k_pIo=%z9%N&eVcuPxbAP^bM;; zFBX@xLUR>H_g@ae=95GpLd4kx-xAfPFg&s?%It#N@cJtECCV{-NHg8Lnnnp0+yFL~ z0m{m3x64pv**OTHkv>`lQ1Ojb`@3EtP`E%9T9WGmfPFL)Nv`3PY6ocM!HT=lHjW*) z3YNd4>;tKGHajyj$K187D8L3GROsf^^yO>lu7P7nd?5fz3F5GI2w;J>UvpK#NE#@z z7eULkDr%V-!&t9ljjwa{PC<3~wG0;!B|1lveSk5i!{_AI` zv{P9mQDGo7qk!U1y8~x--|+KuUq*RZ(~2iGO$+p>YUbSs9~@$qw0u=@DJicDcnH_i zI?8eQwM%1&=U+fNZni<*cbh*g&xPex$+2S+mgTKJ>n0dXm&_N|CULeeD}Z9Ty0VWe z0)@Lz-XPWVsB))vSY?sOlqN*SBH{SI>2ab$#xNj*=;~*0oq~`8Tz2?ol6kR-iQJG5 zQeEoR;@_NZK-B{0bJ%$mC35Uz3r`6d{(g4N^Ivj6K74_=+FFSYdjmsUkp7h1q5(gss!QA(|LP> zoi3M8BJ&j-hiE7Gjh%O&KZ4MEB_qV0Ft}-U@NCqFAZKF_UW;f9U1tClv%;Z~2)>*Z zSW8TAt9-n?3k1j*n}88|u-xLvE4MApBgX!UP#8GApFUz#T3O+2DLE9VX zQQz#=+^Zc1sWAc+t4P?n+GH0${zUohhZ0=Vl2iaAD%ivONShhxOl^SvP5Vm_(}aS) z8ykP6>1T28Yz&N^xzNS$Vbeg!i3D}8R)u6gaviwm{8lK*z2?`Qv;Q~ucZ|f-!*czAAp7G?1!D--ypJR*IXhBE?02Tlz45sg zTtO1w^1F`#FJ88a`aDa1V7V%!(GrBY7Wkt~*776+A736jY}3pz@iugHh2^4arclF= z?;Fhs)`R9i2UPR0#3ba|9$jf>J=TiXvY}Ozyiw4v%zlnR6TOzAm_tWH(dgUF%n&}7Mlg-2RU1ed+L5x!Lt87}MVc%H# zXGjtqa=HhxY|jRiu);9Ye7nN>slBiEVq-{?^3(1(MUd2;ou8b%SSV^X+S*c?-tB@= z!rvphJK#-2lUv2o@_6A%?DyjjfPv5=0HSVhtVEM!c-i|uUEuUbH@BKT8uMIm0=bYS zA{JUhi=1n+^9U7m+f+t@8OIm)tfurVzG#idH zL-$#IKiC@5Ze7kjdi`{}&ez8ioi#>Z=?aj_1dah~eYIM>&jur={(T4csOy z$+G6kyHjnWIqC-jfI;2=CLgr~WfC`K=cT({L9CoL6NBHS%OF*7hCV{DiK+U+S3tP< zt71l3sAE0OE36d>j}Tdcb6E|U>46^$CX&gfc%zE?9h%?PJ{y~ps#!|&R416;+_yuj zRU}A6^%kE{-An0!HIEc_S}^c?Qgk6rIe-8O2E}bJUCOa77eDu5Qkr_cFnH&Yqgv!$ z>Re=6#d$7*dDDEU%b;^<&}Kp}EN6IGc>uTwwQs3jU`(w$LNj$QA)oUZn-?q!YgP-N z0`|_Y-M0@Y+uS{q3Z&afOM$U%b6VtDLU#>oZ)^niFm)cjKhy5s8plT>-`!3TPpob6 zRszDDU&BI%1K22keySikCw4rLE$W?(*wb2>y;>)1Af>q!2&FPPalz=IMojp?NAsjE zyQ7HS3@XP~>}aJ2<1j?zkLtMPwS4}*`p!}1%)qCI>GDdAr*Gw{nm^{K))xIZ^vGmA z-D~3zVdKUr3byeer(ltz`%DyPM<*igzk6n!A|T6l6nrJrTaCIP!sy9@^W5m23lDWp zh*-E4#RR@OBqK zD8svjfz&gH7_O8BQ2*8!Y6X%@POsMMi5hT zP~yJ3mgBGV18T<@_56y26e{!qZtIg z*H+3EuoUSDZye8ds;4Q0vmGx!OuRS-Wb0>QcH>b&RWC{Mi^F8*VQCS-`Y1*gT@NwI zk0oXxo%t4fhVdTyr4cx|IVR&RT6}mYi7*;*P%s=8Sk>=b`-KH4*hz0QYaV#6CW2DJ88rc)sJ%%xA$Xtgf#c{LV2n>I(_qkD@;J zvM!%GT_id~i#(duu2rP;gzAwTaWSZOG`snvM_ww6Hf;jPWS5f;#TirOhv6xnvJab>hQCS#*U0=L z#Y;xq-O6E?WMSjp{`Wk(Idh6rY1!Uw{M2=+XQ;jpMpCcQ+LIZ6u@X=mF>3agoU~($ zk{dU=GN_&ixv)1kAKZkBCYxd(Od7tgVfsMQ*=K9Cio?POlQUF0+_?`Z9S=-ki65}x zkBIRXpyqIPN$WP4xr1w--<3;1Vf+=+A9L$0FPW3REXdo4_LeA;WKPxbxu;P0p7-U2BiiH- zc?2e9C`H9aR9|a&KpevG=83@+R`+x`E1g@gJ+iroG(csBI8z~tlP zsS%He`#Xf6@SQ}^Yp!p~^_706!+f~-tJ6Y$I!fj7T6)`eA;F}~Lac0EYGWs+wdteBe3yHHcV{G7c$sdKntxOsk5gk3vK zq?r9FlHcYCl2$GXxZ%*QYzdUzd2nCJJup@wP>ytYovOJls=(T91LsTH`QSGl$L>dM0Q@Do_NJavM}$cPl? z-|}02?B1bxfd*nA0@~CHY>$)UFOn;E6m_0|coE%8M4Sz{1h+#>s+7t#*$sFI1z&G{ zxnt^Kf*1Qo4+A#-Fsr7Bg22L_j2OHWnBK(=Axv>gWwfUJOsu;6VS+S))ANkwxKhnN zz7tnF{nOVU^*wYyCIbS3PcH;jH0ryjiA7}hNfX39$N=B+5+|}$KEoViIulg0f_ga* zH?;?kC!l1H^@_?8;q3L6d9L=snDj>`+5OVg^qLY2_7=wiF5yKh(!R=che6Jj5Q;YR zrh8$N6L|js&&j?WWV@+uo>T_lFmw3OmnWb4yXZ+!E|XdvP+4%gS-}QEX~$60HAB+# zr6sMTGjj!Zi?8Nt)g&8o>q~u4xr`g7q_$yPeCKwO1q1bh;vW1OeX@LFBb1H3=Eo!> zz}oT(vXJ+BOJZ=uaoVYqnlpBxNF)?8OVy=|jHX%%IB(s>n~rSZxvdVCi# z<3bWPInC^ep~O?A#><84-cg+^4B^rC$1bvI7<)D}N~fjP=hJY>U8Y`J%ofmM`+iab zU#Cwy{?sg4i@enM{UdMtSfgRcvYR>{=@%j+Ygh;f&n6Mph^KCll&z1B#!>V2V>vY# z;lefgmKhS2u@XWBvQgBLctGTY`mSnUaxl!&SaE#16T)DjkwhONv0cHVt7`nTHPjXg z(-iScN9dwM;uS0iq~SQZC~BOQOe}(SG$*lx8;?>Z=SN!MnogDF_Ry>bH<6K=r#pPq z421!HznibNfR!?kPpmE_5PeSS8VW}|E;Gq01ivk@hr5MN`P;QW7!Zi-n7Yp=#M{AO z$m}Pha(U>ChX2f!)B1H%umivG&sPH4hFMpw4_#qg_ zLAG%5N~=sGI^E=f>p-ILl|AQltkZbA<#JV|cd#1c7lXCF7FM)yK67oKSjsw z!`QvIp$&WS=Arxi`>Qz*l+VuTolC*ii%x9x$!p@VIEe|4&-M_Fi)KgJF=3!yO#mr2 zKkbk&{rXcH-38&em?=3g@tNp@Ee<+Gc3m|kSSV4sL zs=Qv-Nx`eKR&&b?C{fuL^7fE7|OFeLS#)zvvds^!HL`7I2v2Zn? zLpcP|0D>ZL`-$-*5x~Utm7OryOrj@-704eO&l0-TGdHnref~-ykbw_ z>>7i3VEnDzQ%Fb~OMLRxvO0eJ%g4bJsiX>YajK(`_h9(oYUtno@?=&>k^Y zuh`{t3n2ps5{WFzPY;?Mys=kumjs}sq00Ha^^I6;d>hdjYrF_9E41)(<|zm-BuJfx zS)^K8cO{@a_&*KYf6B@mZM;A(pbU$rm_UudbG{9JgX~*%w+iT|HbKGNu2_hV*1QVS zTkiv9)xJ|7*%2+pr4@cM@_mip0e!%qeoLJ|4S=k~<2wQHZ}Iqi#h}N;6cRlIF>MB@ z%kOo$HKPL&^g}8jDpu`Vf~f6YrTB_q|1K?X^1-(Ssq!xRYFJbP)4zk#394nK2N0~4 zIoZx81L*bvPD(EHZS-07cW!RX7;m%m({RzUKftS(YwDUA7GMut46I(0?TdR1a(Fac zc%;5S1wv%cVKwVIKVs0Gxv5eVr~mu}uKp8c4%1KkYsG}wXa2hwsm9i$=V@JpcxCIQ zWa`=Evl7uoS^`0(jD+gE1*FgcP{5G@lFqFl%a5W4!+&-4HG^CsX{bs4xm%?dues!q z81Db_q4&T@U6^@D_5_4}S)iuUf7;Lx3`kY#qPx3q9@V8~5DDhIM3jc~a)iuip2$8h zy%)lepc$y8gT3k9t)F#naV!gPc}uGl{H%+y3omtfBB9t**gFW{uJ?@76aZhnN#m7Z zj{^A6p*?NagUS*TQ2`((K87eRdVfa{k=*A-h!6K+D+2@V*bIf(`J!(s8Dx9zzDy03 z0cp7nuQxHYP@dD1(Q!cTP~D3uuQ+>>N@)YWp6Za*17X-9ZSqqqylhCsR7Y}zjIW!v zew~o0hh|}wvMFpzj>-%4y#m=4pa+NHThYhV*7Sijs&YjXhEW(2~>S{^$0t3pE-g@ut*gqinfR1eh`yaIKAW>c?$L{ z9$=sbN(<<>jET3$LTOzj=B|^?Q9)5rf2C)3-qA;A8eXwNVGH{nr;Mhcyl|++rAbrS z^MQXS-Q$b5Ms2Y%Ja_qm87`#E?qxf@;Q9gLt>*wEdvsqRD{d63uVm>$K&2+fhwK^1va{Pp<>_p;o|XjJSk*y__;o9*p>kM!}T#(y%@-yJp5>TEMQUF z^QAWHGjE$bJ`DscAB0DZT(DahsV9kGE1ajd`8qdnKR22px=~IPjkAMrf3b?so655d z6o_q!6CzeiGjpa7x=x9;1y+P5?<`g%lH~*x60dgwxyK=qPthjJGk2`Is>*KTileBR zgbt$9m3?39gf}F#ngSQkMJULU-XQDtQ*|^rNVH2w)ZtQz2ibYDF+ePF@paR0V)A+8 zCTa8ihYs$dI>&(V2+V|%3H{D6fSw&u;xbAecm|r5d<*oW4sg4oH$@7@^@AIL1ZcC) zCKfPPgM;m<^3P>1Slv0LqqvxnH}c@__|J6!pc#wBo?lzAdqUH4$=@R!K{6wl0&HpM zbny*)bOajKqU5_n2G=BZuCdAagkZJ84$|FRMN0RAyiqyh#Nzw#P0M0iv5B^G5RJPKxB-(b^Z8C53y_ z7ksB(jJR~?*E2;f?g5WOCx;Q)S5Ft1kNF+3z2O6Ye6CPUc9Lcj(`~d zx!3lP&H2TYc7O(Oq?g_-tyXJ!<9_>_E}w&v3*@B4c0cyNE+Gv>>r%NmcA+KK3$II) zl0ULZrLOwS2Lm*;Xmv%sh|4#o4Us8n&)|9J+Fi%H9Kvc6o1exMdW`jMH21zMl~}w| zy7%L6twrk-83h?OB6f7;8ugcvD$6M#L~<112c7b1MSy7|b=$`KO^(7BM>#(cv`xAj zgS(cAs7Ku#2pvRJz{#@0j}wMhzd?0OyL8FIel^)NLE|9~zZBVVO08<2El7_i0l3^{ zeF`QjJt1iy>YS#-lvhr-J1?IWVmFwsB&4C{Vj|>?@NW)?x@yhc%fc9aGFpF3eoWHQ z8swEcU!4-9?FgT~KFm<2OB~EQpxk&xqLf{k#HzAj&IHE#t;Qq}zH6T~asuXP!RV8=!}EKe z@Wm&aj#k7hmgQr9Gr5nb#~cJ%Ffobx(+JVv35{d5IY|0i-HG$!yFSNq}_gK-s zB%65vi|3UOcCKNcU7>Z7I&PtC(g$xPNi*sR<(8cWbDz>0ZRVWdK@!kUS-q>2rr_|X z`eICMkdcX~_}Kt%0^z_v5BS@6c{!Kh=u(#)e4<_2XhYRN)fqqU3+CndgdYC2!_(;( z2n1Y65N)oUzu~Hh;a&Z^$12p|M74iVj*8&n{go+Q$EY0(T%9a)(}=tw86I;%L3cxj zgyob1|5T`28Rl;^6)<{wa*480^7)2mA_)xozbC-Ae3%pM*Lh*5Y_eCgQ=wI`;QXSe zGhD>m-<^ie8RgWVZkkRA1Jhq6wKq4PH?fQgB24w5R~xhKV;@=?N}vArEdajuYl1~4~%Vs7__BmEbiX`0|MV-o67+xY7j;<6G7T1Q@kwD$VvZhoWDdpc%> zrW(5@_{-bJTE%aE}pIXJ(|JiN8r}5P; z`n;9e68jLZYO_cL;Z`r>m!9Xr3k2TC;LEb@GFF?dmI(bf8m4PAmL2tjKEkvDV|D7OI4{^3;M{uhPS>CE#?EhT5Zt>(@@CZZ=5~Z?z=$?MU z3ZQ$!mWMq;^i~T=ZTWoN8s9V^CY@C%nZv%<@1AUrN+(<@zM`hg4R>~%9ueVWP9-yhgJy>X%+IFqiEOp z9ENubNQLj=Gq|1y_1q2Yq_Xcs;pUtv8BoH%28*=DP5fh{T03m0rpJ96;NJB{k$ zI>h<{QZ#CQ!+#74Xodkvd%SC1vt8m?LCaw$Aw3g%hvF+MeLUGgX@+Xqo@=Vl!_&~m z0;;uoae|VjnOP0WGo&lpiz!#hy0L@A)eL(QSCG158j#UD8Q>f}{KFXOHI5sKlOc+)x=I}BvU;wXh|oy_2*H}cyOXt6a# zJ!TV8dYSz8G=}et{a@YYg>Z(Sxs~6l^?kjN8cjR*M2}DVmVGF>*qC2A2}P!&_AT2| z&2*SCLn2ui7Xyq%n#uH_lbYgdbAY9)QNcdL6 zr=XIM>E9E@DUK?YVRzwm1j{_#Sz_63`b^pq|EpehdLkWCp;F<%tv~c_p(hv&$+4DL z0CY8PopvyN^LAi!^>li4YGJVN5BTVV{-2=P^0gwi@S!~P9v)wD-r2=-Xm0JvFZ<2D zL@A08ZEswAtg_vt4<0rrLD#gcU!vq9MF@(C^;}%L=)#%)q%cKd()nfq*k~t8$l*uIqN1?iNT`#Ly$O92k`m&mboD`C z={xx>qj@sVh?}Klc@S9ObCto|O&bM`mIbaC79KqKRGH-|Cypk;0ZYNOCs=c2Q65d` z7UFb&a3D@u=)FwGESO5OdlC?7rtkXqw(;_|X#8+7k7;nCJXLmonbv5mc@!@9BU#P` z`m-EGaX>T%8#KLB;4C^Z469shP&mZcbnlF*z-pqyCrogftnI2>EWPhN7*(0rZuzeU`-I`f9Pt&Z#5(@*5>40f*0Gw zIPGD<>FmQ?H9h_?hwKH54uUb&PyFd`hwjKWF`3XC^`YRf9?lE*xHa<1r&^Ex5;sU% zJvywW#IcBeLuRXPGn2M36X*46hw!nHB`4}KXseI2&Sz5j4$r{-{lT4&HQAS?{o1J` zsdjt@J&01PMviRx($XsD_Om`;XK1jOXE9iVF%mzBZ;5{)pNUw)$)%St#iCT`H>B_2 z)Jx#5-GG><)b6XrQ*f--w_XDiZXdLNGA^-=hgt%x?T$+JIdG0MOstQ58|nv7mlGNG zi69x-28|GEMk{48SZtD}DWa#7GrvNciJ521xdhrSmcg9D;48GB%pHsQfvl9<9`AGu z0s|uE_II6(I8Or4&kF};m%+o264F^a>NYJ;&l|Z0tkXUSUo^JH&p(f#%8I!AhaLl; za?jj8oj3aPNgm!H^KE{bGj>4oURZ~fH2nz7)sA7K%Eg=}@x}GO0EUYG@!@9cgc)#I z-kx~oq1&q)*t~Si=xX&u0<>R11R}!|xUX$Qfi&aN08{sJ3W*X4cUX>@TJEjT{5rW% zuXi(bC*Yxt1lt8!GU*Suf4E(&WjcTA7b)$0@W<%2(^(+!?<4vJc@^yG+TqwWjsxN( z^TI1J~h2|_R-sl4J{^MUBfu$9AUo7_g1BmGnD3FworPn62DFE*ng_KlehMyRl zm4oVMExZ56D(;3lG$ecGcsMi-f@bs;QkFSc2>Jw03|K7*9d@`W@>ov^UubdzfEPn7 zzi`lQ@w^Z#Ag}B5zEdW<88Ea}%Z$<-0)^Wy@UGZaH^Jw_#XI(L8@MZWnNe9TP_rEU z2{BKd`>nq7owT)l-gT@vC#a`3Io1|2gYq}TWME;KN)NqrSx?AQdzst)z<8Pi(a~w% z=0U~m=%&WA6l_;|eJ@aryK*=`wGQ;DD{F6oAE zQU`dQ^?M$&mFZDWwb}-Xr>%UaZwVYnS}lH5kp{0vbq zH5C+pWeE9pnDlqPqs-J1BGWw8zw+72zjo(Fu8FfR z?mhs{9rlWh-Bgw5X(V85pOnG9oSus=#6{(zzJ?GZf*uSP&D6j`;Z|W1(A8pHpu%0X zYniqjPqAyyjVoVE<3n=*4R|)1V11xIR^ZuaTm;-%ZcsYMgM?)pI6_>25?M_Pt9f9r z(pJTv!wZ7?Lxw);U5~p&ByR1Y&kkF=7CbqdqiJlL`$RT} z)g*5k5NJN?V8q{807}&BPy1BXg!%t~wQOBbzsd{U+ukM`g!Bu0dmQ%Lj^N0}?C*>8|!@owE52=r2GL~&b zw<#4)WMCP|7R{PyJA3?8Pd5EuTJuyv1Dn44aq#RBD4*5=RbmPKlvSiMV94sC!~ECn>elNd*OA9Idzo?-?;2Wlb|pnEw<6vE))HhAWB(Q zu-!K6omi`BP-tg`)**KKAR%#NQx_o6% zxeJAK%cpRNvAoBeyS0N>J$>>N#Prm>sk|Dl%~|o*9`iqP{t|^2>v{#3^(sC^Rjw zfi*lEj;mf!vmtE)@tIRa`{T>lIXU?+J@II~i3K>j8+rqpxpons?_!E*jMlOpwIRZ?Cb|OQl*g&?z_y6Y*A)FxDZt^}cX*NGN2-!mBDGcLEbzQr%J zc*=w?&k9;ZWu>_#cWpxZyR8Ypy1#0og&WcPuZN1J>7zZ{Y7D7Ot@crD`Y~ZVqJG5d zthss3%h%S1hj&a!)~qmo;IC;|LJrVbS6z#97zAHJ7hrtwj!^|gYzUfxX^(zGHep3O zQ@214+t@X;p~MR7WA-`-?r^o)QZ2?2aXo!yaj}lw_Q{d9b1^g-sp(tCCYH9SIxEai z(*clt(l;>j@|Zd{up||(bilavE#b^=+8^!_IjP#A`pJphYAR!Qt_Vr!BjWw;%DSu9 zM1y^{7w!&`;@16b@1N=+Q}%E}i9g_CU8jeta8#>c4(oP2r%114kV*q4XM`M2G`cS(J*avDO@U8A!mA;`M3@$C z23_Mhy(~Z*xkRx=SsI_)M#LIyMfD*D5klkFVVb_^dm+7dN8v6>>0p0w&xc<57npwi zTSDd3nK#(z{nmerb*RT4?zs54Yj@c>b^5pt2NIUC*xe5W-0k@Sb+7hJ3xBQM7AN+3 z;HA-FI3g(?mEW&j$PIrp|D`=<`c&eA*xQ`vZ27*%UnStj6_XFxxLM9RKSloa!-rlA z+oYqG9}$o#S@|#kCqV~-&oOWob4ip7IlXcxYg(f6Zk)U8Ak%63LB4?vwlCf;f$Hn) zGuU{S41}8QfBPuAY>gfGTD{&&=cWYwax*|5G1~K-b!HK`AWyf)*O*%xSg`W$ zil(11pnO(iV~*07gkDNA_IQVm!h|ljr`8*Lkt93g*h^hl3z~{MF`)YzAN)Dyl=qbX zM|m%A6V<@zf@OwA!fpnQDXkv4Fc&YH7HnMk#vL^ZmE-~8AzQn!A z_9$eulafB(A?IeqKCK@@No;wrbxn1Vsl`EN?N8(+E!G8r!SUOd^f$F#b$vb-Jf!b+ zhS<@ni1t@pDlZZSUOYe)apH=K_88(H4g$O)b|p(iY6yN0Fq~g>82zD2#7BuRD=8sDyHeFI=-6mitz2OmpNt|aN*)-9sx&zaq-?aiAW0;|- zXq0l@daq;FK;`(e<VW_jolQX~Kbb9&SB@ABy@m=v=B=4PmWck7`3n)5S-gI=6LZJApYK!#^ zfNslQ+Dy|{nhL_t_PSZ)T<9wI-3BxuizFq7N3_l}#Pz%K%>Dw7!7d4{BZp1ugu?5# zUv+F>wx|8z5g1)RiRfO_WKT59-6L-(^}=7i;MrPT=;^IqtVLMMMoKpE8oHENivFB!zcOKJ%8jlV~!S;gRG=dQ%8U~Wm6e(kci*HV8hE> zZ3|IhIbP23GmL;V*(#Tl`l^VE60r`?~F<0mgga5ifX)w z$+xvQ;^TeH>7gG#MWw|I=v&iC)qu%g-bGs5oy?mub2MN3(|3tYGpheZyc!$(jl7(m z57>TA?aO^)9HsuG!`eujU^z@sFhHPZ0zlco&`2H!$_Pc6kwBavXP2j5YRjCSp{Agy zdxv0UVxQ?qOTE9zscqPysP$PlTQj`Qu^@d}ZX9x5g^6y*uM_G7Q3e(AtmEv5d-s=oUik^|&?E6oBcXmTzl+t{0|HhI_na&~C^KL5p z94y^Jq8CvwQ#|Y7!e|gehu+zd84b}O2{0T_uIc7wVNU3c9e?*il*Yx(hHkMjKi*p( zBDGYTtH=f14x)R&I{3O!GBm|W&G(7%6rbZms#g^dh*t;hsskn?i=1Efs*;i$6wa*_ zE*v;c8Otu*M*`b}k{-IbN4THuVmI>2lQVsiTe?K*9nO<&Iy6fYfws${=N*wjD2uwe zJ?QS!jHAB-lFOP~%zXD#Y&sE^sWMzxQs_Q`u>YH%>zw+sRMv+@>~^~@S#-hzn%a3! zZPxS8KSKzhKp=Q*j)_e4kzzgZ>G|mTCsh2Ag{=q1Joubk^`6y%8uL zFS~LbJ3AVgZO+O8wjp&1VII!+eTlh5)CR}CjkH9`=vTAR>q;NYzIWAzoWF`!4!o*_ z+T2RW6J~UXrVVYF9y@ka+2C>k$+KB{H!I3%(z<#RBinxv@8aO_%Zqppy-gySIDY6t;iC#i(-lew6`7ZM zG=2nU)$R(IeFKFTDE|5nSiV{lX8i ziL8d`$qFXP7qw1)Ihy&M$~{-swBA2?tIv09>EQ}u3!R5ND~K%+QJL9H4*&;*?YfoP z&CW6n#xs*!xC)U^@;$M^XUt7Y9I(?_fx>id!vg!@Jcbn2a92Ar%HDIU(eJSY zhA`!Qy$P7`Q`*5sD>G?jOGspIEE!CAjd^zds^n1F;vTY#4vmhn^GU1oyf0kf^Q>QC zy-p&k;8=X9#r(oOS?47~u0l?-)W>1T zd_6^@4+9OgiM?Hkt1(Hc>fwW z>0T$$Xw_?jX&$qry|X>`VTjJh?rnLtg+oYX@9sdlmKTU{+1t6OTwbJC)@||=E>5H6 zPrrzUP(s8pFQ8lg7RkU2{}pM^rD9{>e4e9;xctCgcadi&g6gNc<{RzJyLCC5U*C=~ zMC*%D_*EoS9j%vAIDipo$q4*#UA^E|CJ28Uaw&=n6Bv7L`d<^CC-fCbpX-}X+8sC< zAf}d${9KuXFAg%?-)X`T@bXsv*xpl)uqneAuHuN4MQe(mAjZY?%zO*RegEM&&vRnZ zjMtUR#+hDknJ11xUsEx17E_!RQ#`sx+A|hbe_fx*%~<-jsOlrP6Pow%wmcM)HS_iD z-)KM}@d~Xa@N_n1O*D&rZG1~Znjf>#2qKh{=|R^{u!VBNYt|gq z0M{|VX^$PC8{s?}#q;x_@2LOXKW}^(u%4H9#CzgQ6P&aKvzB&9jhULQSu_Hlxzvu; zq;{1G2@3I5=+fJF7*!vwHBRkArI5{MFSQ@s9?0=NCWXr_LTZ7t!7|8bBwOs+KT;IM zy72?Ma{jcs1%hJQ&bL%7V8NLzj~s{Ojs5gUXjg+1tm)rV;{gavXHaf5z~v_{(EYL51e)2#>l<1l1eAv+8HgE2w~?&6}kG zTrZspO%d`)@3TgTY>TuHK@h~St*)dFmqFsPvHx*|CL7I`bpOD@?}Qyl1l4PVpyn$Ev_nDK;x;{A84HDB`I|mlUPnZIF4c_$8-g@4 zZKjcNu}4D!YH5Uo#v{89Q7`6opfA_l))ZO_OD^HUM!s`r#so(+j5e#G?A2y2gtIq2 zOTLrTDC!bh(`>$^!y)0}Ql`VrUjhAyK^)hyhl}a#cAaPwp2Y?4w&x#Ops99_fr%A- zG!xFK6Khh1m9-7ke|~OfaZRvrtO;Ww5Us`^H0F)bc~l*y)7$`4ZP=-6Q#k*)nelxg z@69!OMn&mVk-{*ZJT)(_T^{o~n$Mz62cNrl?&ji4o_EZ&!>N-Iokr zU%olRqwy%@M_DfJyl5c_9jyE(^TvlWA*{HGlTk_}mPbR{R5cVB00cmpc|w(zXvgu% zSV;8Lr}Wo51bb#5&;lnX(PWGCR&FLFg#n^quCazn{~%oRkk8mo(=@cc(ijdf#G3UUiJFIv*K?Q74^@C5HAxn$ zdoSvr^nZQs-YON0HDEc9nUWhqYJMj9n*~NMS2%YWRY7%j(`aAEYJ|qx?i9T9xee%G z9rsrCgh!cHX5m)ZHUs-Q>}kR*+8f?hol163aW%Q?YJ=?eG7`HsE~ssRHsbkQ62kW zFFjvs#2MJzqt$V;^Pa{tU@9lrU58Q!*+_FPno(D z_d7q8Z1}CkdClGj>o3ygRR>Cxq5pI$1V;2@!awgiz(z2KxA}LTcjoMP=`qmKnoHKN ziq4o^0u@h&k&zt@>w!csH;n8s!vi<{-dSz_Ir;jWpF;7{Z^6DbQ+f-~PSa%OCav2+ zgU#2Tq1S8;#I2UB^D72qAaI-a&Hje~IzzKW_Wk-QWQR&ji>z*5-Mqr=)*;`nt3_&M zk1xNRX@31Ivv`>a^p-^>HtilI^e0(aM(QdDPqj;BJ#u zH}8h4H{T$kk{F)ODN4tA&ncOQH#Ts8ELf^LSk*c|^}x@Ni;M5MX>nDDNxMoNCtrd^ z-o&r=>mm|)IkXT4mfuDucfURFfAex|An<`k4OvnSc7}=*VFw1H8bz0WZARFE9rSW% z+CFZsF(}W5F4*NFXo2q5ys2=Top|v%P*Z9AWt>E3)VH}C>vJ8{#u9zjUDC--SY3oQ zeACapCHCpQJJ5MrW^3kuLR}!lNi6OLhYo>-3VK;X#d!ADxbM1u~@$uIvX~&Qv ze9s2#@-*e7H+jCUK4GZm|fU8fp1 z=fCr!cup~(T#=(*YqxFmUtVxW`SZuRGbY5~STEGA#bUsy3z>;oNEdPpF<1#4`v&ew z#6xb8L0M;RSH00{gA6(Pk*_hr&zJc{;1zdINMU<++a+xho!9ai_`Qeq@JlaU3??-* zj-yPJ#rvx&eLa<)17TND!0)R!o zyATIgZ)X4T>_O;@B^G=5m7*7-*AvX8ITA50%nUvjOdC%hMq^3(bSlUwQ#6oDPX^}2 zqQB>!#sZb~2=h~o9lD%6xCX+4u>bU|Yjb&w2-_#@i80_17}|&){WTr1^BM1njK8s_ z!qhO5+RPq>w3eM&SfgB@&ut=biBNC2(P8FNdhrY0Ru=?+BSoCM-S#FRjV$4(J-1rX z+rPSfI0rr-OKqJ7l-5E9)Qai@L6P_DFws-BUc4Ray3BuXjmqWVQ!9+Vf;Jt4ng|O# z1HW#XNp_=};ZiTRg5SorAMx}-xJg-?FgKP-DX}S{t=ZNhdlpP){+`w|cc)X{C~-G& zg=x^HW1kU5VdD{raD7&>qUbAqSlo=xhnrf9(?AY_oDT}=%13){?t~D9*@IQkF+t5F zS?hoy-mWX}A7vkl?O&5>WySPlYINIrbN6B{UaW#UpF6Nld?`%zfPVRMA9Iuyxg7M( z>;AW*`U~Q=pecFmX45ib-sfKFrl1tXLk7xXHOConXxtej4bSk9)8_tbB;5nj!uXHQ z5yZf-NmWNwBO$JXJg@M#L@5(Zi0#BI#Db+jyTOj`(i2_@LLe0tgQQl$ULI~U4hbAP2iMC(>(KPDC9;H4+1R zrG;G@IKH3Y@XZw#TT&ch!7_Exp`>`}wzhrjFlj*->J-BEIatlYU?B zcYp7jWIyN(TLX4W&$9Ub2Tlj>uhn=D>^r}zSDvfEiVKzP5PuQq$(B1^E}ZAWmuM9ls&i5nCQ9@AB4j-vtk%_wwNji<6JkDz^KFPl~J; zat|?6Q?WV%wdPHcYuY&On#7q7BL~JOb;8By;lPkpKj7nBL_rAuA8O+##ZK4SHc(8U z@%v4{t8>7%O7SAcw$Uu5kn}zg?2Knt1QbUEQg5A1Z=VKplDEss->S`*Vl`8&0Z8Pq z*5VWUgLa`Hs(Gm(hi|z}%j-mqsUTpKu0H0AIz#ISOHIz@Su=^~e`C#K>0{nYb;^5O zKrxUjqjga|_AccYJM~Vj=~c>(VdRE*DK5qHU&8IXE*EFNtgDNEr?fNY;LAr=i?7Da z4}r8^(D9zl1#!EVLWdqJRhwjR(t?4Z)*{&|h00rX`Rn+sQTGELDm6^8;X!Tr-&lYx z!NT0>+<}8wyl42el}q}Gh`!K{wO>>LpRxM2tlZE%_qjJAdjJim4bqg4Y{Dp_7Su%w zu}EhI2pg4&U*v52ewO{jYZQBpCh>{@;pmOIZ`Y>%R=MkL&#x@DH2woiLEop{d?u6n zii7oxD>A4RREsPe`ZR}Bls>T8%pX3!#;s#LL0i9!UvnQU(B&O^r6(41igsCg$I;9p z(&15T9oK7)1QeR4yK2|_HM=h9yem}meHR5q_2(~_I*0ifbbdDMyiJV-*}*X1P_rUh znw6=G7A04gt?1m@@cZPmJx1R1l$kAi<0`gx@7lBfwsmJs{S1dTxgj9{NMc~Wv+rE7=E!y$q4>X2foYjl&5-&DG%116wnf7=+DW;%-9proQ zQ@JW~qV#u_QdE1Dz5D}S=YItIY&KfqT|6&j|I*=ul)Ce`#&W!h>zU0Y>Bv*nm`9-*G<2RPeFlH zPYnKDtqR7{ayFF+2r94qjhM%qhTk6xve&F>8zIzmA=nl3Ml?k=8~tedJ|1QE7r##o^79-d^ahy%Wy5gc=z6 zl5Y=Q|Bb^tQw|$daPNk!lF*)?{-e(%on@%LywOYcAfsI3t5g*$xzN?OOUyJ-eBox5 zh(Cdb^z>B<1RCMI3@%+#!Il{UAx4~w#;K7e)V_8)>i!~)|1#PtaU7NX59mGmxLp6aRV2XbC7?ZRLq>SFU#k(U>3?SU2giTLpW{SoK z!iWZ6rg+!G+*lcyV~Qawsk9_d^HBfs<-7K8OyyrP<3-BRcNo7V3cltSoXJAwA^m@Q zQzb?p=au|P;st|1z-VJ}Y=DbO+#B%_vb$nN-Q=@V@_%T-Dk560ilSQBe*FI4ep*6i zuKh>JvRBM$h%Z8L?ecS40%P!q=Tf0h8unb!u9_jXfe&kF*19eM;)O;GQX>`w&^NFV& znyD=}gD63s*IKVCh0*IQ`d7$$ntw}rj%F<1Dbrb$>3{0zWz6{JDjS~z{6W2OfB;YM zZw2M7s&{@YGpP)dX9V+pH*(PKAl{3))xtw!_L}RYo{rn44fa@E_iB+!XgL6e4no`v zw}`i6Kth5KuGaoDdUsKy;WM`Js9%C!^EJ`9)dc5CA~qi6;CsTiR|+GbsZYnrhTQ3X z={!mad!#n-qDL9@1Bj=lD^+*2NVRq$Y$jgxQPq|#7ztx^QaU2+1d0}iolf%o6T%M#S&z`$ZNNSNN6hula(d)oGI!GQ;=ucd z{9VV;p?9*6otOu;i{j++Ii-tM~xey$|3zkxK_=WMrxI$7sO$>R^4*K?`-x8JuR0z}wPr z7H0^1SR${BL8>>N(48GKiC*CM8I+mH1M)V~DJ~{qyEBl)QF3`oCG;fN~}3ysXZ>NHv#29F6{aeUB>05kU7|#N0zDQs|qI ze!DkwpW{^-4n!vOSRvQ^s);?yA8MCq6!YI{F$s3OY+LYsLwI{LGf@wpgTfw^kPoEm zQ!(7Or!+opA*R(wju|iB*FGL5w66QdFaCX%1HKiytm)U>U8q{*Tg(dXGX}{?k}{z& z^`gu1t?Dg**Q@L!gD3R9+Ju-9F@k6KQeIrV@XQAnVzZ-duRH3nCUtmum(8|El)OP* zOl|h;aK;BO#*?ca?b}US)gXfpUe31$)OI8GXl334xlz&vC*1gNg$iKE%!Czy^h8TQuj4B#;7yGyg;xktv%u`!i0?^E}CW%t`0reYH{2GF<8+-+hCb z8AxLwbrn4VrTk5n}Vgn^wO!Keg`2P5X0;Y1hCMKGVkLpCV zI1SEi-XwZjv~hFvHXiBP6{gddKC1n`auh|vJ6}4tI$!#E{nCZ^LLZORw@!>qTD||K zF?m>vj)4+Pm%|W9ZDgeI=2YEiVkf^~G&oL|qq*WD-~MnqMk*aWGgZ2D0r{UsoyQQi zp^;8-yQUtUiKvfZP4LXGvo^C(r4xs1))Dww8*yR>EN zn9qHT!aIi@^yC*L-x1@+cg7hW9X29w%P1a4+JT-ZTNaF3l$wJ0k-SjYF|6)ARZxAVNLu^N`N7XRkGY{p#lrN zHq+GwvweXosubRu%N(%`vz-vHh&vQ>wvmU1G;q<(qDI=zJgKAbHacBTUN!qBXYtIa z#gW+?XJ52U6fepl(>U`GSv+Lu%1e`UnH){Coo51OuAvo?e!0TCzlt;rtyzkzT-aw` za!fq9u=-^b10E|?EapA)cTg1Z;2LSU1+(o4UOV3;#Fbb99lkgM^cwy={!UJL=Fd`+ z_~p3@i&);dCWK++p3j^4K;eBl&jcMw8G2&pHuG>}12ovc@e2RS*$$vH30XgTX8{Uz z+GPHtLM}DjFMBuFe8!mv+8NN9WN%)a;W%Tmw9m}FMU-2LcDm$lUOKSFof3`(XK~Z$ zO_{evXK(czHX711`!gkq8EuPNCDBgW7QX;D4+u6m#k4%Q(AZx+yX z%X-mj))Q$QbFIeE%r+*NmWVHF2lys0A!alJ!?wMD@>w4hH#ye zg1!nBFVLF3h|J*G7NbfEzHDM`^?E*Dk-82axTKs1#1zl+#>4pPoEn5xzc7cX}C{ z5v-@TVVE*&n;2vf^dRX~?PT05ac9zXGDR9r;Dg_Ep)dz-f{MbrrMB8JDo4T2q=InzKg&DqAe#X9nvG_54)#V`rWW$?0Z??4|G;7T8U>lCP z5#I(gHFjEAe~=gt4{3hiu6EO3q@z#D$jojJjDIdI=r+yGFg)y_kH}Mbl4K?LLA{XS@=pV{M!iK$ju_eFV0zgv=Ox-KaWm<_G)M&R8JRGRmO4c1WbQKcyiWFTZ1| zaPGPP`55BBNvb-3Lu_&0*7MK=KXBpVRXLOgk_Te!3dv9vAx_)-od*-z%MkCz3BNeG zoootoEts>#tQTlV;`3{*9a;_r54l(vGcE~hStXFd^GR? z%G|xaHThB=aS%BGGQQf%?XO?h4ko|;Cv?r7SZ)4K)l>=}Ze?T6Qa@+-bCZM&UDRq! z>bE5_^2cbMjD#-J4)9H-A22qyx52*)yUJVe6M;n~Wc0^JCZRQa!b~L(#MfkGXj1?U z7Z^H&`_Cu$-^En_zxtNkUkUejxFlPCjJAW3P^i2?F__QAJEBS0{nzmb?(e7hKRsBU zdA3>U`Kk+R!?VZ12DS-sM9HK2hW**lMYG}O+aDaf(QGgGoU#2mhVkxr_P1r5e^8`s z7;wsy1G329A}7@C!!-yl5ri6xJP1fXw%}B0aJJ!h#4qGrQXuuR`}b0l?7<}YZDhae z@RAdlHO4;%f+j^=2`_Vg9>$uDy&#e*1&&r3ZraGVX2H}9yag$hYCR14hBthREJ^xqMP_=h6UdP*cJ zw*KHwmN>_kRROP&@RCdELOT0ExStcmH@|Gn;3Yg3^Q$h&0tybsmZONEyd+wz2O#0V z^3x5)E>@eAbNH@Ap^C3lg>)g7Wba|pdG?3J{{16WfUJb@w0aGxrY0K$7Vx}la7E$e zO3=}F2K_kI>j9)yGT8O^z4#9Dy5a0CpnkA)7-N<7MG_BkqF31|G2flx3i;XNKVDs{zS>sl2vqSWpXYB=ptda14zOxra8G@kn?)Zqp) z*Z#~*g!S{0#(RyvxvI_=!67WTvr9(00@h5D;1{j7ofpk$OOXiI+Jpr=@nKEYpJp{X z0~5sH3=|F7%266*LN;qN4e_gtRnKciVvKvfe89M^Hv%`yrl&zjT|2(7^cMZs9{)YL zWnbQRojiSd@iBF7LRYQ)Zjh#a_`8oOq&ma=6wiR0Ri{lKF6$MjOsX87SsvO`vfJ#@ zn|HhOgIKS<@G`XAz*=C2Sj*E^rB^}TKe0ZM-E2~xovSp@N<-(KzkM?iB?rm@A z;qlBa$?AY^EPsOW5uCwaBX?7AWOa5wU>RR5D{wGAyMFRTJL~m9KHfB55fHn*L20eO zvN7lN+oOvN93%eW+5WM18K+=W4ZM5!6&YDIslqTWMl9y`ZZ}7-hF#tyH8oP++QhML zBz~+LadzRwp$^a~dyRDHWO^oJB+6Av1pNYIFr~-uxy*+*tgd-q?F4H9`*M)pSu)c7 zb^por2U^tLuYxl*vP$j2Vl0qB3FoZ-OZT{7DvmHQ)2fq#lM1tH8V$277pT4X4J&KH z4$@TN*xo-b`ZP|uXdD^k&4dT`{gc7lD!)++Q=-3np$&DmCa~PqpH>CKF!nF$bz5xW z2-5!PNs3%F}#pi7pUkdM(Mxp{<vEn+=0hdpLFy;u!j-;}H07`q+18+X ztJ$Ntqld5h3~yVR_lRt?e7jw2{Ca$MwnK5T93t;$Z+(6C&;S9PkZ5my)^DiPL^Pl6OT7C3ik<|0-kA0x|(nQ`3KH@_<2!B z2TCA1u*@^M2~tKALd^R&Sd`fwm-L$IM&ZT10S5YvEc=EJNlPVyS(+NE;Ck9*N^--c z6V-9XrNx**iC@O$1O8JekRr^iuvE(lty11L6yf2YpV!7J5WbOWiqTH~D_7)S zV?f8Sk<4XD9aZK;(U72MR%A6}Rgv5qD9rHfG=B})3XAr4#QK#9M$Xbae9w1G6|Q$D zh1Yd9tQ0*BGCrZbP8qOMgM8GAdtIRj$|8xPi`86Ch!zmSElRnH^C7Ajmg~d0G zfpTa@q`U3BmJPEC%h+Vn&p)i`?;mNm(b#%J7yY}*sb2;>vOtCCiwvr-KaUrdu@ry9P z-?9u+|J?WIrn?HBS-Nz_EBVI5+u?}Cfs5nI?}^OcX9>(^=zLSg`sYG^Pi;Jhc)m9b z|MY-J(XI@ZBccfsd!@Q3fcN13Zd#d#5GGYR&ys}|Vxc*jXLlUC{O=LXA^TU#`^I8w zJgPhJ@fxigRhR%P{9c@*xizExUDRrAOY^K3xV&_QPa8yyT&2wlDL>3n6({yDSy>eJ z7y-2O_U6I9UXFExrz^T2nr^gS1aw3ri@RV5?Vm@CKfF3R#%(xG>FY$biW=850>3K(mfz`YMS^#Or=HS;6??rPrNp3p6sPt^p7_?afg!-;zRL~RfXE?aq%vfK7Wx)}m zJ(!FlleL9=p1a-o=?5M{W~H=sP7UB*O{nLc$}OV65aNO^wKa_Y{$F}K5Bqd{G$m6j zE0(1R1sovks1y>+CGw^*(em5n`yw&UA3zsTz}`{mzW77XLM}B4=1T9iPjf=OBuFuY ze0buwU2o__!)Z?$II(VZ$+Uc{`hKJJUpgu?u>v|{DN*WdMQYvAXv0sR_nA9$-dL{9 z6=t3yCrbB!j|uf3?({h0Bb%Ggfe1X{hb(nklM9NLXIQhqO_9g8eARv_aJ?4=zcr$Ddp&$!?p z8)Y!<26lorN!EigqE{{7b@@Mp@zK9|@> zFe0~IiA>Gwh$U`g)0Pi%pk26^sIc*}No)Pzt>g6cLkwqCJoi15-vUr@dOh*z1DJux zl5|uYvUv-{MK?gMr_Pk?FtKnyVB}I1GqJ_GmXEa3ipUX;J9(`3X`T@Y_By~9nsyc- zfJMS~du$yS|7)A1qfaG4BSd?dg+s6u?harNC?F3iHBT^i`xzJ?6Y(G&nu_%jL@dJ}>{@eghq&en-=Pf@R^bXR{rD1^jzGs4=A5 z8a`ISE`DsbeR*VXW$>1>AOHLUatJu|(sEg6cTr&35&N!`j%E3m9Z+O& z4uPla*u|Me|2`$NYU59|tl{~y_xPX1>3{Vl2H}s0?LXqF|2Q0lP;l61{?xxlVb+uS zU%7*SG)j&{-dPmi&9xDkP!rLxn40*1+;FC!IdPb#TxPOUn^~ibZxd(Ge>7S3-|mDl z)UwsPI-8Zq8*C{yuA0qE7j!6_Ah6$FNz9WjBh=o`tqo z!wam1IJzrd{x)ongM-oF>xW?mku)G}o2I`_?4S1mYi}}E)8Wlj25}v;sA@4eoZtT0 z{9sxGx<4!^BuAwRNA6TO3kMXg0DXDDQaKkA(!=Cf4$tjEvU)(-n?gi(Dt6haBm!^v zpaT#<;@NqYcTFN9_s&i~PsLQ%Op3$*&|FIIk7p%vqno`fMk&qP7sNrhP#OI5wL~8D z8;+{$^|}g8#EB?cIX3ZR*Q?$2U}1=BmrIQpBRPJ6+?*(2yvg2slEEaq^!-NnPmB`F zP%=s8L*%mysHdj@SBqh12swW+EA;&F+_@hc$x{qcA~VFQY7g@DEH+eexX504?D)j^!-@ zdrTb0z~5dA-v~ogv+qz7snU1=kaA^+bxOTk2ojjDcY|o;*?@`H$#8$>3qAzuycsFi z15hT?|4U(k*qP^=FsY$U&i}=vB*+nFXILl=K$2z%3h*kQfke^^!u{YGV0%tY%nHE4 z6lmY)HG_NANpPL_!+U6xD3gN_hecD>al}rV)p4%U?bpPqUfw+_<^MZi*OxLy&H?im z@>7(hevSaU&(THGl1qUXt19|UrthR?nTk2b0EoPD1VsK=hp9k$VUFgR7>nw6$OMIL z+4--ggvgPtCZBETRYya0lG1%qDj^3Ni?WLr`@MsSyKNA2k6yx-qbYS}*@cZwX{zn4 zOC(n27le-c3n9vI04amcU5NBsbcXJLl}fp(&21xpj8>u~thCJX>(~}S6VY3W-H>4} zvbZ9RDEm`QtM35ttiiD@6YkKS=+)9vpPwS4!#xmQNO^aWTUkXryv=;2F6+Nm7^&^B zjdM4=IF~5nMr_~V;3osxj3Y^;OvO1^k~@d`7;OS8%KiFXtG0#{&yTR$PVnYvI$SfV zsYdw6{v!X1f3KWoWaWI&SA0DN9U8{%jJ?tb(6;08pX>@M`mc zo$ibq1At@6oq3hOai1j-!c|r`I&P@GM5)I1tFF7rald+SyFVhJ2kuF1N@5 zgghpP21IQ6zpcApsA$Gu+idz9gdB&jFTA%pml#A_V)>*IK{tPS-*;vcfOGHih5a># z)lLF6DLA@x^zO1PDQ8iWQgZN)#|~28)kK8p=cV?FSJnvFR%UK5G`=f%GwCoM^cn#- zMIxhgKy{!#t+)W9NdjASY)T9_TnrF(Po2s&u}^Y4Jp zUmR?K8gYs$qUz0v$8bkov~qwux#Owi;iC}fb*vtWJ&>ciQTu9xsIO z#e5>wx;ruq!D_$QI1V3Qm6FOaG;L4K-Ah)bpa+rkODW^L=dkJht8cs&BVp97ooXFH zuaoVYpjtNi?Yazqte|2em!wPk-TV=9#vzPWj?K4RAYqaZ(U293Cdzqv6(Y$&n$PLJ z^C~VYALm=h;$-Y+7e6{l^DRBn7rxlS`Aic5ZpTEv3MuY1R3(ISk^O!+?WF>_RqM1P z^oeAW1g8vS+HL{FG^GMVGy0uCVugu)h21zuE4$m&xV?S>9lI~U_$$@LK2 z*~u?S^Jim#yk#~JzlNcu3B|Xtya^nRbU7s@b^>ZwQ9nmepmhxb(##4Q4!cV-L+DS= zG2pmN$|dbe+hv}bhn<_#M5wI7%RF^nNU+8&-SDzJ8QHccMBzK6UL+qYqKyT6J;VRQ z*LR0w`M>>_lvPNivS-|6M@D6DawD4%A)DJCMegj9jO@L)WMz~nn^MRodnY3+zw>H* zpXYl#$MO5)^Er-EcU;$doabwu|7SY}vBDm=EVgdzLIDth5Ge=?u+{VA=2~JY@#W~| zpOC*)M(p)m7dAjRv0TCJf_pUhDWInAH@VBFp>v7lvkUFml{*b1Vh8K*VuB`b-%0lm zARK+DYF_*GSIW`BWrnjaUm9h@Zyuw`d~%Wh{e4xuE|JXJUSJAxYUyvM+iL}_75Q>n}8O4v)TjU0`!PHjMxq$hoc5Zl_ERwz`qnJ)| zhVCWjpm@oPtt>ChN9_UJXeupLSe8B7th)iY>Z{IDRL zR{tIPL_PB;p1taCPeM7kkCy=H#iPT-Jm{WpJZf^DW z+OjKt5)A6(7QX<~P_5o7)L&^2HBKN7bvd>RT<`@N+Se+MLoPoHd@=I{rMw}OCD+!v zdpWy3MMl27Es8Z~M6s1Tl0Fe9DdvHVL(9`*-#YR~&Zl475h*>*^x!g+qb=Z4%f?@y zW^9mDSbk(YUNY-3XcDMH6pAjaVHb{b=kRw{?P zUtU~M38t&eQv6(~zqvRd7)*L8XLy<+ttYWR=N8?#gTjU{>CK#7mizrh4`!GKA?&kj zbD=MM$VCr@mPk+9a2w2Ciyrzea^Vi-;Y1I-r4dn1%Yux%C><(7g;xOl=-SGQt)IK_ zIR=+u#z$?Hpi6%;Yh;B3qeV)>G~R`tAc4mFbmHp&?WN42%}P5>c|A-+RZi34$(^c2 z7yYlc$Xu#9hSEsZrDLBta{P!#zQjaMim2eN)Sg{EoyF`Zu8=lre)|?9t1LOVtn`D1YA`O+K}uc9yQHP2-)?u??bUOpfhIn?C_j<|lhy$7zU`n0m@j_i)3f z`kd(SVf6MRHVp6-`PmR_^> zdA-Ee93pB}K=!sDs(il`ZCwvmw&}0|i3VvRpH*c+TcLbXF;GlfNYcTyc?dzhBB zYvRTE^NKG3=I81t>K3u#jdqJr@{E;PDg1mU7n)LI-#$D;PTZQbCO%o5XcDklBSwbd zSv6PmT{aR8rctC`F^3OZ-4L-E@N|!pyeTZj!E%nCkAmWJiGH0tE2{b=jt6<07jv9K zkQ$vGTCM3V?By6W7vTM5)0z{r>n@W~)G4oUJbl#nn&IMr+QA0q3}dU`v!rV`!oFE3 z|2`5UZsJJ%lASJb)8i7tX&-QHJ}VbBToH!|2H_e?wLwUuF7-gKbl})S7FLP*Go;Y_ zS`7n*F8kwGL^5j`iX6m6EZv4cuV1KtWY>4bl10i@FaMqGD3A6$MU~169tH^kbR2B_ znKKTLM?U)HYX`AfjDwV%4+eWbp?Wf~vR(R}((QXy7sqaevwAxd!`l{Hk&%9es=krr zXrmZFnT7{!F=rk0wh zI&#M4rmhujKegRhM8-a;|4MfX^ie-aAlA-{h6Ir6y;bisduj{`PMdntFTQYKyOr1IR+Lh>B`W{@1YkV_CYC4(fW%PKm z625fN?cNtJ|6T~VmnROW-j4fEjIdoyd;uvD}})xt_@z|68~!- z;Ky4!bFgH-tbsqa@QerbXYk9e)Mtnhtx_L1`E~~=jOusJjXXkmtm8n@BE4}lMPCm& zU4JFqVP0Z;^*Pg%Mlr#;6JMSX6HH@M%NDg7V`r2!d)+=ROio=!xqA??SA2#tKjFSr zVNX5owG!{&kMk$pAygm9=;|21X z?=?C6gAnCq{%CLWN`KTOh(jTzZTbZQ#WBtYZ%&YeNqwWVxB)acgLxv}*S5%$Ij{NO zHIH|hLDA0FUKYXedcvOwkvZM%!m4PhkvUlTt1axvRk+TA3t7P?a=&VLpt{rznx7F>@Bb^W=YKonB(Tj+=I@aZ+hCh^f+ zL6F3H-9&z4iuQ)L`-{izI{)sD)<`IYVvgk{Q$f%7-Wz%h5cZ2Wic|fSFyXdBZo;a; zF$DRjfml_iat9MAw1gp~^*LxoKE1m6{N1di2TD0v?5ly-$}3rh8k^^HkBla!9n+ZK z>$wewTtQhHY|J~xi*W$Wci!?2%Bq?yT~x(cv*}(A1Iqnd=gbacuRj`5MT3k^0&qge zFk6tgHZD5Nex(FG3=$#YzSnMR@;xbSe{r;SgccJnat)db$u;gopeN|fE>tNZBR}Fq zT<$luXEC9w?N4t3TY8#GkP`-?DX06B;c}o$`=T=S6sDX^APW=H+ANf=fp^@%CInHw zT!cY!U_LiAy-AdiJ8>`1ul-#UjZugFC@>i25YTmYr%c!^NqPZ&(qL zv@I0r=7kULjv>BC{aE?LNhxH2L&m}F|7c#aZo$R8Ilx24FRuA>oX-v>a>(LMRvJR^ z6@gIsmaa&86A&wB-5!a4y|RT7(s&__1&E(&#>YWVguz9<>$*+4f73;FyCVM2XrMpC z8tzo+n%!0gNODX?1t#Oi+Pj$^n93S%G7u29A&}2|OBdZBCo?CXlh&kZ-qEnklR|v7*>^ z9=%@@s5d~p#gJ;)5fugYZI*k&1=W0b8vQnbHC-~oQ1-X#>>~mo*Izx;7lyDeh~mIn zzFSEdmPI|t5W{z&ATI17AG_V;FS=~~Vz%i&YhPXk7TZ-OMRHL4`}2ZHm zCSRY#Axt_n!KNT{V)I~lkimD!P(lz8TrFwX%b$y=x7#}cL?9;TacqqY7C?>xB>N=U zJeE^8(xLRGMK?795N3{WAP@(LZ9<|&A)USxrXG?l9>N76t5BGf)}WaS2_CZCnR(05 z1_(0AJqe@GP+tTfnJw*7y1$TuG*(kItOq%SrIChCVBqTMmytT90RLU|U}2m72+>yO znj&jc?(~3O=m*G~0!)5}{c%eG5rimW%#MYlYA&aa92c2(jkl{BtTH_C{A`j#d?yKs z#%N~AgWA2+SVEGz2a!aGsBQC)W(tG)X6nW-`0=_x(iEd6L(mo_Hee+|c8cSDooUx_ zcIKrjW6WxlKDc#3JZ#@^AkWXFE&Eg&QnC~h!gDADW^Yd zKAvNh1i4Pp0tR0IKMNDHWsdnO=sc^O(J;C_c=zeW`ce@U?s_CL7gC_7(26`KIVZ$; zHkQt#(;gB@{)Rdwfm~vc4n13zHh*!z*Z#Le3Ky4~iq|v%-5@kv%oj1`yjLulPVZAuM@+;OfHOM33- z9OZU_OK(OS7JdQ976;-`ruTQ!1EjG~u)e=~R`wTo{VUGeb3-!3i&u9rY(6KgBo{ zI}+2~7}=;(d%>E6+&h-Os(r_6`&|LOa=bb4OWo8u&IpIXDHr-lJ@Gu6U3zf7l)bGm6JriIA1};dP)b*fZbk$0gujr+5_w50pF1;03Wg6tQX2e z$uh3t8fJccnKVX$`L5XG?wdVlQg1PsJz|S!ouVRmDF0Qrzyh#^niC%}gH+cRMhjC3 z^_t;Dx=M^LyI$st(e|6d?8WV?sn3v?x2yzD6s}R&z31R9L|zhdo4WL_#J-!TT`J~( zgh%qkB@RXMkSu8hM(Q|fC{u{YGMIwMI~Kz~UuqcmzFU7He;rPL=FOaxz-Ns{X7Qjs zz$7DDbSsEv0hCtPz;q7Sj1~{3YgCSOFE|P#H;jMAiAj$zh7qrh;(d4ZDG@ua$4@hB zeVzF}!trRe(gzcEKXQ2;j$mw?peGH{F1TLglO=Z2h}F%Rz{c}gUue=|TV0(ovt(|f zw7`l6i{dt6;LLe+qQYL?`w5C<`I&!d1S#!DO;~Ytpq&G2gSpTiMS`wor_1g76Z;wS&`a4Bsl|yRwXuHY1gt~xH z$`eaNP2OdMU2w@Pi362_L)^?EqHf*hO^Y(uOM+4|6|iXEx`NB z#~Hs)ruMZACg&sGp%)h%iSzQgs7=q9Ppti zP=2)e2((K@*N(dNQAT~AY%NCH`&UdyH;n+S|7fxbQ8r}6dn>S?|XS_QAT0$RhO+;LiE`+5-#J8&6C6$vq6uJ>n*XQH6G}Ug31l*?fXlWQY zvjmAQ?5h!)RV^+rJzsVSDv6hY$TT!svTVM;I+mTd@xsFShREq!6@UL`QV(lfUYc!1 zHHU_P`&~_)PMVlkJ(qPwVdZ+2LdEOF`~oo#D+5CQEHM(Y zP8*GcJykkPuLQx0)r0m>`EiO8F~)rQGPxBL^NS{`g`Hhe06z`N=mUP-T9;~x#| z@b}7ch%-oIam2HUkTZq_c^T4_TmkR2o^V_bL>U2{DhT%c2>@cQOjho8>2dYc{t6V-!&ZkF0KL;N16M!YwmX@O23)xUjEy zdc~r)zF2=k#KtW_awZ_I(Ya1!B(`+It-7$hmYtiy0%*=#FAB&U_X!kNn?yEa*1u82 zw1&PkN@woArXoxpH8OZ*ua#eBmwbfaF$*8tLF_)yovG-49Z7#;QgUJiBi!ys9t0MI zcV;L>Bc4J&QNhqK#>MC)f7bbCFDM%f7i zisxTLvSA#+R>QSlG$5PVzgtu28^x-O(D$ocqYW{!kAE%jjB+1IN#Mn0bYor=y}Lid zh(s1$DIx39Snd;6>;CAVF3994xjHe#1l0eJ(`{x#=~AmmJ=N}&mS8xf;VXRNJP5Ct zRoR%rh*{2j zUF`IWURa;Gy4=Z|ljz)5m(RZv==twcrxDZGs?H}eTuvy%ZE)em=)hABkxBMN7I8od zeEH`9TNslj@ipvlMg6&D-uAw|^iZyHNjLB}++0d4D1zvQ4@1Z-v=ei39ktH?%(mk6 zw(yZwOc^-1k6;RV@Z0~<0*#QXFThBIg4NI|f4=S7P|6IU>BR6K6*J@izPR%_tV1&X&5c-iD= zwP*du{>KSt3_pz@8cmAx7XLJY@j-}_BUZ?EC~60{>aDCCJN!id+lDRf3eU0po7~C~ z(>ma2db{MzJVs2W_9I8!%R0(Ud%)~u*JO<_ia!&=} z$&^s&V*u9a*@>{EgP&3gp0|1RyfWDF9*T>@FOEx~IWHrz4(B@F@<3;n;ZW*}^GNK1 zh}&vn#3N*6s1S68`h`PqE#Kv;-~5yq_Eh%YWBq3iJ#(B%!UTj7_{x$bO`i1ck*`n3 z!zwQh{)8yu{pMj81$FDi3@O8;Bx~Tt%{+LJF~*24N#W#Uq&WIqa%UXX2SWyt*!U0a zxBemuS&tun{|hcMBK~?OrS5AVZtQtYEw0SA|Ni_LKUhSwHy3et6gdp?6G?d|g5hnTw z1TxulM_>B$R!Q~XNu?QHI)&_%)OlntH{hOrBQpUS=2uN06ph`1d+vk{cm3j3`>_!= zhD`vw3VR?=)0BV+RN(&q5ktrzdzE<|gX~qP%UcmsyTQ4v>&UM@B39<4S7B4iAm=pB zzLjE!j6(y-mdWOLTOm|iF{FO~zCkr=MB4US&@cE;(Emr{_J5KBGBokom_GpoV9(;2 zENB~#Ze`|1`YmPXycNy$!eH^klB9=lQTRkgnV>f08$+-yL?|50N&XJ8b*tgR6OehR z8moIwO??h3nl`cvQJK05DYjCYt(UmZ{QW!s@g)8Eh$ckwXt4Cw5?K)cQL~#Vrh>F% z7Kta%XF{1NKKpxc`YpqjGwX>s|95$%!Mh|4F*hbfz8lGZhOJM`rGTQx!wo`;W>B#s z|10hL-^&eoN%F``!cDeA)sR#0e(DR~;vf|oc{14B zW`8Wpq$lCeqjh9v;oC;u1J8TVbDsJ05FtZ=^nV-7kKtq?x7%N0T$pJcG&S*nlg07g zeg6Ume77Ph3}D%Lt@f2-IC(+YzyqO#0*E~^*3dOB51PU5_tH|NtugTEDsl4=s)W84 zEc`*MLot1wAl|fk7568=AONwEzt9dWlb~0@K_n`=Fl+9U9=IHZ;0}_1X?_h*$2-4AE_emJo{Bv_M)uK+;k`iL6qnf4H3J+^rxI2B1Y845#|g4!~L) z0BmJyQaN<^nTYh5?CfvHchnVlZRLi0@+#D52KA0Mv)_*aJRndPtxxe{@T&=I;5>80 zn=uMNe7Xpvh4{THjzNj_1<9cETk1>@>ZySAB8OdX%Fl^Frf4C0m7ZetU_uZfJkQfE zNk$}9Q;SN?h)=p9@YX&lK7xmkvJxWulT8WUhk4ENvjhPcwH6aa@O#sW2ZOSW?IZT? zAn7_IBD~LdKy;py2ijwV(+t0y3mruQtn6;hd`)(qRyd=EVM=xX{X4WoNEqG7@qG}= z*dh*SXBZwG4`FF90D3%W_nP!%;Qwe2SJ>+^$teD44efI8TZ2r<%8|3#U?IaBc?4@i z{LaW4$%BhNld*X&3j!0;$hCrbe;={p!bq_bc>CTHa|PstEhpYBshPdhvkL&!Z$swA zmmkBAK>a7Ibp(bi9=K>7-mjSJqkljuYsRzcN%Qu!_3@)$1JDM(`;R=a1)dwq8*bbe zAVX?d90fopTka|CSK{j{dw{%@l5J?^sk5xqYW|Pi8MbG{8F-O4^JpPXSn*BeWMO~8 z4BC@mQPr#+o2B!>K}d;cwZRTrkLk0{{kj(B%@ZIT5kfRmx3%$h2}#JO#rZS3aWpRp zSVk;BI?-2{g_#FAb)PN)Kp$)cdRT)v;EYg+ri{(41sqtq70g`-C=H-0>(UZ|L{@pIu$}!Vpbyk8H5cp!Z4ryg`8Cix zjDWC=W3|TfZu+!9}e`1pO;U5*2Qjq%nIF9)h!g4ahK5ZvuJA(t{eOD@I zz7PSU)ra|U$e`?mL&oUy2|E_WF#zc9w#7aQB5W@z{i3Dca>a-}h>+i+yP}D!ZC#Ts ze9b6LE;v`sa%vL~ImI#X!BO3Myy_3&A6zE>eY`ys|DSM-4aGO?D7GU%$@4WUa76Hm z=-m1MloOkYBlJ35pj@P(awSDe5F~-fSWi8@33M|ih+}DRhyj)PQlle>GhK4G@G3uY zR7W6geoQd>#(#_N+YuGFaIuIpmne=ZdJ=$P0c6IR8IR2%Pan|OZ@fd`Tli{&SRa3W z|Mu-Ym9*wh&_|Hf@ii^yl|liG>{mS_hDtEZjb)qPCPk+e#w4^MI}XB;(r_CUwn^ z-~{p@^MnJb3t~La1{n#aHzAZ5VmxB`Jq@YosD%0q^j`d6zz_TiXngqi@del@_E62K z^E*y82ROD9y^YJ&wM9;zVgvX9*!%aBJr~(I0-NC=zCS(rtbG~{V^Zy30C_Oz4V6?( zYMKX_nm@-c#pv5v71wX;aU6dcK$dgGsBVz$ssFD5tBqcFn5j19s|9UiltR8nIP_0o zVUe^dq02z->U{6(tPP?ka6fHW(m^;p>}Y#OJef5Gf_a)>@E7^vWmJwGxe)h)22&o*5hyHs|84mT zkm;UZ&n5IzXP7uoAz;@iMnw3u!eLT!iGeTL9EAdGgDk!`7RdrgWHG9(K74O0l?t=gBbk1bkli+w(`9N>c2~$ME zxo|~RvJ>q1gvP!3M+|Up9ByhX`QJ%fNqs~dvQNA~jSN&Ku(;TMP8a$#NfK`E!{~R^ zKX8hrsbv1%P4a<=U7G5UFpVFQsRObRUogil3AmdhU7v&SfNEdc$Ri8VU&Fo>)82Ra zX7s>)ghYCKPNa1W(gAF!AuDx*+PU1XeWloGroD_&Lgpkdg6D3%$;Pv;$^!EEqXYQi zTJjO{^B&aQm3D-|`(VMIipPWyZUm90V3avQ4*La@uO?^;M=E1wsxo5p5hsQVY?_o+ zg(4hQ5u+!z0g?FEz$I{fTsQJc%$QI&jFRur_k<~-ynh?C!rL-G>2=T z_V|=Hz5@v;TIeflk2Bk!zFw%5>3B10iS?Ns-q(ZCw(^m6#OC&|GNh}(uUn(@ld$~4NJ1o2n@94yP{uNA=W!{ zShPdt!87lpFljMr^sREIV)PN01bYe9d19PZB5R`zNzHYm0+?w)MKeMPL;EVU;w`3&=Y;_sBjK~jJi zGkVt|ZYxqJp6czcpkCoMXO^=%OZ3TDIgk_|++ z<2VJDv`;vAcr!`Ej%*lCNHe72oeA7*-^s;4vw>T#vU??3|Kr6F1=D0M)%&N>rEsv5 z_p@s|gXw}oVaQKBh=BPVg}^ct2Vx8orPpZ=9WjsToK*R9VejoIHgOI^Fho;7UX1*WGx|?@n3(5ggQ`L1gO)>n?~%ru%Ks{EYkIpZZd{d<3sHBKIIvvCbwzTZidYn%e^y_#q?V zaf!W2A_`d@SzGP*xS+@MZ$=TRvnB+ip}+Zg69<${(VyuWLCD~4sxfsBtKg)shMoj3 z^Q&K$?XU-HUwrT%ztkqvyHYf9yCfpr|NZ@*0a`acv9vM0wDZXD8Bz1B4PwWahqt2q0_ZQ=zPBTexqGv;E0QF8b%T?6|6~2zbM~wB`4*Un2`Qr^u zPZAm%u`??6znk-aX@px$YTfW7h0G_(GdPTF!#tIEFjX^s3(w%Gs2!ZC+b!;WRXd2q zK7VNUmP7ktA1OuMKt70nwaA>4_zmD~vr`ICp(VoMzWv_6BcOX>I_gm>H2)xqkR;$F zlL`PcxPK7g;$4`6s>R2}vhI{XM%V>z6&ol}%AUfP6xRVoE3nBi#;WK+^p1fj_B_RM z;8`zHiFb6ucs>A`|JFk&jx7rikRs~o#ADwMpgUW-qIv!dly@zB{0hiwya=}HR(z^x zN!ipynUgc($QWlbE$KB%5!|kR^2b`c7nYSYweR@6D!J@c>4U!M^}7M6fi$P7OK>{P z4%K-$0|;sQoYV8+%X^jSO+euk5+&5Cc|ewpdE;QkF~10?pz#-)csTK=BgNIw5@b|wfPc#&qq zqg%t@zey$70xGK$W$>{9dCNqww+_!Ag98T%CIaO52^%S!Hk8x&@vn!$5N7Kkx8$VG zrR;GDl!v4Rd5FkZ46*BhC~&9uFm*|%DQs(4OID2kb%2tAHw zcuu44>jJQYeODYWJa@lTC>i`C(+yq_L}lb6tnv$B%RIS|!G5%q$%2&D$h?XV8|uCL z$z%I)puw6Oubq~J|Ib@q#F<1Oxa3#H%%rVUNcPW}_qsj8*G5Z^059tVuZa1~od}#G z5GJ@kxziSy)RyA4y=(=xyO`%Sj}0|TFAF32KwoZax3Ji4r978gmxzo6{pvB7be-FX3$mY(p*H# z^?N}BlxPXy6Xm$9ghs(c6OI_gPnpv9J>`G!4-+*X3PWa9rSdct!3O;nJaFmc6Xy^V z^q8MCtls4i*w#YmN%%qqaaY|Ko$OOuTdwK}|2ocsjj4r08CoI@DQTj-4ZXXYK58=e;K|m|YOOu;>w706lYmmf&H@uz+2C3VT^` z?C%Qs&BNo_P)M@}7F0$(YU-BA4Rt5GVCMNNX2%mIjTF68tXf##24v`JPNI`yyYDhi z4JifU!6iGoYAND31tNdzq4ibBDn zbJBJ4Pa7<#`H5dhpS!oJNdM9n4*qO#v^HpbcKZ&i;?;J{Msn*W%)T*8kVeg4 z?Xx|$>!bZR0x2^S;@>dm5j9!OMPH$3x_384+!w057t6>M7Fr272L0Z>q4C()MvFtbv(4Fa;RP2#vLXTlMO{WOtJ_l zVmPXrM}V-ax^$93troZZEQNq|P4YtNa8G0~p^-w0%Q-174~Y@Bt<+kOcIvMdI7vC{ zy^z7etN-dmx#@JfpBnQ`_Q4xliZNmC>(m{iy;gv9?;mnZx1Rk^m_!ufh5w|-ExV+W z3PZCSd*!j{rw-51sUEkqM9lO*xE>XOWJ!y` zlT_W=?-2BuVq30?r3@UnwwY7{eMs*N+pZJrm&O2P0D1|` zI8eEP1m{?Fgf7-0=7<;ZA&zr`HN4vkeV1n@TtX#CZ1!^WsZ@VJYtERsND@Sg0}gVs z0j+ZHQ=s;WhzQNL^|U-s$*s|;c9<4Ll|Jr1ZI_>Tu3PX+KX~WJMw~~}B@wg@_?-Ai zx|8jp;`y;8A0e^WV};-z!gIX=lH`q15RRYIISQCOYYKA(Q%W%+0bfkC%am)*Vb-(V zt`B3b<&ZMkX&AWGceLpb+lu54Ojh?{)Jjs20ZaW1*#l`gP52A4rK63+a==qqF4b!o z)a|L$ZFu*t*ke7*ihv)JUDK-dDBR&Fd8b4(fx1z5NSwEG4g2ilWR>r%%S3JuW+Fi$ z2sO$&kmkhKp{eO``PV8;T$m9q1=CfFOU~~76p!zS-0&N*(Mm`yy0}+%75~JZf!L<+ zGVu?lk|;2Uez>q`ti7bB%$;ZuLWsDEUDDKc`45PDN7MZ!@xL;-Hos#Z`C9nu@+()e z?`C^zcb^Eqrv`zp?7oH(eeJ6?!J>CaM2*LT!F?^f?|ZcKI|Tt%j^EyUtXg5)BkAWV zm1lGDiEHKa8eEK~Scp+(U%`w-c`jeT)uWxQ=Pi=tw8CF0G+)q-QMwbiDVLq z|GRFv+mib^JO=U1Un&^jcIQS*Jh-4SdaslYCyA6iM`KEf&pDLvQoowcWXIgeyLq~_JW z^f0r|I~cCXs`jPjNk2BLD&+?I@X~u9sJg!`F0xh;M~}{)PRZ<9rfS<8^@Q+B#CtjH zmMF4*+cHCnSh%@eX&p)iy)=gdyY!{h+kr=`k9;lgz|bV(u0>23xBbk{f4^Re4YzDN zb$HXaWXHLrdARmXj)x~rYUp!~Ui53Y{z9>@KBko|g89#`WoSsNu?7xT(!to%TS*_? zKG+&fKE+(KO?h7ZL$h{i9J+H^^TgPT(Hs)O=twMUpPSMc%UpsL^E`aq$i6}k(YP&V7|Xpc+Tp$C4!kZ2JUxKU#>=+e6iCi zM46$4F0Mgp!#`gmM-{zemnJHw$tK{q_elIK{xv#kb(Z6bf?@<@wY-zcsHo+qp`$V5 z?}Bc`Dka#(BQD2T)@&P!l5|Zx?y49)GiJ z(h?B^w*=|W9V1Ue_8&1->1ILyu$vlp&%b_(E4uI&&dI-9>vR5-Kn4#(ioZas@r@1sodG~u{b$Z}q`s7Oz1MyB-ps7gP><>GOxcu43;pUZmmYw2F#&#L~_Aq&3I-f{G zF<$?4-$_;r9SRzo_I;6{h4ov35A8InDQ0Nb`9>>av}^k6?CM@GEuMcl#y!f4mS!-G zjB6&`d7Z}PushSy*EI+I0EQW!?HaDa#TRg19Yd zpHDnIB)S@uOW&dwoZ`<~UA7-fl{Yd{r;H<4e$z5P15dc-o=De%snwAIiO@#wy2c{K zd-=(yBiZ^_<70 z+r`4ANk(yCw{4viN#ii*OtVEh-w<7JLSzPoZ)%iw=k_h3(3zsuEzTttY23EJ94YG( zsXqE(%`d(niJ8idvPs^cA2^;ntWI;jpsoqUZV(Z>V46D6|qTuA> zcqMw_ab@hpb58Qo(QL+3?$|UmF&@n+j?E{^-_++5%!DR1!uexUz>L;=p?=#v-)94e zN#+1|RTrx9S%xxMg5acVvD12wG-qenqit>tt(fzD0A!x~?XUv+3VASN+=6n8lDpFO zb?qB3U>?|qt5ni97`bA#6GI$igY6KZ+U_zF=VnGQFB=-^!M@o8s_kgfeED;+^z>D7 z088JKW>tuozLzt6rW^>{b_KQG6;IK}ck^W+V-FW=hL;smG#5>(Y`fGS7G>M=s&D1& z^I;R>X$=>a()&q7o)6`yqhJOZ4lj)lN-^Nyh%7tt*Lnd+-x7$h;mL+ zu+(=8kS}uF?hb|0q9b~>E)o!~t`4Px-mHEa*TJ{WO78XiIfTL4kL#rQl7JaR*IWXM zy;$tW6HY(Pw!)Ef11k>!Lbhm@!dtoSwSAfsm3xk5^pXfB_nFA51=$Hi&BfwQY78#C6C1kq40?Sd@cS)M;*HOH>g0 z_P7$tWjtDwOc-GJ2G*fMB3+3tj^vuf#ZU3E7S&u43yg6HnZ7#Wa-S(EccS4-iD%n} z4wS<`Jz6-H`+y!_3Kld(zwP+;uH!Z1yvU+@64!E8xhCz7gk4b1BGW+1oQCGQ$gx|m zF4v2hz$fkb-d_{BlAAjb%{Im@@ap9uJW$usvsCaUqsG`s4^8**TNZL5jL$lm+iU8} zBeAvJ|8=U6F{TFo4vRtpR;^|v-gA`@VKMne^|fDQ^GVfO zrp3qe2;^V%g_G1|XTPQ#DWa6rRFk|~KeCY4!@+8|cv0g|_*n>|8@%v&fqwwET}5+U z>GLwh)YXB)(Uo27#W)a&34;;ngxi{1>vAmH?c}qo>p%)LbUxY z&J@5|HkXoyY}=YXXF^Osc%sqTg69idBjcz3MuBB0R(r1*8~g+?wyu*EA&;r%N)sE( zeWw023gwRFS7b%C?ti$*E4hD5;bS!Q^|%H9IgMM_DYT`%XWpt6Kh9Mv=IE*Wt#qU( z$K51jcp8HYT32Md0gZE53lf|I~Vi2Q60 zbhyLT7dFLtW37ux1<8a*vLlKK8K^$jH6=2Oe&)bKOZ?dJd~z$MiXPU&mC|{wN;?b6 zCQP3ZUSqAnTqXS^hLBg*f-Z>gC<85j3o98y*v^t}Nuv6E;K@eq{6$u~G_cwjG)Vt%14pyuUS-NVbZNw^NE%E7$4Y ze@rnDmiIy-1=H4LHM{gC$T;o-_t_kQw8C>2H3POEikbNhS7k@NiSH|>F3wmT0!}!L z1iBD(MTO>0P&BdV(guQSx+L=pmN;!Dnnx$JDB=Ss{mq1eX#wQ(>v z?b*xL$*vDo+FB!1cYCBiN<5&8@e~HR+Z~Dbe*&yoZoOG3nn(cE~Q9fh*A0~d&yTrFG7vDRR!QrWJ~YbFFae`~f8C6AWubTwY-1A^!=AV(%ywSvS0XRx6hW0-~j%k8vazX)%!v=)pFLW^E zBRC{e{SZz?S#|X`5+rIeSS&+xB3%;wz3*>m;=}{ogF1>tZ$wnLq=k+A;h_tRT{A9= zaq?B`n6=1>tT%Ru-~|vIkXBW>Le@{DI!hqta(IPYXfA1^-3%+ra@**8qb(}r_-gbV z{qSf51cvfEP0KI!7J_uMY_^U#+Xa6I(x-ALaGICmwm`-!1PnH&skcK$b6t^^qa+LT zbnq5y)0^^glJ6tqcB?C+h@^yhKfQv4@yq=Kn=v$Zv zQ^6z-L4+o17yMy(3_bZEAcGTS>AQE`4Lb7#c>iK1N((#jVw5AoWYuA;qsmR(Etgae-_Jroc+ge1zq3v* z3vipB2iFU^PvgNh}*S$O#f^iri%zt6y9I1CJ>0NK9;Bo2>O z1qM}y8&%jiGC&l8ssCaF$jSZo`+japKK!FjTmbeB|3kb7O#~~|#dJxrBqwqTY5KS- z|2ky~BUbHg5M@)18f$m1BMKl9%a>i;j+^k-?J=!1&G2DF8B!uSEK2eQ`RV?%JAjPi zN|@G3Dg{4_e7C0yivXrIn=(t*sF+ktv#0}aA%6FE5DI&LsW0a#;sWk-aR@kh@1XY$qd!YUmK&!Bk2pBJ&ly5mQSF0j` zneVMwggy*eK24ytWuUg^1#wqK;}+Qh_;?ffy04{69@It`o2Hgu~k-8_E)vAuT*YrA!`qBU*IFU$7H$SK>zEh6_ zL2D9xsN|yvQ$4%X8Nu^(h_( z;=YS-(7Au})b3$)h+B=%lUy}Bj&AQiH}PlOPg`1SpUtj)W+S)SLmcN3$kepm$#}a0 zM9;aw_I1(*BfoVt=yk3%p}q3x=%9`B);zC_c{Yaau7de}o}ANpntU>s0s_bt&0EFf zve3B^QIe0PFY;3`ru*?pD+c{KTJs!tY1|+4Iy0c>Ra8GPwC_bL_hJ9W_Eq2FR|7ud zb2}nEFQtRYvfr)G+20dbK%pMu1e|y&S=qXF=7SjZFS|2BZIgq6*NmgJ2)ebd8D`jS zOt)=79LJ#QQ-S1XSL^b%OSl~JfxP`vTJr1>C9%tBjqO#21`ByQ3adb3nsOH%Zi8wb z*=cdx6ZltT?@e#Yh)SDZ5bn`hJd1Bz?xcS_FEjUDIW5-~$y=S3aa`pxtZhCJ4S68t ztsdM|=`&#1!hL+A{+t!+^vE*ciQ&M|MJqc?Of+M!iAseNfBqIEP{26-Qf{lIy}&Dd4U zdK_1Ue5^8m#vdb}`}BAj9{aNhe*(#7SmONIICRoN7j(_yS;WrGTf~fQjnp{%<9s2l zNbllOf49B<&9WC#u;Z?Kxpv}feY}|8caea_YiIQpIWAZXE#6sZvD9msbsh5wCsk2P z9qbNE+;Z5E8`uh^{m@0rARy@wCV+p9ly|u^_o3L>)t??FW^6jNhE&HT&*SpcQIVEK z@LQ-}IYdJwV1*^q!BNopw-)(%P0RgBgem)ss7#y-tAD2OKE#cbIYx~8|JZs9s3`k2 zdK3_J7;1o_QM#o;K%@s4ks3-w3>@Di~$ zgT3YbKODnXl&P6+oxBfrz!EXdIl{sRB_zY<^O}tRJ-`h?%w5-Tgub?jHK`sVdm%ej zK`RGacYo2pMw2dd!V}Hq(GUMSLn#JD)j z+V0!UX?_-d7frAEa!z;8nO_~QdPVyLy=E?jI`UqnNjlBfnJZ+;ETC`!{2NLne0{t5 zz2YIOO27`AOPgF?LZ8(Tt`!9qT)=|!e}z0(VO&B$w$Sv zowaPqeo8;{c|JR~BzyVQpS#R)YDbWpf|^g`Y-wB$;6YNA$ zLIX7I);OA?LP^`2yd@S48|BB!Q{^HfjSm`jzz|hghwJhZ#4{Ig;9< z7!$=%NJsSgbsgq+m70e0JNR>)G0se&Fx=P_wDif*H)>1(Q!=F}>O+AB}1VrDq6+-rX?Uj5=Zdt9s)-XK9Yim~U5mlOU+$fszS zy1VrUO11wg0d3+SYz`>1tu64|;asvH=P66NS0>VYl;a&Cz7OR?FSOl=-mozE5^uk+ zK#kwIWy?Namu6W=ilDO+E6!NN7Bc4zrmC5rjq>8H??!6wcNf);3#xzrGN}#a5z4v) z+?9B@n~Qy1OH+^QMGu#8^S(toJY#v6BCEF7*Mv${x+2U_cO1WQ#%9n)QOjrExnly{{+%uFLd6ikvJztuR%TBjpfF%yE{vr5d=sDKMuAM3A(S<)EHtuh2!xe%!p#W$Z!AcNp3R>0;KB= zCgB-aPjSg473ex2#IP1d)@CoT83)ST z`Oz%{F?U6ysKw7TH>8EoCTJAe(dEbp9uLioM&nh&KYSd672cRYGPe62|1=Zt0I_^F z;=006iMEx_JhfNsU*OzNY_INU4KDHv?wh@Hs+=S|v)CYvQ3>wB;xYQn3&Gbo-CvN^ zWAWTYIWfC0qFmct@b4~S1%gRz!_#;%_^?e&UqAcr!%onyD`3N%)d1D%+qKz{f2*wH zU=20u+nriq;Y%-vCljoY>?W2jHAHJ0jV?m=q#vdti2+o=>Pd)N)eZ|Dx_6MIPMM4e zR1~_+PG6P=gpttmo}(W}%&k_rY7 z`PgS61?JgqkFUA?+t6L6w|68`3PQHunOm8=bf!fMX%hszE7VwNn?&S*{joIt=V7BRff04Zhg_5S+r3yP2w);@?_I3}Q>{IeD zCEnbBTfJMU2TiQT8$Hr(IN4NKV2Du8W)pTEJTbg0DcoS_TI@Pdz*UMYn|V3g8GCV+ z+&b}bZ31GQpm@vEYb$5py$F0EIxeJ7#mk6)2IHS}I^KCuk(=SS3xR=mc9PFRBk#Iw z3}M#qB5ZkY)NO`M>;t1#dD_9(AAR!(gbnnw3uGBu+`kY(a#c2uw94qzR6ojc&{j>Qi1<-B3igCYRyJT%Er;wpeSIpSAsUo#D41xDVti#E)P1steI>JE%h=)R8E#Z*%n zx4`k7ni*}%V44P$KO4ljt!28oh(z8{dZ)=uy{e(tVb&lJB5>{7RJ1MCMG< z+1K|K#Np|1+wO$8a5URsz)#>RS%MAH;V8|HH!%52e+3M!bhjvQCprQ94hB=S^ursD z`FY*@_w3`SSLqmdnZy+q8U<*mc)2<3iNRxfSC0Mr)+jJ=6Ao=*w02>-MU9s~oZV5G z(!|{pQ2mp{;s!f()`6hLnG?f9aCldDaj@c)B0`Q-h^H;>*vE8?AK9&xc6J6x>^U0uSx(l=wyQ-#H^zt=Yxwk?}af^}S@;>uD z6vv+ZeMB9D4nE2#vlvJV28w6Cfi#Qr(B^X$x52P1I6hR`6k29$x9y+!Rf;{(X_$eR zT3xJUd=esHmDroxcm9<&DM9BN*|vW!OF?0EOFZ*m;LxV}No{e;3a&5GiexUp8V2_E zc(6#IZ{;s{=!)YCIUPHo8)QkK?2iVOYDXX93NM{%;WWMf8MBuxyoyu6DD#{Qy&@>N z3+R!M-u4!dzMg<3wmOrVypbhj=LikgNL4&h7FMy|M&E5TR)RbZc zR59A;K=vb_5Dk{$u8ee8=ZA;{(Ht2ey)&@3(*E`n)R7%1G_v0o@L+vlK3+Wf1}Gg; zpes`NeG61P6Plstv1}nkw0%2h-0az|X&??wJ@eVd? zmfRrU0=4LyRNly@r8x26Px68Xtk~EJeg(@XDXhV*4EgAu<{C|gXf!0M6XRS&%G^(4BeCL`(n8vVdEcW{Cv!Y01gOBZQu-5KsXxjekVEs4|xbtge>}LL|0=k9+ zM58AhuLD!@jtds*IXc(6ZO+LoAY;ddl++Hi3A5>w0l&&JOt8@N%K;ZRYzY( z3myLSq}`+)K2Mb)h3qIaFd7HlWj=+cSnG#V?%Ur3K838I2dH%5(@L!s;8PT&?=#l7 z(!Of|8Ne~(`tKiOG|6a5kcN$Aj%GFiuk9ibz$Du@-$xXLtVF3%?$w19wBpQpq`a`` z`2Ss&E%np#O2mKT20+;%jcQd$09>Ue?r=S7Fv~f{wb|$sTuW_n+)A5p0jrsyRP?>p zXV{RH3r3B8p`0axl9%2;J>~zWkijhx!p`ESWcAzI4SqWV6~y`&k~yf$5wk~y*K`8s zfo5sM%=&2@pwdiL?}DX?(DgoZE8@oWTKWI3ZPNhYjLWWSa{v3iVN0wlje*4GHBH`3 zYKv?NpI!jVtdpoB*fptiyfOYd-vG5s1Fg?R?s7;GbyvUG^rlJQAH>26+!F@P!fb?m zSP1|*MyUW&8~S|guk^LOxy`3eaDXl&VkzFd#T$?nqbvFUG9+rXqxVAX+H64~w_&Yh~DhC41Z@ zk}=vr-fN?=`BzQ)^XI{0V6a`4!xPA@Wqd zeGwRV14OI)>X~H1;K0M#=E)&U*|IAZQ-6O7H=9{nYd zj!ghnv3f*==m`6R%BFl?Nk^Qigx30WGlH0IgY4x5YzJI&mIz+aBM7V-cF_ELSw*z) z6x@8?PW`(oZFd$TYh{ag`0y?ECMg!YF#Ox-qUGQAWx|qQKfE|60}LS%JdD<{xFdUE zWx0^Y^Ie@jEM0LL{{>wk^YAsIqnCa->|;IwR3^s~5F2Md1&qxrjb&34%SIK5v*+J? z0NVF3d88!K1Ft>*yAqjTq{e%jua~N_iF7ycWfxB6Fzmq}_uvQ-wOHXQ$ihJI76G#d zb4~29tadKA3R*p8;uh3MIi=JF$AF=5zm+IaO7gt4t!0A-?DeyAGLYof54SpI{Vn=` z*&Lqf+HjNef5$h9pDc{j;wn6hCn<@AaAkB?TmXvO^$T_hGbt1?t1x~!TzIWK z8ZB-Vr~B%?LYA#_e(nD55%BTq&bLyv9|6U2 z`uf5v^?Ro;f3>k9fkH^M7SC4=h3Wc$A>U)W6K~ZCR;X=S@7w<_X-T(*gFyL6m&o*alG;iTk@g3agv>o^Hl77mE)E0|2oLuLKb-7bOkK(G}DTM$%KitFH! z*#iqtdGC~46vx0C>koDBb>Zw?1WrqYWA(WgXXPSzu1HDR!|v`n2tgSVP;H5TWwKxW z-IibZB+RDJEY-ipYcMQG7Az@bE1Y>PrRR^BATPw3=&OIhy9W{s@U((n_}5gZc$|A{P!UKq*LhAXrli;Ea4h}S0>m=siVma5_82>WX5Il48@PMr!`<(sw)F{kY0Xg z>{@o54xyD+`hP_ffN$jG$HATad; z=q~}!x@i}x?}rCmeTQCv0q;5(0P_5-v@A_Pye$0e3-{X;v<2@wP(++*i4z0}rB0gqeH~{WvpwruLL$@XC+Hxx9Q;~Cez=xi z0{kYai`u;6GFY>O%--tx@FehWfZELsQkLfJqw!_LFUbEqDN`Gat{Qo&@CPjbJkzNi z;_+%~y-KiLH_?QM*Q>R!R0?lRKrHF+De^J;r52E3j4|f5YIaYlmt_Xh&H~IK?zzE@OrN+|Q3Q6gUU%TReAJVuf z&ew;mkAaV_WSI|KNI({ET=J$AIzdP%3d^By1dgdHOA#o;^JCehoK=es>7PGx4hA#x zpg$PRI^*>fQ1X$%L#^f;+fZEiDaMuF26{}4qR0Vt|DP4wao}5c7b|UN1?k-LiJ3jU zp3)GqrFAlm0k17a@uCH=DjF>_D3V10oE-YR>GUcsJ_*k+4JQg8>$oTick`4V7BI1M zfx|8PQ8S^vBet4G;Wa5Jrp9Xkl`XvBUB#HQ4&||E5UQucH>-Ly16(>zvL1>53RVJx zf+#`h!CtT7QlnJKI#`F?ZF)AsAAF}R!rFCAWQ9mlDs z`pu2Oe5B`TxGlS&<-~10eH>zQ+0U zAD{wtbAzx!XBVxEErRlYIc8;Z=W_uSr>z?KHRm*Jk&56jh{MsSt|iCxl#A{w5KWTo zi9Q9udlhKaE|#>P8nMBp4FV`p1i*xZ%8R;Lf zp95EYTPX`oD0Os{5?S?bV{od|pkcGaV*d2&C11UDGU-sf0hJ{6>XjDKdlRTl7hw2n z&K2T`=ifj|k^ewJE!sS1s`aJWQ7&k~5OhMG8ici?mL&|Fy;0ct!lM7HZGu8~c`+1WFBBCU4 zruvhxgih(>Q<%6h9`^-Ao?^ZQ5^tbGnun%Yfj3`cg6IAu5M^e3}qR)*l{%V zjo?U5WzE;f0$p3vm@mSVEO<`J2L-ojPg9Et?!}uPemRJ+28XG?-hgORvyWhFiqQ`T z5yNq?PLV$O7pgo2b2XmC#iCuuVNA2U7zhLMOTEXo=I^XSEA8Tb4eX;_mqPmJ2WW^y zZZ(m@9bk8z{RYv%*i8Zc79l_~2Hjfvwpf!4Hl@%4S>k6Kw*1_n5Z?D^zp z=|B6`S6o%vLjlzd@#T&_^$L8zi@*^@{*F#lXkO4!F;j-*Pn$W0h8mZk{6;{b()QYC zw3I~;bf?j{%sf#B=^ND7*?S#xUn*K!;TMJ$-otmw%c|XU4I1Oj^&WXOzrDr-XgF*v zZN1)(>Fo4W@WZ?rO>LNQ1j@3QukK>wY?4P<*jg44^bEQpyZ3d-a1<~^F))AraNEWI z`xeCYkZsv={R^iX-HWG#-ao!l3jJM6??7imwwyx`@k=gOR zieJ}=LTxD7f()6A9lP7XcVyG?eRS)M$c+OH=b;C|#9blQ#qh`MIi`7*GpjCp4~_Ko z;b@-yh89Ff+O7dJtoHT`6*+qY!IGi8y%2E*oR`4`@*J)7Xv9MddWt(N0&pw$CEKs` zD)q@Mh-*QLm|0H7B5~r!^5jV=+k=VIusO%hNb~_J`FdAksjPnZ^-tl|vN>0AQU*MO zOPsVJrq@uEwaD~R*+O%7RS!1O*A6+1zYl5wP`6QuxOtlrpz#}tv~L7&e6_so425Dq z+Y}!ZY?07XMsgRw7c*PdFb{b& zX;+ch90IpIzE8$6WBO-g`@xW+)OXS7?I%joh`mBNvvDhjmVPzfYe=rIfp06ZTBT zq`f>m0owyXfV3%;*N7sd6Yh?Mkn-^WwJiMPw& z7!w3W%rbVM2rw56+RfZ_v={-G&k8n1CtQf6{6nNT2)3nal8&2v+;h9iy+?wv)Pj@# zF>JEH$CqWQh zIif-Qf{(1H|8W4LkI63Sbal+Q@^!q{F4;Nj73fg8g(S7jb&d)(w7$=p05iwDXXij> zR5;AW{0MUs)=0P)|Lk?g5d==nv#QI+WC(Fj^}v@Z0-@;VB^`iVVv$aH;yNjK5HOxM zU8ZBfaqd_PD}4V@OFf?Pmv3e&teMYJ`gI(c(vWlfza@hwl4bPZ>P&m_$?CI4p6{ae zl59&3#bDC0Kbvzu8T1=;i<*veIt_J(K}XjM!LE8b#zumZXI?|UIv%_XO}_+=a$6B7 zJ@niaiv)EwuW-h&%sGqTsMPhC$DndTdC7iBFi@q1G%Abe^)F2|5msL?Gf+mpkkKS7 z9Ea+;G33?S{KZ6jKD0x@>K6du#F}bCh9$SNz*4hJR1Q$x<$F;qnRckhGe3@fW8gV5 zoOLhCo+#ymtD@cMx`{bn3u6K4b*Q%fBmunH3)?IUDvO~5umE#!_2J;ntO8yBgw|jB zd56$4-ugVx`H-b;AHVBab*!*oUpWT7dro4m>Au-)537B#1-i4jR5|*ynWd9)FzH(E zczh1d04J5Y-`6CN42mb`=lu`AYmuiEYiol6u5@hm(VVG5Mht6`AGMa0c?Vm>uqZ6C zOgB`dcHsnkRgG>WmHL#G`SiZYWa!&AuI~p&F9%yg+VGy~lAm>gzW^6Df_T~ZDF<{C zM?>PUu9&ZwgVcM)u7m~7DD5!UH5^UXnI>XB6tpHMXxO$6b=za4nOmbj#ls3pqi7b9 z2G>FW;nXHV-*fu6uGntwY{H_Fp%|yRmHAs+>ux4UPQcva-{g*d4xBU$O3Q%xw5dJ~ zDi4!XX0Uji0`WV8I+g|AV{~WHPAnEgn?mjacI8{g3_r8{GZD zTn7pKT?+x4%7<;ecf=Z%45N&rcJ;U)l~~FfQI?t!T60%|atkf2R`1Akn<6l7v|#qr z8x@0tUXu?^kf!k*d!(Hpg3kY`SHwQopHPV61ukH%+Z7y6pl!YN0H4y~Dz9N?W-|0Cv>s2eayIxO-p3x(ECam>OO%vBgzxCi_l*B> z0WL+kAHB6%W7DBwcdKhpw>`m{bchVqNp^$oi26hORsjZY`0oJf60UY=zP`6ii5v9L|e@J z9*}-Km;(p)yF5NblhLuz3%_3gzl0G%YAXiQ8>-KEfHOL=01+t#7O;5yu!##OeXCD1 zd|ZcHSzWI(16a+&RhjIW1K=$b=SQp&Ql46y>u#i@Hei>Q1j4E@D^C`87?bJ(!W6p! z$av$2vbe0j7&dd4eay7ZS3UR-H2`_qk+!KUkrWIwP|cybRsTi!FIC4(H*!GiV+Opl zhoa&R39dkHW4Zc#Ek}Po;OX@vS(>bu_++4bXuEpWUK&zTH}z_4(3vLTk`7tbCI{XB znz?ieuiXdO;~mR#g;~%3RDBCHc*M&3Ry4H`TcZVs>H6T)?9hUcN5|Cce2}(~@fWV& zJ#AYYrJQR1i$)o5o{m!)KHuW$kM)nZg3MP!NC$)lC>ebVQB+eYk%bd4`6cPO6mSo; z3f=wmD+&8bfNI9o?r>{rbZkg0Tl2PE>~J7VP865b?5woWCx*KQJi+-<{zS*2LI!0| z%$mc=NettS3fA=9KKd_*z&Gxvd>CI*a153oq>vTMH0d-yjvzRn%>l8Az9xrV*q
    03k-b7X$hP^lHhr0n0h(7Jm3 z(Ml)@(#4={n&keio+Gfereg8!C_{p~eL{Pse-TVe*Y1+%?T6L1l_CdT2llrQ2!vd#L%wtQ^h{K zzSZ+vTN$nqAdRw(<%~WOC54v`zsaInjrTr&*+W=Tc<$DZAF66}&k}Rr7}Py~ku}B# z$7XltB>?~cU0n+Ir_--dqZX)M2cJynw(Fa{}Z zvp-HM0oXeNjk)L|9o)BDLZONrjjJgRO|?vhK1GGwpqd);`Ej31kPaO49BoS}R6aq# z$rxbk_rw}>`QL=e?BIiwvP0f0U{q^jFJaf4?#zL#s4#uprH1^)ee^Dkz|3xsIweF9;QIKWdODs&{#c(Jw<7y-BN6(r zzvDhmkk~Mo7THMH314r&1biK#Yg68aD8F_Q1S;2d$7HBoaV~kCKlcDvbX)9)DoIMdq92kK2V(&aE*ujy^nyV64g& z;cJlW7D?{VB$9(XpJ`yd4VsHwV~s~xe{*%kW9bF80s-V`JiTKO@xpEGk!M4&ggeTB z$6^a-a7%DH-a6SG$9;C zWZABA>{Dj@RzXQvw!lk)qat_C;M)kukP#(|^6IW_D>s6@@7>fXe94kCh6DMrVwRmluGsMcsu?^sC0D z*c>2XOo1&z=1(9~$l`M~Z`1z@-yi_%I&rJ-@EJ=dJ={&4VdQu;I{N6j+u2??8m-*; zu)tuo{Axg3He=F5VM0KitqnaV^-P6L1ks|NhgIhT-PjS$>&zAtl# z$c++cIyO^EIzLZ+uHHG`0UI$Oxb|oV3enspp$EgJ7^b)|Y|+s=7F#*W&(1I!FpR$D zREW!}*39K0w7zj^uU?VpHesX$_Xog!C%zdJ$1n3=+Cy@^S>(2Z4`~#=lnz%-Z~X@M z3BL%`EL#Cxe0kz6yonh>3#BLSQvEc7BLCbe2(#*nRjO%FzYPaSB5ZZrP0lB#Htsr8 z>wlqPQwaV5UdrGXdwfYQ$?OHNZ{(S&#Sg_{HGwOSvSDU}*8HrFz$bhtX#fC}47UQy zsZR_wGP|0?;L_Gk3I@*~?bz8zG4t2vdPYAQ3QM}1;wAtzGWPJ~Tx;LTi=dJz<7Z1D z$a`+IuLNT13g}$s6o+s2+*5wAz$K)wAcz+<(cd-d*!t|@bA>%XlgH>B_YpJ$a_euu z=FkB_0q;*0?Q2)8afxcLB|kvTvIh5hr>QuyAj(UKRq#=m9me1h^TA8%N+v+eTO=v4 zBlqso#nuh*f6|0mCqP6+&^kuk#|h7X+&RmfVso0mF0W2=3yfQkL5YP%A*O-~XE{&XPqL$9FNRk+z5e*wwygM9$)Tm*yY zkfR5kVX(~^tr`H-ivE)y((l)=et%b^&h!8MZmj}zE)<1yzg6Q!CVk?V)$hM6VriSA zuWhb6gpZ7?94{58d7DQ%|JRthl14u~FB>&B7XhbJWw>n!#4Z{k_tyGrg0-H+!O4`dOn@hc*C*?C{as10o^gjho}o0?q~g~rE*Z`dX;mI9CHR;|n< zmzlA8hwkj$$XI0Pn9XtW1eGvZo>D8@=8$Y^I|7Vp+cB@s{ic_vR5{3;Nlwkkw42w@ zCwc|A@VV|p59Tz)^LyE*3JlGqi_O6o-E*?}s*~gSYW;BF^_-413gTzWv?&9ou-!b} zpirvxX&dMY6ODI_t`SZZUN=76qxzZ6 z4Ogt00e8NuKO~4^pfAh+%@HS1vbNv&18SX)A`^wlDcF@!sV`&uJBJ;+$9)P38Bb1T zg8La7{Qz)r+&x+_PTIRN$`3Cgl51N}zsvT}#hc zyH*V+%5NQf&e?`~pn5Ar?i(-@q+t6W^Av@W;=E%Q-v$^eGq$A+1u#I9_=g!!OMzvx znB|mK3FcWK+Vf=Iq3S)G)W+}&lmMOd3mYH*05ON2PF+ZsLeQu|#KP+B$1ZNfC{O$o zu6hMr)&~YrnZK*jE)gi1Wx?$-r8V?`i`~&bm0<3g0w|{OUsFQs_T=nB{Y>Yt6i4bv zI5Olcnv=S4&D2z9RV!0!E}24K50#7=f6lKf1s&A9xdb0R(&U5;ZNN+{61pn8Qp5T=%^>6gt7UVuZ|JXp0So??vI ze;E*l$LDMA{N4pS=#YXg@#p?9!S*kP8olSPR&;VQ4jHQZr zJbSThHSALxfq>#DQHs?u>dYS{+&K^3m;9qY1EQG)A%x#C;2G5ea|+j+_HkC`w^QZ< zj|{t9cqNB`dl8ni-0%t95+ZlGElgfe{YRqF-cKpE;({G(=Zk|;4D)Vqj=0|Du0F?^ zyQC#5MJ9_w0@-&{^MX2|lITlzql3wG#dos%6jDSboF2akV6OjQ-Nh5_7w?Y10^>M27->C4x_L|1=9D$pPPSS+EN{ zLQ@3_aI*XTaVF8w)bUge9X@ESpdSuYx*mwTile~OJR5xeu8<+)P`%G99x5xP``{^s z=RR`Db<4gfyZYM7dxcw@tHzFcs3&s?>b%PgZyHm#wl0a^b{H2|LPj==q&=#;7E0CI zZcARP8(?opGjgJN>j9bpyG=H>m_RI_#?8Cp`+#SpTf-Oz|L6~W;Ejl=)V&xe6V+8E}grz)|rWxax}H3Jn({! zUEG|1NMVgv8hjPkv*Jc~fB|^C%Kq`;op5Wb8g)9YT!vymkIPb|PMP{k@eeAjMw?C)nz7cS9LPVIs=5#qjI7d*Sh-JyKGp z+Le-JAs_ItbSLm8JKLOWb^YyJmQx@GxH%@dytJs!PW-c|63p8y?*K`r2M8U~zg!y5 zvIz)PfKl(MPe2KJ6R{lHwLf1FzJ9Cj&Q6Ga*G;rL-Si2q9-ny=5$NwLE$M0QvY|t) z6O!+Zw`;FJ&>p=(RQ?8iGO=5_;u%6qyhq2U9|BtKi@n+EkG?GDXUN8Ew^a;E=C`bf zflrR&8SXE5BnorOjF*El@Dt@DuuU<|< zhFU&940!_j0X~zoY%cnA1U}%qh+J(~5zQ-vZvVQd@tK{gcv=le?8ff7pB~qgLZ|Ms z?b26GCzi~IJDSky4ZvxN^ywo*hMlDG4cPHU|LZI)<=SIg)|&tN3@dKm{$X&7L8hfh z4RC3^MhCdBSp%cu?kyF5dK(uWUH%{gYS~kLhrAvyurI4c&u>E>b(6?8Uuo+}lRYN` z-@-F`*h;e_Ylqe08}5zzb~&A0itzElO7H7an_HK{w$5}k^6YwT$v(SyO9oEQU`9~P zP)v2NQh;{TRp>2?n9Xl!iFtZw*+xUrC1OnL0pPU*Ni8`M={Zm;EqXlDEo14i+;qfy zz~5C9y-L_fBU2l8w8HE|$>j+B?d~`($0hTzNr5_n33Dljmv<#1`maVYH%%vQXfzAx zGYRtbT>@ce$X$(r2&l9F9(wmJg2(HvyDn}*k4ZxqzlQZpPH?<63QPuYD+qHlDs%NN zgFZ+))X0CDS0rCP>n%hco!%bok}lYFZMjR zDkZ@|aL*NR(eUarEFIA)KR7HZr`R`8>u4w~V%FfmSoBN&$~`Fhb_NUdV>1FUXMn{g z0Sxt*8>brPIQd3_*C%Dm5P7r6;Z3r{?bR(vC>OasgI#HHCgZ1^ph*e!Fl$Po^o~AH45Pu#5uNkM=)>Ly{&LaytCuIG*c3`81HUB4NIt5`1$qcWUoA zk#guJ!(l=kPRwFG38f5~6#^}rK20w9%J^LoBCHKZgcQ6-eesy`_|tkoq!{sOoHbzb z5-%GEsIq)ov`t#{o-*b9JAKzp>yu|L-oLDJ_^|c+H>g}bAYA|KCL?~wX_dvVk9tdC zR7973v`ZP1gfHJM&`n_q8uHhLG$h8Ur``jdjFLm^;fwK~yMW(O{QJ+_CU3_P8M`N- zNI1bNPy$w`<4ljfde2b0-4SVa0Z1o;_f>dYf-aP|jIkyR8cc#@g$zrrS0iD5$1 zj1~;<%WU_Hc_h zUBPGi1NuVOnPr1VB~x*kUo}nNzflb=l0kMX=J8(;l!jGX(OEJ|S7vM^aJXGU;%?9X zCW>VAEOOBS5oK~z>(lK5aXi0G0}h0-^1Kk6>GD6O^}>6t`0|J6X^$pbx%wAi7mQn3 zX2qX=-J_xp?y3#AM7)?uN;p8EA<>aLHbUMs&vAO-Dk;??H5aw96oZ(|kh<%$zBp?cghnV*1JvUI&usY~~-qH)*33qHm?G z!hFPly|nA`++|MdcKgj|N?aemEFRv_4A9~!-yN6PguqArH?Bz+DkDBxq)uw0AJbcT zS8uoz&YfQ~u%$RnA5&vHf2QL#`ZtG$%3O`vHYO;8#--|xR+!)tISu2LHItYCie#r- zVUzYvGev&~ob-oDd8f_I&Vx{99Xv2^HXobP4%rOR+P@aKb{0VgO0Nhz%Bifl?8>8p zMUs>0#Me0wvgFdA)w$2wd1%JhJl+^Rv2E$C0UgYm)zD=#=xA2@D*fVo6*hTX0UKP0 z(%OTge3dVNujx}kEOmkd?&Yi&?#gVSzneIHT*EPhi+@D6;Ho^wBFu8*7L$%hHh*2< zo=Sj;h)ioIuWIkw6Qu&Jwac4KDr*E|?({FKPi{g2TL6t{DijK8IgE?}xFh^NT#nFV z9+A=M?xk8)moK2>=O*81(LY+h6~ARS+p1;zYICiNsGbZyG>MAAYSxSL|Ed6@T_=E~|(P zc-x-$eG4@qbOtuU9g?2|*mngI)Rr(il8A%)8s zh8OQjGgv|YsCz9-aJH*|mW>VbJ1A)x;Y~|rL|}DDV-%-hd_O-6>%S|;>^VW{FPlskXM_5F zCr#4>jNLR{vR|qflagMRzIyhLM+Ey6^~2?|*~gGVU5!#U+a^a==7P4WCNz7MR#BIL z%Z^OxC1nqEDhaT2vem^LnuqleY0N?fMfL&5FWO!rsr7llXckYkqfHTM-aZd&S8aTu?Kqtze-g<6+C#<*PPoOyhiL^3O(|JG_E7# z_h49hd%TJA4=}`!L#aM(+8rPueE!F=uKV45o!!X_y`)dyq2iUcgq9AC->C=B9?)w& zk-qo>M`KK%Tnr~WbCg_63hEJfaA6s&u96UNR{`Z7ihG(XAQQ)>Xb{`7F6cwKRBe7D z%zz38Rs?~l(l%u^M20OP|L`9nlAkgVAsRtn-VD>ayd%=c%Xj@Ez6EwcWb-kWkoF^cZ` z`zh{1wy6}y7r^Z!C81tswO>ZYR-%1tfGr?rLmT1?jk4(_eE@z6s9Ba8d_qY>4yX8Yy=XS)byE0q@ZC_aZF`k`ZE@`oWMUfZopjA^B315 z)tBDuTK)nua(1mhYn?)c0kKFDUd9OGM*;Z#%&)CvKZDT%>H482U? z8N>jPcAPkA*ZCFQT^`5$uIqRM)i$iRI$09#pWXlZXRC-D^s!5@n|g_gj!jY+Agnn5 zed4}TAZa{Rb6%&*7D+hvKD{C(j)pO4L?nz@!&{$?{7^u}J_x2|T%c8WC@PEFgE3o9 z>-!>tql=Kr3f8MEBhvCBBt8BBbaML?Lq|BY;%>=wQE2ZAQ8pCcuM2f&_4Pg!&VzFB z$L;n1;|mai7I{dE=zMgv1giFWWjy~G)TW>$X@14p?T$=j3ldd@6SWnxZJeJ(2z>!e zj}Q?uu^;#TxG1!EUI089k5}uuRvDVNo2S5x`{krPtdN+xJ{SLTIdo7Cwc-** zM>-umeAcuh9O%|~(D#LeqcwUm15&1K+ zR&d_4>H^E+apo4!1(5aheh=v_FSFkGu_bV6d^tN;EUg8sS7fd%_SI%#-^@W@=$ToL zzc>P$r$!4HHtuiYpM_0+a4vyQHG2c_;RnXa1ES0YR0Xn0&uR5Zb8Kux#lJC9RTw!! zBP?)x1v6XH#4)+$kc|M%8|y_jS9GlHwSP0??#tT=$R)CJ=n{h8XvZKQfZ%~%Psmj8 zD=Y^>A(1pp_{1ZBBpYREW0e0=3$1nu(=s&{}*@Ajth^b;`Y zqFIW|ng9q?oK@7AI3ZoOY~4RteGl!&6WJ8hfNzgvQelHUao#XFR}9fo;aET33V=6B zK46`&2Tw!O+Yh)2u|G}&LWD(>c2bs&>Gz^J&aZ=Q9apTB-G`H)Xq03c0AKV>U>}$K z1k1XYR>YH6n4^-&CIpL0%WlN9qH$ znaZ9MRzT%D45M_yJu3fD{<&eY_6yh*tI#a)YX^Z3WuI-NxM|QAi6OqN4W5&uwN0x~ zKf5oT%d!Ra=R9=s$%6VZs!Jt};Xxn>ky-xx;pvU~mS}RMh>_Lmg=I1k%+;F@p_M5S zg2mWx)@j0mf7W5}V$P4Hi?WxyDND}w2B=At``||*N8(peR)7 zXDSe_%{oSWRQ*CnR9sur%Y!D|2=gA6V9NsHqAVqi_S!)+Opkl`gfvx@sI9rO`SfBUCaj1NMU(lPGTzp`po%==^?2tZzBqJp#k2xi+KBKHX73=XMva>r z=G=_^*XM(}Uw^(10$FdluHdrNcOl^Jk~YU(k%X44VbX1qCXy@YQh4w}1lo zf%F)q1Fax>u$VLs!V)nWG&Tu{l4VLNmxhW@aAd;V#Ue1v0dmmYLV>>hG)zUfkfuCI zS8?gL7Yl2m(3k{%{-yntOiR6o0(ZR))tM~U$azn54^sa5(1rg{Y$%iWp6+waCY$x5 z^X;#9a^RI0eSBOxQ6(W+G!gBig&E7G#N0QGOyQn{0#i%c~o<-_yNb+(OpC(#LNLz z+IJ^eul=;4q@bHz;?z~KHkcYranP{+UoewB=*dG<{CO0)2rmq{v$4)e{Nt`P8q zHTuryVEzJc&|7Gpf)be~Qbe|h{7Y0xK~d&bWJkEuq*3aS*@n+xZ8Dej8=pC+q>+55 zJz}U1idWNSSJS|vlk$q#a{A_-ea*y6UK5N(nwo(K{ zzmnI(FP)?o11x)tuE$lpZuric=MsDo`|5J4S|7(4LxGP1I|IF zX((kLns`6p49`)yG=6@9UBacWgT2V(Gk~sc=cX{-Cf-s{z@77;+Oohseg+$->=59f zy0t|aS)n?(h7-({Rg0>hd^=8EYWaGf4uqXgO=9W z4tVw=0N?ryib!~dt_PUDTvU^w-Gsay!ep-I)P^AXsy?Q8RjUnmTqt+8LCC@2!AdF_){WnX<5!g*sm+cnd!)Zi zfdf0h%psF&-M2$zBw_iw**%rZIkmD_iiD~{;{U_gd%$DezVG9R%(!h?S!Feh%BpNB zC3KTfvMD1Yl1lbYL{do+MIkG+?W`!3h^&^1Xe+AUaT(9^`Fy|s*YE#(y*$q=$$j7N z_xrle>pYM1IF1wJ1Ks^KGP%4-F6!ynA80;x;Hl}Lety?`sr}_w?L*c`2x>Jz{Nxf;Hn1sc z45mRESKLFW+b}Dn%qgohxNI%O2-WJH2-Q2`E9LYwIr1)s(7i}@G4H5L@PUH+<;n=r zj>T8ME^3*waxR3Oi#^#_Y?tfTJjar=+Zc0xUc>BwD>P(tZAb5$VnUuveu1Q*xLN;d z%wAS0h0Y@x{yh&rOov9j(9vVd_h+&PIXk0KXkRRi(0=q&;i+lCaROj zTS{Js^p4AH@N`)uxH@UuhM)Dm!hM;jfqJ+0VmWD6sQg(HG$OtjCav!Tu4L;VeJZEx za(Pp41%HLS*Mp5N9hoa+Y{$y0%HQuKr0GGAX(j25;)RFbPP{OR(0&!hlzY~kheCf1 zX?pjg(osF7jp08Zs>+PJE~#h~-y|lBYms8mkp7mA1qG6*D(Uj#%Nxc|VMgAgAkZZV zlE^0qzKMajg?XvrK0griuC6`M=YCLHI_74z20PHSbg9`5#-|;LsU|{K%c0-2U$3wy|3t)%H z23**4Qv}uoK@)vzXH&94tS_d%1bF(@u@+y`pzctDc~+L;G!GSun6G6~|V; zm(laLbIN)7>(}>22u)Y5;IMBt!kDQOXw}v5zdc|A#`QYD;eiVqdF3s-GvC5|av4HR zKt%ue>b;44+)wdL-UfcJ##tnVWD4@Fo$)sK1KihGPv z$S#Td4jva!)Cu}JG9=_9ZTzlD-|~g;0_+`yaPGU0ME!6RG z+cbq2Ae=PXV>@am`usW;^YIy!*z<@Or$P?D$$P55sdlB@$RTy;wJoUKS5zyw`vH4# z>BRw)>D{E#TcORv3qkwIO$r$>Dkhj^z$&+ga9^uA@GMrGB?q}A!fwt?*zH*^Egniw z@_3r2jM@Wu0*5!YG0%lvt=ZP62OQjzu8>`!Q}Y6f5slgesx+n{?tgNBlOs=zewL+l z7u0S>KJ^@?HL=?#2_s1O#iOq4?mLL#RZ3yw(=NG_Td%~hs9(U7*wc~EpZx%~J`Ap} zbJY$8D_k3hJd-SV6-=$qSYCU3Bhth6n<2f~{`@=8tJg>i%=TVYDV6^<=BB_Q#Q6I6 z=uny}53>{jAajP_z7j=@9pp4*pLfynA*i;rRse7^CKYnK`*K$7=`PMXjH&B)MQAcc zjYX;gWcT7WlTNYWP_{$W*fE=dM_sd?u@z$!^ymGS{}EUe=bz4df67QSEOXIvINK>J<7C~6#$5V~Tp=tIrc?y6SwwN+cw!`IQ`)TyD-Y z-`Vh^Li?cR)Lm0!*eVekgZ2frWB);5B^el0Y8Xe5*PVovgqNfwk?QosM(d*R*Q%Ps z@U$36d=2|`La@>hJPMS{?B@PGk2eGZY4JX$^Gpt%&Y8k<%VSU|TES~~(V)+`)g6AG zk7}9)>Z5hZEBH#uTt2Hb{1xdoYi1$X9leSMJdbU`Pkk9|AriJsZY1N|8qyuME)U~I z4thG}jkV3a$N6fu5C7h~goOD;!MP)bVlX6>qyI#$T6P(KP+i*i9VZ3(8sxww{PBLB zhi}BiQ4>A|rE;wMQQYls02{wV27oQn5bMV!Yx8}4VBZZsO}$9Wzt{veL8^e*XFA#a z*;}uhtnF;6W*)oDfQE+l2Vh(c$7l}zP5x}O?aM{=K`8xD>ny+Wz_XVD)+}aZ;;;aOj^rW#^^>m7ku1_9zzDKbh9Cz z8;mnsG?YrtYhL5zL*y*jDrRUbf4P0D$1j|bCqOc)>c3}of6hrz_}JAGml_Z+YZ>9T zFQn>yflWX`?9#hAJ^6JJy2NMTC-zpvU)F|}j)ZV%7GCx^7z~iK&tGE$W`w-{^c`Rc zk%;*ox)o)7??7-DRjbB_M|4|SRt2ls7KR4EO93r<{M-cMLk)7cIsuPRP2Cjzw^bPeW-B~j+%%UROG@#1QL(6V%F3UE0>mX< z3&Ol-P4H8Qgr0 zL71KPAx#@ai~-I`d&7h%AbZEeG0K!hJMc`^LPX8#w_>~`ql?`BN^~}8nuX#zsH*zJ z0=jxl=moXH!JR8`=v)kY0Oa8W$?n!HxR_|TX#wNyE1m;)cLDO=*V{)TCk?S<&=&7= z)BQAnmG?a0x0^Q@My$RAQ_d)MiVvJ@;*n0EvU;6Y^D}^x&3h-e)(UM3?}W%o%VN7m zbt3E~o##Wx;c}k}B9*EjBPSPFNm&;+1g~L#KGoxPp2GdLiT>g*F&V?eAF{{j!wBj} zF%ET)0r5RDkhxTICXGOCt4o}2)UJ+g`Pb=Ycqv8i@>6&?6-4}00)n6DYT19lgzQz9#z4xH;NP`Bc}yTE z94vpMlx15}dp|4^-}|cL{BG|s|E6tN1#(%Rq###TP?5?ebBJG$!?%)sZ+E3?j4h*IW^fD6J zqF5%&Gn`|jv`r)!awn(Mk1a^Tjp?-gt;O{_3arXfF9i8twK~t%zExGtoK3CUM@Sub zrrZPUcI}hqa7253Or>98r}XEJi<9FJZ0408$5GmhxuAm3~gPGSRDKMLC<^{l|afUA>0I%Q8C8y!b}@R|JW~?e`0iradlCCZGUKQzp(09o*dAK+>CL|-Y$&VA> zJ8@&q{SM^u1ff0OiaZ_@3&!~wU-p!|5UL5>Hd7z~k?|>Ze*!hp44p|i$Ixu+c4w7S zf7JFmf%|88{YKz9Q8z*afN6nq8}ZyTfvR%)I@EM_&?5BbfWmWGsp0oT+Mzb4$4!b&Fj`%nIBDvvdO4K1w%L_(rmd z?Bg-CJORg4o=wjwu6LYy+`ehw>X<8eA$N)9-i*Pj2U=#arJGYttgr0~B_Vths^!#1 z9m$vlK;n4y;w%?u+=O}aDI!O9j~b!VTbyo%oSUKDPopgEw$=cAGmW6o9F2OkVTUY> zN7@-Coi->6Qs0!g@2^{=(uC;l)jdiOJe-5ha;x{MWVXCjt;2kht-1MKjjc@=2;H6i zui+=sD@##wZjrgRF;_f-JjimrZXdY4*VzpUNFc_IM{%C2Fpv;Ln2xEH>1~ovfXXCKDHCtTW*EH zv9|Set=QM^fXfON}gl_P*#l;w}hD_^=%U0l`#ZI4)drAPwsPj+m4^%w2XLsM*LUp zkMV(Pv7*hTPIu4yE7I;sG%@Ur6+fBYa-h38-NT5^mLT}>KuY$&k$>U{UB;|4ZV3G! z6tD~%m}hsxX=8cw31bPq4O64a`8JhB?;kE6gNKqhFuWaHJOvpe0o)p#yb2bmpPXT0 z8dXbY#xi73xmkohCs-E7>@Pl-m+K)dDfi@mLBk%L^H6)QXaRG+BQYt!tQ=P*Mg3nF z(Jiw{ymqFYIX@a)ZhQ4ENPbR!xgp75f)i@_Gv^(8KS6z@@Qej;ulNGO7t3D93x+q6 z^W$_9IgIr(F5UUu^64+SSu7F>5`{!S1?OCq-r}+F;c=J457c{~juoF<-M$dbO{@ga z#`sPTQnx&;WX)pffa`7;VJ<&3j`-jM^WiX|y`%H_az8f6;QH-}K#nL9bj{w@H)_hj z+3H=b@vF)>G7^v+bH-`8_JgX=(Zqk}!fL(bayH#BF$Y@N@IjAfV~~3#V`bXpr(b_; z^A)`7yf@UZ fw)mf)C4tV9}&$L0=g%!3kMmY3VF<*0#OO_7ErhB8kSB$65V8~v2 zVb*&bziUT*KNME7#4WnTDNpUXU_GMYTY!u~0Zinog)9~%`XkomE= zrh}Z#PJE`04b0gt0BX!lg8G*wkGp0tXVwMQ)6>|chP^#b%lH*}1p|k@Z(a^r|Es@d zK-N7A{^9#-##!)Bs&fzTDz{g6#yfN4&F=n&ouc8brTffNXpGAVGyB#quO0Qgm1VnO z&s}vc9s;y;LS9!T*K>b<_;Q&--1gqZ8GVN9bKd9Ohkrpx(}I(q#@;;B9DkCZf{{p@ z0NSi;U1=P@@N6!p+FCYM?0frHLl2d z!Y7+KamQybMZMVK+J|*{1(KZOuD2OYm1!AjUl=UY`^dnFnLAm@S`_Skrr~_=t;VFC zwK-vIu0db@UpiAUPGk91rWqOsjQe5H^$w$z3n?K7eOiV7?gGK|`GdVYn*C+2$1ezs z!t3i~r$~+VG#aQz=syhi$8np|CibH6xsj zfMBBbd{w+j`gj*lGDBI9abiTG}$gi)D%(AQ2@FX`b zwqjAkYh}TZ_wfohQ(BejA94Kqq+vz{?&73PQ+cT)fG) zs1Lq`aLR3s@H(5W&njna5=llyM;EMG$aTY0RWSLBu*!u2;HFjQV!XX7-5%*cB0j3R z>Tb(jnACO)c?C+YVSg{2r)rq|nK7AHM!mqdLe4$v@sj);GJ@*l8|$-x8(8!vD6Z0c_LM{LBvq1gK`X#|zE`1hh)X-^|=HXV* zyvRc}4)r`i>LHZ(h3)hFsV8p1hFv z<u3KoSskZqdsJEGQ+5Zi23l^4P)_F!a+Cv?X)r%*;rtio6-Tz@_=Nf`dBg}X z?V0eUZtm}PsvkvZdX&aUzWy&0&J5YMGGCM3qt-EUpop<{m z;0)HE_Fdg?>{2HAjnWw~)V2Q4_MabrmT@Nv^3ln4#GFQ2qDZ@ZaV{lII_^VGUbXB0 zPfZ10Vv+RLmx&-KOitYJ=khkJelWGzp%y{K8Cl3(L^>}9Qx-#^jjda>UQahIFCiC@ zrsDdAocKqpe5rGL*Bi?@Y`cR8tge&H=&2c^0q@qBvBY$o&B_~8wqxH$C#zT8Jhk}U zbyB=S0`*A3fy)_t;ba&C>$1H!O&)|RYsZxV;8eJ7rDY6Zmlk_iYYb6DR!x*B&sS2! znAlZDje^x8Kxng(K1a1}lxG#4x^y*5Au%$ru0$tu&m(47fGzsy(z)N+`%j_m9cvKJ zQZCVlUHAMiQBDc}{7YknhCS0$6U9sCG3BWi3gi#INQU)D;?j*0p;T$S@}G{Qe=a_a z&tgc?0;uJTC%>uiHTM>!W-DI($XDKP3;Icsv|?N0taMz9&WoG~Mv1>kwj4$z5!qn} zHf}Yo(MS`Hg^hAZ%aq#F#Sur20<-lGn>J>Ec;w6^Gx66lDl?d6(-Amg9jQEA+ZW?t zq0`fP&EXNp(k~*Q&oFN3E5&8#PbWA2mg-9R{u}nHUCf^~c!_dsju|aHKRl_Ae6%#- zDbOQx=xI-C7R$;79(V#6BIE1$!0tU-zB_8`4WyDviKa#N)=!=u0sTlj5vhCIiGXQY zFaq|lYZK?}X>V9fCeU~_m%s~3Ycs{<=Yq5Cp5uu(VI8F8P|uW03rdT;RjHHd-c|#I zwET^bHW21bE9hiq`@YDQN&;^8O@evpT&Hw~*h6ya>W#BG1a3dP9)H9Tl9SSrU;A@u zIYiW@_-zBTz?Xt?n&EnK(ZIl4;1chQK?I)>qb`d^B#p;p>ojpBwa(M}W)~-+=uWy3 z#CXlDlwhAIr=BP=Ng^Pr+@eWjOq(GeIr+Sl6PNU!(;E*PN+l9UEnzZ=XKrA^EH4kH5@hg&%<*IKFfE;k3*o+ea);lgm#QF1 zrJ4PyWtNyhIm6Jj$=mT4HH9zE}uM5cKMGX(wdXN`2tVi$T4(+z}=*RbOm4M|ZtrWOyAZNJ8cwtb)GSZV9Z6o_FOJ7nq9zLUnu zm8(T3KqWatTn@yJC&thE*I|8MtsAhOxVu${RgtUpwwLb4yl{;V{zXh zwAwQh8np3&!?sn&6KQHB_Wvf(P{KH#*752X=38ICGK~-i;^3>6tpB`1Loqglors~- zDg(UT08`*l`DafbSp|h`ZpdlhG#i_g-+(REzc1hVqs-RNo&+$xl{t>(bo!BlN26!h z_H*G1pA&{LtFmj6@p(SG+SwRDSvzwfs^k!g^OGGlo;i*w+SSK_4Mf62k)=KoKz(4# zAy_4L0^Z(spY1bo+dr(nQ|P}|@}v>)o|@yDbiW&_A7RsWo}?Mr@h<3dp9&V&L*~h! z!GEv_uAgDGjbnYsSBMIGWI$|=OE~{n{|E7RzjdVD)J_TVHuPy)68#+%eoR7;ge&!g zlxPo*%<%7*Fpr z1plw1pO?1db}>9V&a5%V(3#ntNm?T$&;8Bmy8z4O69sgeXc*i+_hnK&3kOz_j@6^H z`O9EP^&EkxWHcE=fvcF}ci zJpmZYX$C1X{&HC>B9a#vRTWpB%i|r@mg2dDUVAAXcvr2yG;@3*O&6ZCAxrsA|oY7GCi2rI zJ4Fb(0(4|(!)?&!(o+0koedHGiF5`ZzS*aA5H47+V8vM~^{B$r<{)}yTsj|cT3J6} zF!#wqged*;*KvGHwbzyBfA0nB=q%uB-ZK2!{F0K2jMD9_Jg2=%twNhS^->HRo02G- zqVfz=6|WR^8{Sie2^-FCo# z;79wpCy`C8~^)Y@Q}okuO$M>9bV9Y{6CZ^|M%h~zFwi$ z?CfOV!3+AeUVSnsPVIZg(iI)`aFTeomdvfdz4VQVBJ%LucJ6Hgmam6H*t7MgDa|ll ztpwqzT^iPzd5_7GvhEp0?mx#%#EhJ*fO{j6lSzhxHWm+xkC=VA$=f<*5?3{#^GU{IVVNj)kuzqyZ7rgzXpXN9TshJZ zDRW33$)fr5l-{}Uzl1Sm#CH#%F*`Sz*N_IW%ON?yySEb%2 z*bMKMJ%m0`5eMGj{VOgPB)-Acq zdLUS>{9zgSGy&R^pss5&~==`6-rUm=$N>_0%?D~%(-jZ zI=34<5|uKWwjiD0Dz*eG<39qH1i^ml`BEQakdomsEp*%Jp>{q&mBgOlL037s(qt8s z%cj|S%s~oL`bm*^<7{IZQD(%&>)jxZ68Zv>%&0O~l*)VuIdlCTK5*G`{{NIFR_6M^{Y+vo3b7WuKjA zH2)Fi${-IiXd;e&u;k(0BZs1N;)aKPjqwtp(jiG3VU>3wT1RU~94Y|*MhDBkKaT$8 zzpL$6pCvT``F|{I?bfF{zP*5(I(uaq$QuU}xQ+0soMdTVS&l$--r0P%jEDd7R&pCN zDOzT4z>`*v4wGK}drw4mnC8yk9w*@dwZEq#xfE3@A0)r8EXo6p-4Px&5fqr}Rl3*<`2YuOfW~Mz z3bnGkA`A|Tw?@94n{GsA?*OZ@nMp*=#6mJyk;V~W0|oUrKUwIihbI+MG%i=Cnv>cX?56cLm{7;t5MqH|A*!bxX5?5zAsUytwXW3f;+Jc^_t2Jc7f^%?jdS}v8P1BAs- z$dm=)Nddo-vPiKrGH~yx*;vW^V4EZ@Z)Qk0*hJTL2Q(VDbg!-bcjGBywO1|1lj$TV z)lI`g&p1fQRl0OdC_Z5f0R9#Zm3{ro;pe)-7GS335VF}=Op8jM{AbHaXdx36r`xH@ zFc-&XXTaDfv8U7P7~y3n(@^PR;dn@{gq3l3`dXz%MFgamSDzYY=%F9 z^Y9xq=c7IM{>S}5f0V(CdG57i$e!o4GBggS*CG3yiLZHbnCzY@X5FF4eZRvt45L|S zMkX4tmjUq}Mw|V_-y?(7w2v^-4Tm24&%dx4KUu4T8RTIbmL|@sTd>cn!^r!fe>@XX z|M&aE;u`p5>m>~WUyAESH7y<-K%>XoV=ZZ&*4E;cUv&_(PP}X!r6W!EpW+M!-}?DD z$BFeMF2UNoCrOKmgr6D-l(Pd8k^Ac@tYqTA9WKgeH+TeQW5}LQ8KIWCVO@^8qL0l3 zgL2k)32O7xfyBrvA!+K&Lg&PDlqgNgSjZd^g55+}&ZQqYvBJ!7BU=Z3pj%NX8m@~OI!CjLAy7Ue;Q1a0q_ElWT)m`APU8l2#`veE!K>xLM`x|0s*!4D z_KR4A^pQ&J|NAqau#cJ9mfA}`A?)kJy|JDcuST=1ihyLXn|%r`IIe3Tsu%P@wy%d9 z;`yOuHf)NnCh4*&#<~oC8v%=T2v#6$k5?CLKK|0o2@;Za{YfK`(usKz8d| zk8ZKgZyva7)RES~YS7&acHOc_TVZk`gv7c$j3@BiHIvrNTn*Dgyn2l#Vh-^`{_klyb6s<`^`%?! z>b$s&p5{!p6BYo#f=<_0i%}B z#!6-?xtTmGh}?b-*Y9W^&OH3Pq=BU25P)wcG-oaWSu?o=rD5P5alyZT#bO2AkJ{h3 z*tKb5BW~8VgXv&@<`6GkhcWO2sBFTj3a!W6<|PT zMb`CX+WDCv#3X53>J(cAny*7Y^Yip1%`Ax8hcO3`2e9atgL!W57~)=D2EE=-Gbh#m$vMt9aLG&6XojKC+#bKc$ z(vH$c?#ps5J2Fk;gMHlx`$yUOCBKL~r8#5HDi|+XLxy?=y4P~zSSEKaL>_l%r@iA+ zJPm$aU{y%kkQ^pe-=+~C7acel!wL==Cz;ofTm2Aal@C^PJScF|Yd)ZgH{`TO$yaI% zx8!;>Lbr3toe-p9ox49Y%&J(hHHvb4bD1P^B|_KzHZ)==wwVAC`_|yB#4}MJMj6q1 zn1v#MEi#y2O;eMNV~y^hupMfXl-jTq*Nh9FhpXn!1Ef4Ma(X`IYSJ^yHMBr#@Kq#E z^}p?#*JiB76;S2TkiznvRZwR>l32)X z%K;L0+lH!)`KfL6;NeEntYVLzhaa2qOp@4Eq!GivNc@aC;(TePeq@_>$0b|vgl*p4 z2{{U3nB<>dA6C8o>i+b~(l4tlBMs44$UtiBTJQ(%EA`+AoCAkrcFHTDgw=SOp|+yz ziSy|fS+5zqMgR+PNMgKqTFLZmw$8FV*L~+PJk)VHV;x$x4KVZH!muzKakQOrh7`4RMCowfFt`jeLBRT=_6tf5~;8N%~ueqCr&FIuhUbv&&Dy*il zr)@rC56aD{zeiv-PWh(<)LMw`)g*Q}VE*u!9htPX0!V-z$t5PgGvWv;w0g2}8t`Kr zAv>_JVTd&!M*i$D{s}cPpCQu`SQq|0hH6~z*bEnl1XqLuh4SA zjc8&!@O^&sW|C*BX0{ehY&$fkbS5hn&V1mCL;>++GRgV$$WNsHTui5PN=fYodX$s= zvi7rc&r6APwhTU%%|Flye7>2?37^+}CZy@kgfvwL@f7t{7^cUI>&i73j6s>#G1yPjBAGa_Ttoxe+dgqgIE zL)1eO=mfCg9 z#xf}}k|a);gx`vv`!Jo`LXIAN+>x>VgjNNBb;{k7mq`NqGKL$Eez0inP!F{urDuZw zpv?Sda>mu088j`#_re5_r%*%Ukmfve@RljUZ+ag~j-?PHYE`fUs^5rwVZ^cMfgS)3cMQeJQ`jk z+ZP%JO?Hx}EGQyBFT^9{)4;ftV{`FU>kU~I!mu2q>meI^(gODRlU)gtDAi0uZ_S_W zVT7Np&=q=d{-RUK;j&m<2;X}Hm=4o5p_RjQ1g-d*tSS;#*Qi^}7wFsYPbYY!h2~0^ z(0`wKDRIx3C{!i?Tf7kiWwYrH%;RhH1kAB3Sg>*aqGfR*NlH3vaqtWhhC=iOIx6g| zL-@jVeMncweSg8ckSCMeby?%J^C9vyxtD%WR5CjWVO0#-_{=XVyv|3K`H1xYoX{+c z9){YL;n|2~^8a49Gwb-@l%;9y%w8Ct$svS&B*?1dom+8~nb9aE?85UGowEhExrK=w z>LElxDjddQqBt8S8|I|-^EpKz>BL=D?Gc#U-%cbvv|%=aRFWqiam2ocnZrwUSP!pL zB|pEw1n@I@M-kQei#9xsUrXgICHp2CKU1aWz2Ja(isb^raby?oyy&PucYppf?IM5G zB#di0?bwmINvxN|*nv1=`FX~tJ{GUzy<>iDDv+Nws(bUyU33y*Y|BbIk*tE9YzHPe ztp?XX^n<*<-we)_FP~7L3c`kr9i6w?tYf5l+PI1+k|3wZsI4sEPA$M&+n?WB69N|T8 zLB}G~ulYgwwsw>!vxl^n}Vg6KK>?r?USZs zm?QKmEtPw=_0nX4YcBPFt+tQLP!qS~oonXaSJOOiP+3NME4Pt}zKLfrrm;u{-Sms4%bm8_p<1CkI`Bf^PvcL?u>4%Uo zD|+>*PK9kstELTvrDTqn`KK6Lk_A`FeE38gNQ`spE6VdK61t!5kGjzWBMIsg;Cv%JliYw}%vE1s+FjW#)fu z=l*e)z93?hJCmgVOQ{Fx*1e}Wf|*+n{FvKIVkmTsp(sMiJPtJ_i4WuCPs`d$Dz1I? zk8g{6qcr~nY^wR8B9c|^j&)xWR2B(YhpPHx_Ero*mHVqMFWpEJ{hs2I5M?t3P;m34 z+THDwURGA=dXa$08*A5|et_!S_fU%`##5tZi}v=+$v_YKYGlX?rf6L{PEws6jyL-E zJQ@63q&1Rtwdkgt_`0|*KQy`@)8r9|sX` zKC)d9{62nOIcn11!NHp*SvtdDW2R-f!8Jz;5**i?#~;dc@%T(=>>tq@-b5jAmOgyt zhIP!X4sv?Jl~8zn5S8>~m44L1@rXN!6?E4-44mbl{SOm$Klp3g(mC`arPfke^dTgs zIZmLZnJsFFRYSjLjVd2k%v$V(A)DQ^VLUxs=hAl?_IFTlxIX_4-iJPX9@dHUL-Wyq zmvn#b0uEwBs_gc4V=2daxU0T)8s$pIKicqj+V7pLgLBifPcWv51-jd*^wk(ewR3ka zK1~mY%z~v07YRzOm-~oGWo%!IK63r!1_rcL5i&_xIv7Vh2w4BH6X0r&n0>iIEX%JF z-JK!n&a1IWd#(UPtvh{ZE(nH<WS_N|6f$lCCuXa24;m~W#?ykSm4^dZs!rECT<|83DB421(q9b~Eyzj>LSpJ~` z(obRGUnwjzZD@N;=o4HJIFV|yKk4pcdxPeAOOm}kFK_i=L0S9ei5PG-TnMwt2B zW;qWXV?HW6zNaF?B|;5xd{XW@=l+}Q7^#ltGWGOC40$P?7-z1N^^*~cNNYh2vI4b;2vfhI z0+c^%w!0u>9c{$9n{P7@amn5MM13gu z6SM5~j|r~XqAUI&Lv4HC;V%!GIlAfuk4}GzuKNDm-O-lUl#7=-6jnQ$A95#RKtBj} z{6nW|vSs1>{*~?A{EDMtCY{{DV4Ty2q@VOoVr-WtW;ZxCr_JOhLERtpBhihCb1%AK zFzDUmR@vtpk6xU?=5Ux1R@VDJ+r{thh)z#7Y9VG%ghS@C4=s>Q2_#t6+8th)ya&Om zMPYrB^a-a1pt$u1w;&+?-vE!<`~({yA-k5ibN~b_96bzU6uN!} zuR`D;4q%3t1`!gmn{bSP0j@U9d+)btE?$Kz1SLTiQl7DD?^8L%`|u|V$4l2n6c+8e z)%X-+T)A8XuRP7)zOlfja%GV;M4vRrr-gxg{TO)nF7DqOHA$4LXcIsW8bWMmCdL^5 z*_+Voj%y&|M?+(Wry1&yfge*M{0y`5 z#Jl-0uIz*rrj0JW1`~u>*i)(Q-3wxDHlbHKV;V7hWiC&`V1WL!vfbToMu^=iwBSzE z5Vz@C0>CU)!LwH=-=6_J$=$*D`GjiEecLF_&tW%SysGr*k+@em^<$i$6vZ;T@7{i8 zafK?|oR}RZqWyOR9PXFc{L{_aLmDfERXvNDok+?$WCL6O*$NK#7I*VxUWi_vVaydJ zCfZ-&`!8R-{i#vNk@*lpwj2<5DI!VQrXPu+NwLH=xo?AtvhYN$E*%{+yWBa!=kUEQ z4IGN(cQEfi@?+N{$f$fqyA>5NrY=X|!*?cyL?!pU584bmUke(U42_7Ci7P5|0&LZz2 z>~dxI3wQ#|qB|%DdZ^Gw-3?#blpD}?a_W|m9;b!OjpwrsHGQq;8R2^^6Q^6;wd4=M zI_MF+w~WNAyI0oBGp(I4dBhg|6@lJ2d}GkKR#KnWhS5`!6`d&YmQUnj- zJx&EPXd|kC8h~leVWu46Hv)utnIlO3SX`JMqv1U~5H?Y`WaBi^Qoie9cFC>tPvY( zYhELDbI9cS6^cR2g`cC!6;s(FBEagh67|qAtj;Qxqo#H-*7HQH=@g2H!};GB98vcg zW3s4Ja7b8$-S}&dZT7ALGx+t^BbsgdOzpufP+FeaqHwfNXUAgphx@9A7KX0RUpxtn zPlt4Bkh5$IV%c&|-TcOG7&VK237f|!PyCLNUALxnCdU!yz%GF?><(V|!6y6; zgtL?{TToplp_MqPE=xZhNdJhoe-%Wu#v}JzF6zpUR$_v;U)i0ThkyFYu6(~Jdr^eZ zE3Z&#j>+|(nP> zhDouTe2f0}JjQ#lAM&J)3+h*VKt@pC@;*i3vYedQ0lJ6jS*nid7IR6q}!W15!!AH5Auuy!{^}CI09zNS1`OEjj zc3KDe#%vYgn5*>5Mz_T*F$k}sFR6DU1iKK5!}TB2e7w_6i9dBLpRlf;T>O2>T@C{c zjDP#eRBN3NTtRLi=&ir3oT_WXiMbm?aYO1)cW0fjFtc79*_kV(|9fYi&I{>>&-n_f zN`+5)T=+U^dneIDqa~JAQcvm%eOG+)zLFi*zku##L!oPgMQJHD^NKQ9HtV{O%~; zxZY(zs0rv9iY$G<)rCJ-ms%ZqLoPM7=lSBr1=iO$uXcN=r3TAL<4qh%D*b_yLth{~ zv{}8cf8EeqFMWoXO-(%)R9?kvefByz^ft(c*%=4KhEGqnZ(GW9zyI-FNyXCd+V^%-Kn`XGX$5D?&m7Xo2HhpQ&zj# z`^BMOG|GE#K@GWJ_th*kop_YJo=9?<#Gr}eQ*3H$&?2!{TM{Ao_-({PmZ_z3IpJ#c zJdS35>)dfVHlK*Jz3_w|ZYZJ3t^Y5k(E$rb*&uJJiea9|h&R z9$t%VIs*kXk(~1+g*%5A-g~P24yTH9wnMrczph+%*r%l3{xY5h6mg5BoF2EB=Htby zoJcN;wx_RkoiTon!xnDWVX&bMWMH-Y(K;R*|tZ% znZGtkPzw>;`7TA}=tK=;HVlsf9w%jGQL}t07d5wKuJieZ)Zxndh(0!GSj`i+ojgjL zO{o``S2kKcb_&bl3o7bZ)JqLpd*r^JyesB=aVP8{n5$&ux`UUllSMtxoj|A{v$z=@^BZO2RM^5ciBtJkvSg2P%`dl{JtB$hi=cy^L;O{^ zQ15c?qW2F9^Db_tf=8=|V%7qjCaQ*}5Q$-aYSscK(i=+*uTH7X^CSu5URTwngV%U|A5Mt#d)!%<~TNMCp~-tegp%$f|WOB`#3gT#sneO zh=x+-h)Ow=3tF|~5^*d6uWtX$$PQBx?qQjS>PRy=VHGW-7M&G@W$4VE`R|=!Os$sj zp7i9wxOAy)yD$;O_W~0`c<=8sL&g1p7Y7RSLTr9fWWTO;X%oOu#%=c>dTRs$TVj6g zlX4RdLCl&vB5!GLhyaC1m-+{2 zyu+c;P*mw|GzG2HMKt1d0&JdO|1G2bKN$Gt!!`I=NJCvRPQi z5wl^q^+L%6hs7thTyHmcw7?nQ-ERY_9bn1$-nN|Q1kn)&gx#sA1(KmnGoanl|4~>< z$cb&bVimJQ%HKRv>XG!T2768R-^boqP^&%trae1*A^B^7?tE0>IjlxrX&3L^g8FFl zlkB>+R1UYGiI+ zDca{V0nJdJR+_`Mu_|Yeh#28x#mvktx7qyP>8&(&t}o13nWe1P3f4~I!%Kg>uPW?? zfyAS(O_JWoU6(!amy{d)xfbFNX3wF)3TVC(vmjo!Tzs=ReT%jG1YkHG*cF=XoN7kF zB{clrJ*~hs@?~tU$-{l7HOn<=qCD8%IwhRH#&SHhmg;DJhmRLrS{b6bFh~$c7NZxq zFSOdUX5X78M>?Oa`&^jIA9ST5553jDO9(OA$8nx;$V4FAP#Z4x7O`ij z&3r32fiy)qM@LiXOJQY(qgvy?k7}ryE-D(8_9FEq;Gvow6B|&fxJALJ2(m%?Y-z6I z@U}f; zV>HBoRz6~ntJU`v2lOp#J1Il`6UM@PNPc$@h7_aLD|$}Qh%Gzg7Fg7$eS}wCo@Gh) z)rxE?;KLvb<;A8o0;2kwwSaqD$F+`&u6HuCPFFO(>tldtebFuJ`kpuTcKW6#Qv7uV180ljN{@%29)JQ!bd?33PHj!lLQnJEH_!evvORoI0?-_c_D?or1*uiICG5B*h zHy0b8k=EC9#BZ6{7VcW7>z=)9z0$87nO(8~aSFAX6z(h)>VlBw)Gf^$I6I~8$LG$Y zfdBSIW60_qvN3}fynDd(q|ZS~fuS{Mb#qTqqR*q~-5M0?+LoS7abaMTj5$?wTyozt zg<5BlWk*?qq`l9ffisLwMZN3=4rfjyJr~A0AourfM;7rv8~rSdML^ubk}-3g zUe(L%&sDBL;P|#IhscF%*)VZL_HhMa5ml05Y(ad_wO66owFrAc=HI}vD71uh^iQfFT)A7ikbDD0hQz^4a8Em zk4M$y7v7S)He-ZUlG=#X=Y$~~yN{UnV1(zGnL(FJ3l2WC&sCKyPkTf<>UqR(T%%C^PSe;5bLj&w*xd`#`N&4 zjj1+o%UdiGUNG>@+ZEYJECw7RH^}U?+Hpkw>ej1VykX1QmwwA-HMNgp5w~8>4%6|O zbfN&grNKW4&;MdvJtx&=U(D%zO-{mON%>}S*#Ay!#7<1*xV~#m_ z6C9lAIs7&*-YaWmN-KV|cYd$Tg`8n!B9H>)hi^1j`Ok67b9xO{T85Tmxbj%v#P3P)bbk#Vn8}#P)reOkCQIe2%N9wLoy%XhH>3aK zj>t)!$$KC^Tso(wr(iyL1;o1;V${YSKD~3P92r_eh-ZoK%aUd(0soimTg677q5c(A zqVWxI;uvvz)EC17K!OVenWE>CPqoKX?8hRQ936Rh|A^~g>8&-sVN#k+r(Qo66rrT3 z95`0(uEl%FaQA(rA31GIl}@VBhZb~~=n^NcYY^UEb z9<6N}W2>d>(y~r3GtQ79BQZaLnXY=}WqHz)>{`;zA3JigQXn`{um8#duLLXq^u+Vm z;KmB}*~3TW-toIMyc=y-QS(f8t_Ngg>PU{(^=I8JpTosF>YM21 z{}_qM17T5Sxozw*8z+xsas5n)zre-Y7kEwe`MTvifRO1_=;u-}J@9lRW0p%@)}|9D z&2;*BCjp0wIF<=BEz4BGpA_9WcuD?GZyRpXlEV|B&i(W&tP1aTfbnRutRQk|K(*dX zqSf{p$Jt)9T3xp`u&w8#iTSF%!%>Hn)OL;r z4*Hc%toGvYEUBbyX1Vu;x^UU#IZqcC#Yt1q56@7QwC=G#|K!^4-9b+YN1oWiZj-sj z#S8nYrAZ5F+r-?oyMe}Ne7|!#zv~`OH#2HU*?w4mC~1N=(#gv7<-q$w+^G}azL&gw z(D9Ts=UnGH7g~DQVlhoRzJf%i%)_fwY#1f(ay5^JZNj2@Y{ai!ZKD~njI2j{B{hD; zfT~K)7izqMJO(U%?{#KpyeNL0&Z|}8pexv|4Pfqw_db+9*7Yn48*mY@nx8K&-YenY zSRh3?;6VCwIC^&QnKv};;MGewQvX~<@Uzlam;(C>s8)-pdfVxohAzictnb)4ct;y9 zx2afe!N%B3q4^|Ye)|1^W?-kOLp$4k8LXsV@iwA_O-E3C3nfD2qPD`hbq9StY%Qgk z(p?;{pS{)db}(OE-~jTlo~%tN%X~JCeESVgpz769CoI@)*>S)to@3W}Gq~jBDaPQp zwaR)^>siOynRE@dA1uhb%jm|a<_`p4o(M8%ud&~$`M{v^3BcjGhm7oxr@p>RnfO8L zPuwtCb@R@G#i~`b@M6A>K#k-z%onaODhzThXF2yu#ZT&W@JLp4>dUC=@PR3dh5Pg_ z8b*#Q{<+jSO^7hN?|RHL%R31<H=D@l|vS*)NP6QYH!t; zx}y&!{#M=C%hy<^S_F6a^#1xwxYH|U?sQr3@1Rg2Sb(^OrMzt;L_=7IO9iq@ai=lT z(cFzT@bh(FoJj@-7>z8+q5|1kcHhP2O7A-mw$p|lx3QH>9&3JjE@sKE?{eZ&=apyX12@RaAf7lYSd_}mvUyRBfY1T^w~^5cckzpos0I6|>D zy!W=?%_n$o$88inSYOUmFCZ*a$IAA1(KwT^lCIuEwjM%MKn+A+Jzoy0T=6{@UJ;dz`2PY6w0|V`CP9jzMv7){^8n! zUS;Hu)hp(yC!FFy9XV7a_egz=wtYU&1pp?QB!>(npKHs}BXKN$&#V36d()JxdxHt( zVqpoo=S>r7R(z@Dh2T})|^=4q^W)H+Dvl#dZx(7p;Hk@YTwJC2mq#ma+X1x7al&(|6gBts5E=;Z$H)j_dVb3C? zF_=|ye2R}R_~XRJ_>s&Gy|j5}kJD!ZsmIK30-?v1*R@t-z8;bDh-pdWZzsM0c3Xi# z=hsKr735XjNq06qwwbL+Sm(-4@o+)*f#uUIN&_<=vX- zKGun*Vx>U85RuI5RQpq3)x^J!rHkDC(5jVm1*xUZk1KtezjT6?cSMRl{&9So$8hx6 zZ@P}VI3D%>Br1LRsJn|v9o)B5VG>+ASJxS2jPj-_fwbkwP~yA&=NpRWFQG}_6pY0J)u z3%4Qhp~y!G^|%Z*CU_o0!nS|B|3x|L;lu^|Z@KoP?_qKJ9&Ke8XZFJA6bheDxTp@D z@0yW)n_h+-g_tz0R?KT-ozNy{FAF*tBEE032+{uy4nu1OlULg&)#b0csTRY~h#pUWC`dFq%Sq6FQ2@J1fSZ5t#L~N6`X0Oz{ zh5CHi;l!JhA1`iG{&Mz4%a{AAdj23d3MS>%DkGnh#bAD7p z)88-+h1Km5UU-!)`XJNqu)0BF#`aZ9#Qz&}g68k9+fPgFat7b!g!mrs3&+picNwz# z>i_5{aLQb7TE*&uF?3&INbjMYfll;K+Tumox)|}8`Yma0UZ?hv1w$c&FB}?aY+3DcG$$%q3NJ5FsTI@~tGjs;DEqsH&=LtJ z?(gbs{fjVLAhYe0{q-MW7IycV9ODBzt4tls zjd!|N!!vlQ%01FXt>vS210otXzo}6Fu1HUFagttD=u{*_z~6<2D%fJ7nz| zU59Sjf2o`j^clCQXroKSKY%11YOlgCL%yN zEG1?39dg0IqEXkfoOa}>R+@1vEALJhNN`AVR{li`EJ@|z5O0Lu?W(1TmVZ?1&0kwL z@u>eF#@+)Q>%RRTw_Wy?k-dqKEql)*A+wN?$O?rkMfR4JNVdud(X#i%IrTI0 zGzjiN7m6;C#HVG`GKfp+{uZ6+`2k(-|Hl3vm)4#l$yl-RQGTKuoX3Z1Pe5MN(!}LU zKz4%~;U=unl4_n17UMjT8&4$MX*!8^eWc)9$lrA@UTGb9jmgxJnD89nfLF^?hW33g zK!+O5(w&^%-yl+t&Zc&~C#j6%*Y1e4`^I&F4GkB?v!PF5z=LX3IPv;-AR%-Y zPNA)Ekm5n4v>b}ZF??ARTs#xNc-0~Gf!gm=(sz*aoCPB_YB*ZaNPEn?eSSzs41n8a zKKJO8M;t{s9_Nch7f%qPaWu#qw2IzI;6kII>2S(OFOUW11lH#?fmGi)OYpiCf!yjb zj!mW-PJ;`5>87GNO$eX}WHce2-!m` zokk$e>QOxfCtxzQXV3%=uZ8S2asB}l&NvGIFoTu`&i>qxr{`#kWfeLtV47u)0u4d% z6AHiCliC_V>s6fvxp^BnZ0CS>h?hF`U!Le}aIbXoeFuS_HA;^tUjV1`iI5GBLW9L9?PN4#ePV6_~; z1(f_C%myA6TM|BerD_BUbUGs!;HV$u9*CqCSxN z+Zh|Q^=H6Mjuy!JUgPD3(+Hjqz)C5fnGAmP&vk+b1VQJwe-S_25PE`*W&c9f@sRRv zRxzqw6=#5ZS`ErYtdv6>FJ;gDf}3GD`Pk<5A$8IUyEl9l;y-W~8z^DFBw0zy?|*$= zQN(#f*iLa>sejSEbj$@@W}evgg7}riK!Y!Us@=4kuumY+KqrkPHmh1s`$rFa3pwyi zrg~^_p$&0#)k2UXjvQ1>a}Y8eqyd%FFAhb&0y247C8E@0CU*O61i}oQMat^C6`)6h zz&f8i&`pzKhon3e{~%P!5m=-hGl*#0&cOkP7G`ZqSdpF(rNqzgfy)gQkX5LmUrK)N zD{Qn-*+6#f!$P1j1Z}@@@Z3q&=zjn~k@i1HrdM(=1Z%OC;-!TX2QWW4OLPMMMUR^uwLK@hp*zw$mGk+T*7nQwi-JuT>lT8RT%(|ydPd~|gS?j8=9#jy|O zam2@=;)Yna!~aGRJo5S13XA{9WSoV;!tp*Wjg$xvvHMXHiv2mgRKOOToP*>4><`&* zgA?`A0K7gt*=seJGNgE8C&HDw3QA}eR|56{Tb}hKDCnOTvVp6;!E8u--p7GW1SRBl z6Fwe$DIgk%?m*ffF(I{zfOd);$kmKOs9lF3`F09qYQs91O*rgb&sb-Jn^{`0ov0Tm9U?B`-@m;A$tb|ZX_lVP>v4d{ zb*z*!Jt`;NpPb~l(>hLa6UOk2enqHTjYd!ZG>PAtp5hJcy>ephsf=nxsatGW2D+m~1 z+({R5(U7P}Gk|^L$|s;)M}eg2GuIIy7;7V(C2>ZmW;r~G#61DDgiFC|ow`2Ft3zQO z2FcmE1H(vKA;CH*R+=iJsoa)|%8^v@%2fSz!~C&?4R zCFhDnYNWhY$In6uaOEQaY~Q?iaE~7pZk8}^4KoN4?a--%K2FILneDnR7PNyT0X*nR zI`?2s6VR^&K{og`X;1LPMh9nX$Kv$=U-M-SBvm{-`YT$m3=j)D6vI#SPtdfP1-a1+ zDI%Nfa8T3T5DPZ?bYCeAWGY3c{3ls_xAgb2ibo-!@MoWf_N>ohz8INAK=ryK+qML5 zUFTnp^OF?^!C&zLd%lUp1oDZ;h@$Ilz4ANem73`Lr!8541ZgnK5B@2~5GIonrVWB% zM5bbXjrg!P{Efb0_~s7}S1z;w^mO2RmXD7@a{_mAa0J(fX zBrVQ)AqXUPg_34EeH^MQ3mRnCdZm!9kMDoBJ}^u|97>#?-+a+p`w%j1cH=%`cR2a7 zieVm(V05ieK2Ne^#WnN7jwb-oB>`lh^l6%R@>k&KY-dx~&T?M|DZq>pi7CCE1QEb| z&>-3x3JBAE3>)*uCdqd=1FTLdp_G|41h3c0nc~hl=n$pJ-4p#ye>{;O7=&*$Mc0Gb zfJ%Ige(s9dNJcD(q9-%`i?jZhtYWQ#B_s!1kT}9Xq#nu5Q=Wsv@Wm3RUn2kqzk)Ph7@<|&>k_{yk<9GxSiNC+$wk|w4M$HA79 z^aYo*S;*OjH{In>Bs34~kA6tJFp}1#bZR5e3Uz=*=V8KK2;6XPwO5r;s5r zOTzT~J3F}#93@+T=SSJ}iEi;pWd1tfJ0cKhdD|ex{qWq<*Z0n}D}vI^0PyT8*riU< z=*=LRmYK@v555Ng2e5dW=Ja6;u^(;qC=UL4CgYhzP96xN799(sjw&l1NkSIXD}s2v ztv8V;)*~12I_5Qy|I6N^gwgo_^-u_meQR~%8p76PJ5!Z}RE2K+f_*%1T)6a)2=nGT z9sq0dJ`=17kR4Z^%t8|TNjc#vdU3q=lf z0KVI{#`9y9e1f(0v;$~Q=4={Exh^b13Hy8P9>7_B<%VoG$Z;>2HxdY; z{jTxl;0)nf5^1OkqUZ503^mYZCpLJ)^%69J*~mrsZc$u^cGlcBJ#J?P)=b*>j$b0l z>;M~R0~BBzIM8oq(JzN#x{mgT>&=VV88o^rSB8-!{Oauo4|^4l9#r*q)z!R!x^c@n0|5I^=uG)*z*%li}#bl75X{uY<>msF^LdN$XpeaEQB*IRkq zV$YS5?RInjvt6b~&MYhsp|dbY6`&@bbZ{G@<&&740Fc-O_*>oo*{r97c$muPY-^Yk;gYeh;f9G08Uw(M^jBWGDa3u{{?!x)BXyJzudR+~ znCc9O&P5=*IsJ#mAqJ;t+)PUt6v>BrY(@&(aP)~;C}5E&OS=q-m=;^Gm;uKBD}i#D z-7Eb-8;=SWhFpPP0KXym+?;~=3MyWqSBpYsS%j>AlXzemA!*#M-F{-nymDv7EL_i+ z0Q)CI>mx=*HQaE{6bh9b&|#D*i9qBt0BaL@Lv8uYrPOfnr<2XF?1 zhtRoZN9_~yOKn<-1J-r;RCR^r6hnXw7Hs!IO7~<#mXB=6wrP5E_U^IsO_$Py6-YT- zyuEn|M?N>-f9#V~X{NAspi&BB?kz|&e|Og&`_a$j={_A9WH6R^ ziv!wiT0Qrkic%Z~dVAq-YmZ;9vZ2yy7-a0DK!C&S(K1xR9@`Gz&&%g)Xr2M&ar9VF zK4KAYu@P6Ouw(cWeAM6Wr3m$!6b&KhsHkzO@HPm=7CYeFlj*>OuB>vgOn zxOL3SQ7%56Oa%)ccLuV)8$iP#Pa1|z+S)_OQuT)@Hf5Qb4fnlsaG?Ktx5@ZI12?vs zO2a*4!0}jon7%A=cqx#ESFa(He5CMSj;LLNKWz?9Qwtr1%=R5osE#Qpg>#ApD7ecp}XCCc(F>h%kv%V?~>z~pVS-0VkG#;IDcP*Nyb{M#s$qA?5k zI+tms$BSag06#(FJN{YzBA^A%U&c402?fsxl1#kp)lf!PEu}300<`%~v8kDbR0ihz zqqQ(1=fzOA$>tsrhjfQ*ZyX3VT6zD@SmRF(B5D@-MO=%=WdTG29Nj1WObs6sF>5yI zV6FWrdION$f4y<9Z9TzK>6*1+;=l(~`3hxLc`b+vmGby&KUn|NE_(obb58zgCj7;- zPsLGgD3|E2)T`owH#ik;cpQ$7OG1Xk@=tir1c^R&eFeITP_n^&@LJSVNwz_VVw2DU zz-&9#HIVA+S)+F$ZmL&zSy0&Pmck@1!J&FAgujq;Wmp%Y)aw9TfTnXl?KAG3u`U7x zPol`)sn8`*!PJ$~k%%PL3!X_2z`WXZ-d&%0c}E$O0eOaxjGI!XZQv2!&qy~L(AkX$ zmu2|ve;;YTDI~Fr8#GRQ6~$VN!_wP;Hxt`W>pGR^2oNP6-LyDMZj|ziO{K<0$r|!B zIy#7Q$!}!==xZZR8qEys6ruX&fpJ)W*B$)XLc(yuDcf-GGG#b1u}@kF&DaC>MN&S$ z+-Fn`^8!@-&z;vP;%N~`V&B1*(~BlpBP|jl%`QbN5Z!kJR#6V<5qEwNzKJ26sm`O| z3C*0l|6*awf04o?+@Vda=P1r9=O)k8#V5y`fh7cSR*wvflpdO}i|c}9iI5l?a-7*? zUh9!6x@(JZZC~IG#%fcnSP$y*s4%2GGq~B%Xv?%mvmLr4Ufut}I`x%_ZL2h@mp_fJ z_tNHK-`ey1Wl>DIn!$b9W)=w&9(xnXE18^zl9N%IfW2}n2{aFf>fy(Z{L990OnSVY&$K!@y!(^$uUkB&psWJpA{BBm<#SZts7;X1^$5;-r=d}wm!H12*Ptl zMFvdyPXsNlRuX7sy&{$mQne1J^Jxx{aSeJ#KihvDAeQc|*A@(wSWrgY_q*{Ynf7ng zU(MZZj>HuEG_&tYFQz353siiipBP045@b6Vz622&+&f&0+nt;E@7(!_cSVyu(^~R$deAD!oJvWGw<>RRMVQyeI<-fVz8{eq~(|pb;LBkpO(`yl5 z)t?)F-L4Xxe70d3vtu;JEmbTON$mKeBJJjH7Kfq$bPsF@lZU%#ohUMnz-Zk4v%>E) zO;#i6(pHhFDw+77{Ge%nV5aAVJc35F-)1@vF;?E~7{Ub-DJ z7D0?u&$Rraf{blFtpD82s$#q?#&+SI5gnZ^^SF%W5)|-7T}Yd4x%sk~ZRuz*+|$YR zBHal?Jg(6@O9Py)D3m>}5J_%gMRWsU3p*75P=Vt25SUMY{njO%>u2tX9EQt3LAY)*oZE4#- zOvcxhtos1$tlk{HY)Vwww(!?807zbN)%q3&T*K%5$VCV%<o^0s zg;G;DZ1`!+6xe_!piE{OrxVnfemdshnkmvFs5apC)-cGY=1pY-689c)OD&!U^0Rquz^Atm387EcG7YhlWumZ92J1q z+B|IUk%DbOGdUa&9~sT^GM`#kH58t-dP7CyHd3Hy`h`;B+NB1J)Uw)M+f1#(3W;UD zo0Zee`oH^5fg}a#`G{apE3-MLDBoXuCl8tQ0Q{|-s3tvDszLOCKSLm`lBdjqy8 z;jHDay4CQj$x!il*`8@FZzVr$1H4Cz0=s9u$uwV&y-U!D1>en1jU2m{zC9>x9RTBI zA7T#C`z@oq&g0inRH0#KYfG`MR{#a|L6sZQLDb1X*fiZWV$l@dy9zUnzoNx@OMepL zga4z5zMplI3mCH%!SnaxqgU* z3*0uY&}F4*JW%kfzs9^W z=lu{+Rx6C!Z-Rf|ysRUNCOII>PHw*0F&2JDG@QNTbw06sI*~p$tqtG+`5scR34GwQ z=THxETRHFZ@8|(TxM;~oE0&^A3o<=Qm4<_(WoB#xkS^7nI&7!kv9=sZvb21SAeIsz zs&<3_R%F|d#=mtQ6}78r1lFLIq+IROdlC+I(L#Qf-1bAU%7B0Ra=PSR#dfTsUm@XB zL(A?soiPE5fFKuE{=j}k(<`-bB%e218h)K=_qO9K7+EC-i|(skC}fmIzh_ezp|_-r zE}!kLLupnPv0dhJ3g(eMJbJ_6?nA4S&BS~LLdz_j% z$CqY6Ae`Ri)zm`=Y|C36)p?t3-a|oEpH(U3x#Gk=b+2$-e*Vc@W;r!I*-piVr5LSJUBycqvzyNDW(3yvi?)Eeotz^+HQ>nmz6MkxX4o>MBDpj!i_F~C` z@j*unk>4aZneK_&iev83sg8_<{1FMwQF+h>OCZ|pA4$WN{t6=7EQWgKlpqW!nCKxNqGGhnt|LU$*p zYd0XVbUs~t@&y2kS?PG!SK6zqCPBdBdIp?4Oxs2^{n`NpD|@^DC6@>1^qU;M*IC9A z7uR(8MC1o^aB9$?d5~Oc00oG#Z*}L@^cziToaNH-Afh3wz~we(DF$svEcw{ACy;|L z4U!d*ofLDGhM{SAA+JX*1oF9&KzeV9A ze}Eqd&&=lII+qovc_z}p#`{gY9-zrlC%P_&I8olh4^CFuw0=!GK!sLs1TuD|C}a@m-9hq_>^JStBgtTbg`eV`JwT$PPEB|1Mj(o z`8_#VP5~bB(e44Hp`!!Bit1CvM{-$G+zUp!{!@Pb2gUxg|_Spnq9~0#Es>Hh} z0{h(7qt-5=6Baps*KO=Tk&W=#w}J`GNtqFAdZX!QL2tXx zAgw#NC=-_&rVIM)JyGbgRXEH!E5E%5l)NUuA!jzld|7(x{|u)vS=6@4Qa1Kf`4lc# z2z_mjx(SjJlvNjhXAfXdq>|fEl&VZuF_#Db2oWw?hSn$-KwsD$wDp_r(%bxkO6J0& zw&F;M!c9kD{iE_0QeRySPawIy8GJ(gf0L|~qK^M|k-|mdr-uuB7|mviSxANO7`;?! zu>IK@sP%_yFny|ap|Dmj-ZY0i*mcqPO_()lljj6RC!)%7h30cnwh8)Q9-QUlswNS= zV(B@+O{0(f*1x?EY?n&X(1Ka)nwf)XoPPTB;IGuMMp(;z;I}k9($p{LC*=4s*gY#2 z_jaywTDs(I%g#Y%UTNQ5n?q(1Vp4r78c>L4cZ<-!4UT<=@uW)yahs{&!h_W~DLTc|&Fe~pr5(#fYj@J#P ziv1t2lDg~`8zc2JQTOJD7{ndeYV{?m0R#EGJ?L8VTb7Ky71DbHP{C!R7>%BZUimS* zNxu1Ebm4lb6ORLseNkT{^V^XVeFj>CbmpUBXwXe2Df>?ddjo)OrzPDt5iqNH z4$cRxgjHp6C8n#jGf$L|{4&PB#XFUKlHK*%-a=J6$TS3baS08@p0W)gkx}82z87(Y zh)Q>SXS-(ICW;WRqH`G-R{n>lec0Pm7}btS^R{=O%H7H6uUiCkFC32GNkhp~Fxh6) zUx$_CUm1y$HY%c$^wTr8(J!sFL_JOW>C1hLdzh(@iuEivfcTx1yBmIZaTc;{i>bm%(mONFfT3eF~Zy1p~wN_H|H<7kxff z;XX&n(8{f5u5s5%BOXx)z*+lTXf6)Y2uK134xhAPF*$)_7A-!|AOQz#qL7>ozHHd= zZE%XI`dg~gymig*z~JT;gjV1303~7g-qGm#juYUW34)TZ)>P1w?GeU>HT1@fFcQH% zAtM*?j9zyIvHTPIgklU?*Y^=q=vHl@2Y|23TQtws2Bu69gf1e!G>=qyudxi15B&fQ zW6$}kw{>(~oUc2jkS~k59HNgDUtjIuJf&WN)E?R6}7!mz} zHkjX&aRon;-$8O}6%A%kxZm?dWE#8g%)*^W0$6>w;&(wId12=a2n27+21!>|Vs)-- zTJMFPd6bx=f*F3qDT$ow6!fuON2QiR5$TFh)xdNqcNI2w%GrjOgMd(_n*u4?)M=N1 zOiuk|k(V#s6~f!cK(#3NmdpjE5WI1%nlL@J-8^9ZyZ@wP{Wfy}D;7sqRTlIoVrZ&? zO#Navmp(yu=1khaiVB6pLKpCgXj!Rdo=kT-ivx(^IOlu4ZrpW0`!Cd)^OIwPjwNWX zBV0=wA;!y8aPYo`lWrpk91WB&?rX24#<#wZ5B!j;=yzB_Cw4PBMan&=;hd)!Qd_L4 z2ubtM6#z85_0W3V2cK=nuGLh~C}>SOf+}>U2dXSI%^T8JL^U1Y(J0O|&RL(GWZjEc z3w=s*q0i0l&rv5C0A~SEiy05Da&0DHoU#KlFTdk($}hxDy(3Yky*8UrFyd^yOot8 zh1rC5tkHz!@})av{qGzr$K8QsafNISg5;4h9=NQJjkjKBL#iB=DSPjzEkHg& zgE4V4HNN$rA7l&EU`BAExB$deKq)3NCH}RcZ41Kv3e`n^s?&3K#7^Odeb%k{HbBsn zSA4zR5{O;WM5y)E;8Fv=p{v&O9P4~J{y)x9GB>BqI4hnk-kyEZznU@vOI zkvqXsNSAERzaiv7)JM7+{B?3yYN}HE^xtb-ho=O6X9biYbL^Uit`p|@LZ4nHsE#k2 z+V}^e(rq}D6GSx4PaBoEyZ-HWuwbMboj%h5;G0`AqAl5)ay~sPW!_Z7w zbNy0@p}0H1cy1?a6cCSfPeU86C)gg&#mM^;o|{vys{0GnI@{DD3Q0Xz@QVA0qIfJk zUgD1lY4H^f7XKutu=SH+7;T-fK5cC`61A^3fbnmesT)AQBo5osczZwp^ZmT6k}nG4 zRp;lj8CG+3F9}sSc&=M?BnxF8UJth%8LsFF4(s5BbSZBU$Ko-Pc_PhDd! zxBa4sjftL}`mKKdw&){PYghD3W3d|BnI>=r`*I_n6Z3FRUYlEruD0CB877Yky>PCr z4*Fa(z*W59QS9Zk!h|WmxmWXy{?gjUYhQv`FASem_rBM*=SD`9wx@0lsUdB%n=SL& zwXfAlW3@T2^C)T7D}OYwDz@Jjr~D)TO_th#29QO6-~+PM`B<9AtP&8Z~b-%iN7yR2xyOxEo&QCjEISJ?phC4YO8ojuqSm7|k z-WWrFTWN&3li{{T?&bU{4p{j3aX%#wC zG=Q z%x{UD?v~|qzi^gs#J6it8mW-qK&QkV-0nPw_^T5@M?EVe_q(NPXG~A7Ui?PUGyN@+@{6+Atu1^Z+{!VjvWx1!h zj^4Zfbts>Glkla09Io9jexWDb*Ts`_;0ml>I*2CxuWF#RMp( zm}O%2M{$|TYce3&lO;MU$#E1YvO>kub36`)cK<3 zy9UfsbJM2Vma*sEuGK;=j;Ln_=d{g=tjOF8rFucTrxC;t|7<5ekThH%6|U}ZNTYQf zUshdZ=(~)RJ+HAYzm#+<-wX|tjOH`Z1jNmJ>eHW>R_Ir-|Ae$4RXC_>J{9C1B4I)E zQ84lAH}1*{AGl`QV%n9IFo-=*8@4{mp6n(Nj6aqOr`SO%^p1rTA0eJwLyY3mZZppN z&p)0>J~ot7n@&C-j8k!|fV6C5qumbVoJF}JfB4gfy$RNwS;eo|6t(Uc+^xUObgwgu++57GOu4>FRmh~qMF^>Sy!ynaTizio1>8I@6 zhpg)i+Z9zgDXWJmPrqP`eG6K{VF@1)8d4css|XMVID%$LGRD6( z=3%Yu^Ybi4z91xpIHXXv<35;njO>dhz(!LH?7}YQ&gXVqhM`8@M@wVPF$~>z09JKT z4P_t7b*1eA=f{=otRxTwctf2k zTgOo8`hHsUew}+ttoQ9|xzv5V_7vIQ{t7(V*FemMP>t`;f$(p_-x28rImTJ!llrjU z`q+5}uc?pl)LOF^2+n`+qWksGF%v>Ag_hFPY340R$+`IGK7WtjM`whGRV@$sJl8zD z9Z0b>S};E94#l!*R<^i2ROGtF`8P*owAk$6Dkblq7{cl@HaYUyfdao$%%T0uPNc+nOKpjwWCCs}!v)OB7k|nrz|6Qq8q8MF zR!Di#$&<}-+Uk0e)TpxxX1W1aye`rBLd|+ZSlFEvNu!E0?=ri+aVa!Lf{Y2=$u7Kj zf9=9mvuGNM8whamr%XtJf8rFCm-MwJ@#~LHD7X#MFthiXmECYd$jGZ-mo&eX=UaXI z5-md4+yDqFgUOX4L0{>fr(x!id>aiv46eO_^pN6V+`6v4q{6sV`wQV(cANksKNa&- z0Vv-zebZmC6+W%v>{lb{MBDFHMitiMmjZ{7xW<&AAHGz{Ki!^ocb5{nH5$Hp)!902 zY^bMR;l}VCE1utw_UHO!^jjQT2f;5gUqcFpQrJXs1uro4=okuXyhc<$nbL)35?=9r zru-d0Y6iJ*#kx!1Z^7}!N9rvtU=47wTPE5sdC@h|vFnh{F0jgB^!_qR{@n;83~SoG zUBN%Q7~Vy(hN=ZZL+8E6q!cb_o^}5UyROsp_2>+KG{4)cwvRJqWuz>n;9Ycdu@8L2 zDh9A#qHnCDBMGq%mA#$Nk<;%3MVhGyogxVJ{`8 ztn|&@vk94rT@jePfaJOXH*0VKHtVcQq@n5W0A@ZtZ5zD{7>%`3|3UEdwRPLy<{L~F ziv1bqF~grXiSuPbG-Y#08_?ge*7E(HLaQspw<{;5j0*0&*zh+`%IiZ^R~Q~?XXpw! zb95p)j&c6fl`QdZuiWPABbev?tQjnPjj`s{+-#NzL)g=NJ#e?L!0VGzb@O1SxUbI5 zXKI1>B7b^Vvh&F6EZ=Tliae|ubf_gN5_TRO*9jim^#nwMa3!{g%B1GT*Vv0@NpQnS z>ZCmnmZta-HT?kDWBSA!1P-`jzLVbrP*W-6&!D%EF?@652RPDr(i<3yg_FW;ze$>A zWOG9j$}YB-(xmh)y92EP`ri~XPDoK}kYB*OXH@+;+myj~JJ9b_h2hJKf1PQ1iM9ty zybAhs*R^Qgr04thSA$frq1RhrSdY9=_rHdIaWD!6n$PLW-*XRLgDD{e<1!2xn`oq{~X)E zqZ!ZbKC>i;_^|4$=LTMA@!`IF?y>jJ$_HUrf46@=Eq7z%MkTjM3x% zs_qK{C7tX%%xbi?jke6?{YFkVw@{5BMVIl@>&|<~Bwx>*Ql4VrU=_IfjyIbmH!spm zfec#F!}J5FES6k;r8ai+mkD!Wa~CTMZ>n|7biB2AFo)MBdAN_RdI(r1aiM4m9@zIw z$_cThfRI+;gd}GLlJ#2s>UM(*eE~;{w3ypdW0!NB*UdJ(Air{Irj1}ztbZ2a`_wVd z%m*Z75RaA%N!cRsA1rv?aYB9MWtmO?KhiR z;v}T}skL(u@afZaGds|__@Z!^ii3D2>RoWh*AcgHic^{gf@G`;=5)E_Biu^odj|{h zt-9;uigrSNhDsn=9f7jx$2;nVbaI%|pQP!J%UpsHxSxu;6(m~_ihh}hYO5xx=G*7B zHxq-F?I98H;%khe8$zN}q0H3_*tk_nP4fVUar!3gCo%3);>g=~2*c~sTVi>w5y2lB zR$H~F>ecbb$=lDl=gv%^GuHRzTv6wO&_b!(VDH-YZKt^gO>#>T>+1eRw0t!zA`pQ{e3#mk+U|FAqB$FFr zkmjKQ*rMl|JerZZnEI#fy4|>=Vl&lcPFafXn$zRv`lcV#k zp2Rkd5U2>w+*B-rYP}8UzWA|4IYGbrM@4AF;uUAZG8zsP;jW{Q4u7s5;kWBrSLG#h znji)KdW%c>FK%tA9bvD)^FiRFpQ?U*>vR=yrBqt#75y2oJ&Cgy^a)bBQhZe+&w|?s z%%2P@aEw5v_?Y|<;6Swt06RMc{3P}nBS^H&Hy=aIN$Vj_hQIBuB8h#i0icQW2dJ=WsJKV+r#g3}+@PzZp@Hlx_f*LC1q^#!G>g0p`v>1b zNFL^1t}E(U1IYWS4#lje3S1O|TL?~^p1Pz{1{RZw&N&>#u$=~gfZ?ez%-8pKr#LlB zDZyOhc&=YC;dXOZa{)X1TRtw@->QgBpxCjfO9~%|x;*J9$X^GlPS-e%&ogkxL&`a6 zx@QX@WD=Ta@|&Q>{ho=5lt?YJ0kVaBEcfQ)AM-dqu+ph)443dXr`gA|OJSju^#wCu z4p0<0_3T_;E?|5bYLBxFTvkAn$Jx=KMmPZNeESd`%xC8OX{_yg6%JOUv}i5v#*e@# zAMZmyq0PqBo`()aC0iRO(! zbb&$uiw3Q@1(?pnCTts7L~5KUH?UeL!I=hJR1FIaBXCr)hI+c#A<>y|<^*KpfB>J0 zx4VZ%k(THLb-HPb_7!<_*m_}?6WAA?lH&Tp=sNL=+~MaEM~VFGcpq2{nTea}D^^SA7+{>fc7n`C<0<=~jGT4iyG%6qGaf>+9_Q?)t|!Z#G%)+QCoC{xa3j|9|V-~uRkyA)X`%%9#pnRcRcYYpt-A^H~C)A@0ERB zpr5)&%PCFMf%|{vF213a0yMY!5lP}LzK0u$x9B%pulZ-G)GUTgvioCg4=R=aiv_@W zLCu!0chUDN`9=7GUc$@}m4+T-?=zJ1d@$_RzNZgh-}%UN*0}ns7d8{8UVq#!^7}LO z!j*PopNwyb!~O5ZJJ)2QWxAC>;wtTl?^?WhPd^+mSp0$zemk_GRrwCkvI7$=`dszO z_<16a*IxA|_offK{!s}emN7w$Gx-OWBCvhN6K=h!zG4k1D4JD?ex)Z98>+~>OUkM+ zo`1GFOJ(mqqel{=Fq|XHy?F&zBxYPkUFQA+rL7&6AmaU%uiSye8iTZ5I(RfT(&z3H z2doe+F=JI(cmm6A6DUY#*LFXQ-eg=BXoA|8;C(jyXw##v1Oj3m0}$I+Khh5Q$noxi z?|ej<|HlVEk}q)Kp_Q=U8fe3g$+SH87Cf2+kyqAuG+TnTAiyz-vvf)Y21fZGvptfq zF8hAwb;Ry!Bcuuz2^#spohA~Y$6yaS@?k+TzZ7H|jK7|Plh11lJ!??+_2^e7-1Cvz zYI3T*&T-_B{~&jBM_|`6%fH(%h}iK3b}VpNq(7Vo#@j$Ttz|)6R^WtuXr))@b-JHl zK1>W~$Ou7_;*ObqURQzV#u0Lw22#a+3EMwwM~7KygR8jmqYbO`xQfYv%Yq>JkkCGnyhbyY>@Q&Dc=ye_?y~a%1%2V*h0>~SGa?bNE!lRj0 zEUz+k*)gh^WE6H9Dhec+z8ha`{4(kf2*#z`*6zQkWjSz6ek8Z4wM@tL8h;dg1_!FN z%o6=RLQ>)W*#03jm%xK+F9iBD{C5POW(#KuAS0j4i?1|ro5Zi;NrBLi@9;GTYrU%q$bb%Az#`_ufmUAwF2DD#W?5B%IF$%ET)ZlCG?@9Y}HiazJwtra{aSZ|s>B_jVxYq|gR?_Y_2L=O==FP52{0${!l^}6Nd z-Yu#9eRcV7)#fd5QV?|=uej*xwBE4V}Lcz^9bgP5=PaX(#Bz68E54mz#-Uv3VH~#2&@1_Bw_L0TggQ-$K z!K~j8v^vnKSjr*&4h3`&I}kDs_$WTL3gLgUa^@hVb8A{FPqF(=@pVR?SFZ& zHx~eUv?2o&WV#<$MJ>ogm*fMzKCY6uSae?p|4C18Rl)B~m3}d+cUKHn5C4Fh{P@-A zx`ij#o}0Y3%n(dfCj0q_aPuwO?ay(_XKFR|W1oMz3wyUtAZXv#yV!E8%onf+IqkbC zT-BBR9uT9i7R7FQ54?HuWrme9?fq&G&>S_{k0*$I zCsb*{wjfrqJ#dRwqojslUYxBA>_yCWVvu8TjxsSB$GicEgsb85=mtpTrC%C9a@hR* zM1ev%U z_TNi3?^dPsDCEy~-eNaf{&EOV^0IMpc~}@cU_-F!5 z?lg^;?UJ0Mu=e7_+3y3Yu9^nl%d|mb`rZ3q-vCXVcr3eEuH!oFZ&3>d@o@+}_KDDS zS7zBHlF#?>MRc5N1^0(G&O^c0zz8%OO9gN8{Ld8&TF6QOW~r3OXe7+OL73fe?Ytsw z6-d01VVpO2uXCpg*bSe+6?R$sf&7^Zv2>qEtP$SeGQWNK1fI{sKmw{2FBQy32U#ET z8o!=3$?qm3uWNs&E)B1M)CSmJ{^1)Fl(yh1CK;+yZ4&=(vf#t@*pGhgu}{( z3L@RGUH#-RQJhmp#voq$wf{WW!8$&baKn98|hm8^ZfAYQd8loO21IQXL&oECmfz#r~S6Q{2(v)W*WoCdhxG)x`bES z42S4mwfP& zS23WwcHsrbzN}ZT^kO)R{!QOy5)7HdC~?FP>Q1pXw_x8$=)r+ zoBG&?u(Ya0W+Jmz50ShIvMefPvB8+cFVjcChmK(QzjSo&{2;ub$8LG$5I96vlWA$6 z-92SM8?$|Q0N`3vlJfm8<$`t9%=lLvs;m58DHB(}?(7;au*$;zehq}Il ztwVs!s9b6Hd0FH4e)XMR@xAS+-{TWlK5CCy-e2((G5!P2w3`=`)t>Dy&HBLBuy~~^ zNR77rr{J_iaUWNvppEQ=#EhY3>|gh*`9q=%&I4Ip;Df;I9pg|3^!(~+td#ww5{A6z zzX}4F$v%81XDWZ*h&N%D6L98rSKH4Y1G$k~DLmy~TTu@uMEXIIZMpI+t6~3il){vc znh9)&EDj0-RBP>P(3sl)8_$>KWn4q))1Q=Oo;MxnV;g zVD>{D+ATo{{@%OOfl@>9tyAN1m%7hsEZ6LTn1A>rYgY0;M9hbw0UeMU4_o;#=K7}f z^fkOMY4-9yLJf$gk+1S=`>)Hxd;2wG7HY+dQ;J|xKQ#NnZvuRk4rEuKuREKn0nft$ z|GYSj$Muj4jAmWAng>hCyFM4nSiGO#d2y$JHgs8$p=E&N(WSpT&z_~PUPZhHJq_bN zY=AJY>5leN3F=Sh^&q0k^I+`mik~Z<{|PLdh?4`J^~Mgp)(rpN%QcamOKXPc?+^Vd z+%a&dZhiFeozOggEd;@T&EJVfIC)37k-;$J*y7|NTt=V$5`UDErNUsJB$8yH6gxKx z+C*KCJ`7Z2Z_VDMgs)9QTQzEjXL2Irlr0Wf1BjmTRz<+4$4amTC+fc?>IVN9kZ54t zhVP1~SqoT zDoGaz%;EUlePpSSR((xHs9%2CA6K-bY5kN^1E_=t7EtekODHt=P9W?de*QMU(#Y$MdY~cyp3~wMeg?-Z`?3-rg6}QOY z6YmT7p}~(qFFp9jz=Y=+MW`k2Mjv)hpjf_uB9;Mwmz+s87P~V`nj-KW5O8pQg7>XAoj;7pBYYqZ3YuK72*1|16otbRjx@Zw9LdQ8K8LrlmNJC(LTdo;_8b_GDf++IMJ-7?z%s(9% z0qylzhpQRc6sVx#r#O5@8iFv0JUke5^8G*RhCl#xffUKp{!^b^pn=~b4cv$I^CW`M zaq!Wft$+a9UMm~^rq*>N2vgHz4@&HfBcbe9QflU z(h^z7qmch^9;KoIrmeF;TP=D3P~J}f7~+rX2bbgm*fBI|YV4d+%K8i!yC{$QE*1%G ze1_}f6LfS!p`IfPVKMpXleobVS)yviY9FXKZ##1(7LedAWU`Gzi(-4!Ywf{ltd82p@%`v61k@3V05Cc&)F|1J{u|$-r+1%mxiG z@WZCJFOZEr-0KiJXFXTl^5l~ZY$n*-5>_r{Q2M z&Q61L7>W%|0hirKA@m7=Pc8aLT-t{y?7^}-Z4!NW5)Hwea8)J~M`Rj=2l0Sk#3v52 z!LQ@!;O~g1d@?^cl=K4HaZer_1J4xRF4s@;|Gr@XTsZD)LC@!gpRjQJkbyA3A%xuE9Nb_ub?x1wCs`_2?Vq?Kk&5EF1kd^DX7pc<<9C>bMhwtA zzInq1|16w74F4{`{U7J>&6r|J~{HpFD~n z(o1s3Ee+E?>2ou20VoQ@ny5HupcS%Va0HiA!D)nY_{t0 zYtbX`J`iC)a{TE#l~ta~{>$~UA(rnruex6sE8k9`dqOOEdN@~Hpg5dMEWFjjy##ERCh718t1 zWm!^inbtjh85X$XtO&&x&dJripaD*T20YCJiO)XO3Ppk@7ztrK!@_8Dc&7Pz@$lnk z_bhs{VyiP!!5(p(g@&q4%cVPZxB1~O#lDt5WO^b z!P!Gz=KmR{@DQ>z7=q(oL2Lb==9!auyulbcnu6e@N6(&uUPy?zfi#m63f@pf=|yJ; zvh3^X2Awn=G&=o1jot%a_#{^yO$Lw1s|zpa?)Ugn7(5fE8@Kl4JO1g!a=8ENHn-x3 zFd_VBpge|wqV-Wt6lo?lJZMw)ECaiFxFrWqp7Kf4AyM~Fqu+!t+{&aVu<%mce-qOQ`X1}y%DA>#7JoUyY>;X!$?7?|2PS)EDT(;mC@jM8pFa- zCs`Uk()FfJA)pOJ@i_?3Bxno9!`5T;QG^At`eljZDV-bMT949;fy@-1t|7}X?4)}G zAgYLibLmc=PMKKhr!ntTTO%Q>04UHt0S?W`c?%sq|cOKVGe5*3}LfRt3pQX zznPF3WFyd?boFG!u0td@zNXtd`GmUA;8L!#cZNb{i47EQbzV%Xuq)#yTB(%$L_F9^ zYBoFLG%mkC?tE*AC)AbXs$4kDp_9aap2Y4n>3f0rr!d^n$=zfrD$#Ytb7g zt9dM3akm>^{wy-X&3HZjXLqOtcT{#Xpgn0)b>dyP^o=`ht@R+PQvhbK?tY@j^K6SY z{H*3%&?NO47_`EY7mpVYHuO8VY?gWf#mO6U;NLZA03uyv5qHJxiUF!OA@%=a?7QQ+ zZomJLcUhInh@!VBGqO@fMkzBRLS{vhRkCH4ma-+uCS-=})sVeq&$7vuk?}p(TixOF z`{Vb|{c!8$HLh{4bDrn&szrdiUmUVB?d{>2WSk)55TqDK}5Mq{_w!aMV99qBclf+Ll6~xbYo=P4m_ze zbBEe`mC)km!J&ivxR>-texP)#5uYC11OI_C-Nv_KU;DbI3C=z=4N{Y@2kgJ>ao8mkY7qKaV zfd?cXTQ0pII?pwQgryK^Mw!2&+E}MzVqj6pH&z_^4Oiu#S3n%`i%5sbF+b zHOmj&+e`VP8&p#{;{2wvXtAa|%GMa~^3vzx8|H4~yhF302LaB9yoIdLhJpC|lP??x40iV}c;P;hhvlU0lpexfh8d3l z6smQ%gfb%Q+@C{gB@_{!Z90+JDuJd_)4af{*XlCE_CF_zz6eXve$dwk_x}1~*u*?a zv}<#q-2yMRjQoFIF&z1(CBsTo8++sl=2L4@F{k?zW}m@rqem{43NuBhK(BofePP+# zVsD0X`kx*WyY5~?eS+agBfN5ia%0>6n=}_Od*nLWn9_CIZhV;DScEaooe@r?JCDEvvI}AfPm?PeIWvT!38O13OOJIsZu%ds4Wbpve zb+mIu%&@nj>-EViy`1h3F9~;ToKgSgFZ?0QpUe}5#*GQ0U{tI! z!&tLYFe+$lUt4Kl=NaR}RkH z7-b^$aPKY>Fle^><4_#EdEvT}fpsgZ)8|z|zjw7HA)^yify$fa!r8zvRskg4;7!=` ze{1OPPh#MS5!4cF3~YG|-z{D(Uix|5?cT$akl@8N`h{9P}l60dw?2(LHKwV3a?uT=s>r7`uHP z5NX3-4S(U=7;Z630w~Sr2Wp#0?lKpH&eryD%|5iBmFgks*mHz~C z5kwS+7tEyaJ7I-Z4YlOjwcafO&FZ1liMV4E?gABIMK?xt+xrpbA#YF0i zd*v2jlj649H+;hH2qZXrPh0`_#XMNW(UMi=tT0#Bn@=_d>)+~(zWUeAua}##y&|!5 zW0vU(#-TriP8+iKNFotDZ;6nZs&!g`n&kveJ<`3J?Uvg@6Wf1W)Zm1Ra z<5LxVHYgR)(!ITD9c;|~ZMag&qmdr%2Qdyp^>I&Y+9}WG;O5Okgf1mQzPfr^lLu}< zW$~%9WQ9&F1~!K^Z(oos04hklck{N89x46ngIkH5x1KA2Ti--gb#MZb9wFs{3z^&(iGPY8=Gu5oTt{NrOw< zO5;<7HH!SKGS3|ftlu{9ObT$znZf7uxJNx9@~CdJCwnz%QY{d*%?Lk9frDYOX154J z;K@_>>D@ik;f0wE`?+K|w{0oK0JyBUd?tJs?)N(hIF28}8nBSoo_lmWI0AQ4{#*QC zP~e^T_CXEqSN)`^wpF}+$BSn_&TijyVi~aPBIn=o<2Knjd@7IK5oI@tr}C$;|8BSO z6Zwn3nC-9bf0(UQ2%g91_}~VqU0-GounN4`HWd1bV96wQ($VnXR+bL|$4+Wusc8}d zVFh9GZC^;V1x7tdp*P(^{>%hJJawP)%LRt*R^Tv9@YEgLt3eP}((RjSn5h(+Rdq>Z z$F|i?v^mi4=OOGx^V;Om z1{m_6!6N^YYaxS~6T|AdlxT)NJxw}w|Erz#Fb*pH?@AXj^s-;>UKu`sRwDsEmGR}1 zPibfgYK{LNlScu0q6n6=B)G)`b9cIu*GC^ew1=sd-f`QPJ&}NUrl`n3v#KxVQqoE$ zXYuG!+3cH$@|$tnKCKW|@8b8PxL8xH5YHn;_<#{r@6x3|nC6CoMxTP`Dgl>LL|&l5 z4f(bA0gth=1j3UVuT8&h8-iYl*IkD;aOFTekA%YPvNt^Vq3izgET1>x)BkQebpir7 z0S!S?)LCu@f(9y)Y#^FQ0m+Oc*$}kE|9R576#*ZmAV}et1-;8Dc@u&o9a-id2R?*z)*(k6+V}RU*Frfw zP82~x2}d1JA?v6(0IKG?PMmtW!p}vlk6>ku!tA2-);;2?kI>Vv!U55Nkb?7r0*4fx zzaU>614Sn=fwh!PKfLGEqgPbMm1HGto|BME1>t!_um&qWx~z=v6ed%l@MGH&VnDFe zK7rk;sID6VoZKEMr|5_*)jF>+IoITB5n$PixQM2;9Tf-Fc&ZA20DN~@N$(wB<@x*L{bgZ z&pl@sd(#9F^UQAied)7zexHc+wOvYT5=HT!i^||T^+YzkJ+W;RPQu=M7$5ALt83eb zsqYnwkmgb-gyIS$C(HtV!$OP(r_jI(XvJ4KbNYQFN25;?Xi)0i@G;z+QtJq2Us)uc zN07!FtFMRE@STqQ5agZP<{=it!Ri)BE*gk+BdJ(~pkEe1Vp6KE+X+effH+)P3gR`C zfoim*$sTS*`qJ2VkHE0|Gf$znSmJPeyYu?00kF`xazj^0t|V)*LgN@6rTN>b8Nh^v z#Ot@n(TF=17~kdJL0Wh1w|UKqiLjBbV|W$M#)Z4-bglp-^kl6^q5(p{qo^#8stT9s zSzzpu#y{dA(!YQm@dzlP6s5$! zBHX#{yxD+pSUZ9$c2Q<_TFACRUNW&VkW_jXy=^#9oMS&JU3fb`4*B<)W=(UAHfU-m zJ_7wejg)2>yjfi{I4+G&=5e-&G5R!-$SmJdQQto?*ouA z8=$5EfP9`G#@<0GPs}&Th&kfufdv6a-p^0AxgWSVgi06#?_|ks0xc8Mpc3~JCv8nB z+S7N!ml|Hg0<)H4^PmD zeMt0hzskWg7STgf@+kCpBt-}W-}Y2`@g|U2yZxR5RO+e_z1(F;UZ9%BU}$fw&cZz_ zAVTj4OOOr%7Io{^YrEo=q8=I9ls^_5CeY9}X>z&AKz(|QTLz5J345Jnuybc-t9Tt- z?m^k`N+>Hko~QMaWcbpaE==UpaEX$j+R;gem!ZzvjvOXQpywy za#>N_L9I&i9l||vNq?Y?2S@7Q>x(v;sBNfZ7gyhzJ3RV2E+wCzdAUqb zgC-{ce4GGO4r8 zz=2{1I%s~vHAQhum+D-}~2SBDz|bt80?iy-3?uxeZ& zw+L(g)E>55x*ZutH=1fW3>ub%K)%VoJ1E?(DAr}cU6jjW>kcQPfCNs&oxCc4K4LbO zub|_S^x|dX8!1T`sBNrCVSW;h(`a0zv+}<|J#Xue;4Ub`qRmhXJNTC4wQ=h+<4}h_ zF-l#Eh=RTNdw8CnlVTs2^OA>EM6OYT?$rLBUh21olASXT7yT zA>mRYmTrg@jSJUZM;vC0v7{_o)jB9sG-hEfY6JjX8lo6MAC08zs8aA7t7eVn(;8eW#sE1%PU4Yakd7# z6&YocvfUE1fU}J!dAMkOHD!3uuEx23KZS~Y7Y{4F)brG1}Y#+A^AC)r`@xvY5F0cDM76^ zOBQ++Iz9bORxi3`3b1y_H54%PS!gv^>;tRx5>y~!s7RXIGISUStbo!nYyl^Z$Awe# zjsnd;PvBGiCJ8nNj(l@8_1CfA6->JU zMNZECPU4q%g$h}94 z$uBtEHk|QnvY7!sor%!JT*j)AY~kID!%C{{HmgGZ&Kb%eDvDMJJKOxG`JJjPba}tF zT3t_?KYw&G<9N4#QHaJ@91!!L_jev~2JL6Rd>9sp3*XViDDkWFoQAE*tss7g0R;SMu(9Cb7kC~HC1->%lrRuy z&-6V!@SLrsr;*>dxeTUfFi6*ie|Xv?XAWWy4=2eK)_38bfTYX>txWb8P;@gHIh%vR z%WcB5Zu#@AN$42j3zcUs->i9K#6#F34CMmSI)Tj>Fe41o77J+bd1(Eo(YJR2`A}r- ziU@OvrEk@1{)FMek^+Km(f^Q{|32~yLKs$t;`&86nBu}ioWKB`_u9e6R{&MQW?G8Y zJ|x;kh`XbPv2#^cDV9K9Eh}1^=V~#@ePT5lA8W6AOhIJc-)f14*mZ`0V-Pbdd>TWE z+WrWQr#_f=OLM}c*l^n(>k{FIZeZ-e6NGi{V_MIS#!a=}@REiSL+n4t{vg>rxL(J~=p}$bgqvXJ@-y>1lihWSM|HDL1sw}NA>db8bj8M<&xM}2G z#2$KDQ~8^vzVYJF7M#b`7iBtGoq1@v_~OE&8Zk*wv5=at4$cRSQZ*C!#4Af6(}5w) z?X+x^4|U8QPpj02Qr^i+Z>TzENJr{v1-fmc41@K{9^rY|e5^@_!(6kT@dbDjH=DxC zgH{ot!K%i|?4u6#q?gb{cG~jiJ#42jJpAF|_>Ee<^B@>}KjEKB$}y}YZGYHTnk1nB z%%wV@zWNQAC}D7>;E1RIH$W>sv8D@*Zl$M8gSO@J{nno^Pfr!^7yyDD_ia)6AY0KG zqf<1bTY?kv7vLJ-dUK#lhFcs%w+JpAJ9NfjEenUZZwBm%3=9$J9yaJ|+%sG`0LHR? zG(odL_LWs%Is1rP7-y47?#NpmjU~Hh81+O_LyImq68l=0wVL4LT~^_Fd*3K8g}ZVp zj(xXXimLtuHid4F{X~&=E_59lfD*lNQ&GSaP+t&fjR9qFi*I&VY5kiVdLE)Vbh#7%V&PK-qP<=)Rv zQ5J|HL&WBPs2UL>i`~i?iO+5g{{%tTanPZ>stOY=!cr87UG_S{svr9ay0CD8=f;1# zrQcYmG0HzfTw9ENaFIFck{|0!0*)GYp%Z4}7}GXO8{ffiLI+X9;nF>0J|Z4eC(@kG z+RqKk*t~m4sj>)mG*O$#T4-+u7ZQ*TM>;G;+hw)iX7704f26}djCJ&vT&JA1@9^o0 z^%oUK0%0}Gj_f(*c*R0`GNP`CMnfULC~b}Kq|@dP5Gw|an_HIB;5~{%DvDy-(RC?e z^TSUie4SJUAcQ7)FBmMsvL5w19V*i z6|!$FiBbn^Z z?!u|u9?99UJ(#XDdV#1IJro$rT-5+4DoN5hFS@jRWS_M4#-}|X`4fw`dL{q|A<|hC z!g;gbv%moV)e+yG2be5!)F=ebo*bf zUvJ@yI|)5GXdsuZbXh@kHRJI8sgKEas~lHGBf~h?d>XilziVdP8waPj+rQ^p?>C_W zS;Aw|*`>6~7%wpa? zR&o_Z@k6;l>K*`v=z>Wj`vW7zYe**rUEfkh6T|XOG!3v#9Z*3HPhNDK8pQ@(XD+_3 zY4r9BQylEqy(-y?ZAr1T8BJVGm0W^j(-Jwd-#?6l?8y+rHse?R-8T8TkzyZsKIz&k zwcmbNi++O4F*{woKYQ$t70B9018tS^(LO7O_Uxy@Mv5PqT%v{eGUP?Rvxq_khWd8m z*pY=E=d6h-234wF$I{E&LRfDgWEH3PvXbk=XB^_b{%}}?xP+-OTq5kOV1s zO1%Tc%#IG!7KPHJPPPzwco{0JH=JFKF%MbL9T{?=-;B865yT*h>nM$s*l+P&lykCm zAoeMT*CC}ZFj5rfx>f+r`}1~gjVT9IyBDy8Q}0rs@e8yU^g1|j>@p9bx|~O;a1bG7 zMr^LEv<-U@Q^5oA2aj@8)~?%XKY>PTS*HAG9l0H!JeVc>_yfK6!Ip5h%dgKm=FUy_ z^h^&4bly4VUilP#2KNDh#_&&|(e8i7o_sRsCcD>8ueWkRM}cX<$(|0CQ0sdomW248 zvsc}^(EXSu#P-n!_wz5h`h*B*lsgn$A(CqE1v6=QqGpVn-W|;ds1YTp>*ahqj=jy9 z(ILGv&{6NszPcRbe;=Q!_B;P$SmhxC1@~L{P;>iVT{gP)>%l^!Qq+|$bF0U-t5o;OLf&;*#-0@PStU%+|FV%1k+GzXt z7+mM+8C?Y3Y9;7{jC@0cISKNQ*ljRn{~F)FI@90ucMpnVetvP7EHFGV>|f;4mT~W` zxVr~ut`r1chAKbdWMM$H8Jwsa9*uRN6203q> z9q_+gLExri6ZMM2bBIFTjYMbR5QPwum*AN5h$b?CX&$isHecpog~{Z7L6^rc8gelM;w) zGWC+;e*V8@+D@c|t&Mi=gGP;fMz4jr59ru}eXRJm->wZx_}`OFst8W*x!Sw+jAXpvbOl3|=&`$W);mtZcZci* zMI1Lzo%<>6JNIOrM)M#FiH4d)7fk(F=?ORTu$3Y7pDIOu=yB3lS z_AUm1D0hn3=P&RkFVp{_e*aks*aC3*8-%i4UvP|ve}Xw5fYxX8R_iWc$xsnBz3H&u6TZ~6OD`muCM9{{luKM~|> zW6(~tI4+KHG_>vFPruVA@#98o{!Th8hn%%n*-ON1w+cYy>w}c$jkV?H*Y}KsP=H0B zzy4$BETL`Da`DkW41qsu;*WAAPT87wJlxImCvume`1K)YgWIqQbLFY3`Fc?O?_wAH z-&f+XKGIl$BZU1I_$x|)5}OLs7L+Z38r2(kTsbe% zo>SG^uTtl5Grur13>quWfeg`>!;A*m3+e*`pejw$oK&mBYjDGmlFa0cCFecgQHtUB z?vuzj=M`Qeqx-uG(7~gOQm|H+X;)k#ffJg7w1GxlxgUln2vYi)<4)dRyh8&`v(*AD zU_hS^TFIg!R$BL`OG2&Yq467PZ&7<+p9KO8&6vA;->JgGO?6B_!f?*?y`f^KfezCbhCr~_b*QipF+8D2J`&so%q*! zECw;Q>#w&b2c94*U3QJ!^JVC3taYZ}`&@Q(!f3*Z<2=?}Rp`DZ3{y~{|(D#NN?Hu-+#mLfyTqi)y6bVCJ=EVB@< zcPM801z^3dLD{&j%ffqSUYV`u%-wxN$Kp;}^h?!F2lY=UJ|y!YLXA8kb-RZe!1Xu| zja{{GnT}Dfp#Z^n6~5=H(vqZ9>@!piCI`w-YIlf$O&F-Zc*LqYN;envJ>)yW_6yvZ z0O$16fh;h#KkB(w3?WH!CWE);^togxiB^06^+)}?!fHO!xR53wy+*Jy-BjdtJVgJY zOZhzKyL6I8kDGz{?+Oa12Q{X5^{I};Pu`+X=4J$Xz#>|+4uyfJK#p!{HV=`3G$o@`z-x-JzlZ5uX@$CtB0hP zDfBbLFCQ#GvKIYE1A}kJU)4;;BYRS!l9q{Wu=j9SmD)QXHu% ze~isdsyZ!0+g}6J+6P=<1+{8D3yM%BN&OkHmF?8jke~XOi^BUC#fg4@!q^XJEXP=) z&XvwA^BzSZ=y_#7(iHFa!yp*S6tuSi*{>`nP-nE=eD}d&_hf6Ml4q1CjQ#c@pZ){) zy}>F))3{Deml9UAbgf zxh%V(%iHsrnoto<&ohGu>wkEH5mdW!9JbJI2ozRP)SdUM%d(|q$6jG9WZ&MH+7glqwgVg&j@>8NotHvdmg}83(q>2kTv^C# znYAA90j;ve(63-7$FSF(H1~!h9@EmbMA>vf>Z3G$1a-M>kB_k-B|CIV?yD!AW`tbR zPop0?v*C7p*)tHr+Imj*tgmGq1{Lg;LIlmBwydQ*5ZOlz+d^cbT`Fup7eMbS$(DpS zIoq(@D7Yn>-ALDdR_aBt*8F^|)9&D~TNcl3O__MOXX1Vd)!vGm^K&2<{3Q_ZJ;g$udtq}REe;#`v&ZIajJI=Cb`};_Cn|o1QK`Qd#(W!ZyNw0Gi z3bg7CVi%wSbbjvFT1E(Cj&Pr@-Z~%~!YvMbk-&U_fFzBEzvnt}=K?@Zt?H$HP2|Vb z$@66~*TEn^rca7C^gvpF$$8Zx#paZU_dIkYRWDq-fln3qJYG3)8ekDWFg8UdTC^(; zAkcPvF$<-leM~dk-YV1VJ`EMK>(sPq-_&8J2w5oUXlRvf3 zs=?Vp+H05n_ovKv!i?X<%E=Ig+8RFw3jFT%h*agRG{#<(U*$@kyV)G&0HqqjB6nrq zU$UsLW zFtv7zb*Kzw0m+35R4wD$t=wQ~uiLYJ0Jmau%fe*sjivyw9E&9xJI!CfqVd50csw8{ z&D3+pOTUiPaMKx2gcvFpU8djdkBS`g>+Od~RBiY8rB^3EF4TcAnKL(-BhZo_IyNZA z(>sdlLg%msfc;5C?+LC+*{}}ROTVDypXp*IInPzx^I*8zXy0aUhrA3J!@U|(&x@h_ zf-&aooQJCREuqo4D1x!PP@!bq>6$Rno~tZPDSG!7IEOJ+&P$cLiIVKA5^&6VW!4;L zmSiseu4LJK*JK24kUqgN0@2(LA2E9$T$jfm4)T0>?*gfZe7l1!dR=$X?$-6{t9Li* ziqW%q=&5-uw=Rivq&>5xYxgpLk(1J-bxyw8cYXHG%3p2BHl`yUp@S=Gqi(y4u2LV{CYa)n^-_de4dV#Zu9HsU^EA!o}a@>BJF2 zo6Wg5F+?UouLkGHl#5gVI0;L_FvZ?D(ZGB4!l&y>nPK={K77{W>K&EeL-hH2V|530 z?^W|RD~XwnfS*iR;IC$*HbOm$`mt3dQ@4ac0yh$0Dz9>kG>BDOF$G|Iz;$Kk*zNK% ztbblL-lt`AV^JRV*@1$??X^Cal(J$kUNSma%9I-JiocsBa4$>T)14vE4WutHR$>j3 z&(9`w7>=pA6j7Il2yIQemjj64oqr~(lqec9W)V#a@9XjA3-@QqHgvJo-*_~)-!3bf zni=YFX#oA>+E0r+vX?Fn9^Czf(T_eX`XeSQ+VwG%?x4}`P85F#Dq6$~5V1LT`U8!D z11(1=kHNEHPlCMYkNfEI=Ouebk(;R)qFZ;)K{1k$1NL$qP%b%<)C=-JW?gjQ zrEGL}zwB!^s1}cA>G%!x=aTLwY2_$`^%NR2dw@Ls%pNC)+7P4C!}p$l(7ZNS6=dK_ zA@WwnD?<}x`l_)y!&=ZU)uAV7XG0{M)5kIMPkqoowu>U{u@81}N7A8JENM@&- zm<2|CMS7yM{vLYc8iEzar?caA0-IqoDMS>Ct~tDV0gEBv_!X_Up9V4Gz47qZx|#HR zhmUSPB$+M%7<&EfGtZ;m^!QXZ4ZMNRk>U!ZD$dTne42BVn>X8c;I=X(^PVe00&I^n ztZ!5vp^5-ZiY`SLiQn+$ZXv_I2NE@TaC|r;QM8&%N$_0F2}Z>F^W`Y+iQUfa`cKt# z)EghzVmv3y$a8_Q9k*UY)hG;W-yI@C84| zY<}ZUNKy8ZWB#bOI6l=4p3@^_H0at0Jm*>vX_FCezLo&p_uw%~25)VAD%~`ph381i zB9)Hk-1ArQ`JWR>0t`;YxWfbFVq)qheGD6bpBtX@WZKEf=UWVv9MExI$@qY%s{)X* zzH1woM&dcIr=1>qj^5_Ko6aDLpB&c3))aSQt$Dc_g)sxA=}_w|G8cmF16k7 z1LLAD-1kSD8lHyde8i6J-~C{Lcz_$Q@f_ef%92Gu)&VUABm6a;oA%2z!kd;t(19mR zxY#8JQWg@Q=>Y1xbx0{XwN%-O?0Lc>jX~iv0kX(Pf9U0j&ZEsqht3ByZNP}~rbh##yf^=c|K=IE?$K|9?Gc=47dF^RAa$-A0PgwA^W>nVEy&Zp3(DZXMQDbjfUgG(qSt2 zG}6BUCq%G5@E^hYZ}1}r-}Z_npz^@Lbxx1Tv&^N(g=SUruaWbUYY|EK2;$bxcTTaB z3=_QP*SD-BA{76LTRw+t!siG$JpG;a4CCYkVk=;=Xx7g}KHhv(kt3aUFGoDFYZFPT zx^H{DfgbLkCBgE(ClY?PnZYY|9ab}w_2}?vf_mWY?k_lV0{72f6kLiPPX`~c$CVxV zJE?z_!sJqbQLy5SBAU0}b1p^BXH(0zP&WTm7l3C!gb-S0@oNU|E{gVG3IqOr0B+Xd zKVOib?EauzDArpYpGtGTkd-A)%vLPvQe-YnzxnH2#0h5sTz^%*pqXBD zxFT`?_2$&QYb%lkty0vB6Z!(MFZYiqJ+R!|Hph99%bSViU=wrd{ zSMqqUgGUG6a&IygdtQaLXTP%i;2X#gcyAl;8^hSqi*U2Mi&Rvw_=GidF76paX~Sh zVABJ@_3@ko>H^4~mHURyJ>C30>%63tmjq{&Eh-`K&xpEyhowYeF14Eos~C>wA%yuPsbT`9 z?UCZrunEoU{MBG%1_qaNWmy&;`|| zlR?COj!1)3=i86{HTQ3c=|KXBlFb~F>TwGY(J=yy@V@K4cTX_H=oS1eT8to)B8rWo zNeL+8qH)GMcy^PaCVGe@u@qO>BoTF4eTDV>iF_V_&qJ)+%i9?g^Q=u1cxQ)d1Gx$p zKgHc(esjl7CF!avs_TbPtos0`r$MXFF;Ssoc_AcM&48rx%Vaj%?~*SB>vn^(vOBdt z1(l;1eolieY;G|MGTebbA1*<}Gl0a8(?3cbtglb?e!Q?G%zpG-5T3Jw?MAhdzcT>> z8K9sV%2sbof;i?AMyu*X+)2O`paJqdeaN>WO~0T>kZG z>*UsOiRA0MIT1N7GLR!s0scM3VTZ*4Vut{;%*5y#qX}K0-g^Nk%LB1a0Kmtp?-n5n zN_Tn*6vz?gHsI!H+4S5B0_uTsHvkpvT;)Z0&Ri?ON?Wx>EGl4gA}svR;klL(s&hPh zo-1(k}^HM$Wi!{3k#la*!CA*tJ_l|5)GtaXI7O zaG1KxfYFH%Xe-mPCKr|im}y-)FL3PqLq#0y2N^%F6HhI;#%}SW$e$pg-P~XX=;bjW zLQ%nLxt7d4Aj#^q@PJKPnVtzbre&*adeb>Xf;8=b!j?T_KC%=KwiE-v>M&Ex6RSl#e?mSZKIqY+NeYvGbc-BY!VbLz|TsC8-Vk59t z{A)sALRB3xd@4VZhqD@q_Z9p8WJEs2Jwl1DVN{U^(c8ZjLWX?O@HA?ZhElXm3L;lg zZ94xbPr-^?WJVPaW$&c76*)TxLGJB_yO;3H6KKDg(@+Z>a?>u+?*YHsjk)Ua2+K8G z7DN?xZQfN_I2GJTV#0RV!x;hNk*$J#bM5_%xI3i2yXHxM&Zs`xr&8C2c-dJsZ)`}| z`j^A;i3;X^L7K@sDYLzzeIWefBS1e6dJ2cM-C=doahMyvhKYUCK&hssG_r@hI>9wW z{#4tSxE;B);5^%UfFEfpX4Dtyq0_w{AC4vs$k)#Bo#nbo`NWz zMi+bNf};4YJJTi9l_1KTpiyDDl}aH|ET-2Kr^Ev?)6zGn7%&Kk+txan6vKsXLp?Ev z2ci1Rq>~HdRs4*b6*;c`h%sNIReu?Zqmt`Qv$kGjqE?x5V3JiAcZZ&7QjpOnW+N1; zZW=s?T_6*rtY`c*7niycEoA!cO44YXZ%+?@Ey;m5t_yZ<%wEZx{Wh^tFvQVL&uFj& zZGa=Ny*h(Xge2J;9UqJh9WLcxX1|7^bXs4v0S>yXJ`m`*b59B$#H@dSG^9YJkkDLN zFeHyFPIZ|4$n%BJs2uVECf_0qr-2~YVMBM9E&Sp#t|G+EYT7X=wgQek$Tme-O{44# zY)6fG^Q4jV8*v!m&j|~SSyNu3rYHwEB>`# z=s3ZC0MA)j=mQzZUDV&ORr8WxQDkuD%e`Y_Z)cf24pk1h05(k^oCOTA9M~e}WX-^N z9`k&x)i7f}`2}euXvxbIP_1{@9lI)k|2x|H74_UfyKBA{WfB;|=slotYpMFhF@N)x zP`u%3V!Q)Jb%vb#tkX6Q1y@*lE)7^0q6=Cy#?om7HAP%wL+5?ByZ^ka_MqH zPn6u@lCmXf8~nJ438p%`DM3%E2Rp#Lrqlffo6Q9|;w&rkQb@>{YaexjjG7GKDJHX! z^G&xX#@}TtDEQNIisO|VS$+zrbhd_CJV?XId%0R{Us*DqhiZL|ql&n@qz9Eo9?J?- zENQHl$S-v}Ky{xd2*T~4CM0Vl>0++K_jd`&JZwS$b1H?+NRQS1KAH@*AEN{_k^pb3 z=`%$5CQ=Gq-U4W)FI_*^3Mw3eYY9q^d4hhACwtOHZk8(0f=gVnX+t_OEFLn3Cl z2}xq#|bKSAdHD*wA2C7XWR#H)j&289*!fMLNQzGcp<{89u)q^ESI3{fhehFZA zDUm^M;((9zrUpT?wZgN{QQqUigL@=wh|y~SUAoHPpuVQ!wUct^UV4sQbe7)v0FPcU zun0m)-!tGfB&{z%8rB!6HOtB8iq;^3(rDVTyI}y3%0OV)wA$9|JRpHtPXF+`*sS!? zfhGV{xd6}#{88hwVj;RR^mE^7P*KoV zHbtD<)$-OV@ELuWzx$Wp0;K6x2RB7WV%VRspBm17ehz`~VT^hw$xt7Qq@wsx!$k7K zm3=@y;w#c2{AsiB5!^mz81)q4h0c3v`sMo-)C-E2KoOrnu2`2c9}M{<@RfX^?8mos zPe{$EmmbfF3D?R&y5(4zJ%?Y9kkI&YG(KiG$LSb`bC0qovZr3qHxlHV-w@=s+$vXLK@|(}Z&8Rt zQ3B%BR?6}FFOSBBGq!?SMYw2L0CJ%Qh3SU1rzGmdzCyOaybaPj6*FVh)5mRy`$@)u zorCCe6_DyOTTKAozB>Lh$h)?E*bAxj3{Ht(GU7Qvsw-;1G+Z(rbIaGcx;iAf-c}KL z@vOFOpSzCs2TqOVUboBk{XQ3?fs2}mRwO?69sufYC`td=R8yHh@5c}EX@?I

    wG>EdbwA4K$Gedn7b25$BS97A zMV^(i8;SKn;>#ChrplJVJ1X-Oniy8?T+ZPPA9EyM%HxpptZIYwW>VK49nuEz9He7u zrX$yphN`IF2fzeoa3t)MY5FqOU|66Q;jl2_DL)R_d2-(Art_1Esd?k-TwkEZR5jgL za~fzl3PEwvV*KQ*Xp4{l6m8aJEvm`VAxr)k1zg(L|K-v?0o<1r`TjG6>SXK_J}jz= z*MPe7YbCb_Qdu^;hUo}&pv;t{r^lz`c{?ok{f2Woj>5mr8cq=7jO$xo z_r$__u)b%H*c)DOY6$n(nUo1!dF<<_+I0D%Hw2DZZetyyT0yHVXMBKalaf`&1Ni@|v4fr%%_VXJWJ`t{uzglKrpz#~%+(>>Vh%}ET+ESzcmMrokf2jQFlS;ytp zJ>~kl%Ak@K%F1RA$h+-_ibQ@uf;sd0c3mF;rT12HCNfadja8AUQ#tb%qmaoASY@g% zrJHu*SiT!Uu-PdR!`_9L*)L#V3DqiOjun3o3KAB_?DLCq16{=Ni!3>GAN_-qvf)ig z9b;X@lT!>stX^sX{++q^Dzsrj!Wc_OqAs`nSEu|hU-AKUS#I+*cvNXoQ}_RJ0m`jA zObvgf3iUV89m>&Wv9Ai~E~tm}R^;e&&1>C{?*{K%-fDi&Bd&#@@l^>tSAP($44(BN zq&)wt$JyBq&RMMpD9Qzez0~G-^s*=d!$0+Yd>}c=aYxoL)b1_FAG=Of)F-{4-koAv9N#f;_zbNJETd3-x0X+n$nZRKwfI0Go>M5mV{CPx{`! zPX#3H3u7i}mp`6}3%@8bVo+}u51uFwWIsze?BnObgpV!GLS3;kkYsu|9U7Qu$_8gV z#(8~BvPG?e#I`6vIV^MYkpV{%n|(Bv9;#DItwa@yM~gGa~;go`M8p}6j$~im`rD?p>^C0%-|D7B8d70ZxSFFpfW2w zcu~3ul0bF&NO&n^!$wq1Kn$2MR$|4mKOhWs?*HI+l?soQ3fUj58T zli#S}JU}PMAWQk44^qLy%aMuLvB?ycB%`f|$Uk5n4?rP(tGk}zpi)V7BZ2;n{z%vc zElVaAe~Us#BpPVY9TPUT=OJWNE8cek>6;b!Vb`&f0gvhJrBE!7WP%Xa^Lq<^PG(A& zOi0y^Kk^R;fuE$JAd29tVY>l~Q=M4f^!?wbU7ZAa)c_nx2X+&*9_zXrzQDx?y+_7N zK?Cyo@SS4(G_x+j4j#nY1vO{MXu&>J!?a&c&ng0}w=TO)H6ot`h+UzYBw_=>EYQ!a z##TvCIU48;ae61!+I)($hAS%lSHiD%r}9LW6x3r{DniWy^TqLB$hsu#mqx*3`oh zz4iHhDu@yp17y4ARpK1W5=yl)6gnV^zzW1eksd4dD#{?sz+oaF@Ob>IqY%KEGcdyg zkPb|0dZg2OzfoSmy}hupxZ#%*u_?iyb_D-DyBOsDZrqeKuytJTU>edE1%RZLGx{#! zc`Eo)0*Gj!q>~~C0Yp|l@J5o`P;=okAQRV~eX~)?ouWk;@uH3CwOdhqpf#2~kvkS` z-c#sl2ckd(BYp79xi?fHzKgE^H8V>faATNVHw!B?>K z&*RHb9l!I8-g70zunuX$?gDE?u+s0bKNKW8DW9+{BmUf=^XXEBB+=4DR!@T}|Y* z)KJ-p0tiqLp@BpLTmw-%$g%FQ^CXGa{}Wu-M&>3+c%38RJ+`{K@9l1@%@Hp`0a3Vg z@ZxQR+Y?YrjeZa3BmB(lP zT9mE?pV#XKy`TGik#~k5s>-x70f{&YwfXgPKqa2UXw#{zh)Pv?{H#Aa&_NGtg~(Ex6S-n^!tI_9S>bi7tKVpVqZ zcVykP^0pdWn=2Z*_=q)7kpc+74u~x`Z3oOMl9gVtE8q#b-{uV3tY=QD6E8i_F5j|) zKt+4ja=cY(usV3?RjEFME_IL9zHUxOi09LQZ)Uq&!z{aRXMKr%7KOh@`CU0$rh2`n z+Jb|xZs`9SZ%cL*0kXTK*C`7b`)Ggq69$2x3p#9^-9k?X!7U{bg=*endnV7NYg@KgWN-P>xDA6@}lEY_==weOpAt<0M9Bc}Yla-|Zu z3NiofbUasm_&}-V`}LX#p?Il#e2icS=&~&KG)d)d_m_->#}d;M^Dqe21~K64Nt$NN zBnVg~=OT6d_-=e9I|Hy88y#1)M;ln@S>Xjypf@Ijm$v!q;*iv7s-C~w7=k&CrJk_&m3~&-y{C~_}wQ)WOs>z4u5(UPT)qw^Yl9f zg*I)J22(p_Z0qT-5zRhtPf=ao9loEk=}Dv!zl`K(^MWC@VabVtAy6)yS$I<*>TrU} z_-@bYo-o56=U;&~MI*=Vby&sTV`w--Jg~<|rwcf5o%;YOZWa6}m!zd^svtNLoejH_ zz3+wP!CzB-pIfR7fr;m1y)RmuGC&J_s+&;n;@GKHy$4pWW6y;najRN`{NO30QaQl| zhH8;9eyF#w$0j7>C;Lw`={&7m3*rO0kwZEJghb?6F&8|1^h2Dxi(&-Tvt{^&m;jOG zLS+f~EkZYIL+M6(J1q=pR4V+=I;SH|tVp83{6ZCP?Rmit?5g=nqS|D4fh^aAT9}9T z*$!TaQ4_oT+f&w_*Q*sRe`}!G^-XK!*fOx3ZU8RD?qDYFuo^qx3Bk`a?9IZroM4)4 zORhlwK_K0$MUlm=o0NtB>aK)6TE>{Qa31737ngvN-iJ|E4w%fUt zmJ03ezM+#GYVE9kH9u~d$6i{_Z{Tv8_GpFkHQiFRKp6GwKn??JIsKc`_*Z#loYXtkrL1X2jfg0VYzTNQ>=Rn7t4G~bFcv%Tpx!eZyi z=!H*%CawOqS<)zdQHtvOoTnR$?9Y4Tii^e7?H+!_gnOI(M3d;u_oFAKx*aA>m_&!E@b7Y#Y>uz4f_C z1^bg0RS9h#xd$9=Psk&&qqw;0M~wHis#o08pO38F32`Wz2}t<@)e~l`9AD;roYXzT zAjN(--D~M?}6m+b9o;AhYONX2%tK@cL!L6h}t~77`NNCbqrh0eDMI$*RZj zmR(G}1BL=04`m*81@A-v;>~=kc6xB9@4`5IeRRl7T}jmRP|Pe9d8Y2z*& z!WRT}BO1m4S@CF85G|mP$|xwDi{T2WQ67f=;xXDu-)bfmR87o|ZW&;z{p4&JitptD z;MHGHOj%_3w10hfUJs69Zm4v#C-`k$AH<^`;9Fe;rI(E1F_Vn?3o*0%yJ5FBgsm@n ztv`YI>jcy^%D5yta%XVvY0U0Zt>L~SL{F#nf13PneP$~!l|Ek3~sP8f`7g4bu!>>cYJFp7$P zarqK9#QhHBGfR;ff##~a+Pkb2lf3}T`vTAhEfiVNLx2+m30@XiiSzf0_6BA19p8Lo z*Tcj_lol#-vJ7Yw66VX9K1}0IBgqFU{xl%_NlWR_nHh+yC}KhNW>%%c&dG#Hwu2Vs zIy9rN5j-DXFjT~LN&t4?zsVExBz#D6hV5+(SekGj0-fYj${p%mCwlEBCrA#4Z5f)^ zl3)Zl0#5Elwj|CaFzLkA(~Zee#k1P`{4Q)UI*M5Q2np9`rck?rJTBHHFlD~!n@to( zxb_8=06mP#zf&yZ8Q4r!HiJ<3-K~B2TsJhM72mccy9~8FfUUauQbE{dwi4(s!#N3b zYMR|uV_~!9HxpmU+H^m1thW$6dqU>6IJzSzK9_ND0{zYOOqZd?1KaMH2IHA#XC#lF zqe^0Hr+Ms6$LP^syF}82OT_=qS$H5GfrL8&McaP(ZJiu}&fuxb{LciaYjvk@o0V*N zxS}RJ+*D-#0=g$9iEWN1ga1wbeN*}09x5?!xl#=Kkc6H94aM*kd@hH)0MUg94uuxF z*Ga*~{`+v(ouovRv}9(d(UrG!iz{^%E}Xy!D|~2bqTW0@MC6O2_z`ZgxW)Whrns{A zE!)LP`LDB$7RPw6Z60y*Tio!D9+Xv<@HL7Q_*{=YltSF6PxSKU2u-Y5y^_I(rc>k7FRD)I#d)xrr0GmRfLqGH(?ORgitYS!T-oMF4 zcNbHG!%UmfQWU*CpGt9M|04^5F1^tn%VXROTi%o96)X)sOqLe>wqLTiav)zNEzK&< z#^BP`Eh`w$)gH!Z^hBaF`t!I1m%wVPfuFx>zGZdDLY?p}kJmc^gS5*Hjjshm@4!9I zitL;FMuSr9ukJ?g^WW$9UxZUL?CMow^xN|f1M8Dk560;lx70%S$xYvlU55c$X=x=v zLn(#N6|0#rKKkwIJdft)n~}35!_oxSCUONY@3@en{T_F2CRf?W#U=fXS{{i%QrUYZQ&QS*>WInJv9k)VScAg z#`FJf$A9;{3jRyoy8vEuG@`e_gBb|noi!@Eaf^J*5M%53;4|K`x<5sOCB{o?YgcG( zWy?3)c-!pX&2aDT1Q-5adtVw)_4fT=Zf-i=TwM;OWM~pa5=zC1+}s9aj!>x#WgaVz zP9;U6K_VH7gm7iZ5Sk1Ph72h}AwqOjhK#@W{6pe-1FhE)HOi;9HY;;lc+Y$9^sdB!Q| zvqIOt%Va>o{H$JPSaV}*N9@_l92S-)Ev7GdsB=XGk#(+K^b6U+c^k|<>V>b84}Lt5 z?^YC^$jmx2dEo8m?i#yO*fbVu7X9nb-y$oUg307a#TQY_oJ6bXem{Xuf%6F)S?JCq z10Uytl1^%j@bra_R8M7pi&$ki*8b(~t2>D0WuyK$>JH2o=d^XTd z!dW|o6~W``kuA2Z^vQ{RbJ@oeU5p0`pXi$0$ThmrFWwzr8FRU|n!`AkVt(R23YijQ z)}=9w{72k-)ObaOId#sBk58&*szE6AmS}UXOkSarGx`%RG1=FYp#l@|?RJs@(H%xP zcdoDu55M#HF=O__mG9O?h!x)GVoFN_`AW(6gm=&_f7T;Ra1=WC+0;~eA(<`Lj9AAQ zi$dmpqkD&5-}wMZzIGR8{{h5W4|md2hFU^~*0k{!+41Wiw;;4^kQKZ+i$B3Lo zt#~j$_JJ17hEtGdFr)3e=IfCmOywd*WM@XkUu&^!CAvD^Ei9OP2ei zDGv3Uf4|0xAa0VO&NFK1TF7oPJu1!S3&V?qN4a`S{hCQRm*YXozjQ}(C?!wKalgsk z*0u@9!9_KbU)lA^dN1a}FH}-9DF#9OCAh+leW|9fY%!PGTRFt<#)%h$6`2vRcgv4S zkspg$VF(fQ8fWrHbT=#aq)ByLTM25>u>Rr}c~aQrfkaF60`dY`jPx8X1Lo$UczvpJBtjPrX3Q zK!*cezB;m)UB1z^7+tdY#xIlNS|K2Xvc9DV@}2TTv5>9qT>uA55bYL4t}+m}&vjZV z-`ci~nFCel1mC$BL{ygOE<|r$xBLDv&6tOTZ>n#DFq;oFg|!|+`>dmJa8mxWU(nXk z4TZY2eTMZ&`L9#)Tq}LEJkim&(*XuJ`=K_;sMb3p-wA+o^tdp342V?I9v^gKJ$;6M zX)(}5dKcx~I83j}cASQ`ba{)(9l&Bp`_hs^9f1dV44Q_-#7?xov8;^VXwca3db`bC zb=rCrW?Y5hEK!wv?X8_CtDt`O?{>+!02K;J+@CVJ3;0=vztO9lQMdSpgnL)j4Ir}+ zgrV*$NPRQQM#e^qitU8DOJ;NE!3zji!-wlOwL;DdD-&ZLJ`Is3(W3wa>lO7@3=e)1 zMR-#Uyc+V=-tUEfpTEX2IfvwLoNznTK+f}NV^;_Jl8FsMGv9>1;v%~sT9PYSSSogS zJ(3M_p8vdk9+!)_f6HTj?dM(=o0UeN6^?F=<%{Xb^z3VSg;c^p#Z%`v1}P0E(In?c z`=nb_&y(SNEBJC>vV*rYIzrcPQ%LT&_XP$?bpa^K6kM)sIO-k&UbL|GSUEPK@s(oZ ziC5HSDiTpjUScHq(GYw!A$&?<2NkW#BQ*V_J^F`hQ<-#thRv$W0Hjy|(x_BRe52d8 zj{RCbl`DsA)0>wBsg>3cvhLRruXR8LjvTr~ZHxN|<{Pvhl0HA4GO(pX8RZT#X$V^> zm_5I$Bui@LZ1Y@2IR+Sq0fz3+l%xiDov}d87xDO1SLTB|`=6}}aYTk&&Z*_`w!sX$ z2o;yrxIrsSd-RqADTG4j7?!HE)ezh z7Yh!B1iP@AgV4Wfk;`l5U|w@k^LS6Qp;t4qmJ3cy|J}S=#dq$+aO#9Gpw73lg9e0U zcHUV;XxudOmNt2|2EN*Nar>4$NtONt?INIa8sZ8X^1c;Lj1*d8xAuWNq8LHYIX+`` zJ|Yc=(zg@PO!w{gB&?Qv7z(*2pVmty*zgssZj@T z${u_B*Ybt91{aUCicVy=^L<1dXam};wQRAkJ;gqR+Zcl99BLcG#rP~J6?-REXiRmy zFG$`%vS+nsltS0@h29se5qUT6c5DX}Tcv8^V})~E#e5NzVT<%`8}joR@~i6b)8(n$ zG3B3&QKO5_fn&noPh_q0zUPq}@*WM?%tD7H>4xUJg=0P0;Ea2gRn4wkJQ;M1V!`)O z%#n%>9q2rC?6%SHG~HnV-OY6#1z)aZgS7bN=n!afP9ur2xO!#h=qa#vL^lcz$VpOj5-lG-x+5I1T`sVAQwgR#HqF z7`?}ICHs(XzI#-AVtlL>&iz@C@i|p9U+n7YJvw^2MuvMf_&FVmx?ge}c#9yej_=}1g}Ahb*S`QO_~iuMPX0})Ku*RM z8d-GiZgahSzpERk%UQrqWb_JA**~~-y1a*L+@qjs@zNf%&f9)yH|F8_Nkho2<{hiT z^iZ0HpVeZt09uW#bfi|@c{M-a+8wuF#n#QwP=BPsu2py7DcrHP*-CvHZY)+2^AMC1 zd_IF7K0<(QmFu%e7Ul67{;h*#r=mP_B$L;QWV|KvZ>N6UvTiP+Ti@XQq@6dPIWf|l`ep77)N05Bs@l+*4OFKQcnfYnQnU#zCMgbu4&~Tuv&o4Z zx3!KV2yqEc-GXV9pMa~~dOupiCg6a#&IkNAEU6#p`eKr&RyK<6iTA6rKQT}){Nd0s z*Eyfz=2Y`u)&E>Lv97@>SQ%i^hSmU!(H0ZS*b0HMvE~Wy*O~D--ecVspZ+#e_7E4D zb1j8nHh{u6&(fYnZLshApMf~q@s7#JY}+QWPcaV@4U)E4iIP)KuZTEjRGt8$KG>Vo z?%0mh=Q7yoJgpKHFk!VqUwSgO*LPM9Z1W3J()uzslrs}7rrW(b)-@+sH^N0chyJ~r zQJULe7p3MaAQLMcmdi1MpS^ho|ID@x`kG(C0%6^G>|Kg^LR5LVqIK$o>l|n0oP;IV;5kWp-u13>xnfoscUS$V@f3_YrP}wEexl;#Yv^yv$kZ zy9xz97M;!2OV5vgmYulM!c<@j{Y~XklD-gt$K zVp4ANcD5su5uFU<@#R0`p>s(`JfwT1d4d^x;&QqG`OK`f5_9TS{0qL-H~kqvF-WdU6{(11ot(P z`#+7B@TK_-JrX5(r>DDeKu~#EW#nRiE*Qf1f;eiYLsIS-iX>*oBPD4vh|{Dstb#Q( zGz~zX+3@OjcDQI7Xx9X?O-g4C&i!{=>>rR%gN;~tMZzgymI44e+9%Zk)n1ip(YU62 z;}e5fRqZ!Xo?blE*IL)v-fb~)gq-+hUE?IdL5vZO_t$Yuj`T~B0H2gVdaofB%3doV zX>v=a%-+{l-51?O9|LJ=GLZ0B)ZE;mmVjqj(sgg6Pw?6fzVSoV#A84nTM1&TP9t8G z5X`{GJoNX2U(LEo%Gjr{9#i-)+#RgPy6#JJK)o5cvFRx!&7y<$x~aFE74*A`b!9XF z0?eCh_uEC3d%%lxRWK%-wR4IUXHY}}sg~<;D=2@Hx=Vj+(Vr)^3)e%Z;m-I_Q89_} z4xjP(-YCH%a3xy+2MqwA-(Yq8IpId{!A2*b`P~zKS7N(yGSXnbiW2YElDiq>9G3Qd zfT`UrN0g2g6SswV?6hZlnAKh+x>9c083~W|1^JwHV1Bvk1i~YO#xejOTk*Z*VWtw> z?T*n$o|}|I|2K4m=g!1)|3N8HF~}$l@Z74M+$f;mreT*?FPYqd{-$S;%`s}M1)OI# zVB!w7gL&t_TR8F`|HW`z4fB&JC(9WrG)ap$ShVGSYC$6F6roW&n5z^H^<8ZqT|$|V z{p0G~~fTAj~6!y&?d7f07rK zFiZIYw4M~oy&6eZK%QGF5ObAbhZ^8gH=Qe*}yWBYabDN`*n& zw&9P0=-N}XgbtMSCA3_dLck5X93ODMU-~soP!`Q&2@`J*^PIJt_r$lTiM70I-X7x} z(TcJVhE5RDZ+hT_udJ7NFBIIr-gXoFIf;!)X$PW=(7$hL?u+%;bjDt6K@o$jYUxB4 zabFJ=jt$W70)DJPNZlyI5V6*!1q)-|-Gm~hSOEbXe#>!ROwk(gMlq5l;7W8~F*s%W zVo%&MW7Bg+^dET9;w|+grZI&j$cMc|8gXud`@G8FrgV&&^4;AiCAsB!@7*K65}*#G z?W0uGFO@54)&Zk8U;Wf?JG+|z1rgWPIiEs2W^_zZ?iqnw@1HSqPt(1_*Rr@?Vl%kFZq-GB(41LI51uDfabo?IZ=Ze=?U z_~)r}^A7p3hxHimuv>h6rcI&ZIp)*+1*J&&X&NZ_4oF!jKlg+Qf9|T?P~7>Eh1|15 z^%%i`p{CZGJq@yhRki$okg8Q$3J$5oNV?C9a9N?Ke2~HmW&>iRaDJM}aG$JzA}3@PW`=ab}UT*V*Tfwmz%AQT#1vktxm$}tETZpf43CGHIxs$w=UE*l0uv+L~s$-gi>| zkS%SbT$BAKVAOWF8GSP(xEG6fM|LJzjMUKHx~!2eaIF=YIL?aEj3Di0g`RaV^JPML_#rZ@f)lJ0)lU6NdC`_5>4U9UFX94Jf&8RuVqc2$Re z>%8Yz;ngmm)_L=4F#;~4s^6Yy*V{Ftq+|#;gF2hj=iNj&&>M2Z_TEZ2plmv-DCS5- zpy|VT6{Sllw(2LHRYgSfuMDl{Gd=0#2;-NiPzCG}hCc+T>lriP`|1b=0 zz4@)`#1~adRAnZd*}hQS*Q7jeNkAQyx1~(@q<&ZO!K$lYnKc+aHUFoH zuLTm=*Nbodd6Gt$4bO_IssNPOk&Rl<+|?HB&IQiac8HuTQ60&% zjD|*OPEs2GN8f@|}+4`lsF4dx_hx7h5?K2j;)M9(`X(A63`dr)^cs4dWj8MZaegPbs|Rv7Te4XdWO;lrveDWae`4#SeYx-S8d}%rhORIb zMB2fw#<7^Btf*1{t0dt#2`XcK23JA&j;Vb(D-+IBq}KgZV_fjjyz@W++O zM;M!m_0V1cf*yYAJz__vaWT4Q({3rjA#KJW5;VCLO{LW5(dw)Nuah0oEUgF$5(9P@ z6QkBa-prGaI;t4_wAIh-xviG1e)nh(M>;Ed9z2Q0(+<{@04l>69-j>V-umFnU+mNS z3GgtLYrE9nUf(`{z@dKIIPLm7`H#qtEH}$tJq-Q*Cv6tHtAs>aDs1%OgO&%Vaf{_1 zy!-HqoMj7A7DhiykQ(ZJ6I`W#pEjuvds)4&l6Qxy@vHLPq+mKf1d_WG;x6M}xt{u_ zuopq99M{hh+5qomSWA=peVJ(MSbBe@x@g#u7O;MZh%JbJq{1k!nPeoHaAb zfAQzM5cg#SSLi0PnInyIjM0r0sL5UT161OJn|}=o4=lm6=w_N}MsvGS%kJLul>${D zt`wI+!7EDh?tgy&>;~$d()Jelhs(NQqUR7!F1~ry-sDSx8|R|1!Da*)(dJgtq&qz> zhvri@Zsmw>Ov}an?}LBjQ7U%GIKkbOX8J(J_gA48jz5-!tRHsDN!p5C>-9+TjkUR`9vwt4d}w;nSsXSmoI_u%HSm6E!?FlUy7wn&`st7^cM!X)i5-o2ON`0d!O3j! zlG$_CxgUBSu{OQsb%aa#S|^-@25-#O+2_VMcHfpno+smT8QF}>LIx|^YJfu+-C5H~%y8^`fIWp5c0y=Pf?_W1vMMMF|_X2rrTWYT%$F1;Z zDAF;;wQ0osBKptt%a1~pkQ{jGlmk=PAz08N9>`BZ|FtlcPvqm8=(m`lkBtllfpoy* zT7P|<&gsqfCD`NGj7Dgl+fv0z*;+^3N5V}+{=jo%D+cUd%CCQN=+a@_;M#I>Us86( zm*3jq?r}4k@6q3u|7(-~GXynAIu;^xQUjKXcO3LH0l%Ha*P?U zA2p0-riM4=ny{AeYv$3>C}R$Bk6dpa=g12|V`f6LRtWsNdf_^6Nr)cgs3|k74se_U z#~4Y(_+&b_Q<15krbN99(-9`X;g#9%C_BwQYx0%<{r-RQ`=9>&Kkc7U)w+Q{u0Pp0 SaDNK^{iUI`DMfAf@&5y`8uTsz literal 0 HcmV?d00001 diff --git a/vtr_flow/primitives.lib b/vtr_flow/primitives.lib new file mode 100644 index 00000000000..0674aca964d --- /dev/null +++ b/vtr_flow/primitives.lib @@ -0,0 +1,370 @@ +/** + * @file + * @author Alex Singer + * @date May 2025 + * @brief A skeleton liberty library used to import the timing connectivity of a + * post-synthesis netlist (from VTR) into OpenSTA. + * + * This file contains just enough information to allow OpenSTA to use a provided + * SDF file for timing analysis of the netlist. + * + * This file only defines the primitives that VPR defines as "library models". + * This includes LUTs (.names) and Flip-Flops (.latch). For user models (the + * models defined in the "models" section of the architecture description file), + * one should create another liberty file. + */ + +library (VTRPrimitives) { + + /* General Attributes */ + delay_model : table_lookup; + + /* Units Attributes */ + time_unit : "1ns"; + + /* Threshold Definitions */ + /* These are the default values according to the Liberty User Manual */ + slew_lower_threshold_pct_fall : 20.00 ; + slew_lower_threshold_pct_rise : 20.00 ; + slew_upper_threshold_pct_fall : 80.00 ; + slew_upper_threshold_pct_rise : 80.00 ; + input_threshold_pct_fall : 50.00 ; + input_threshold_pct_rise : 50.00 ; + output_threshold_pct_fall : 50.00 ; + output_threshold_pct_rise : 50.00 ; + + /* Bus types used for the LUT cells to allow their inputs to be arrays.*/ + type (BUS4) { + base_type: array; + data_type: bit; + bit_width: 4; + bit_from: 3; + bit_to: 0; + } + type (BUS5) { + base_type: array; + data_type: bit; + bit_width: 5; + bit_from: 4; + bit_to: 0; + } + type (BUS6) { + base_type: array; + data_type: bit; + bit_width: 6; + bit_from: 5; + bit_to: 0; + } + type (BUS16) { + base_type: array; + data_type: bit; + bit_width: 16; + bit_from: 15; + bit_to: 0; + } + type (BUS32) { + base_type: array; + data_type: bit; + bit_width: 32; + bit_from: 31; + bit_to: 0; + } + type (BUS64) { + base_type: array; + data_type: bit; + bit_width: 64; + bit_from: 63; + bit_to: 0; + } + + /** + * @brief FPGA interconnect module. This cell acts as a wire in the post- + * implementation netlist to add delays on connections between + * primitives (due to routing delays). + * + * INPUTS: + * datain + * OUPUTS: + * dataout + */ + cell (fpga_interconnect) { + pin (datain) { + direction: input; + } + pin (dataout) { + direction: output; + function: "datain"; + + timing() { + related_pin: "datain"; + timing_sense: positive_unate; + + cell_fall(scalar) { + values("0.0"); + } + cell_rise(scalar) { + values("0.0"); + } + fall_transition(scalar) { + values("0.0"); + } + rise_transition(scalar) { + values("0.0"); + } + } + } + } + + /** + * @brief 4-input LUT module. + * + * INPUTS: + * in: + * The input pins of the LUT, as an array. + * mask: + * The LUT mask that defines the output of the LUT as a function + * of the input. mask[0] is the output if all the inputs are 0, and + * mask[2^k - 1] is the output if all the inputs are 1. + * OUPUTS: + * out + */ + cell (LUT_4) { + bus (mask) { + bus_type: "BUS16"; + direction: input; + } + bus (in) { + bus_type: "BUS4"; + direction: input; + } + pin (out) { + direction: output; + function: "(mask[0] & !in[0] & !in[1] & !in[2] & !in[3]) | (mask[1] & in[0] & !in[1] & !in[2] & !in[3]) | (mask[2] & !in[0] & in[1] & !in[2] & !in[3]) | (mask[3] & in[0] & in[1] & !in[2] & !in[3]) | (mask[4] & !in[0] & !in[1] & in[2] & !in[3]) | (mask[5] & in[0] & !in[1] & in[2] & !in[3]) | (mask[6] & !in[0] & in[1] & in[2] & !in[3]) | (mask[7] & in[0] & in[1] & in[2] & !in[3]) | (mask[8] & !in[0] & !in[1] & !in[2] & in[3]) | (mask[9] & in[0] & !in[1] & !in[2] & in[3]) | (mask[10] & !in[0] & in[1] & !in[2] & in[3]) | (mask[11] & in[0] & in[1] & !in[2] & in[3]) | (mask[12] & !in[0] & !in[1] & in[2] & in[3]) | (mask[13] & in[0] & !in[1] & in[2] & in[3]) | (mask[14] & !in[0] & in[1] & in[2] & in[3]) | (mask[15] & in[0] & in[1] & in[2] & in[3])"; + + timing() { + related_pin: "in"; + timing_sense: non_unate; + + cell_fall(scalar) { + values("0.0"); + } + cell_rise(scalar) { + values("0.0"); + } + fall_transition(scalar) { + values("0.0"); + } + rise_transition(scalar) { + values("0.0"); + } + } + } + } + + /** + * @brief 5-input LUT module. + * + * INPUTS: + * in: + * The input pins of the LUT, as an array. + * mask: + * The LUT mask that defines the output of the LUT as a function + * of the input. mask[0] is the output if all the inputs are 0, and + * mask[2^k - 1] is the output if all the inputs are 1. + * OUPUTS: + * out + */ + cell (LUT_5) { + bus (mask) { + bus_type: "BUS32"; + direction: input; + } + bus (in) { + bus_type: "BUS5"; + direction: input; + } + pin (out) { + direction: output; + function: "(mask[0] & !in[0] & !in[1] & !in[2] & !in[3] & !in[4]) | (mask[1] & in[0] & !in[1] & !in[2] & !in[3] & !in[4]) | (mask[2] & !in[0] & in[1] & !in[2] & !in[3] & !in[4]) | (mask[3] & in[0] & in[1] & !in[2] & !in[3] & !in[4]) | (mask[4] & !in[0] & !in[1] & in[2] & !in[3] & !in[4]) | (mask[5] & in[0] & !in[1] & in[2] & !in[3] & !in[4]) | (mask[6] & !in[0] & in[1] & in[2] & !in[3] & !in[4]) | (mask[7] & in[0] & in[1] & in[2] & !in[3] & !in[4]) | (mask[8] & !in[0] & !in[1] & !in[2] & in[3] & !in[4]) | (mask[9] & in[0] & !in[1] & !in[2] & in[3] & !in[4]) | (mask[10] & !in[0] & in[1] & !in[2] & in[3] & !in[4]) | (mask[11] & in[0] & in[1] & !in[2] & in[3] & !in[4]) | (mask[12] & !in[0] & !in[1] & in[2] & in[3] & !in[4]) | (mask[13] & in[0] & !in[1] & in[2] & in[3] & !in[4]) | (mask[14] & !in[0] & in[1] & in[2] & in[3] & !in[4]) | (mask[15] & in[0] & in[1] & in[2] & in[3] & !in[4]) | (mask[16] & !in[0] & !in[1] & !in[2] & !in[3] & in[4]) | (mask[17] & in[0] & !in[1] & !in[2] & !in[3] & in[4]) | (mask[18] & !in[0] & in[1] & !in[2] & !in[3] & in[4]) | (mask[19] & in[0] & in[1] & !in[2] & !in[3] & in[4]) | (mask[20] & !in[0] & !in[1] & in[2] & !in[3] & in[4]) | (mask[21] & in[0] & !in[1] & in[2] & !in[3] & in[4]) | (mask[22] & !in[0] & in[1] & in[2] & !in[3] & in[4]) | (mask[23] & in[0] & in[1] & in[2] & !in[3] & in[4]) | (mask[24] & !in[0] & !in[1] & !in[2] & in[3] & in[4]) | (mask[25] & in[0] & !in[1] & !in[2] & in[3] & in[4]) | (mask[26] & !in[0] & in[1] & !in[2] & in[3] & in[4]) | (mask[27] & in[0] & in[1] & !in[2] & in[3] & in[4]) | (mask[28] & !in[0] & !in[1] & in[2] & in[3] & in[4]) | (mask[29] & in[0] & !in[1] & in[2] & in[3] & in[4]) | (mask[30] & !in[0] & in[1] & in[2] & in[3] & in[4]) | (mask[31] & in[0] & in[1] & in[2] & in[3] & in[4])"; + + timing() { + related_pin: "in"; + timing_sense: non_unate; + + cell_fall(scalar) { + values("0.0"); + } + cell_rise(scalar) { + values("0.0"); + } + fall_transition(scalar) { + values("0.0"); + } + rise_transition(scalar) { + values("0.0"); + } + } + } + } + + /** + * @brief 6-input LUT module. + * + * INPUTS: + * in: + * The input pins of the LUT, as an array. + * mask: + * The LUT mask that defines the output of the LUT as a function + * of the input. mask[0] is the output if all the inputs are 0, and + * mask[2^k - 1] is the output if all the inputs are 1. + * OUPUTS: + * out + */ + cell (LUT_6) { + bus (mask) { + bus_type: "BUS64"; + direction: input; + } + bus (in) { + bus_type: "BUS6"; + direction: input; + } + pin (out) { + direction: output; + function: "(mask[0] & !in[0] & !in[1] & !in[2] & !in[3] & !in[4] & !in[5]) | (mask[1] & in[0] & !in[1] & !in[2] & !in[3] & !in[4] & !in[5]) | (mask[2] & !in[0] & in[1] & !in[2] & !in[3] & !in[4] & !in[5]) | (mask[3] & in[0] & in[1] & !in[2] & !in[3] & !in[4] & !in[5]) | (mask[4] & !in[0] & !in[1] & in[2] & !in[3] & !in[4] & !in[5]) | (mask[5] & in[0] & !in[1] & in[2] & !in[3] & !in[4] & !in[5]) | (mask[6] & !in[0] & in[1] & in[2] & !in[3] & !in[4] & !in[5]) | (mask[7] & in[0] & in[1] & in[2] & !in[3] & !in[4] & !in[5]) | (mask[8] & !in[0] & !in[1] & !in[2] & in[3] & !in[4] & !in[5]) | (mask[9] & in[0] & !in[1] & !in[2] & in[3] & !in[4] & !in[5]) | (mask[10] & !in[0] & in[1] & !in[2] & in[3] & !in[4] & !in[5]) | (mask[11] & in[0] & in[1] & !in[2] & in[3] & !in[4] & !in[5]) | (mask[12] & !in[0] & !in[1] & in[2] & in[3] & !in[4] & !in[5]) | (mask[13] & in[0] & !in[1] & in[2] & in[3] & !in[4] & !in[5]) | (mask[14] & !in[0] & in[1] & in[2] & in[3] & !in[4] & !in[5]) | (mask[15] & in[0] & in[1] & in[2] & in[3] & !in[4] & !in[5]) | (mask[16] & !in[0] & !in[1] & !in[2] & !in[3] & in[4] & !in[5]) | (mask[17] & in[0] & !in[1] & !in[2] & !in[3] & in[4] & !in[5]) | (mask[18] & !in[0] & in[1] & !in[2] & !in[3] & in[4] & !in[5]) | (mask[19] & in[0] & in[1] & !in[2] & !in[3] & in[4] & !in[5]) | (mask[20] & !in[0] & !in[1] & in[2] & !in[3] & in[4] & !in[5]) | (mask[21] & in[0] & !in[1] & in[2] & !in[3] & in[4] & !in[5]) | (mask[22] & !in[0] & in[1] & in[2] & !in[3] & in[4] & !in[5]) | (mask[23] & in[0] & in[1] & in[2] & !in[3] & in[4] & !in[5]) | (mask[24] & !in[0] & !in[1] & !in[2] & in[3] & in[4] & !in[5]) | (mask[25] & in[0] & !in[1] & !in[2] & in[3] & in[4] & !in[5]) | (mask[26] & !in[0] & in[1] & !in[2] & in[3] & in[4] & !in[5]) | (mask[27] & in[0] & in[1] & !in[2] & in[3] & in[4] & !in[5]) | (mask[28] & !in[0] & !in[1] & in[2] & in[3] & in[4] & !in[5]) | (mask[29] & in[0] & !in[1] & in[2] & in[3] & in[4] & !in[5]) | (mask[30] & !in[0] & in[1] & in[2] & in[3] & in[4] & !in[5]) | (mask[31] & in[0] & in[1] & in[2] & in[3] & in[4] & !in[5]) | (mask[32] & !in[0] & !in[1] & !in[2] & !in[3] & !in[4] & in[5]) | (mask[33] & in[0] & !in[1] & !in[2] & !in[3] & !in[4] & in[5]) | (mask[34] & !in[0] & in[1] & !in[2] & !in[3] & !in[4] & in[5]) | (mask[35] & in[0] & in[1] & !in[2] & !in[3] & !in[4] & in[5]) | (mask[36] & !in[0] & !in[1] & in[2] & !in[3] & !in[4] & in[5]) | (mask[37] & in[0] & !in[1] & in[2] & !in[3] & !in[4] & in[5]) | (mask[38] & !in[0] & in[1] & in[2] & !in[3] & !in[4] & in[5]) | (mask[39] & in[0] & in[1] & in[2] & !in[3] & !in[4] & in[5]) | (mask[40] & !in[0] & !in[1] & !in[2] & in[3] & !in[4] & in[5]) | (mask[41] & in[0] & !in[1] & !in[2] & in[3] & !in[4] & in[5]) | (mask[42] & !in[0] & in[1] & !in[2] & in[3] & !in[4] & in[5]) | (mask[43] & in[0] & in[1] & !in[2] & in[3] & !in[4] & in[5]) | (mask[44] & !in[0] & !in[1] & in[2] & in[3] & !in[4] & in[5]) | (mask[45] & in[0] & !in[1] & in[2] & in[3] & !in[4] & in[5]) | (mask[46] & !in[0] & in[1] & in[2] & in[3] & !in[4] & in[5]) | (mask[47] & in[0] & in[1] & in[2] & in[3] & !in[4] & in[5]) | (mask[48] & !in[0] & !in[1] & !in[2] & !in[3] & in[4] & in[5]) | (mask[49] & in[0] & !in[1] & !in[2] & !in[3] & in[4] & in[5]) | (mask[50] & !in[0] & in[1] & !in[2] & !in[3] & in[4] & in[5]) | (mask[51] & in[0] & in[1] & !in[2] & !in[3] & in[4] & in[5]) | (mask[52] & !in[0] & !in[1] & in[2] & !in[3] & in[4] & in[5]) | (mask[53] & in[0] & !in[1] & in[2] & !in[3] & in[4] & in[5]) | (mask[54] & !in[0] & in[1] & in[2] & !in[3] & in[4] & in[5]) | (mask[55] & in[0] & in[1] & in[2] & !in[3] & in[4] & in[5]) | (mask[56] & !in[0] & !in[1] & !in[2] & in[3] & in[4] & in[5]) | (mask[57] & in[0] & !in[1] & !in[2] & in[3] & in[4] & in[5]) | (mask[58] & !in[0] & in[1] & !in[2] & in[3] & in[4] & in[5]) | (mask[59] & in[0] & in[1] & !in[2] & in[3] & in[4] & in[5]) | (mask[60] & !in[0] & !in[1] & in[2] & in[3] & in[4] & in[5]) | (mask[61] & in[0] & !in[1] & in[2] & in[3] & in[4] & in[5]) | (mask[62] & !in[0] & in[1] & in[2] & in[3] & in[4] & in[5]) | (mask[63] & in[0] & in[1] & in[2] & in[3] & in[4] & in[5])"; + + timing() { + related_pin: "in"; + timing_sense: non_unate; + + cell_fall(scalar) { + values("0.0"); + } + cell_rise(scalar) { + values("0.0"); + } + fall_transition(scalar) { + values("0.0"); + } + rise_transition(scalar) { + values("0.0"); + } + } + } + } + + /** + * @brief D-Flip-Flop module. + * + * INPUTS: + * D: + * The input of the DFF, which will get latched on the rising clock + * edge. + * clock: + * The clock signal for the DFF. + * OUPUTS: + * Q: + * The current value stored in the latch. + * QN: + * The inverse of the current value stored in the latch. + */ + cell (DFF) { + ff (IQ, IQN) { + next_state: "D"; + clocked_on: "clock"; + } + + pin (D) { + direction: input; + + timing() { + related_pin: "clock"; + timing_type: hold_rising; + + fall_constraint(scalar) { + values("0.0"); + } + rise_constraint(scalar) { + values("0.0"); + } + } + + timing() { + related_pin: "clock"; + timing_type: setup_rising; + + fall_constraint(scalar) { + values("0.0"); + } + rise_constraint(scalar) { + values("0.0"); + } + } + } + + pin (clock) { + direction: input; + clock: true; + + timing() { + related_pin: "clock"; + timing_type: min_pulse_width; + + fall_constraint(scalar) { + values("0.0"); + } + rise_constraint(scalar) { + values("0.0"); + } + } + } + + pin (Q) { + direction: output; + function: "IQ"; + + timing() { + related_pin: "clock"; + timing_type: rising_edge; + timing_sense: non_unate; + + cell_fall(scalar) { + values("0.0"); + } + cell_rise(scalar) { + values("0.0"); + } + fall_transition(scalar) { + values("0.0"); + } + rise_transition(scalar) { + values("0.0"); + } + } + } + + pin (QN) { + direction: output; + function: "IQN"; + + timing() { + related_pin: "clock"; + timing_type: rising_edge; + timing_sense: non_unate; + + cell_fall(scalar) { + values("0.0"); + } + cell_rise(scalar) { + values("0.0"); + } + fall_transition(scalar) { + values("0.0"); + } + rise_transition(scalar) { + values("0.0"); + } + } + } + } +}