diff --git a/.clang-format b/.clang-format index fa6b26413d0..774aba72016 100644 --- a/.clang-format +++ b/.clang-format @@ -15,7 +15,7 @@ AllowShortIfStatementsOnASingleLine: true AllowShortLoopsOnASingleLine: false AlwaysBreakAfterDefinitionReturnType: None AlwaysBreakAfterReturnType: None -AlwaysBreakBeforeMultilineStrings: true +AlwaysBreakBeforeMultilineStrings: false AlwaysBreakTemplateDeclarations: true BinPackArguments: true BinPackParameters: false @@ -34,7 +34,7 @@ BraceWrapping: SplitEmptyFunction: false SplitEmptyRecord: true SplitEmptyNamespace: true -BreakBeforeBinaryOperators: All +BreakBeforeBinaryOperators: NonAssignment BreakBeforeBraces: Custom BreakBeforeInheritanceComma: false BreakBeforeTernaryOperators: true @@ -68,10 +68,11 @@ IncludeIsMainRegex: '([-_](test|unittest))?$' IndentCaseLabels: true IndentWidth: 4 IndentWrappedFunctionNames: false -IndentPPDirectives: AfterHash +IndentPPDirectives: None +InsertNewlineAtEOF: true JavaScriptQuotes: Leave JavaScriptWrapImports: true -KeepEmptyLinesAtTheStartOfBlocks: false +KeepEmptyLinesAtTheStartOfBlocks: true MacroBlockBegin: '' MacroBlockEnd: '' MaxEmptyLinesToKeep: 1 diff --git a/.github/scripts/hostsetup.sh b/.github/scripts/hostsetup.sh index 835c3e95c81..5e69ac52f7b 100755 --- a/.github/scripts/hostsetup.sh +++ b/.github/scripts/hostsetup.sh @@ -70,9 +70,8 @@ apt install -y \ g++-9 \ gcc-9 \ wget \ - openssl \ - libssl-dev \ - libtbb-dev + libtbb-dev \ + openssl # installing the latest version of cmake apt install -y apt-transport-https ca-certificates gnupg diff --git a/.github/scripts/install_dependencies.sh b/.github/scripts/install_dependencies.sh index b5f5388f685..383b237a89e 100755 --- a/.github/scripts/install_dependencies.sh +++ b/.github/scripts/install_dependencies.sh @@ -55,9 +55,8 @@ sudo apt install -y \ clang-16 \ clang-17 \ clang-18 \ - clang-format-14 \ - libtbb-dev \ - openssl + clang-format-18 \ + libtbb-dev pip install -r requirements.txt diff --git a/.github/workflows/nightly_test.yml b/.github/workflows/nightly_test.yml index a57c502e0d3..a6782293b5d 100644 --- a/.github/workflows/nightly_test.yml +++ b/.github/workflows/nightly_test.yml @@ -22,8 +22,6 @@ on: # - '**.md' # - '**.rst' workflow_dispatch: - schedule: - - cron: '0 0 * * *' # daily # We want to cancel previous runs for a given PR or branch / ref if another CI # run is requested. @@ -65,9 +63,9 @@ jobs: - {test: "vtr_reg_strong", cores: "16", options: "", cmake: "-DVTR_ASSERT_LEVEL=3", extra_pkgs: "libeigen3-dev"} - {test: "vtr_reg_strong_odin", cores: "16", options: "", cmake: "-DVTR_ASSERT_LEVEL=3 -DWITH_ODIN=ON", extra_pkgs: "libeigen3-dev"} - {test: "vtr_reg_strong_odin", cores: "16", options: "-skip_qor", cmake: "-DVTR_ASSERT_LEVEL=3 -DVTR_ENABLE_SANITIZE=ON -DWITH_ODIN=ON", extra_pkgs: "libeigen3-dev"} - # - {test: "vtr_reg_system_verilog", cores: "16", options: "", cmake: "-DYOSYS_F4PGA_PLUGINS=ON", extra_pkgs: ""} # Test turned off -> F4PGA conflicts with Yosys (version 42) + - {test: "vtr_reg_system_verilog", cores: "16", options: "", cmake: "-DSYNLIG_SYSTEMVERILOG=ON", extra_pkgs: ""} - {test: "odin_reg_strong", cores: "16", options: "", cmake: "-DWITH_ODIN=ON", extra_pkgs: ""} - - {test: "parmys_reg_strong", cores: "16", options: "", cmake: "-DYOSYS_F4PGA_PLUGINS=OFF", extra_pkgs: ""} + - {test: "parmys_reg_strong", cores: "16", options: "", cmake: "-DSYNLIG_SYSTEMVERILOG=ON", extra_pkgs: ""} env: DEBIAN_FRONTEND: "noninteractive" diff --git a/.github/workflows/nightly_test_manual.yml b/.github/workflows/nightly_test_manual.yml new file mode 100644 index 00000000000..f98f412eb8c --- /dev/null +++ b/.github/workflows/nightly_test_manual.yml @@ -0,0 +1,106 @@ +name: NightlyTestManual + +# This workflow can only be dispatched. +on: + workflow_dispatch: + + # Automatically runs every Sunday 5 AM UTC. + # Results should be ready ~15 hours later (Sunday 8 PM UTC), on time for Monday mornings. + schedule: + - cron: '0 5 * * 0' + +# We want to cancel previous runs for a given PR or branch / ref if another CI +# run is requested. +# See: https://docs.github.com/en/actions/using-jobs/using-concurrency +concurrency: + group: ${{ github.workflow }}-${{ github.event.pull_request.number || github.ref }} + cancel-in-progress: true + +env: + # default compiler for all non-compatibility tests + MATRIX_EVAL: "CC=gcc-13 && CXX=g++-13" + +jobs: + Run-tests: + # Prevents from running on forks where no custom runners are available + if: ${{ github.repository_owner == 'verilog-to-routing' }} + + name: 'Nightly Tests Manual Run' + # This workflow is expected to take around 19 hours. Giving it 24 hours + # before timing out. + timeout-minutes: 1440 + runs-on: [self-hosted, Linux, X64, SAVI] + + steps: + # Clean previous runs of this workflow. + - name: 'Cleanup build folder' + run: | + rm -rf ./* || true + rm -rf ./.??* || true + + # Checkout the VTR repo. + - uses: actions/checkout@v4 + with: + submodules: 'true' + + # Get the extra benchmarks + - name: 'Get Extra Benchmarks' + run: | + make get_titan_benchmarks + make get_ispd_benchmarks + ./dev/upgrade_vtr_archs.sh + make get_symbiflow_benchmarks + + # Build VTR using the default build options. + - name: 'Build VTR' + run: | + make -j12 + make env + source .venv/bin/activate + pip install -r requirements.txt + + # Run all of the nightly tests. + # TODO: We could expose more parallelism if we had one task list which ran + # all of these. + - name: 'Run Nightly Test 1' + if: success() || failure() + run: | + source .venv/bin/activate + ./run_reg_test.py -j12 vtr_reg_nightly_test1 + + - name: 'Run Nightly Test 2' + if: success() || failure() + run: | + source .venv/bin/activate + ./run_reg_test.py -j12 vtr_reg_nightly_test2 + + - name: 'Run Nightly Test 3' + if: success() || failure() + run: | + source .venv/bin/activate + ./run_reg_test.py -j12 vtr_reg_nightly_test3 + + + - name: 'Run Nightly Test 4' + if: success() || failure() + run: | + source .venv/bin/activate + ./run_reg_test.py -j12 vtr_reg_nightly_test4 + + - name: 'Run Nightly Test 5' + if: success() || failure() + run: | + source .venv/bin/activate + ./run_reg_test.py -j12 vtr_reg_nightly_test5 + + - name: 'Run Nightly Test 6' + if: success() || failure() + run: | + source .venv/bin/activate + ./run_reg_test.py -j12 vtr_reg_nightly_test6 + + - name: 'Run Nightly Test 7' + if: success() || failure() + run: | + source .venv/bin/activate + ./run_reg_test.py -j12 vtr_reg_nightly_test7 diff --git a/.github/workflows/test.yml b/.github/workflows/test.yml index b6c665ff3ed..5977e1221ba 100644 --- a/.github/workflows/test.yml +++ b/.github/workflows/test.yml @@ -89,6 +89,8 @@ jobs: with: python-version: 3.10.10 - uses: actions/checkout@v4 + with: + submodules: 'true' - name: Install dependencies run: ./.github/scripts/install_dependencies.sh @@ -439,7 +441,6 @@ jobs: - { name: 'GCC 11 (Ubuntu Noble - 24.04)', eval: 'CC=gcc-11 && CXX=g++-11', } - { name: 'GCC 12 (Ubuntu Noble - 24.04)', eval: 'CC=gcc-12 && CXX=g++-12', } - { name: 'GCC 14 (Ubuntu Noble - 24.04)', eval: 'CC=gcc-14 && CXX=g++-14', } - - { name: 'Clang 15 (Ubuntu Noble - 24.04)', eval: 'CC=clang-15 && CXX=clang++-15', } - { name: 'Clang 16 (Ubuntu Noble - 24.04)', eval: 'CC=clang-16 && CXX=clang++-16', } - { name: 'Clang 17 (Ubuntu Noble - 24.04)', eval: 'CC=clang-17 && CXX=clang++-17', } - { name: 'Clang 18 (Ubuntu Noble - 24.04)', eval: 'CC=clang-18 && CXX=clang++-18', } diff --git a/.gitmodules b/.gitmodules index d8738f84253..8a35f2bff8c 100644 --- a/.gitmodules +++ b/.gitmodules @@ -1,6 +1,8 @@ [submodule "libs/EXTERNAL/libcatch2"] path = libs/EXTERNAL/libcatch2 url = https://github.com/catchorg/Catch2.git + +# fork where in branch v1.0.0_no_complication_warnings there are compilation warnings fixes for upstream tag v1.0.0 of sockpp [submodule "libs/EXTERNAL/sockpp"] path = libs/EXTERNAL/sockpp - url = https://github.com/w0lek/sockpp.git # fork where in branch v1.0.0_no_complication_warnings there are compilation warnings fixes for upstream tag v1.0.0 of sockpp + url = https://github.com/w0lek/sockpp.git diff --git a/.gitpod.Dockerfile b/.gitpod.Dockerfile index b738f995a69..bb6524f7f53 100644 --- a/.gitpod.Dockerfile +++ b/.gitpod.Dockerfile @@ -30,6 +30,7 @@ RUN apt-get update \ python-lxml \ qt5-default \ wget \ + default-jre \ && apt-get clean \ && rm -rf /var/lib/apt/lists/* diff --git a/CHANGELOG.md b/CHANGELOG.md index ba313c7720d..d86dda1c83d 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -47,6 +47,64 @@ _The following are changes which have been implemented in the VTR master branch ### Removed + +## v9.0.0 - 2024-12-23 + +### Added + * Support for Advanced Architectures: + * 3D FPGA and RAD architectures. + * Architectures with hard Networks-on-Chip (NoCs). + * Distinct horizontal and vertical channel widths and types. + * Diagonal routing wires and other complex wire shapes (L-shaped, T-shaped, ....). + + * New Benchmark Suites: + * Koios: A deep-learning-focused benchmark suite with various design sizes. + * Hermes: Benchmarks utilizing hard NoCs. + * TitanNew: Large benchmarks targeting the Stratix 10 architecture. + + * Commercial FPGAs Architecture Captures: + * Intel’s Stratix 10 FPGA architecture. + * AMD’s 7-series FPGA architecture. + + * Parmys Logic Synthesis Flow: + * Better Verilog language coverage + * More efficient hard block mapping + + * VPR Graphics Visualizations: + * New interface for improved usability and underlying graphics rewritten using EZGL/GTK to allow more UI widgets. + * Algorithm breakpoint visualizations for placement and routing algorithm debugging. + * User-guided (manual) placement optimization features. + * Enabled a live connection for client graphical application to VTR engines through sockets (server mode). + * Interactive timing path analysis (IPA) client using server mode. + + * Performance Enhancements: + * Parallel router for faster inter-cluster routing or flat routing. + + * Re-clustering API to modify packing decisions during the flow. + * Support for floorplanning and placement constraints. + * Unified intra- and inter-cluster (flat) routing. + * Comprehensive web-based VTR utilities and API documentation. + +### Changed + * The default values of many command line options (e.g. inner_num is 0.5 instead of 1.0) + * Changes to placement engine + * Smart centroid initial placement algorithm. + * Multiple smart placement directed moves. + * Reinforcement learning-based placement algorithm. + * Changes to routing engine + * Faster lookahead creation. + * More accurate lookahead for large blocks. + * More efficient heap and pruning strategies. + * max `pres_fac` capped to avoid possible numeric issues. + + +### Fixed + * Many algorithmic and coding bugs are fixed in this release + +### Removed + * Breadth-first (non-timing-driven) router. + * Non-linear congestion placement cost. + ## v8.0.0 - 2020-03-24 ### Added diff --git a/CMakeLists.txt b/CMakeLists.txt index 3ef16e0bcfc..5a1a2150b84 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -31,7 +31,6 @@ option(VTR_ENABLE_SANITIZE "Enable address/leak/undefined-behaviour sanitizers ( option(VTR_ENABLE_PROFILING "Enable performance profiler (gprof)" OFF) option(VTR_ENABLE_COVERAGE "Enable code coverage tracking (gcov)" OFF) option(VTR_ENABLE_DEBUG_LOGGING "Enable debug logging" OFF) -option(VTR_ENABLE_VERSION "Enable version number up-to-date during compilation" ON) option(VTR_ENABLE_VERBOSE "Enable increased debug verbosity" OFF) option(SPEC_CPU "Enable SPEC CPU v8 support" OFF) @@ -61,10 +60,10 @@ option(ODIN_SANITIZE "Enable building odin with sanitize flags" OFF) # Allow the user to enable building Yosys option(WITH_PARMYS "Enable Yosys as elaborator and parmys-plugin as partial mapper" ON) -option(YOSYS_F4PGA_PLUGINS "Enable building and installing Yosys SystemVerilog and UHDM plugins" OFF) +option(SYNLIG_SYSTEMVERILOG "Enable building and installing Synlig SystemVerilog and UHDM plugins" OFF) -set(VTR_VERSION_MAJOR 8) -set(VTR_VERSION_MINOR 1) +set(VTR_VERSION_MAJOR 9) +set(VTR_VERSION_MINOR 0) set(VTR_VERSION_PATCH 0) set(VTR_VERSION_PRERELEASE "dev") @@ -94,9 +93,9 @@ add_definitions("-DVTR_ASSERT_LEVEL=${VTR_ASSERT_LEVEL}") include(CheckCXXCompilerFlag) # -# We require c++17 support +# We require c++20 support # -set(CMAKE_CXX_STANDARD 17) +set(CMAKE_CXX_STANDARD 20) set(CMAKE_CXX_STANDARD_REQUIRED ON) set(CMAKE_CXX_EXTENSIONS OFF) #No compiler specific extensions @@ -161,7 +160,7 @@ else() "-Wcast-align" #Warn if a cast causes memory alignment changes "-Wshadow" #Warn if local variable shadows another variable "-Wformat=2" #Sanity checks for printf-like formatting - "-Wno-format-nonliteral" # But don't worry about non-literal formtting (i.e. run-time printf format strings) + "-Wno-format-nonliteral" # But don't worry about non-literal formatting (i.e. run-time printf format strings) "-Wlogical-op" #Checks for logical op when bit-wise expected "-Wmissing-declarations" #Warn if a global function is defined with no declaration "-Wmissing-include-dirs" #Warn if a user include directory is missing @@ -179,10 +178,10 @@ else() "-Wduplicated-cond" #Warn about identical conditions in if-else chains "-Wduplicated-branches" #Warn when different branches of an if-else chain are equivalent "-Wnull-dereference" #Warn about null pointer dereference execution paths - "-Wuninitialized" #Warn about unitialized values + "-Wuninitialized" #Warn about uninitialized values "-Winit-self" #Warn about self-initialization "-Wcatch-value=3" #Warn when catch statements don't catch by reference - "-Wextra-semi" #Warn about redudnant semicolons + "-Wextra-semi" #Warn about redundant semicolons "-Wimplicit-fallthrough=3" #Warn about case fallthroughs, but allow 'fallthrough' comments to suppress warnings #GCC-like optional #"-Wsuggest-final-types" #Suggest where 'final' would help if specified on a type methods @@ -454,7 +453,7 @@ if(${WITH_ODIN}) endif() # handle cmake params to compile Yosys SystemVerilog/UHDM plugins -if(${YOSYS_F4PGA_PLUGINS}) +if(${SYNLIG_SYSTEMVERILOG}) # avoid compiling plugins in case the Parmys frontend is not active if(NOT ${WITH_PARMYS}) message(SEND_ERROR "Utilizing SystemVerilog/UHDM plugins requires activating Parmys frontend. Please set WITH_PARMYS.") diff --git a/Dockerfile b/Dockerfile index 71f5129301a..2b36ac5c5e5 100644 --- a/Dockerfile +++ b/Dockerfile @@ -15,6 +15,7 @@ RUN apt-get update -qq \ && apt-get -y install --no-install-recommends \ wget \ ninja-build \ + default-jre \ libeigen3-dev \ libtbb-dev \ python3-pip \ diff --git a/README.developers.md b/README.developers.md index 709a256c58c..866f8ca1dac 100644 --- a/README.developers.md +++ b/README.developers.md @@ -301,10 +301,13 @@ For the very large runs, you can submit your runs on a large cluster. A template a Slurm-managed cluster can be found under vtr_flow/tasks/slurm/ ## Continuous integration (CI) + +### Automatic (Github runner) CI tests + For the following tests, you can use remote servers instead of running them locally. Once the changes are pushed into the remote repository, or a PR is created, the [Test Workflow](https://github.com/verilog-to-routing/vtr-verilog-to-routing/blob/master/.github/workflows/test.yml) will be triggered. Many tests are included in the workflow, including: -* [vtr_reg_nightly_test1-N](#vtr_reg_nightly_test1-N) +* [vtr_reg_nightly_test1-N](#vtr_reg_nightly_test1-n) * [vtr_reg_strong](#vtr_reg_strong) * [vtr_reg_basic](#vtr_reg_basic) * odin_reg_strong @@ -312,6 +315,15 @@ will be triggered. Many tests are included in the workflow, including: instructions on how to gather QoR results of CI runs can be found [here](#example-extracting-qor-data-from-ci-runs). +### Manual Nightly Tests + +You can use remote servers to run the [vtr_reg_nightly_test1-7](#vtr_reg_nightly_test1-n) tests. These tests are triggered manually by going to the GitHub Actions menu, selecting the NightlyTestManual workflow and selecting run workflow on the branch you want to test. Once you do that, the [Nightly Test Manual Workflow](https://github.com/verilog-to-routing/vtr-verilog-to-routing/blob/master/.github/workflows/nightly_test_manual.yml) will be triggered. This run will take approximately 15 hours to complete and will cancel all other workflow runs for the same branch. + +Select GitHub Actions menu +
+Select the NightlyTestManual workflow +Run the Workflow + #### Re-run CI Tests In the case that you want to re-run the CI tests, due to certain issues such as infrastructure failure, go to the "Action" tab and find your workflow under Test Workflow. @@ -637,6 +649,10 @@ They can be used for FPGA architecture exploration for DL and also for tuning CA A typical approach to evaluating an algorithm change would be to run `koios_medium` (or `koios_medium_no_hb`) tasks from the nightly regression test (vtr_reg_nightly_test4), the `koios_large` (or `koios_large_no_hb`) and the `koios_proxy` (or `koios_proxy_no_hb`) tasks from the weekly regression test (vtr_reg_weekly). The nightly test contains smaller benchmarks, whereas the large designs are in the weekly regression test. To measure QoR for the entire benchmark suite, both nightly and weekly tests should be run and the results should be concatenated. +As 3 of the `koios_large` circuits require special settings due to having long DSP chains, they are split in separate tasks as follows: + * `bwave_like.float.large.v` and `bwave_like.fixed.large.v` are in `vtr_reg_weekly/koios_bwave_large` task + * `dla_like.large.v` is in `vtr_reg_weekly/koios_dla_large` task + For evaluating an algorithm change in the Odin frontend, run `koios_medium` (or `koios_medium_no_hb`) tasks from the nightly regression test (vtr_reg_nightly_test4_odin) and the `koios_large_odin` (or `koios_large_no_hb_odin`) tasks from the weekly regression test (vtr_reg_weekly). The `koios_medium`, `koios_large`, and `koios_proxy` regression tasks run these benchmarks with complex_dsp functionality enabled, whereas `koios_medium_no_hb`, `koios_large_no_hb` and `koios_proxy_no_hb` regression tasks run these benchmarks without complex_dsp functionality. Normally, only the `koios_medium`, `koios_large`, and `koios_proxy` tasks should be enough for QoR. @@ -651,6 +667,8 @@ The following table provides details on available Koios settings in VTR flow: | Nightly | Medium designs | k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml | ✓ | vtr_reg_nightly_test4_odin/koios_medium | Odin | | | Nightly | Medium designs | k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml | | vtr_reg_nightly_test4_odin/koios_medium_no_hb | Odin | | | Weekly | Large designs | k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml | ✓ | vtr_reg_weekly/koios_large | Parmys | | +| Weekly | Large designs | k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml | ✓ | vtr_reg_weekly/koios_dla_large | Parmys | | +| Weekly | Large designs | k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml | ✓ | vtr_reg_weekly/koios_bwave_large | Parmys | | | Weekly | Large designs | k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml | | vtr_reg_weekly/koios_large_no_hb | Parmys | | | Weekly | Large designs | k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml | ✓ | vtr_reg_weekly/koios_large_odin | Odin | | | Weekly | Large designs | k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml | | vtr_reg_weekly/koios_large_no_hb_odin | Odin | | @@ -661,7 +679,15 @@ The following table provides details on available Koios settings in VTR flow: For more information refer to the [Koios benchmark home page](vtr_flow/benchmarks/verilog/koios/README.md). -The following steps show a sequence of commands to run the `koios` tasks on the Koios benchmarks: +To make running all the koios benchmarks easier, especially with thos circuits scattered between different tasks, there is an overall task list that runs all the 40 circuits of Koios as follows (this will run all the circuits with complex DSP functionality enabled. If you want to disable the complex DSP, edit the file to point to the `koios_*_no_hb` tasks): + +```shell +$ ../scripts/run_vtr_task.py -l koios_task_list.txt + +#Several hours later... they complete +# + +If you want to run a subset of the koios benchmarks or run them without hard DSP blocks, you can run lower-level 'koios' tasks as follows: ```shell #From the VTR root @@ -681,17 +707,6 @@ $ ../scripts/run_vtr_task.py regression_tests/vtr_reg_weekly/koios_sv_no_hb & #Several hours later... they complete -#Parse the results -$ ../scripts/python_libs/vtr/parse_vtr_task.py regression_tests/vtr_reg_nightly_test4/koios_medium -$ ../scripts/python_libs/vtr/parse_vtr_task.py regression_tests/vtr_reg_weekly/koios_large -$ ../scripts/python_libs/vtr/parse_vtr_task.py regression_tests/vtr_reg_weekly/koios_proxy -$ ../scripts/python_libs/vtr/parse_vtr_task.py regression_tests/vtr_reg_weekly/koios_sv - -$ ../scripts/python_libs/vtr/parse_vtr_task.py regression_tests/vtr_reg_nightly_test4/koios_medium_no_hb -$ ../scripts/python_libs/vtr/parse_vtr_task.py regression_tests/vtr_reg_weekly/koios_large_no_hb -$ ../scripts/python_libs/vtr/parse_vtr_task.py regression_tests/vtr_reg_weekly/koios_proxy_no_hb -$ ../scripts/python_libs/vtr/parse_vtr_task.py regression_tests/vtr_reg_weekly/koios_sv_no_hb - #The run directory should now contain a summary parse_results.txt file $ head -5 vtr_reg_nightly_test4/koios_medium//parse_results.txt arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time diff --git a/cmake/modules/AutoClangFormat.cmake b/cmake/modules/AutoClangFormat.cmake index e4f6c3cb8a4..fb5e78d9f55 100644 --- a/cmake/modules/AutoClangFormat.cmake +++ b/cmake/modules/AutoClangFormat.cmake @@ -21,11 +21,11 @@ add_custom_target(format-cpp-files COMMAND find ${DIRS_TO_FORMAT_CPP} ${FIND_TO_FORMAT_CPP}) # -# Use clang-format-14 for code format +# Use clang-format for code format # add_custom_target(format-cpp COMMAND find ${DIRS_TO_FORMAT_CPP} ${FIND_TO_FORMAT_CPP} | - xargs -P ${CPU_COUNT} clang-format-14 -style=file -i) + xargs -P ${CPU_COUNT} clang-format-18 -style=file -i) # # Use simple python script for fixing C like boxed comments diff --git a/dev/pylint_check.py b/dev/pylint_check.py index 96e78a2393d..4d2b9bba7c6 100755 --- a/dev/pylint_check.py +++ b/dev/pylint_check.py @@ -132,7 +132,7 @@ def expand_paths(): """Build a list of all python files to process by going through 'paths_to_lint'""" paths = [] - for (path, is_recursive) in paths_to_lint: + for path, is_recursive in paths_to_lint: # Make sure all hard-coded paths point to .py files if path.is_file(): if path.suffix.lower() != ".py": diff --git a/dev/submit_slurm.py b/dev/submit_slurm.py index fe6ef4b9ab5..f372f787e45 100755 --- a/dev/submit_slurm.py +++ b/dev/submit_slurm.py @@ -188,7 +188,7 @@ def get_resource_estimates(filepath): mem_bytes = float(match.groupdict()["mem_bytes"]) time_minutes = time_sec / 60 - mem_mb = mem_bytes / (1024 ** 2) + mem_mb = mem_bytes / (1024**2) return time_minutes, mem_mb diff --git a/dev/vtr_gdb_pretty_printers.py b/dev/vtr_gdb_pretty_printers.py index 86e2e14074d..3f4b7a951b3 100644 --- a/dev/vtr_gdb_pretty_printers.py +++ b/dev/vtr_gdb_pretty_printers.py @@ -7,8 +7,10 @@ https://docs.verilogtorouting.org/en/latest/dev/developing#vtr-pretty-printers """ + import re + # VTR related class VtrStrongIdPrinter: def __init__(self, val, typename="vtr::StrongId"): diff --git a/doc/_doxygen/vpr.dox b/doc/_doxygen/vpr.dox index 5d2933b5295..79e58955469 100644 --- a/doc/_doxygen/vpr.dox +++ b/doc/_doxygen/vpr.dox @@ -6,7 +6,7 @@ EXTRACT_ALL = YES EXTRACT_PRIVATE = YES EXTRACT_STATIC = YES WARN_IF_UNDOCUMENTED = NO -INPUT = ../../vpr +INPUT = ../../vpr ../../libs/libarchfpga/ RECURSIVE = YES GENERATE_HTML = NO GENERATE_LATEX = NO diff --git a/doc/src/api/vpr/mapping.rst b/doc/src/api/vpr/mapping.rst index ef573d0e973..f46436e1897 100644 --- a/doc/src/api/vpr/mapping.rst +++ b/doc/src/api/vpr/mapping.rst @@ -1,7 +1,7 @@ =============== Netlist mapping =============== -As shown in the previous section, there are multiple levels of abstraction (multiple netlists) in VPR which are the ClusteredNetlist and the AtomNetlist. To fully use these netlists, we provide some functions to map between them. +As shown in the previous section, there are multiple levels of abstraction (multiple netlists) in VPR which are the ClusteredNetlist and the AtomNetlist. To fully use these netlists, we provide some functions to map between them. In this section, we will state how to map between the atom and clustered netlists. @@ -16,11 +16,11 @@ To get the block Id of a cluster in the ClusteredNetlist from the block Id of on .. code-block:: cpp - ClusterBlockId clb_index = g_vpr_ctx.atom().lookup.atom_clb(atom_blk_id); + ClusterBlockId clb_index = g_vpr_ctx.atom().lookup().atom_clb(atom_blk_id); * Using re_cluster_util.h helper functions - + .. code-block:: cpp ClusterBlockId clb_index = atom_to_cluster(atom_blk_id); @@ -53,7 +53,7 @@ To get the net Id in the ClusteredNetlist from its Id in the AtomNetlist, use At .. code-block:: cpp - ClusterNetId clb_net = g_vpr_ctx.atom().lookup.clb_net(atom_net); + ClusterNetId clb_net = g_vpr_ctx.atom().lookup().clb_net(atom_net); Cluster net Id to Atom net Id @@ -62,4 +62,4 @@ To get the net Id in the AtomNetlist from its Id in the ClusteredNetlist, use At .. code-block:: cpp - ClusterNetId atom_net = g_vpr_ctx.atom().lookup.atom_net(clb_net); + ClusterNetId atom_net = g_vpr_ctx.atom().lookup().atom_net(clb_net); diff --git a/doc/src/arch/reference.rst b/doc/src/arch/reference.rst index b8fccc6579a..681a6607818 100644 --- a/doc/src/arch/reference.rst +++ b/doc/src/arch/reference.rst @@ -849,7 +849,7 @@ Each tile type is specified with the ```` tag withing the ```` tag. Tile ~~~~ -.. arch:tag:: +.. arch:tag:: A tile refers to a placeable element within an FPGA architecture and describes its physical compositions on the grid. The following attributes are applicable to each tile. diff --git a/doc/src/dev/run_ci_manual/run_workflow.png b/doc/src/dev/run_ci_manual/run_workflow.png new file mode 100644 index 00000000000..ba6c9a54afd Binary files /dev/null and b/doc/src/dev/run_ci_manual/run_workflow.png differ diff --git a/doc/src/dev/run_ci_manual/select_actions.png b/doc/src/dev/run_ci_manual/select_actions.png new file mode 100644 index 00000000000..444ec464932 Binary files /dev/null and b/doc/src/dev/run_ci_manual/select_actions.png differ diff --git a/doc/src/dev/run_ci_manual/select_workflow.png b/doc/src/dev/run_ci_manual/select_workflow.png new file mode 100644 index 00000000000..42f8c5a8b36 Binary files /dev/null and b/doc/src/dev/run_ci_manual/select_workflow.png differ diff --git a/doc/src/parmys/quickstart.rst b/doc/src/parmys/quickstart.rst index eea5b3edb5b..11a8c527609 100644 --- a/doc/src/parmys/quickstart.rst +++ b/doc/src/parmys/quickstart.rst @@ -37,8 +37,8 @@ To build the VTR flow with the Parmys front-end you may use the VTR Makefile wra .. note:: - Compiling the VTR flow with the ``-DYOSYS_F4PGA_PLUGINS=ON`` flag is required to build and install Yosys SystemVerilog and UHDM plugins. - Using this compile flag, the `Yosys-F4PGA-Plugins `_ and `Surelog `_ repositories are cloned in the ``$VTR_ROOT/libs/EXTERNAL`` directory and then will be compiled and added as external plugins to the Parmys front-end. + Compiling the VTR flow with the ``-DSynlig_SystemVerilog=ON`` flag is required to build and install Synlig SystemVerilog and UHDM plugins. + Using this compile flag, the `Synlig_SystemVerilog `_ repository is cloned and installed in the ``$VTR_ROOT/build/bin`` directory and then will be compiled and added as external tool to the Parmys front-end. Basic Usage ----------- diff --git a/doc/src/quickstart/index.rst b/doc/src/quickstart/index.rst index 0621ae0cd81..242079bef99 100644 --- a/doc/src/quickstart/index.rst +++ b/doc/src/quickstart/index.rst @@ -24,12 +24,18 @@ If you cloned the repository, you will need to set up the git submodules (if you > git submodule init > git submodule update -VTR requires several system packages and Python packages to build and run the flow. You can install the required system packages using the following command (this works on Ubuntu 18.04, 20.04 and 22.04, but you may require different packages on other Linux distributions). Our CI testing is on Ubuntu 22.04, so that is the best tested platform and recommended for development. +VTR requires several system packages and Python packages to build and run the flow. Ubuntu users can install the required system packages using the following command (this works on Ubuntu 18.04, 20.04, 22.04 and 24.04, but you may require different packages on other Linux distributions). Our CI testing is on Ubuntu 24.04, so that is the best tested platform and recommended for development. .. code-block:: bash > ./install_apt_packages.sh +Fedora and RHEL users may use the following command to install the required system packages. + +.. code-block:: bash + + > ./install_dnf_packages.sh + Then, to install the required Python packages (optionally within a new Python virtual environment): .. code-block:: bash diff --git a/doc/src/vpr/command_line_usage.rst b/doc/src/vpr/command_line_usage.rst index c33d4352fef..e56903dd644 100644 --- a/doc/src/vpr/command_line_usage.rst +++ b/doc/src/vpr/command_line_usage.rst @@ -89,6 +89,8 @@ VPR runs all stages of (pack, place, route, and analysis) if none of :option:`-- as such, the :option:`--pack` and :option:`--place` options should not be set when this option is set. This flow requires that the device has a fixed size and some of the primitive blocks are fixed somewhere on the device grid. + .. seealso:: See :ref:`analytical_placement_options` for the options for this flow. + .. seealso:: See :ref:`Fixed FPGA Grid Layout ` and :option:`--device` for how to fix the device size. .. seealso:: See :ref:`VPR Placement Constraints ` for how to fix primitive blocks in a design to the device grid. @@ -408,6 +410,50 @@ Use the options below to override this default naming behaviour. Prefix for output files +.. option:: --read_flat_place + + Reads a file containing the locations of each atom on the FPGA. + This is used by the packer to better cluster atoms together. + + The flat placement file (which often ends in ``.fplace``) is a text file + where each line describes the location of an atom. Each line in the flat + placement file should have the following syntax: + + .. code-block:: none + + + + For example: + + .. code-block:: none + + n523 6 8 0 0 3 + n522 6 8 0 0 5 + n520 6 8 0 0 2 + n518 6 8 0 0 16 + + The position of the atom on the FPGA is given by 3 floating point values + (``x``, ``y``, ``layer``). We allow for the positions of atom to be not + quite legal (ok to be off-grid) since this flat placement will be fed into + the packer and placer, which will snap the positions to grid locations. By + allowing for off-grid positions, the packer can better trade-off where to + move atom blocks if they cannot be placed at the given position. + For 2D FPGA architectures, the ``layer`` should be 0. + + The ``sub_tile`` is a clustered placement construct: which cluster-level + location at a given (x, y, layer) should these atoms go at (relevant when + multiple clusters can be stacked there). A sub-tile of -1 may be used when + the sub-tile of an atom is unkown (allowing the packing algorithm to choose + any sub-tile at the given (x, y, layer) location). + + The ``site_idx`` is an optional index into a linearized list of primitive + locations within a cluster-level block which may be used as a hint to + reconstruct clusters. + + .. warning:: + + This interface is currently experimental and under active development. + .. option:: --write_flat_place Writes the post-placement locations of each atom into a flat placement file. @@ -611,7 +657,7 @@ For people not working on CAD, you can probably leave all the options to their d .. note:: - If a pin utilization target is unspecified it defaults to 1.0 (i.e. 100% utilization). + If some pin utilizations are specified, ``auto`` mode is turned off and the utilization target for any unspecified pin types defaults to 1.0 (i.e. 100% utilization). For example: @@ -830,55 +876,9 @@ If any of init_t, exit_t or alpha_t is specified, the user schedule, with a fixe **Default:** ``0.0`` -.. _dusty_sa_options: -Setting any of the following 5 options selects :ref:`Dusty's annealing schedule ` . - -.. option:: --alpha_min - - The minimum (starting) update factor (alpha) used. - Ranges between 0 and alpha_max. - - **Default:** ``0.2`` - -.. option:: --alpha_max - - The maximum (stopping) update factor (alpha) used after which simulated annealing will complete. - Ranges between alpha_min and 1. - - **Default:** ``0.9`` - -.. option:: --alpha_decay - - The rate at which alpha will approach 1: alpha(n) = 1 - (1 - alpha(n-1)) * alpha_decay - Ranges between 0 and 1. - - **Default:** ``0.7`` - -.. option:: --anneal_success_min - - The minimum success ratio after which the temperature will reset to maintain the target success ratio. - Ranges between 0 and anneal_success_target. - - **Default:** ``0.1`` - -.. option:: --anneal_success_target - - The temperature after each reset is selected to keep this target success ratio. - Ranges between anneal_success_target and 1. - - **Default:** ``0.25`` - -.. option:: --place_cost_exp - - Wiring cost is divided by the average channel width over a net's bounding box - taken to this exponent. Only impacts devices with different channel widths in - different directions or regions. - - **Default:** ``1`` - .. option:: --RL_agent_placement {on | off} - Uses a Reinforcement Learning (RL) agent in choosing the appropiate move type in placement. + Uses a Reinforcement Learning (RL) agent in choosing the appropriate move type in placement. It activates the RL agent placement instead of using a fixed probability for each move type. **Default:** ``on`` @@ -907,7 +907,7 @@ Setting any of the following 5 options selects :ref:`Dusty's annealing schedule Controls how quickly the agent's memory decays. Values between [0., 1.] specify the fraction of weight in the exponentially weighted reward average applied to moves - which occured greater than moves_per_temp moves ago. Values < 0 cause the + which occurred greater than moves_per_temp moves ago. Values < 0 cause the unweighted reward sample average to be used (all samples are weighted equally) **Default:** ``0.05`` @@ -926,6 +926,15 @@ Setting any of the following 5 options selects :ref:`Dusty's annealing schedule **Default:** ``move_block_type`` +.. option:: --place_quench_only {on | off} + + If this option is set to ``on``, the placement will skip the annealing phase and only perform the placement quench. + This option is useful when the the quality of initial placement is good enough and there is no need to perform the + annealing phase. + + **Default:** ``off`` + + .. option:: --placer_debug_block .. note:: This option is likely only of interest to developers debugging the placement algorithm @@ -1023,7 +1032,7 @@ The following options are only valid when the placement engine is in timing-driv .. option:: --place_delay_model_reducer {min, max, median, arithmean, geomean} - When calculating delta delays for the placment delay model how are multiple values combined? + When calculating delta delays for the placement delay model how are multiple values combined? **Default:** ``min`` @@ -1056,7 +1065,7 @@ The following options are only valid when the placement engine is in timing-driv .. option:: --place_tsu_abs_margin - Specifies an absolute offest added to cell setup times used by the placer. + Specifies an absolute offset added to cell setup times used by the placer. This effectively controls whether the placer should try to achieve extra margin on setup paths. For example a value of 500e-12 corresponds to requesting an extra 500ps of setup margin. @@ -1064,7 +1073,7 @@ The following options are only valid when the placement engine is in timing-driv .. option:: --post_place_timing_report - Name of the post-placement timing report file to generate (not generated if unspecfied). + Name of the post-placement timing report file to generate (not generated if unspecified). .. _noc_placement_options: @@ -1163,6 +1172,105 @@ The following options are only used when FPGA device and netlist contain a NoC r **Default:** ``vpr_noc_placement_output.txt`` + +.. _analytical_placement_options: + +Analytical Placement Options +^^^^^^^^^^^^^^^ +Instead of Packing atoms into clusters and placing the clusters into valid tile +sites on the FPGA, Analytical Placement uses analytical techniques to place atoms +on the FPGA device by relaxing the constraints on where they can be placed. This +atom-level placement is then legalized into a clustered placement and passed into +the router in VPR. + +Analytical Placement is generally split into three stages: + +* Global Placement: Uses analytical techniques to place atoms on the FPGA grid. + +* Full Legalization: Legalizes a flat (atom) placement into legal clusters placed on the FPGA grid. + +* Detailed Placement: While keeping the clusters legal, performs optimizations on the clustered placement. + +.. warning:: + + Analytical Placement is experimental and under active development. + +.. option:: --ap_analytical_solver {qp-hybrid | lp-b2b} + + Controls which Analytical Solver the Global Placer will use in the AP Flow. + The Analytical Solver solves for a placement which optimizes some objective + function, ignorant of the FPGA legality constraints. This provides a "lower- + bound" solution. The Global Placer will legalize this solution and feed it + back to the analytical solver to make its solution more legal. + + * ``qp-hybrid`` Solves for a placement that minimizes the quadratic HPWL of + the flat placement using a hybrid clique/star net model (as described in + FastPlace :cite:`Viswanathan2005_FastPlace`). + Uses the legalized solution as anchor-points to pull the solution to a + more legal solution (similar to the approach from SimPL :cite:`Kim2013_SimPL`). + + * ``lp-b2b`` Solves for a placement that minimizes the linear HPWL of the + flat placement using the Bound2Bound net model (as described in Kraftwerk2 :cite:`Spindler2008_Kraftwerk2`). + Uses the legalized solution as anchor-points to pull the solution to a + more legal solution (similar to the approach from SimPL :cite:`Kim2013_SimPL`). + + **Default:** ``lp-b2b`` + +.. option:: --ap_partial_legalizer {bipartitioning | flow-based} + + Controls which Partial Legalizer the Global Placer will use in the AP Flow. + The Partial Legalizer legalizes a placement generated by an Analytical Solver. + It is used within the Global Placer to guide the solver to a more legal + solution. + + * ``bipartitioning`` Creates minimum windows around over-dense regions of + the device bi-partitions the atoms in these windows such that the region + is no longer over-dense and the atoms are in tiles that they can be placed + into. + + * ``flow-based`` Flows atoms from regions that are overfilled to regions that + are underfilled. + + **Default:** ``bipartitioning`` + +.. option:: --ap_full_legalizer {naive | appack} + + Controls which Full Legalizer to use in the AP Flow. + + * ``naive`` Use a Naive Full Legalizer which will try to create clusters exactly where their atoms are placed. + + * ``appack`` Use APPack, which takes the Packer in VPR and uses the flat atom placement to create better clusters. + + **Default:** ``appack`` + +.. option:: --ap_detailed_placer {none | annealer} + + Controls which Detailed Placer to use in the AP Flow. + + * ``none`` Do not use any Detailed Placer. + + * ``annealer`` Use the Annealer from the Placement stage as a Detailed Placer. This will use the same Placer Options from the Place stage to configure the annealer. + + **Default:** ``annealer`` + +.. option:: --ap_verbosity + + Controls the verbosity of the AP flow output. + Larger values produce more detailed output, which may be useful for + debugging the algorithms in the AP flow. + + * ``1 <= verbosity < 10`` Print standard, stage-level messages. This will + print messages at the GP, FL, or DP level. + + * ``10 <= verbosity < 20`` Print more detailed messages of what is happening + within stages. For example, show high-level information on the legalization + iterations within the Global Placer. + + * ``20 <= verbosity`` Print very detailed messages on intra-stage algorithms. + + **Default:** ``1`` + + .. _router_options: Router Options @@ -1179,7 +1287,7 @@ VPR uses a negotiated congestion algorithm (based on Pathfinder) to perform rout This means that during the routing stage, all nets, both intra- and inter-cluster, are routed directly from one primitive pin to another primitive pin. This increases routing time but can improve routing quality by re-arranging LUT inputs and exposing additional optimization opportunities in architectures with local intra-cluster routing that is not a full crossbar. - **Default:** ``OFF` + **Default:** ``off`` .. option:: --max_router_iterations diff --git a/doc/src/vpr/placement_constraints.rst b/doc/src/vpr/placement_constraints.rst index 637a185ccf4..2aeebf15ad4 100644 --- a/doc/src/vpr/placement_constraints.rst +++ b/doc/src/vpr/placement_constraints.rst @@ -18,7 +18,7 @@ A Placement Constraints File Example - + @@ -78,7 +78,10 @@ An ```` tag is used to add an atom that must be constrained to the par :req_param name_pattern: The name of the atom. -The ``name_pattern`` can be the exact name of the atom from the input atom netlist that was passed to VPR. It can also be a regular expression, in which case VPR will add all atoms from the netlist which have a portion of their name matching the regular expression to the partition. For example, if a module contains primitives named in the pattern of "alu[0]", "alu[1]", and "alu[2]", the regular expression "alu*" would add all of the primitives from that module. +The ``name_pattern`` can either be the exact name of an atom from the input atom netlist passed to VPR, or a regular expression pattern matching one or more atom names. VPR first searches the netlist for an exact match. If no exact match is found, it then assumes that the given name is a regex pattern and searches for atoms whose names match the pattern. + +For example, to add all atoms ``alu[0]``, ``alu[1]``, and ``alu[2]`` to the partition ``Part0``, the user can use ``alu.*`` as the ``name_pattern`` in the ```` tag. + Region ^^^^^^ @@ -124,12 +127,3 @@ It is strongly recommended that different partitions do not overlap. The packing blocks and the number of physical blocks in a region to decide pack atoms inside a partition more aggressively when there are not enough resources in a partition. Overlapping partitions causes some physical blocks to be counted in more than one partition. - - - - - - - - - diff --git a/doc/src/vtr/run_vtr_flow.rst b/doc/src/vtr/run_vtr_flow.rst index 97782ec7a77..f61bdf3226e 100644 --- a/doc/src/vtr/run_vtr_flow.rst +++ b/doc/src/vtr/run_vtr_flow.rst @@ -73,15 +73,15 @@ The parser for these runs is considered the Yosys conventional Verilog/SystemVer .. code-block:: bash - # Using the Yosys-SystemVerilog plugin if installed, otherwise the Yosys conventional Verilog parser + # Using the Synlig System_Verilog tool if installed, otherwise the Yosys conventional Verilog parser ./run_vtr_flow -parser system-verilog # Using the Surelog plugin if installed, otherwise failure on the unsupported file type ./run_vtr_flow -parser surelog Running the default VTR flow using the Parmys standalone front-end. -The Yosys HDL parser is considered as Yosys-SystemVerilog plugin (i.e., ``read_systemverilog``) and Yosys UHDM plugin (i.e., ``read_uhdm``), respectively. -Utilizing Yosys plugins requires passing the ``-DYOSYS_F4PGA_PLUGINS=ON`` compile flag to build and install the plugins for the Parmys front-end. +The Synlig HDL parser supports the (i.e., ``read_systemverilog``) and (i.e., ``read_uhdm``) commands. It utilizes Surelog for SystemVerilog 2017 processing and Yosys for synthesis. +Enable Synlig tool with the ``-DSYNLIG_SYSTEMVERILOG=ON`` compile flag for the Parmys front-end. .. code-block:: bash diff --git a/doc/src/z_references.bib b/doc/src/z_references.bib index 8822890713d..fc064f2c433 100644 --- a/doc/src/z_references.bib +++ b/doc/src/z_references.bib @@ -436,3 +436,46 @@ @inproceedings{kosar2024parallel booktitle={The 23rd International Conference on Field-Programmable Technology}, year={2024} } + +@ARTICLE{Viswanathan2005_FastPlace, + author={Viswanathan, N. and Chu, C.C.-N.}, + journal={IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}, + title={{FastPlace}: efficient analytical placement using cell shifting, iterative local refinement,and a hybrid net model}, + year={2005}, + volume={24}, + number={5}, + month=may, + pages={722-733}, + keywords={Clustering algorithms;Partitioning algorithms;Algorithm design and analysis;Integrated circuit interconnections;Large-scale systems;Minimization;Delay;Simulated annealing;Iterative algorithms;Acceleration;Analytical placement;computer-aided design;net models;standard cell placement}, + doi={10.1109/TCAD.2005.846365} +} + +@article{Kim2013_SimPL, + author = {Kim, Myung-Chul and Lee, Dong-Jin and Markov, Igor L.}, + journal = {Commun. ACM}, + title = {{SimPL}: an algorithm for placing {VLSI} circuits}, + year = {2013}, + issue_date = {June 2013}, + publisher = {Association for Computing Machinery}, + address = {New York, NY, USA}, + volume = {56}, + number = {6}, + issn = {0001-0782}, + doi = {10.1145/2461256.2461279}, + month = jun, + pages = {105–113}, + numpages = {9} +} + +@ARTICLE{Spindler2008_Kraftwerk2, + author={Spindler, Peter and Schlichtmann, Ulf and Johannes, Frank M.}, + journal={IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems}, + title={Kraftwerk2—A Fast Force-Directed Quadratic Placement Approach Using an Accurate Net Model}, + year={2008}, + volume={27}, + number={8}, + month=aug, + pages={1398-1411}, + keywords={Cost function;Central Processing Unit;Runtime;Quality control;Convergence;Computational efficiency;Integrated circuit synthesis;Stochastic processes;Circuit simulation;Bound2Bound;force-directed;half-perimeter wirelength (HPWL);Kraftwerk2;quadratic placement;Kraftwerk2;force-directed;quadratic placement;Bound2Bound;HPWL}, + doi={10.1109/TCAD.2008.925783} +} diff --git a/install_apt_packages.sh b/install_apt_packages.sh index 17d9aa49425..ede554a197c 100755 --- a/install_apt_packages.sh +++ b/install_apt_packages.sh @@ -36,6 +36,7 @@ sudo apt-get install -y \ libboost-system-dev \ libboost-python-dev \ libboost-filesystem-dev \ + default-jre \ zlib1g-dev # Required to build the documentation diff --git a/install_dnf_packages.sh b/install_dnf_packages.sh new file mode 100755 index 00000000000..b2a23fb7da5 --- /dev/null +++ b/install_dnf_packages.sh @@ -0,0 +1,52 @@ +sudo dnf upgrade --refresh + +# Base packages to compile and run basic regression tests +sudo dnf install -y \ + make \ + cmake \ + automake \ + gcc \ + gcc-c++ \ + kernel-devel \ + pkg-config \ + bison \ + flex \ + python3-devel \ + tbb-devel +# Required for graphics +sudo dnf install -y \ + gtk3-devel \ + libX11 + +# Required for parmys front-end from https://github.com/YosysHQ/yosys +sudo dnf install -y \ + make \ + automake \ + gcc \ + gcc-c++ \ + kernel-devel \ + clang \ + bison \ + flex \ + readline-devel \ + gawk \ + tcl-devel \ + libffi-devel \ + git \ + graphviz \ + python-xdot \ + pkg-config \ + python3-devel \ + boost-system \ + boost-python3 \ + boost-filesystem \ + zlib-ng-devel + +# Required to build the documentation +sudo dnf install -y \ + python3-sphinx \ + python-sphinx-doc + +# Required to run the analytical placement flow +sudo dnf install -y \ + eigen3-devel \ No newline at end of file diff --git a/libs/EXTERNAL/CMakeLists.txt b/libs/EXTERNAL/CMakeLists.txt index a60c48958eb..f70f150fbe2 100644 --- a/libs/EXTERNAL/CMakeLists.txt +++ b/libs/EXTERNAL/CMakeLists.txt @@ -1,4 +1,5 @@ include(ExternalProject) +include(ProcessorCount) #Manually synchronized external libraries add_subdirectory(libpugixml) @@ -9,8 +10,17 @@ add_subdirectory(libsdcparse) add_subdirectory(libblifparse) add_subdirectory(libtatum) add_subdirectory(libcatch2) +#add_subdirectory(synlig) #add_subdirectory(parmys) +#Proc numbers +ProcessorCount(PROCESSOR_COUNT) + +if(PROCESSOR_COUNT EQUAL 0) + # Fallback to 1 if the processor count cannot be determined + set(PROCESSOR_COUNT 1) +endif() + #VPR_USE_SERVER is initialized in the root CMakeLists #compile sockpp only if server mode is enabled if (VPR_USE_SERVER) @@ -27,8 +37,9 @@ endif () # The VTR root CMakeFile initializes the WITH_PARMYS if (${WITH_PARMYS}) - # building Surelog and UHDM in a custom target to avoid any target conflict with VTR targets - if (${YOSYS_F4PGA_PLUGINS}) + + if (${SYNLIG_SYSTEMVERILOG}) + set(SURELOG_SOURCE_DIR ${CMAKE_CURRENT_SOURCE_DIR}/Surelog) set(SURELOG_BINARY_DIR ${SURELOG_SOURCE_DIR}/build) set(YOSYS_F4PGA_PLUGINS_SOURCE_DIR ${CMAKE_CURRENT_SOURCE_DIR}/yosys-f4pga-plugins) @@ -62,74 +73,90 @@ if (${WITH_PARMYS}) LOG_OUTPUT_ON_FAILURE ON # dependency - DEPENDS yosys + DEPENDS yosys ) - ExternalProject_Add(f4pga-plugins - # root directory for the Yosys-F4PGA-Plugins project - PREFIX "f4pga-plugins" - - GIT_REPOSITORY https://github.com/chipsalliance/yosys-f4pga-plugins.git - GIT_TAG v1.20230808 - GIT_PROGRESS TRUE - GIT_SHALLOW TRUE - - # setting source, build and install directories - SOURCE_DIR "${YOSYS_F4PGA_PLUGINS_SOURCE_DIR}" - BUILD_IN_SOURCE FALSE - INSTALL_DIR "" - - INSTALL_COMMAND "" - CONFIGURE_COMMAND "" - BUILD_COMMAND ${MAKE_PROGRAM} -C ${YOSYS_F4PGA_PLUGINS_SOURCE_DIR} - PATH=${CMAKE_BINARY_DIR}/bin/:$ENV{PATH} - UHDM_INSTALL_DIR=${CMAKE_BINARY_DIR} - BUILD_DIR=${CMAKE_CURRENT_BINARY_DIR}/f4pga-plugins/$(NAME) # NAME will be resolved by yosys-f4pga-plugins Make - YOSYS_PATH=${CMAKE_BINARY_DIR} - install -j${CUSTOM_BUILD_PARALLEL_LEVEL} - - # redirect logs to a logfile - LOG_BUILD ON - LOG_UPDATE ON - LOG_INSTALL ON - LOG_CONFIGURE OFF - LOG_OUTPUT_ON_FAILURE ON + # Synlig integration (manages Surelog and UHDM internally) + + # Synlig integration (manages Surelog and UHDM internally) + set(SYNLIG_SOURCE_DIR ${CMAKE_CURRENT_SOURCE_DIR}/synlig) + + # Clone Synlig repository and ensure submodules are synced before building + ExternalProject_Add(synlig + PREFIX "synlig" + + # Clone the Synlig repository + GIT_REPOSITORY https://github.com/chipsalliance/synlig.git + GIT_TAG main + GIT_PROGRESS TRUE + GIT_SHALLOW TRUE + + # Set source and build directories + SOURCE_DIR "${SYNLIG_SOURCE_DIR}" + BUILD_IN_SOURCE FALSE + INSTALL_DIR " " + + # Sync submodules after cloning + + + UPDATE_COMMAND git submodule update --init --recursive third_party/surelog + WORKING_DIRECTORY ${SYNLIG_SOURCE_DIR} + + BUILD_COMMAND ${MAKE_PROGRAM} -C ${SYNLIG_SOURCE_DIR} install DESTDIR=${CMAKE_BINARY_DIR}/bin/synlig_install -j${PROCESSOR_COUNT} + INSTALL_COMMAND "" + CONFIGURE_COMMAND "" + + # Pass necessary paths and set environment variables + CMAKE_CACHE_ARGS + "-DCMAKE_BUILD_TYPE:STRING=Release" + "-DSURELOG_PATH=${CMAKE_BINARY_DIR}/surelog" + "-DYOSYS_PATH=${CMAKE_BINARY_DIR}/yosys" + "-DUHDM_INSTALL_DIR=${CMAKE_BINARY_DIR}" + "-DBUILD_DIR=${CMAKE_BINARY_DIR}/synlig-build" + "-DEXPORT_PATH=${CMAKE_BINARY_DIR}/synlig_install/usr/local/bin:$ENV{PATH}" + + LOG_BUILD ON + LOG_UPDATE ON + LOG_INSTALL ON + LOG_CONFIGURE OFF + LOG_OUTPUT_ON_FAILURE ON + + # Ensure dependencies like Yosys are built first + DEPENDS yosys # Ensure submodule sync runs before synlig build + ) - # dependency - DEPENDS surelog yosys - ) endif () - endif () -if (${VTR_ENABLE_CAPNPROTO}) - # Override default policy for capnproto (CMake policy version 3.1) - # Enable new IPO variables - set(CMAKE_POLICY_DEFAULT_CMP0069 NEW) - # Enable option overrides via variables - set(CMAKE_POLICY_DEFAULT_CMP0077 NEW) + if (${VTR_ENABLE_CAPNPROTO}) + # Override default policy for capnproto (CMake policy version 3.1) + # Enable new IPO variables + set(CMAKE_POLICY_DEFAULT_CMP0069 NEW) - # Re-enable CXX extensions for capnproto. - set(CMAKE_CXX_EXTENSIONS ON) + # Enable option overrides via variables + set(CMAKE_POLICY_DEFAULT_CMP0077 NEW) - # Disable capnproto tests - set(BUILD_TESTING OFF) + # Re-enable CXX extensions for capnproto. + set(CMAKE_CXX_EXTENSIONS ON) - #Since capnproto is an externally developed library/tool, we suppress all compiler warnings - CHECK_CXX_COMPILER_FLAG("-w" CXX_COMPILER_SUPPORTS_-w) - if (CXX_COMPILER_SUPPORTS_-w) - add_compile_options("-w") - endif () + # Disable capnproto tests + set(BUILD_TESTING OFF) - add_subdirectory(capnproto EXCLUDE_FROM_ALL) + #Since capnproto is an externally developed library/tool, we suppress all compiler warnings + CHECK_CXX_COMPILER_FLAG("-w" CXX_COMPILER_SUPPORTS_-w) + if (CXX_COMPILER_SUPPORTS_-w) + add_compile_options("-w") + endif () - #Some capnproto kj headers (e.g. filesystem.h) generate warnings, treat them as system headers to suppress warnings - #We suppress them here since we include the capnproto sub-tree as is and do not modify its CMakeLists.txts - target_include_directories(kj SYSTEM INTERFACE - $ - $ - ) + add_subdirectory(capnproto EXCLUDE_FROM_ALL) + + #Some capnproto kj headers (e.g. filesystem.h) generate warnings, treat them as system headers to suppress warnings + #We suppress them here since we include the capnproto sub-tree as is and do not modify its CMakeLists.txts + target_include_directories(kj SYSTEM INTERFACE + $ + $ + ) endif () # Some catch2 headers generate warnings, so treat them as system headers to suppress warnings diff --git a/libs/EXTERNAL/libcatch2 b/libs/EXTERNAL/libcatch2 index fa43b77429b..76f70b1403d 160000 --- a/libs/EXTERNAL/libcatch2 +++ b/libs/EXTERNAL/libcatch2 @@ -1 +1 @@ -Subproject commit fa43b77429ba76c462b1898d6cd2f2d7a9416b14 +Subproject commit 76f70b1403dbc0781216f49e20e45b71f7eccdd8 diff --git a/libs/EXTERNAL/libezgl/include/ezgl/point.hpp b/libs/EXTERNAL/libezgl/include/ezgl/point.hpp index ee8d5d17b90..a0d39279f19 100644 --- a/libs/EXTERNAL/libezgl/include/ezgl/point.hpp +++ b/libs/EXTERNAL/libezgl/include/ezgl/point.hpp @@ -36,7 +36,7 @@ class point2d { /** * Create a point at the given x and y position. */ - point2d(double x_coord, double y_coord) : x(x_coord), y(y_coord) + point2d(double x_coord, double y_coord) noexcept : x(x_coord), y(y_coord) { } diff --git a/libs/EXTERNAL/libezgl/include/ezgl/rectangle.hpp b/libs/EXTERNAL/libezgl/include/ezgl/rectangle.hpp index 70138eae392..a454e7f107c 100644 --- a/libs/EXTERNAL/libezgl/include/ezgl/rectangle.hpp +++ b/libs/EXTERNAL/libezgl/include/ezgl/rectangle.hpp @@ -33,7 +33,7 @@ class rectangle { /** * Default constructor: Create a zero-sized rectangle at {0,0}. */ - rectangle() : m_first({0, 0}), m_second({0, 0}) + rectangle() noexcept : m_first({0, 0}), m_second({0, 0}) { } diff --git a/libs/EXTERNAL/libtatum/libtatum/tatum/util/tatum_strong_id.hpp b/libs/EXTERNAL/libtatum/libtatum/tatum/util/tatum_strong_id.hpp index 71f5b7a874e..28340d53885 100644 --- a/libs/EXTERNAL/libtatum/libtatum/tatum/util/tatum_strong_id.hpp +++ b/libs/EXTERNAL/libtatum/libtatum/tatum/util/tatum_strong_id.hpp @@ -161,7 +161,7 @@ template bool operator!=(const StrongId& lhs, const StrongId& rhs); template -bool operator<(const StrongId& lhs, const StrongId& rhs); +bool operator<(const StrongId& lhs, const StrongId& rhs) noexcept; //Class template definition with default template parameters @@ -198,7 +198,7 @@ class StrongId { // after the function name (i.e. <>) friend bool operator== <>(const StrongId& lhs, const StrongId& rhs); friend bool operator!= <>(const StrongId& lhs, const StrongId& rhs); - friend bool operator< <>(const StrongId& lhs, const StrongId& rhs); + friend bool operator< <>(const StrongId& lhs, const StrongId& rhs) noexcept; private: T id_; }; @@ -215,7 +215,7 @@ bool operator!=(const StrongId& lhs, const StrongId -bool operator<(const StrongId& lhs, const StrongId& rhs) { +bool operator<(const StrongId& lhs, const StrongId& rhs) noexcept { return lhs.id_ < rhs.id_; } diff --git a/libs/EXTERNAL/sockpp b/libs/EXTERNAL/sockpp index 5388c4b5659..599f750c8b6 160000 --- a/libs/EXTERNAL/sockpp +++ b/libs/EXTERNAL/sockpp @@ -1 +1 @@ -Subproject commit 5388c4b5659e99a86bc906dd6ac2eef66f1dd51e +Subproject commit 599f750c8b6532950d4bb43b2b756700e41dbae9 diff --git a/libs/libarchfpga/CMakeLists.txt b/libs/libarchfpga/CMakeLists.txt index 65e9096cd37..283ddb55959 100644 --- a/libs/libarchfpga/CMakeLists.txt +++ b/libs/libarchfpga/CMakeLists.txt @@ -26,6 +26,8 @@ target_link_libraries(libarchfpga if(${VTR_ENABLE_CAPNPROTO}) target_link_libraries(libarchfpga libvtrcapnproto) + find_package(ZLIB REQUIRED) + target_link_libraries(libarchfpga ZLIB::ZLIB) target_compile_definitions(libarchfpga PRIVATE VTR_ENABLE_CAPNPROTO) endif() diff --git a/libs/libarchfpga/src/arch_check.cpp b/libs/libarchfpga/src/arch_check.cpp index c8fb00299c4..5360d6e4c02 100644 --- a/libs/libarchfpga/src/arch_check.cpp +++ b/libs/libarchfpga/src/arch_check.cpp @@ -32,7 +32,7 @@ bool check_model_clocks(t_model* model, const char* file, uint32_t line) { bool check_model_combinational_sinks(const t_model* model, const char* file, uint32_t line) { //Outputs should have no combinational sinks for (t_model_ports* port = model->outputs; port != nullptr; port = port->next) { - if (port->combinational_sink_ports.size() != 0) { + if (!port->combinational_sink_ports.empty()) { archfpga_throw(file, line, "Model '%s' output port '%s' can not have combinational sink ports", model->name, port->name); @@ -114,9 +114,9 @@ void check_port_direct_mappings(t_physical_tile_type_ptr physical_tile, t_sub_ti } for (auto pin_map : pin_direct_map) { - auto block_port = get_port_by_pin(logical_block, pin_map.first.pin); + const t_port* block_port = logical_block->get_port_by_pin(pin_map.first.pin); - auto sub_tile_port = get_port_by_pin(sub_tile, pin_map.second.pin); + const t_physical_tile_port* sub_tile_port = sub_tile->get_port_by_pin(pin_map.second.pin); VTR_ASSERT(block_port != nullptr); VTR_ASSERT(sub_tile_port != nullptr); diff --git a/libs/libarchfpga/src/arch_util.h b/libs/libarchfpga/src/arch_util.h index c39cf77b94f..fb251bffe10 100644 --- a/libs/libarchfpga/src/arch_util.h +++ b/libs/libarchfpga/src/arch_util.h @@ -23,8 +23,8 @@ class InstPort { InstPort() = default; InstPort(const std::string& str); - std::string instance_name() const { return instance_.name; } - std::string port_name() const { return port_.name; } + const std::string& instance_name() const { return instance_.name; } + const std::string& port_name() const { return port_.name; } int instance_low_index() const { return instance_.low_idx; } int instance_high_index() const { return instance_.high_idx; } @@ -40,7 +40,7 @@ class InstPort { private: struct name_index { - std::string name = ""; + std::string name; int low_idx = UNSPECIFIED; int high_idx = UNSPECIFIED; }; diff --git a/libs/libarchfpga/src/cad_types.h b/libs/libarchfpga/src/cad_types.h index 5ab46816b99..0290329a131 100644 --- a/libs/libarchfpga/src/cad_types.h +++ b/libs/libarchfpga/src/cad_types.h @@ -104,7 +104,7 @@ struct t_pack_patterns { std::vector> chain_root_pins; // default constructor initializing to an invalid pack pattern - t_pack_patterns() { + t_pack_patterns() noexcept { name = nullptr; index = -1; root_block = nullptr; diff --git a/libs/libarchfpga/src/device_grid.h b/libs/libarchfpga/src/device_grid.h index 8e1332559ed..80f88efbeee 100644 --- a/libs/libarchfpga/src/device_grid.h +++ b/libs/libarchfpga/src/device_grid.h @@ -19,8 +19,11 @@ struct t_grid_tile { const t_metadata_dict* meta = nullptr; }; -///@brief DeviceGrid represents the FPGA fabric. It is used to get information about different layers and tiles. -// TODO: All of the function that use helper functions of this class should pass the layer_num to the functions, and the default value of layer_num should be deleted eventually. +//TODO: All of the functions that use helper functions of this class should pass the layer_num to the functions, and the default value of layer_num should be deleted eventually. +/** + * @class DeviceGrid + * @brief Represents the FPGA fabric. It is used to get information about different layers and tiles. + */ class DeviceGrid { public: DeviceGrid() = default; diff --git a/libs/libarchfpga/src/echo_arch.cpp b/libs/libarchfpga/src/echo_arch.cpp index 4fb52c415f2..edceeb748fb 100644 --- a/libs/libarchfpga/src/echo_arch.cpp +++ b/libs/libarchfpga/src/echo_arch.cpp @@ -297,7 +297,7 @@ void PrintArchInfo(FILE* Echo, const t_arch* arch) { int num_layers = (int)layout.layers.size(); if (num_layers > 1) { fprintf(Echo, "\t\t\t\ttype unidir mux_name for between two dice connections: %s\n", - arch->switches[seg.arch_opin_between_dice_switch].name.c_str()); + arch->switches[seg.arch_inter_die_switch].name.c_str()); } } } else { //Should be bidir diff --git a/libs/libarchfpga/src/parse_switchblocks.cpp b/libs/libarchfpga/src/parse_switchblocks.cpp index 1e8908713bf..3ef9ec8e155 100644 --- a/libs/libarchfpga/src/parse_switchblocks.cpp +++ b/libs/libarchfpga/src/parse_switchblocks.cpp @@ -4,19 +4,15 @@ * * * A large chunk of this file is dedicated to helping parse the initial switchblock - * specificaiton in the XML arch file, providing error checking, etc. + * specification in the XML arch file, providing error checking, etc. * * Another large chunk of this file is dedicated to parsing the actual formulas * specified by the switch block permutation functions into their numeric counterparts. */ -#include +#include #include -#include #include -#include -#include -#include #include "vtr_assert.h" #include "vtr_util.h" @@ -26,9 +22,7 @@ #include "arch_error.h" -#include "read_xml_util.h" #include "arch_util.h" -#include "arch_types.h" #include "physical_types.h" #include "parse_switchblocks.h" diff --git a/libs/libarchfpga/src/physical_types.cpp b/libs/libarchfpga/src/physical_types.cpp index 3bdabaee2a7..f1e145a4406 100644 --- a/libs/libarchfpga/src/physical_types.cpp +++ b/libs/libarchfpga/src/physical_types.cpp @@ -136,6 +136,56 @@ bool t_physical_tile_type::is_empty() const { return name == std::string(EMPTY_BLOCK_NAME); } +int t_physical_tile_type::find_pin(std::string_view port_name, int pin_index_in_port) const { + int ipin = OPEN; + int port_base_ipin = 0; + int num_port_pins = OPEN; + int pin_offset = 0; + + bool port_found = false; + for (const t_sub_tile& sub_tile : sub_tiles) { + for (const t_physical_tile_port& port : sub_tile.ports) { + if (port_name == port.name) { + port_found = true; + num_port_pins = port.num_pins; + break; + } + + port_base_ipin += port.num_pins; + } + + if (port_found) { + break; + } + + port_base_ipin = 0; + pin_offset += sub_tile.num_phy_pins; + } + + if (num_port_pins != OPEN) { + VTR_ASSERT(pin_index_in_port < num_port_pins); + + ipin = port_base_ipin + pin_index_in_port + pin_offset; + } + + return ipin; +} + +int t_physical_tile_type::find_pin_class(std::string_view port_name, int pin_index_in_port, e_pin_type pin_type) const { + int iclass = OPEN; + + int ipin = find_pin(port_name, pin_index_in_port); + + if (ipin != OPEN) { + iclass = pin_class[ipin]; + + if (iclass != OPEN) { + VTR_ASSERT(class_inf[iclass].type == pin_type); + } + } + return iclass; +} + /* * t_logical_block_type */ @@ -144,6 +194,28 @@ bool t_logical_block_type::is_empty() const { return name == std::string(EMPTY_BLOCK_NAME); } +const t_port* t_logical_block_type::get_port(std::string_view port_name) const { + for (int i = 0; i < pb_type->num_ports; i++) { + auto port = pb_type->ports[i]; + if (port_name == port.name) { + return &pb_type->ports[port.index]; + } + } + + return nullptr; +} + +const t_port* t_logical_block_type::get_port_by_pin(int pin) const { + for (int i = 0; i < pb_type->num_ports; i++) { + const t_port& port = pb_type->ports[i]; + if (pin >= port.absolute_first_pin_index && pin < port.absolute_first_pin_index + port.num_pins) { + return &pb_type->ports[port.index]; + } + } + + return nullptr; +} + /** * t_pb_graph_node */ @@ -220,7 +292,7 @@ std::string t_pb_graph_pin::to_string(const bool full_description) const { return pin_string; } -/** +/* * t_pb_graph_edge */ @@ -253,3 +325,39 @@ bool t_pb_graph_edge::belongs_to_pattern(int pattern_index) const { // return false otherwise return false; } + +/* + * t_sub_tile + */ + +int t_sub_tile::total_num_internal_pins() const { + int num_pins = 0; + + for (t_logical_block_type_ptr eq_site : equivalent_sites) { + num_pins += (int)eq_site->pin_logical_num_to_pb_pin_mapping.size(); + } + + num_pins *= capacity.total(); + + return num_pins; +} + +const t_physical_tile_port* t_sub_tile::get_port(std::string_view port_name) { + for (const t_physical_tile_port& port : ports) { + if (port_name == port.name) { + return &ports[port.index]; + } + } + + return nullptr; +} + +const t_physical_tile_port* t_sub_tile::get_port_by_pin(int pin) const { + for (const t_physical_tile_port& port : ports) { + if (pin >= port.absolute_first_pin_index && pin < port.absolute_first_pin_index + port.num_pins) { + return &ports[port.index]; + } + } + + return nullptr; +} diff --git a/libs/libarchfpga/src/physical_types.h b/libs/libarchfpga/src/physical_types.h index a0351076127..80e8d8224f3 100644 --- a/libs/libarchfpga/src/physical_types.h +++ b/libs/libarchfpga/src/physical_types.h @@ -24,8 +24,7 @@ * Authors: Jason Luu and Kenneth Kent */ -#ifndef PHYSICAL_TYPES_H -#define PHYSICAL_TYPES_H +#pragma once #include #include @@ -97,7 +96,7 @@ enum class e_sb_type; // Metadata value storage. class t_metadata_value { public: - explicit t_metadata_value(vtr::interned_string v) + explicit t_metadata_value(vtr::interned_string v) noexcept : value_(v) {} explicit t_metadata_value(const t_metadata_value& o) noexcept : value_(o.value_) {} @@ -709,12 +708,6 @@ struct t_physical_tile_type { * tile_block_pin_directs_map[logical block index][logical block pin] -> physical tile pin */ std::unordered_map>> tile_block_pin_directs_map; - /* Returns the indices of pins that contain a clock for this physical logic block */ - std::vector get_clock_pins_indices() const; - - // Returns the sub tile location of the physical tile given an input pin - int get_sub_tile_loc_from_pin(int pin_num) const; - // TODO: Remove is_input_type / is_output_type as part of // https://github.com/verilog-to-routing/vtr-verilog-to-routing/issues/1193 @@ -724,8 +717,21 @@ struct t_physical_tile_type { // Does this t_physical_tile_type contain an outpad? bool is_output_type = false; - // Is this t_physical_tile_type an empty type? + public: // Function members + ///@brief Returns the indices of pins that contain a clock for this physical logic block + std::vector get_clock_pins_indices() const; + + ///@brief Returns the sub tile location of the physical tile given an input pin + int get_sub_tile_loc_from_pin(int pin_num) const; + + ///@brief Is this t_physical_tile_type an empty type? bool is_empty() const; + + ///@brief Returns the relative pin index within a sub tile that corresponds to the pin within the given port and its index in the port + int find_pin(std::string_view port_name, int pin_index_in_port) const; + + ///@brief Returns the pin class associated with the specified pin_index_in_port within the port port_name on type + int find_pin_class(std::string_view port_name, int pin_index_in_port, e_pin_type pin_type) const; }; /* Holds the capacity range of a certain sub_tile block within the parent physical tile type. @@ -801,6 +807,19 @@ struct t_sub_tile { int num_phy_pins = 0; int index = -1; + + public: + int total_num_internal_pins() const; + + /** + * @brief Returns the physical tile port given the port name and the corresponding sub tile + */ + const t_physical_tile_port* get_port(std::string_view port_name); + + /** + * @brief Returns the physical tile port given the pin name and the corresponding sub tile + */ + const t_physical_tile_port* get_port_by_pin(int pin) const; }; /** A logical pin defines the pin index of a logical block type (i.e. a top level PB type) @@ -955,6 +974,17 @@ struct t_logical_block_type { // Is this t_logical_block_type empty? bool is_empty() const; + + public: + /** + * @brief Returns the logical block port given the port name and the corresponding logical block type + */ + const t_port* get_port(std::string_view port_name) const; + + /** + * @brief Returns the logical block port given the pin name and the corresponding logical block type + */ + const t_port* get_port_by_pin(int pin) const; }; /************************************************************************************************* @@ -1253,8 +1283,8 @@ struct t_pin_to_pin_annotation { * flat_site_index : Index of this primitive site within its primitive type within this cluster type. * Values are in [0...total_primitive_count-1], e.g. if there are 10 ALMs per cluster, 2 FFS * and 2 LUTs per ALM, then flat site indices for FFs would run from 0 to 19, and flat site - indices for LUTs would run from 0 to 19. This member is only used by nodes corresponding - to primitive sites. It is used when reconstructing clusters from a flat placement file. + * indices for LUTs would run from 0 to 19. This member is only used by nodes corresponding + * to primitive sites. It is used when reconstructing clusters from a flat placement file. * illegal_modes : vector containing illegal modes that result in conflicts during routing */ class t_pb_graph_node { @@ -1308,7 +1338,7 @@ class t_pb_graph_node { int total_pb_pins; /* only valid for top-level */ - void* temp_scratch_pad; /* temporary data, useful for keeping track of things when traversing data structure */ + void* temp_scratch_pad; /* temporary data, useful for keeping track of things when traversing data structure */ int* input_pin_class_size; /* Stores the number of pins that belong to a particular input pin class */ int num_input_pin_class; /* number of input pin classes that this pb_graph_node has */ @@ -1318,7 +1348,6 @@ class t_pb_graph_node { int total_primitive_count; /* total number of this primitive type in the cluster */ int flat_site_index; /* index of this primitive within sites of its type in this cluster */ - /* Interconnect instances for this pb * Only used for power */ @@ -1575,100 +1604,144 @@ enum e_Fc_type { FRACTIONAL }; -/* Lists all the important information about a certain segment type. Only * - * used if the route_type is DETAILED. [0 .. det_routing_arch.num_segment] * - * name: the name of this segment * - * frequency: ratio of tracks which are of this segment type. * - * length: Length (in clbs) of the segment. * - * arch_wire_switch: Index of the switch type that connects other wires * - * *to* this segment. Note that this index is in relation * - * to the switches from the architecture file, not the * - * expanded list of switches that is built at the end of * - * build_rr_graph. * - * arch_opin_switch: Index of the switch type that connects output pins * - * (OPINs) *to* this segment. Note that this index is in * - * relation to the switches from the architecture file, * - * not the expanded list of switches that is built * - * at the end of build_rr_graph * - * @param arch_wire_switch_dec: Same as arch_wire_switch but used only for * - * decremental tracks if it is specified in the * - * architecture file. If -1, this value was not set in * - * the architecture file and arch_wire_switch should be * - * used for "DEC_DIR" wire segments. * - * @param arch_opin_switch_dec: Same as arch_opin_switch but used only for * - * decremental tracks if it is specified in the * - * architecture file. If -1, this value was not set in * - * the architecture file and arch_opin_switch should be * - * used for "DEC_DIR" wire segments. * - * @param arch_opin_between_dice_switch: Index of the switch type that * - * connects output pins (OPINs) *to* this segment from * - * *another die (layer)*. Note that this index is in * - * relation to the switches from the architecture file, * - * not the expanded list of switches that is built at * - * the end of build_rr_graph * - * * - * frac_cb: The fraction of logic blocks along its length to which this * - * segment can connect. (i.e. internal population). * - * frac_sb: The fraction of the length + 1 switch blocks along the segment * - * to which the segment can connect. Segments that aren't long * - * lines must connect to at least two switch boxes. * - * parallel_axis: Defines what axis the segment is parallel to. See * - * e_parallel_axis comments for more details on the values. * - * Cmetal: Capacitance of a routing track, per unit logic block length. * - * Rmetal: Resistance of a routing track, per unit logic block length. * - * (UDSD by AY) drivers: How do signals driving a routing track connect to * - * the track? * - * seg_index: The index of the segment as stored in the appropriate Segs list* - * Upon loading the architecture, we use this field to keep track * - * the segment's index in the unified segment_inf vector. This is * - * useful when building the rr_graph for different Y & X channels * - * in terms of track distribution and segment type. * - * res_type: Determines the routing network to which the segment belongs. * - * Possible values are: * - * - GENERAL: The segment is part of the general routing * - * resources. * - * - GCLK: The segment is part of the global routing network. * - * For backward compatibility, this attribute is optional. If not * - * specified, the resource type for the segment is considered to * - * be GENERAL. * - * meta: Table storing extra arbitrary metadata attributes. * - * - * - * New added parameters for bend wires: * - * isbend: This segment is bend or not * - * bend: The bend type of the segment, "-"-0, "U"-1, "D"-2 * - * For example: bend pattern <- - U ->; corresponding bend: [0,0,1,0] * - * part_len: Divide the segment into several parts based on bend position. * - * For example: length-5 bend segment: <- - U ->; * - * Corresponding part_len: [3,2] */ +/** + * @brief Lists all the important information about a certain segment type. Only + * used if the route_type is DETAILED. [0 .. det_routing_arch.num_segment] + */ struct t_segment_inf { + /** + * @brief The name of the segment type + */ std::string name; + + /** + * @brief ratio of tracks which are of this segment type. + */ int frequency; + + /** + * @brief Length (in clbs) of the segment. + */ int length; + + /** + * @brief Index of the switch type that connects other wires to this segment. + * Note that this index is in relation to the switches from the architecture file, + * not the expanded list of switches that is built at the end of build_rr_graph. + */ short arch_wire_switch; + + /** + * @brief Index of the switch type that connects output pins to this segment. + * Note that this index is in relation to the switches from the architecture file, + * not the expanded list of switches that is built at the end of build_rr_graph. + */ short arch_opin_switch; + + /** + * @brief Same as arch_wire_switch but used only for decremental tracks if it is + * specified in the architecture file. If -1, this value was not set in the + * architecture file and arch_wire_switch should be used for "DEC_DIR" wire segments. + */ short arch_wire_switch_dec = -1; + + /** + * @brief Same as arch_opin_switch but used only for decremental tracks if + * it is specified in the architecture file. If -1, this value was not set in + * the architecture file and arch_opin_switch should be used for "DEC_DIR" wire segments. + */ short arch_opin_switch_dec = -1; - short arch_opin_between_dice_switch = -1; + + /** + * @brief Index of the switch type that connects output pins (OPINs) to this + * segment from another die (layer). Note that this index is in relation to + * the switches from the architecture file, not the expanded list of switches + * that is built at the end of build_rr_graph. + */ + short arch_inter_die_switch = -1; + + /** + * @brief The fraction of logic blocks along its length to which this segment can connect. + * (i.e. internal population). + */ float frac_cb; + + /** + * @brief The fraction of the length + 1 switch blocks along the segment to which the segment can connect. + * Segments that aren't long lines must connect to at least two switch boxes. + */ float frac_sb; + bool longline; + + /** + * @brief The resistance of a routing track, per unit logic block length. */ float Rmetal; + + /** + * @brief The capacitance of a routing track, per unit logic block length. */ float Cmetal; + enum e_directionality directionality; + + /** + * @brief Defines what axis the segment is parallel to. See e_parallel_axis + * comments for more details on the values. + */ enum e_parallel_axis parallel_axis; + + /** + * @brief A vector of booleans indicating whether the segment can connect to a logic block. + */ std::vector cb; + + /** + * @brief A vector of booleans indicating whether the segment can connect to a switch block. + */ std::vector sb; - bool isbend; + + bool isbend; + std::vector bend; + std::vector part_len; + + /** + * @brief The index of the segment as stored in the appropriate Segs list. + * Upon loading the architecture, we use this field to keep track of the + * segment's index in the unified segment_inf vector. This is useful when + * building the rr_graph for different Y & X channels in terms of track + * distribution and segment type. + */ int seg_index; + + /** + * @brief Determines the routing network to which the segment belongs. + * Possible values are: + * - GENERAL: The segment is part of the general routing resources. + * - GCLK: The segment is part of the global routing network. + * For backward compatibility, this attribute is optional. If not specified, + * the resource type for the segment is considered to be GENERAL. + */ enum SegResType res_type = SegResType::GENERAL; - //float Cmetal_per_m; /* Wire capacitance (per meter) */ }; inline bool operator==(const t_segment_inf& a, const t_segment_inf& b) { - return a.name == b.name && a.frequency == b.frequency && a.length == b.length && a.arch_wire_switch == b.arch_wire_switch && a.arch_opin_switch == b.arch_opin_switch && a.arch_opin_between_dice_switch == b.arch_opin_between_dice_switch && a.frac_cb == b.frac_cb && a.frac_sb == b.frac_sb && a.longline == b.longline && a.Rmetal == b.Rmetal && a.Cmetal == b.Cmetal && a.directionality == b.directionality && a.parallel_axis == b.parallel_axis && a.cb == b.cb && a.sb == b.sb; + return a.name == b.name + && a.frequency == b.frequency + && a.length == b.length + && a.arch_wire_switch == b.arch_wire_switch + && a.arch_opin_switch == b.arch_opin_switch + && a.arch_inter_die_switch == b.arch_inter_die_switch + && a.frac_cb == b.frac_cb + && a.frac_sb == b.frac_sb + && a.longline == b.longline + && a.Rmetal == b.Rmetal + && a.Cmetal == b.Cmetal + && a.directionality == b.directionality + && a.parallel_axis == b.parallel_axis + && a.cb == b.cb + && a.sb == b.sb; } /*provide hashing for t_segment_inf to enable the use of many std containers. @@ -1973,7 +2046,7 @@ struct t_switchblock_inf { /* We can also define a region to apply this SB to all locations falls into this region using regular expression in the architecture file*/ t_sb_loc_spec reg_x; t_sb_loc_spec reg_y; - + t_permutation_map permutation_map; /* map holding the permutation functions attributed to this switchblock */ std::vector wireconns; /* list of wire types/groups this SB will connect */ @@ -2062,8 +2135,6 @@ struct t_noc_inf { std::string noc_router_tile_name; }; - - /* Detailed routing architecture */ struct t_arch { /** Stores unique strings used as key and values in tags, @@ -2143,11 +2214,11 @@ struct t_arch { std::vector ipin_cblock_switch_name; std::vector grid_layouts; //Set of potential device layouts - + //the layout that is chosen to be used with command line options //It is used to generate custom SB for a specific locations within the device //If the layout is not specified in the command line options, this variable will be set to "auto" - std::string device_layout; + std::string device_layout; std::vector vib_grid_layouts; @@ -2160,5 +2231,3 @@ struct t_arch { //bool is_vib_arch = false; std::vector vib_infs; }; - -#endif diff --git a/libs/libarchfpga/src/physical_types_util.cpp b/libs/libarchfpga/src/physical_types_util.cpp index 2256f81d66c..2ecc7fbd41c 100644 --- a/libs/libarchfpga/src/physical_types_util.cpp +++ b/libs/libarchfpga/src/physical_types_util.cpp @@ -154,7 +154,7 @@ static std::tuple get_pin_index_for_inst(t_physical_til pin_inst_num = (pin_physical_num - pin_offset) % pins_per_inst; } else { int pin_offset = get_sub_tile_inst_physical_pin_num_offset(type, sub_tile, sub_tile_cap); - int pins_per_inst = get_total_num_sub_tile_internal_pins(sub_tile) / sub_tile->capacity.total(); + int pins_per_inst = sub_tile->total_num_internal_pins() / sub_tile->capacity.total(); pin_inst_num = (pin_physical_num - pin_offset) % pins_per_inst; } @@ -225,7 +225,7 @@ static int get_sub_tile_physical_pin_num_offset(t_physical_tile_type_ptr physica if (&tmp_sub_tile == curr_sub_tile) break; else - offset += get_total_num_sub_tile_internal_pins(&tmp_sub_tile); + offset += tmp_sub_tile.total_num_internal_pins(); } return offset; @@ -235,7 +235,7 @@ static int get_sub_tile_inst_physical_pin_num_offset(t_physical_tile_type_ptr ph const t_sub_tile* curr_sub_tile, const int curr_relative_cap) { int offset = get_sub_tile_physical_pin_num_offset(physical_tile, curr_sub_tile); - int sub_tile_inst_num_pins = get_total_num_sub_tile_internal_pins(curr_sub_tile) / curr_sub_tile->capacity.total(); + int sub_tile_inst_num_pins = curr_sub_tile->total_num_internal_pins() / curr_sub_tile->capacity.total(); offset += (curr_relative_cap * sub_tile_inst_num_pins); @@ -563,57 +563,6 @@ int get_max_num_pins(t_logical_block_type_ptr logical_block) { return max_num_pins; } -//Returns the pin class associated with the specified pin_index_in_port within the port port_name on type -int find_pin_class(t_physical_tile_type_ptr type, std::string port_name, int pin_index_in_port, e_pin_type pin_type) { - int iclass = OPEN; - - int ipin = find_pin(type, port_name, pin_index_in_port); - - if (ipin != OPEN) { - iclass = type->pin_class[ipin]; - - if (iclass != OPEN) { - VTR_ASSERT(type->class_inf[iclass].type == pin_type); - } - } - return iclass; -} - -int find_pin(t_physical_tile_type_ptr type, std::string port_name, int pin_index_in_port) { - int ipin = OPEN; - int port_base_ipin = 0; - int num_pins = OPEN; - int pin_offset = 0; - - bool port_found = false; - for (const auto& sub_tile : type->sub_tiles) { - for (const auto& port : sub_tile.ports) { - if (0 == strcmp(port.name, port_name.c_str())) { - port_found = true; - num_pins = port.num_pins; - break; - } - - port_base_ipin += port.num_pins; - } - - if (port_found) { - break; - } - - port_base_ipin = 0; - pin_offset += sub_tile.num_phy_pins; - } - - if (num_pins != OPEN) { - VTR_ASSERT(pin_index_in_port < num_pins); - - ipin = port_base_ipin + pin_index_in_port + pin_offset; - } - - return ipin; -} - std::pair get_capacity_location_from_physical_pin(t_physical_tile_type_ptr physical_tile, int pin) { int pins_to_remove = 0; for (const auto& sub_tile : physical_tile->sub_tiles) { @@ -638,7 +587,7 @@ std::pair get_capacity_location_from_physical_pin(t_physical_tile_type int get_physical_pin_from_capacity_location(t_physical_tile_type_ptr physical_tile, int relative_pin, int capacity_location) { int pins_to_add = 0; - for (auto sub_tile : physical_tile->sub_tiles) { + for (const t_sub_tile& sub_tile : physical_tile->sub_tiles) { auto capacity = sub_tile.capacity; int rel_capacity = capacity_location - capacity.low; int num_inst_pins = sub_tile.num_phy_pins / capacity.total(); @@ -841,52 +790,6 @@ std::vector block_type_class_index_to_pin_names(t_physical_tile_typ return pin_names; } -const t_physical_tile_port* get_port_by_name(t_sub_tile* sub_tile, const char* port_name) { - for (auto port : sub_tile->ports) { - if (0 == strcmp(port.name, port_name)) { - return &sub_tile->ports[port.index]; - } - } - - return nullptr; -} - -const t_port* get_port_by_name(t_logical_block_type_ptr type, const char* port_name) { - auto pb_type = type->pb_type; - - for (int i = 0; i < pb_type->num_ports; i++) { - auto port = pb_type->ports[i]; - if (0 == strcmp(port.name, port_name)) { - return &pb_type->ports[port.index]; - } - } - - return nullptr; -} - -const t_physical_tile_port* get_port_by_pin(const t_sub_tile* sub_tile, int pin) { - for (auto port : sub_tile->ports) { - if (pin >= port.absolute_first_pin_index && pin < port.absolute_first_pin_index + port.num_pins) { - return &sub_tile->ports[port.index]; - } - } - - return nullptr; -} - -const t_port* get_port_by_pin(t_logical_block_type_ptr type, int pin) { - auto pb_type = type->pb_type; - - for (int i = 0; i < pb_type->num_ports; i++) { - auto port = pb_type->ports[i]; - if (pin >= port.absolute_first_pin_index && pin < port.absolute_first_pin_index + port.num_pins) { - return &pb_type->ports[port.index]; - } - } - - return nullptr; -} - /* Access information related to pin classes */ /** get information given class physical num **/ @@ -1009,7 +912,7 @@ std::tuple get_sub_tile_from_pin_physical_num(t_physical int pin_offset = total_pin_counts; for (auto& sub_tile : physical_tile->sub_tiles) { - int sub_tile_num_pins = pin_on_tile ? sub_tile.num_phy_pins : get_total_num_sub_tile_internal_pins(&sub_tile); + int sub_tile_num_pins = pin_on_tile ? sub_tile.num_phy_pins : sub_tile.total_num_internal_pins(); total_pin_counts += sub_tile_num_pins; if (physical_num < total_pin_counts) { @@ -1347,15 +1250,6 @@ const t_pb_graph_node* get_pb_graph_node_from_pin_physical_num(t_physical_tile_t return pb_graph_pin->parent_node; } -int get_total_num_sub_tile_internal_pins(const t_sub_tile* sub_tile) { - int num_pins = 0; - for (auto eq_site : sub_tile->equivalent_sites) { - num_pins += (int)eq_site->pin_logical_num_to_pb_pin_mapping.size(); - } - num_pins *= sub_tile->capacity.total(); - return num_pins; -} - int get_tile_pin_max_ptc(t_physical_tile_type_ptr tile, bool is_flat) { if (is_flat) { return tile->num_pins + (int)tile->pin_num_to_pb_pin.size(); @@ -1538,4 +1432,3 @@ std::map get_sink_choking_points(t_physical_tile_type_ptr physical_til return choking_point; } -/* */ diff --git a/libs/libarchfpga/src/physical_types_util.h b/libs/libarchfpga/src/physical_types_util.h index aa7b2617834..a081683faeb 100644 --- a/libs/libarchfpga/src/physical_types_util.h +++ b/libs/libarchfpga/src/physical_types_util.h @@ -1,5 +1,5 @@ -#ifndef PHYSICAL_TYPES_UTIL_H -#define PHYSICAL_TYPES_UTIL_H + +#pragma once #include "physical_types.h" @@ -13,11 +13,11 @@ * functions in this file are the following: * * - physical_tile_type: identifies a placeable tile within * * the device grid. * - * - logical_block_tpye: identifies a clustered block type * + * - logical_block_type: identifies a clustered block type * * within the clb_netlist * * * * All the following utilities are intended to ease the * - * developement to access the above mentioned classes and perform * + * development to access the above mentioned classes and perform * * some required operations with their data. * * * * Please classify such functions in this file * @@ -107,7 +107,7 @@ * * For instance, the following information are required: * - mapping between logical and sub tile pins. - * - mapping between sub tile pins and absoulte physical pin + * - mapping between sub tile pins and absolute physical pin * - capacity instance of the sub tile * * With all the above information we can calculate correctly the connection between the CLK (logical pin) @@ -152,12 +152,12 @@ int get_physical_pin_from_capacity_location(t_physical_tile_type_ptr physical_ti * * Take the above CLOCK TILE example: * - given the CLOCK TILE and the index corresponding to the CLK_1 pin, we want the relative pin - * of one of its sub tiles at a particualr capacity location (i.e. sub tile instance). + * of one of its sub tiles at a particular capacity location (i.e. sub tile instance). * * std::tie(absolute_capacity, relative_pin) = get_capacity_location_from_physical_pin(clock_tile, 3) * * The value returned is (1, 0), where: - * - 1 corresponds to the capacity location (sub tile instance) where the absoulte physical pin index (CLK_1) is connected + * - 1 corresponds to the capacity location (sub tile instance) where the absolute physical pin index (CLK_1) is connected * - 0 corresponds to the relative pin index within the BUFGCTRL sub tile */ std::pair get_capacity_location_from_physical_pin(t_physical_tile_type_ptr physical_tile, int pin); @@ -173,11 +173,6 @@ std::vector block_type_class_index_to_pin_names(t_physical_tile_typ ///@brief Returns the physical tile type matching a given physical tile type name, or nullptr (if not found) t_physical_tile_type_ptr find_tile_type_by_name(const std::string& name, const std::vector& types); -int find_pin_class(t_physical_tile_type_ptr type, std::string port_name, int pin_index_in_port, e_pin_type pin_type); - -///@brief Returns the relative pin index within a sub tile that corresponds to the pin within the given port and its index in the port -int find_pin(t_physical_tile_type_ptr type, std::string port_name, int pin_index_in_port); - ///@brief Returns the maximum number of pins within a logical block int get_max_num_pins(t_logical_block_type_ptr logical_block); @@ -217,7 +212,7 @@ int get_logical_block_physical_sub_tile_index(t_physical_tile_type_ptr physical_ t_logical_block_type_ptr logical_block); /** * @brief Returns the physical pin index (within 'physical_tile') corresponding to the - * logical index ('pin' of the first instance of 'logical_block' within the physcial tile. + * logical index ('pin' of the first instance of 'logical_block' within the physical tile. * * This function is called before/during placement, when a sub tile index was not yet assigned. * @@ -228,7 +223,7 @@ int get_physical_pin(t_physical_tile_type_ptr physical_tile, int pin); /** * @brief Returns the physical pin index (within 'physical_tile') corresponding to the - * logical index ('pin' of the first instance of 'logical_block' within the physcial tile. + * logical index ('pin' of the first instance of 'logical_block' within the physical tile. * This function considers if a given offset is in the range of sub tile capacity * * (First pin index at current sub-tile) (The wanted pin index) @@ -286,26 +281,6 @@ int get_sub_tile_physical_pin(int sub_tile_index, */ t_physical_tile_port find_tile_port_by_name(t_physical_tile_type_ptr type, std::string_view port_name); -/** - * @brief Returns the physical tile port given the port name and the corresponding sub tile - */ -const t_physical_tile_port* get_port_by_name(t_sub_tile* sub_tile, const char* port_name); - -/** - * @brief Returns the logical block port given the port name and the corresponding logical block type - */ -const t_port* get_port_by_name(t_logical_block_type_ptr type, const char* port_name); - -/** - * @brief Returns the physical tile port given the pin name and the corresponding sub tile - */ -const t_physical_tile_port* get_port_by_pin(const t_sub_tile* sub_tile, int pin); - -/** - * @brief Returns the logical block port given the pin name and the corresponding logical block type - */ -const t_port* get_port_by_pin(t_logical_block_type_ptr type, int pin); - /************************************ Access to intra-block resources ************************************/ /* Access information related to pin classes */ @@ -336,12 +311,6 @@ inline bool is_class_on_tile(t_physical_tile_type_ptr physical_tile, int class_p /** * @brief Classes are indexed in a way that the number of classes on the same pb_graph_node is continuous - * @param physical_tile - * @param sub_tile - * @param logical_block - * @param sub_tile_relative_cap - * @param pb_graph_node - * @return */ t_class_range get_pb_graph_node_class_physical_range(t_physical_tile_type_ptr physical_tile, const t_sub_tile* sub_tile, @@ -358,15 +327,11 @@ std::vector get_tile_root_classes(t_physical_tile_type_ptr physical_type); /** * Get the number of all classes, on the tile and inside the cluster. - * @param physical_type - * @return */ t_class_range get_flat_tile_primitive_classes(t_physical_tile_type_ptr physical_type); /** **/ int get_tile_class_max_ptc(t_physical_tile_type_ptr tile, bool is_flat); -/* */ - /* Access information related to pins */ /** get information given pin physical number **/ @@ -434,8 +399,6 @@ int get_edge_sw_arch_idx(t_physical_tile_type_ptr physical_tile, const t_pb_graph_node* get_pb_graph_node_from_pin_physical_num(t_physical_tile_type_ptr physical_type, int pin_physical_num); -int get_total_num_sub_tile_internal_pins(const t_sub_tile* sub_tile); - int get_tile_pin_max_ptc(t_physical_tile_type_ptr tile, bool is_flat); int get_tile_num_internal_pin(t_physical_tile_type_ptr tile); @@ -459,11 +422,6 @@ float get_pin_primitive_comb_delay(t_physical_tile_type_ptr physical_type, /** * @brief This function is used during reachability analysis to check whether two classes should be put in the same group - * @param physical_tile - * @param first_class_ptc_num - * @param second_class_ptc_num - * @param is_flat - * @return */ bool classes_in_same_block(t_physical_tile_type_ptr physical_tile, int first_class_ptc_num, @@ -473,15 +431,8 @@ bool classes_in_same_block(t_physical_tile_type_ptr physical_tile, /** * @brief Given the sink group, identify the pins which can reach both sink_ptc_num and at least one of the sinks, * in the grp. - * @param physical_tile - * @param sink_ptc_num - * @param grp * @return Key is the pin number and value is the number of sinks, including sink_ptc_num, in the grp reachable by the pin */ std::map get_sink_choking_points(t_physical_tile_type_ptr physical_tile, int sink_ptc_num, const std::vector& grp); - -/* */ - -#endif diff --git a/libs/libarchfpga/src/read_fpga_interchange_arch.cpp b/libs/libarchfpga/src/read_fpga_interchange_arch.cpp index 8737503da3b..50840cbb948 100644 --- a/libs/libarchfpga/src/read_fpga_interchange_arch.cpp +++ b/libs/libarchfpga/src/read_fpga_interchange_arch.cpp @@ -5,28 +5,28 @@ #ifdef VTR_ENABLE_CAPNPROTO -# include -# include -# include -# include -# include -# include -# include -# include -# include -# include -# include - -# include "vtr_assert.h" -# include "vtr_digest.h" -# include "vtr_log.h" -# include "vtr_memory.h" -# include "vtr_util.h" - -# include "arch_check.h" -# include "arch_error.h" -# include "arch_util.h" -# include "arch_types.h" +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "vtr_assert.h" +#include "vtr_digest.h" +#include "vtr_log.h" +#include "vtr_memory.h" +#include "vtr_util.h" + +#include "arch_check.h" +#include "arch_error.h" +#include "arch_util.h" +#include "arch_types.h" /* * FPGA Interchange Device frontend @@ -1076,7 +1076,7 @@ struct ArchReader { continue; // Check for duplicates - auto is_duplicate = [name](const t_logical_block_type& l)-> bool { return l.name == name; }; + auto is_duplicate = [name](const t_logical_block_type& l) -> bool { return l.name == name; }; VTR_ASSERT(std::find_if(ltypes_.begin(), ltypes_.end(), is_duplicate) == ltypes_.end()); ltype.name = name; diff --git a/libs/libarchfpga/src/read_fpga_interchange_arch.h b/libs/libarchfpga/src/read_fpga_interchange_arch.h index c859f97a002..753b44d3010 100644 --- a/libs/libarchfpga/src/read_fpga_interchange_arch.h +++ b/libs/libarchfpga/src/read_fpga_interchange_arch.h @@ -5,12 +5,12 @@ #ifdef VTR_ENABLE_CAPNPROTO -# include "DeviceResources.capnp.h" -# include "LogicalNetlist.capnp.h" -# include "capnp/serialize.h" -# include "capnp/serialize-packed.h" -# include -# include +#include "DeviceResources.capnp.h" +#include "LogicalNetlist.capnp.h" +#include "capnp/serialize.h" +#include "capnp/serialize-packed.h" +#include +#include #endif // VTR_ENABLE_CAPNPROTO diff --git a/libs/libarchfpga/src/read_xml_arch_file.cpp b/libs/libarchfpga/src/read_xml_arch_file.cpp index 9c6d4de6608..1304957300c 100644 --- a/libs/libarchfpga/src/read_xml_arch_file.cpp +++ b/libs/libarchfpga/src/read_xml_arch_file.cpp @@ -199,7 +199,7 @@ static void ProcessSubTiles(pugi::xml_node Node, * string interment storage. * @param loc_data Points to the location in the architecture file where the parser is reading. * @param pb_idx Used to assign unique values to index_in_logical_block field in -* t_pb_type for all pb_types under a logical block type. + * t_pb_type for all pb_types under a logical block type. */ static void ProcessPb_Type(pugi::xml_node Parent, t_pb_type* pb_type, @@ -258,7 +258,7 @@ static void ProcessMode(pugi::xml_node Parent, * @brief Processes tags. * * @param strings String internment storage used to store strings used -* as keys and values in tags. + * as keys and values in tags. * @param Parent An XML node pointing to the parent tag whose children * are to be parsed. * @param loc_data Points to the location in the architecture file where the parser is reading. @@ -380,7 +380,6 @@ static void ProcessPower(pugi::xml_node parent, static void ProcessClocks(pugi::xml_node Parent, t_clock_arch* clocks, const pugiutil::loc_data& loc_data); - static void ProcessPb_TypePowerEstMethod(pugi::xml_node Parent, t_pb_type* pb_type, const pugiutil::loc_data& loc_data); static void ProcessPb_TypePort_Power(pugi::xml_node Parent, t_port* port, e_power_estimation_method power_method, const pugiutil::loc_data& loc_data); @@ -404,14 +403,6 @@ static e_side string_to_side(const std::string& side_str); template static T* get_type_by_name(std::string_view type_name, std::vector& types); -// static void generate_noc_mesh(pugi::xml_node mesh_topology_tag, const pugiutil::loc_data& loc_data, t_noc_inf* noc_ref, double mesh_region_start_x, double mesh_region_end_x, double mesh_region_start_y, double mesh_region_end_y, int mesh_size); - -// static bool parse_noc_router_connection_list(pugi::xml_node router_tag, const pugiutil::loc_data& loc_data, int router_id, std::vector& connection_list, std::string connection_list_attribute_value, std::map>& routers_in_arch_info); - -// static void update_router_info_in_arch(int router_id, bool router_updated_as_a_connection, std::map>& routers_in_arch_info); - -// static void verify_noc_topology(std::map>& routers_in_arch_info); - /* for vib arch */ static void ProcessVibArch(pugi::xml_node Parent, std::vector& PhysicalTileTypes, t_arch* arch, const pugiutil::loc_data& loc_data); static void ProcessVib(pugi::xml_node Vib_node, std::vector& PhysicalTileTypes, t_arch* arch, const pugiutil::loc_data& loc_data); @@ -437,7 +428,7 @@ void XmlReadArch(const char* ArchFile, pugi::xml_node Next; ReqOpt POWER_REQD, SWITCHBLOCKLIST_REQD; - if ((vtr::check_file_name_extension(ArchFile, ".xml") == false) && (vtr::check_file_name_extension(ArchFile, ".xmle") == false)) { + if (!vtr::check_file_name_extension(ArchFile, ".xml")) { VTR_LOG_WARN( "Architecture file '%s' may be in incorrect format. " "Expecting .xml or .xmle format for architecture files.\n", @@ -936,7 +927,7 @@ static std::pair ProcessPinString(pugi::xml_node Locations, "No port name is present: %s\n", pin_loc_string); } - auto port = get_port_by_name(type, token.data); + auto port = type->get_port(token.data); if (port == nullptr) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(Locations), "Port %s for %s could not be found: %s\n", @@ -1911,10 +1902,9 @@ static void ProcessInterconnect(vtr::string_internment& strings, } mode->interconnect[interconnect_idx].annotations = (t_pin_to_pin_annotation*)vtr::calloc(num_annotations, - sizeof(t_pin_to_pin_annotation)); + sizeof(t_pin_to_pin_annotation)); mode->interconnect[interconnect_idx].num_annotations = num_annotations; - int annotation_idx = 0; for (auto annot_child_name : {"delay_constant", "delay_matrix", "C_constant", "C_matrix", "pack_pattern"}) { pugi::xml_node Cur2 = get_first_child(Cur, annot_child_name, loc_data, ReqOpt::OPTIONAL); @@ -1932,7 +1922,7 @@ static void ProcessInterconnect(vtr::string_internment& strings, /* Power */ mode->interconnect[interconnect_idx].interconnect_power = (t_interconnect_power*)vtr::calloc(1, - sizeof(t_interconnect_power)); + sizeof(t_interconnect_power)); mode->interconnect[interconnect_idx].interconnect_power->port_info_initialized = false; /* get next iteration */ @@ -3981,7 +3971,6 @@ static std::vector ProcessSegments(pugi::xml_node Parent, expected_subtags.emplace_back("mux"); expected_subtags.emplace_back("bend"); expected_subtags.emplace_back("mux_inter_die"); - //with the following two tags, we can allow the architecture file to define //different muxes with different delays for wires with different directions expected_subtags.emplace_back("mux_inc"); @@ -4006,7 +3995,7 @@ static std::vector ProcessSegments(pugi::xml_node Parent, archfpga_throw(loc_data.filename_c_str(), loc_data.line(SubElem), "'%s' is not a valid mux name.\n", tmp); } - Segs[i].arch_opin_between_dice_switch = switch_idx; + Segs[i].arch_inter_die_switch = switch_idx; } /* Get the wire and opin switches, or mux switch if unidir */ @@ -4016,63 +4005,61 @@ static std::vector ProcessSegments(pugi::xml_node Parent, tmp = get_attribute(SubElem, "name", loc_data, ReqOpt::OPTIONAL).as_string(nullptr); //check if tag is defined in the architecture, otherwise we should look for and - if(tmp){ + if (tmp) { /* Match names */ int switch_idx = find_switch_by_name(switches, tmp); if (switch_idx < 0) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(SubElem), - "'%s' is not a valid mux name.\n", tmp); + "'%s' is not a valid mux name.\n", tmp); } /* Unidir muxes must have the same switch - * for wire and opin fanin since there is - * really only the mux in unidir. */ + * for wire and opin fanin since there is + * really only the mux in unidir. */ Segs[i].arch_wire_switch = switch_idx; Segs[i].arch_opin_switch = switch_idx; - } - else { //if a general mux is not defined, we should look for specific mux for each direction in the architecture file + } else { //if a general mux is not defined, we should look for specific mux for each direction in the architecture file SubElem = get_single_child(Node, "mux_inc", loc_data, ReqOpt::OPTIONAL); tmp = get_attribute(SubElem, "name", loc_data, ReqOpt::OPTIONAL).as_string(nullptr); - if(!tmp){ + if (!tmp) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(SubElem), - "if mux is not specified in a wire segment, both mux_inc and mux_dec should be specified"); - } else{ + "if mux is not specified in a wire segment, both mux_inc and mux_dec should be specified"); + } else { /* Match names */ int switch_idx = find_switch_by_name(switches, tmp); if (switch_idx < 0) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(SubElem), - "'%s' is not a valid mux name.\n", tmp); + "'%s' is not a valid mux name.\n", tmp); } /* Unidir muxes must have the same switch - * for wire and opin fanin since there is - * really only the mux in unidir. */ + * for wire and opin fanin since there is + * really only the mux in unidir. */ Segs[i].arch_wire_switch = switch_idx; Segs[i].arch_opin_switch = switch_idx; } SubElem = get_single_child(Node, "mux_dec", loc_data, ReqOpt::OPTIONAL); tmp = get_attribute(SubElem, "name", loc_data, ReqOpt::OPTIONAL).as_string(nullptr); - if(!tmp){ + if (!tmp) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(SubElem), - "if mux is not specified in a wire segment, both mux_inc and mux_dec should be specified"); - } else{ + "if mux is not specified in a wire segment, both mux_inc and mux_dec should be specified"); + } else { /* Match names */ int switch_idx = find_switch_by_name(switches, tmp); if (switch_idx < 0) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(SubElem), - "'%s' is not a valid mux name.\n", tmp); + "'%s' is not a valid mux name.\n", tmp); } /* Unidir muxes must have the same switch - * for wire and opin fanin since there is - * really only the mux in unidir. */ + * for wire and opin fanin since there is + * really only the mux in unidir. */ Segs[i].arch_wire_switch_dec = switch_idx; Segs[i].arch_opin_switch_dec = switch_idx; } } - } - else { + } else { VTR_ASSERT(BI_DIRECTIONAL == Segs[i].directionality); SubElem = get_single_child(Node, "wire_switch", loc_data); tmp = get_attribute(SubElem, "name", loc_data).value(); @@ -4197,11 +4184,11 @@ static void ProcessBend(pugi::xml_node Node, std::vector& list, std::vector int tmp_len = 1; int sum_len = 0; - for(size_t i_len = 0; i_len < list.size(); i_len++){ + for (size_t i_len = 0; i_len < list.size(); i_len++) { if (list[i_len] == 0) { tmp_len++; } else if (list[i_len] != 0) { - VTR_ASSERT(tmp_len < (int) list.size()+1); + VTR_ASSERT(tmp_len < (int)list.size() + 1); part_len.push_back(tmp_len); sum_len += tmp_len; tmp_len = 1; @@ -4209,7 +4196,7 @@ static void ProcessBend(pugi::xml_node Node, std::vector& list, std::vector } // add the last clip of segment - if (sum_len < (int) list.size()+1) + if (sum_len < (int)list.size() + 1) part_len.push_back(list.size() + 1 - sum_len); } @@ -4259,10 +4246,10 @@ static void ProcessSwitchblocks(pugi::xml_node Parent, t_arch* arch, const pugiu /* get the number of switchblocks */ int num_switchblocks = count_children(Parent, "switchblock", loc_data); arch->switchblocks.reserve(num_switchblocks); - + int layout_index = -1; - for(layout_index = 0; layout_index < (int) arch->grid_layouts.size(); layout_index++){ - if(arch->grid_layouts.at(layout_index).name == arch->device_layout){ + for (layout_index = 0; layout_index < (int)arch->grid_layouts.size(); layout_index++) { + if (arch->grid_layouts.at(layout_index).name == arch->device_layout) { //found the used layout break; } @@ -4314,8 +4301,8 @@ static void ProcessSwitchblocks(pugi::xml_node Parent, t_arch* arch, const pugiu } /* get the switchblock coordinate only if sb.location is set to E_XY_SPECIFIED*/ - if(sb.location == e_sb_location::E_XY_SPECIFIED){ - if (arch->device_layout == "auto"){ + if (sb.location == e_sb_location::E_XY_SPECIFIED) { + if (arch->device_layout == "auto") { archfpga_throw(loc_data.filename_c_str(), loc_data.line(SubElem), "Specifying SB locations for auto layout devices are not supported yet!\n"); } expect_only_attributes(SubElem, @@ -4326,17 +4313,17 @@ static void ProcessSwitchblocks(pugi::xml_node Parent, t_arch* arch, const pugiu int grid_width = arch->grid_layouts.at(layout_index).width; int grid_height = arch->grid_layouts.at(layout_index).height; - + /* Absolute location that this SB must be applied to, -1 if not specified*/ sb.x = get_attribute(SubElem, "x", loc_data, ReqOpt::OPTIONAL).as_int(-1); sb.y = get_attribute(SubElem, "y", loc_data, ReqOpt::OPTIONAL).as_int(-1); //check if the absolute value is within the device grid width and height - if(sb.x >= grid_width || sb.y >= grid_height) { - archfpga_throw(loc_data.filename_c_str(), loc_data.line(SubElem), \ - "Location (%d,%d) is not valid within the grid! grid dimensions are: (%d,%d)\n", sb.x, sb.y, grid_width, grid_height); + if (sb.x >= grid_width || sb.y >= grid_height) { + archfpga_throw(loc_data.filename_c_str(), loc_data.line(SubElem), + "Location (%d,%d) is not valid within the grid! grid dimensions are: (%d,%d)\n", sb.x, sb.y, grid_width, grid_height); } - + /* if the the switchblock exact location is not specified and a region is specified within the architecture file, * we have to parse the region specification and apply the SB pattern to all the locations fall into the specified * region based on device width and height. @@ -4344,7 +4331,6 @@ static void ProcessSwitchblocks(pugi::xml_node Parent, t_arch* arch, const pugiu if (sb.x == -1 && sb.y == -1) { calculate_custom_SB_locations(loc_data, SubElem, grid_width, grid_height, sb); } - } /* get switchblock permutation functions */ @@ -5134,7 +5120,7 @@ static T* get_type_by_name(std::string_view type_name, std::vector& types) { // // we decrease the mesh size by 1 when calculating the spacing so that the first and last routers of each row or column are positioned on the mesh boundary // /* // * For example: -// * - If we had a mesh size of 3, then using 3 would result in a spacing that would result in one router positions being placed in either the start of the reigion or end of the region. This is because the distance calculation resulted in having 3 spaces between the ends of the region +// * - If we had a mesh size of 3, then using 3 would result in a spacing that would result in one router positions being placed in either the start of the reigion or end of the region. This is because the distance calculation resulted in having 3 spaces between the ends of the region // * // * start end // *** *** *** *** @@ -5268,11 +5254,11 @@ static T* get_type_by_name(std::string_view type_name, std::vector& types) { // } // /* Each router needs a sperate tag in the architecture description -// * to declare it. The number of declarations for each router in the +// * to declare it. The number of declarations for each router in the // * architecture file is updated here. // * // * Additionally, for any given topology, a router can connect to other routers. -// * THe number of connections for each router is also updated here. +// * THe number of connections for each router is also updated here. // * // */ // static void update_router_info_in_arch(int router_id, bool router_updated_as_a_connection, std::map>& routers_in_arch_info) { @@ -5303,7 +5289,7 @@ static T* get_type_by_name(std::string_view type_name, std::vector& types) { // * Verify each router in the noc by checking whether they satisfy the following conditions: // * - The router has only one declaration in the arch file // * - The router has atleast one connection to another router -// * If any of the conditions above are not met, then an error is thrown. +// * If any of the conditions above are not met, then an error is thrown. // */ // static void verify_noc_topology(std::map>& routers_in_arch_info) { // for (auto router_info = routers_in_arch_info.begin(); router_info != routers_in_arch_info.end(); router_info++) { @@ -5336,7 +5322,7 @@ static void ProcessVibArch(pugi::xml_node Parent, std::vectorvib_infs.reserve(num_vibs); Node = get_first_child(Parent, "vib", loc_data); - + for (int i_vib = 0; i_vib < num_vibs; i_vib++) { ProcessVib(Node, PhysicalTileTypes, arch, loc_data); Node = Node.next_sibling(Node.name()); @@ -5356,8 +5342,7 @@ static void ProcessVib(pugi::xml_node Vib_node, std::vectorvib_infs.push_back(vib); } -static void ProcessFirstStage(pugi::xml_node Stage_node, std::vector& PhysicalTileTypes, std::vector& first_stages, const pugiutil::loc_data& loc_data) { +static void ProcessFirstStage(pugi::xml_node Stage_node, std::vector& /* PhysicalTileTypes */, std::vector& first_stages, const pugiutil::loc_data& loc_data) { pugi::xml_node Node; pugi::xml_node SubElem; //pugi::xml_node Cur; @@ -5500,7 +5479,7 @@ static void ProcessFirstStage(pugi::xml_node Stage_node, std::vector& PhysicalTileTypes, std::vector& second_stages, const pugiutil::loc_data& loc_data) { +static void ProcessSecondStage(pugi::xml_node Stage_node, std::vector& /* PhysicalTileTypes */, std::vector& second_stages, const pugiutil::loc_data& loc_data) { pugi::xml_node Node; pugi::xml_node SubElem; //pugi::xml_node Cur; @@ -5529,7 +5508,7 @@ static void ProcessSecondStage(pugi::xml_node Stage_node, std::vector all_sub_tile_to_tile_pin_indices; // for (auto& sub_tile : PhysicalTileTypes[i_phy_type].sub_tiles) { // int sub_tile_capacity = sub_tile.capacity.total(); @@ -5615,14 +5594,14 @@ static void ProcessSecondStage(pugi::xml_node Stage_node, std::vectorvib_grid_layouts.emplace_back(std::move(grid_def)); } - } static t_vib_grid_def ProcessVibGridLayout(vtr::string_internment& strings, pugi::xml_node layout_type_tag, const pugiutil::loc_data& loc_data, t_arch* arch, int& num_of_avail_layer) { @@ -5818,13 +5795,13 @@ static t_vib_grid_def ProcessVibGridLayout(vtr::string_internment& strings, pugi grid_def.grid_type = VibGridDefType::VIB_AUTO; grid_def.name = "auto"; - for (size_t i = 0;i < arch->grid_layouts.size(); i++) { + for (size_t i = 0; i < arch->grid_layouts.size(); i++) { if (arch->grid_layouts[i].name == grid_def.name) { grid_def.aspect_ratio = arch->grid_layouts[i].aspect_ratio; } } //grid_def.aspect_ratio = get_attribute(layout_type_tag, "aspect_ratio", loc_data, ReqOpt::OPTIONAL).as_float(1.); - + } else if (layout_type_tag.name() == std::string("fixed_layout")) { expect_only_attributes(layout_type_tag, {"name"}, loc_data); @@ -6118,4 +6095,3 @@ static void ProcessVibBlockTypeLocs(t_vib_grid_def& grid_def, } } } - diff --git a/libs/libarchfpga/src/read_xml_arch_file_noc_tag.cpp b/libs/libarchfpga/src/read_xml_arch_file_noc_tag.cpp index a9b6091686d..aa415590551 100644 --- a/libs/libarchfpga/src/read_xml_arch_file_noc_tag.cpp +++ b/libs/libarchfpga/src/read_xml_arch_file_noc_tag.cpp @@ -1,3 +1,4 @@ +#include #include "read_xml_arch_file_noc_tag.h" @@ -17,8 +18,8 @@ * @param noc_ref To be filled with NoC router locations and their connectivity. */ static void process_topology(pugi::xml_node topology_tag, - const pugiutil::loc_data& loc_data, - t_noc_inf* noc_ref); + const pugiutil::loc_data& loc_data, + t_noc_inf* noc_ref); /** * @brief Process a tag under a tag. @@ -49,8 +50,8 @@ static void process_router(pugi::xml_node router_tag, * @param noc_ref To be filled with NoC router locations and their connectivity. */ static void process_mesh_topology(pugi::xml_node mesh_topology_tag, - const pugiutil::loc_data& loc_data, t_noc_inf* noc_ref); - + const pugiutil::loc_data& loc_data, + t_noc_inf* noc_ref); /** * Create routers and set their properties so that a mesh grid of routers is created. @@ -59,19 +60,12 @@ static void process_mesh_topology(pugi::xml_node mesh_topology_tag, * @param mesh_topology_tag An XML tag pointing to a tag. * @param loc_data Points to the location in the xml file where the parser is reading. * @param noc_ref To be filled with NoC router locations and their connectivity. - * @param mesh_region_start_x The location the bottom left NoC router on the X-axis. - * @param mesh_region_end_x The location the top right NoC router on the X-axis. - * @param mesh_region_start_y The location the bottom left NoC router on the Y-axis. - * @param mesh_region_end_y The location the top right NoC router on the Y-axis. - * @param mesh_size The number of NoC routers in each row or column. + * @param mesh_region Specifies the number of NoC routers and their locations in a mesh. */ static void generate_noc_mesh(pugi::xml_node mesh_topology_tag, const pugiutil::loc_data& loc_data, t_noc_inf* noc_ref, - float mesh_region_start_x, float mesh_region_end_x, - float mesh_region_start_y, float mesh_region_end_y, - int mesh_region_start_layer, int mesh_region_end_layer, - int mesh_size); + const t_mesh_region& mesh_region); /** * @brief Verify each router in the noc by checking whether they satisfy the following conditions: @@ -152,7 +146,6 @@ void process_noc_tag(pugi::xml_node noc_tag, const std::vector expected_noc_children_tags = {"mesh", "topology"}; - // identifier that lets us know when we could not properly convert a string conversion value std::string attribute_conversion_failure_string; @@ -233,48 +226,43 @@ static void process_mesh_topology(pugi::xml_node mesh_topology_tag, // verify that only the acceptable attributes were supplied pugiutil::expect_only_attributes(mesh_topology_tag, expected_router_attributes, loc_data); + t_mesh_region mesh_region; + // go through the attributes and store their values - float mesh_region_start_x = pugiutil::get_attribute(mesh_topology_tag, "startx", loc_data, pugiutil::REQUIRED).as_float(ATTRIBUTE_CONVERSION_FAILURE); - float mesh_region_end_x = pugiutil::get_attribute(mesh_topology_tag, "endx", loc_data, pugiutil::REQUIRED).as_float(ATTRIBUTE_CONVERSION_FAILURE); - float mesh_region_start_y = pugiutil::get_attribute(mesh_topology_tag, "starty", loc_data, pugiutil::REQUIRED).as_float(ATTRIBUTE_CONVERSION_FAILURE); - float mesh_region_end_y = pugiutil::get_attribute(mesh_topology_tag, "endy", loc_data, pugiutil::REQUIRED).as_float(ATTRIBUTE_CONVERSION_FAILURE); + mesh_region.start_x = pugiutil::get_attribute(mesh_topology_tag, "startx", loc_data, pugiutil::REQUIRED).as_float(ATTRIBUTE_CONVERSION_FAILURE); + mesh_region.end_x = pugiutil::get_attribute(mesh_topology_tag, "endx", loc_data, pugiutil::REQUIRED).as_float(ATTRIBUTE_CONVERSION_FAILURE); + mesh_region.start_y = pugiutil::get_attribute(mesh_topology_tag, "starty", loc_data, pugiutil::REQUIRED).as_float(ATTRIBUTE_CONVERSION_FAILURE); + mesh_region.end_y = pugiutil::get_attribute(mesh_topology_tag, "endy", loc_data, pugiutil::REQUIRED).as_float(ATTRIBUTE_CONVERSION_FAILURE); - int mesh_region_start_layer = pugiutil::get_attribute(mesh_topology_tag, "startlayer", loc_data, pugiutil::OPTIONAL).as_int(ATTRIBUTE_CONVERSION_FAILURE); - int mesh_region_end_layer = pugiutil::get_attribute(mesh_topology_tag, "endlayer", loc_data, pugiutil::OPTIONAL).as_int(ATTRIBUTE_CONVERSION_FAILURE); - int mesh_size = pugiutil::get_attribute(mesh_topology_tag, "size", loc_data, pugiutil::REQUIRED).as_int(ATTRIBUTE_CONVERSION_FAILURE); + mesh_region.start_layer = pugiutil::get_attribute(mesh_topology_tag, "startlayer", loc_data, pugiutil::OPTIONAL).as_int(ATTRIBUTE_CONVERSION_FAILURE); + mesh_region.end_layer = pugiutil::get_attribute(mesh_topology_tag, "endlayer", loc_data, pugiutil::OPTIONAL).as_int(ATTRIBUTE_CONVERSION_FAILURE); + mesh_region.mesh_size = pugiutil::get_attribute(mesh_topology_tag, "size", loc_data, pugiutil::REQUIRED).as_int(ATTRIBUTE_CONVERSION_FAILURE); // verify that the attributes provided were legal - if ((mesh_region_start_x < 0) || (mesh_region_end_x < 0) || (mesh_region_start_y < 0) || (mesh_region_end_y < 0) || (mesh_size < 0)) { + if (mesh_region.start_x < 0 || mesh_region.end_x < 0 || mesh_region.start_y < 0 || mesh_region.end_y < 0 || mesh_region.mesh_size < 0) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(mesh_topology_tag), "The parameters for the mesh topology have to be positive values."); } - if (mesh_region_start_layer == ATTRIBUTE_CONVERSION_FAILURE || mesh_region_end_layer == ATTRIBUTE_CONVERSION_FAILURE) { + if (mesh_region.start_layer == ATTRIBUTE_CONVERSION_FAILURE || mesh_region.end_layer == ATTRIBUTE_CONVERSION_FAILURE) { VTR_LOGF_WARN(loc_data.filename_c_str(), loc_data.line(mesh_topology_tag), "Optional 'startlayer' and 'endlayer' attributes were not set for the tag. " "The default value of zero is used for both of them.\n"); - mesh_region_start_layer = 0; - mesh_region_end_layer = 0; + mesh_region.start_layer = 0; + mesh_region.end_layer = 0; } // now create the mesh topology for the noc // create routers, make connections and determine positions - generate_noc_mesh(mesh_topology_tag, loc_data, noc_ref, - mesh_region_start_x, mesh_region_end_x, - mesh_region_start_y, mesh_region_end_y, - mesh_region_start_layer, mesh_region_end_layer, - mesh_size); + generate_noc_mesh(mesh_topology_tag, loc_data, noc_ref, mesh_region); } static void generate_noc_mesh(pugi::xml_node mesh_topology_tag, const pugiutil::loc_data& loc_data, t_noc_inf* noc_ref, - float mesh_region_start_x, float mesh_region_end_x, - float mesh_region_start_y, float mesh_region_end_y, - int mesh_region_start_layer, int mesh_region_end_layer, - int mesh_size) { + const t_mesh_region& mesh_region) { // check that the mesh size of the router is not 0 - if (mesh_size == 0) { + if (mesh_region.mesh_size == 0) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(mesh_topology_tag), "The NoC mesh size cannot be 0."); } @@ -301,33 +289,32 @@ static void generate_noc_mesh(pugi::xml_node mesh_topology_tag, * * THe reasoning for this is to reduce the number of calculated router positions. */ - float vertical_router_separation = (mesh_region_end_y - mesh_region_start_y) / (mesh_size - 1); - float horizontal_router_separation = (mesh_region_end_x - mesh_region_start_x) / (mesh_size - 1); + float vertical_router_separation = (mesh_region.end_y - mesh_region.start_y) / (mesh_region.mesh_size - 1); + float horizontal_router_separation = (mesh_region.end_x - mesh_region.start_x) / (mesh_region.mesh_size - 1); // improper region check - if (vertical_router_separation <= 0 || horizontal_router_separation <= 0 || - mesh_region_end_layer < mesh_region_start_layer) { + if (vertical_router_separation <= 0 || horizontal_router_separation <= 0 || mesh_region.end_layer < mesh_region.start_layer) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(mesh_topology_tag), "The NoC region is invalid."); } // create routers and their connections // start with router id 0 (bottom left of the chip) to the maximum router id (top right of the chip) - for (int l = mesh_region_start_layer; l <= mesh_region_end_layer; l++) { - for (int j = 0; j < mesh_size; j++) { - for (int i = 0; i < mesh_size; i++) { + for (int l = mesh_region.start_layer; l <= mesh_region.end_layer; l++) { + for (int j = 0; j < mesh_region.mesh_size; j++) { + for (int i = 0; i < mesh_region.mesh_size; i++) { t_router temp_router; // assign router id - temp_router.id = (mesh_size * mesh_size * (l - mesh_region_start_layer)) + (mesh_size * j) + i; + temp_router.id = (mesh_region.mesh_size * mesh_region.mesh_size * (l - mesh_region.start_layer)) + (mesh_region.mesh_size * j) + i; // calculate router position /* The first and last router of each column or row will be located on the mesh region boundary, * the remaining routers will be placed within the region and seperated from other routers * using the distance calculated previously. */ - temp_router.device_x_position = (i * horizontal_router_separation) + mesh_region_start_x; - temp_router.device_y_position = (j * vertical_router_separation) + mesh_region_start_y; + temp_router.device_x_position = (i * horizontal_router_separation) + mesh_region.start_x; + temp_router.device_y_position = (j * vertical_router_separation) + mesh_region.start_y; temp_router.device_layer_position = l; // assign connections @@ -339,13 +326,13 @@ static void generate_noc_mesh(pugi::xml_node mesh_topology_tag, } // check if there is a router to the top - if (j <= mesh_size - 2) { + if (j <= mesh_region.mesh_size - 2) { // add the top router as a connection - temp_router.connection_list.push_back(temp_router.id + mesh_size); + temp_router.connection_list.push_back(temp_router.id + mesh_region.mesh_size); } // check if there is a router to the right - if (i <= mesh_size - 2) { + if (i <= mesh_region.mesh_size - 2) { // add the router located to the right temp_router.connection_list.push_back(temp_router.id + 1); } @@ -353,17 +340,17 @@ static void generate_noc_mesh(pugi::xml_node mesh_topology_tag, // check if there is a router below if (j >= 1) { // add the bottom router as a connection - temp_router.connection_list.push_back(temp_router.id - mesh_size); + temp_router.connection_list.push_back(temp_router.id - mesh_region.mesh_size); } // check if there is a router on the layer above - if (l < mesh_region_end_layer) { - temp_router.connection_list.push_back(temp_router.id + (mesh_size * mesh_size)); + if (l < mesh_region.end_layer) { + temp_router.connection_list.push_back(temp_router.id + (mesh_region.mesh_size * mesh_region.mesh_size)); } // check if there is a router on the layer below - if (l > mesh_region_start_layer) { - temp_router.connection_list.push_back(temp_router.id - (mesh_size * mesh_size)); + if (l > mesh_region.start_layer) { + temp_router.connection_list.push_back(temp_router.id - (mesh_region.mesh_size * mesh_region.mesh_size)); } // add the router to the list @@ -377,8 +364,8 @@ static void generate_noc_mesh(pugi::xml_node mesh_topology_tag, * Go through each router in the NoC and store the list of routers that connect to it. */ static void process_topology(pugi::xml_node topology_tag, - const pugiutil::loc_data& loc_data, - t_noc_inf* noc_ref) { + const pugiutil::loc_data& loc_data, + t_noc_inf* noc_ref) { // The topology tag should have no attributes, check that pugiutil::expect_only_attributes(topology_tag, {}, loc_data); @@ -651,8 +638,7 @@ static void process_noc_overrides(pugi::xml_node noc_overrides_tag, } auto it = std::find_if(noc_ref.router_list.begin(), noc_ref.router_list.end(), [src, dst](const t_router& router) { - return router.id == src && - std::find(router.connection_list.begin(), router.connection_list.end(), dst) != router.connection_list.end(); + return router.id == src && std::find(router.connection_list.begin(), router.connection_list.end(), dst) != router.connection_list.end(); }); if (it == noc_ref.router_list.end()) { @@ -666,14 +652,14 @@ static void process_noc_overrides(pugi::xml_node noc_overrides_tag, double latency = std::atof(link_latency_override); if (latency <= 0.0) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(override_tag), - "The override link latency value for link (%d, %d) must be positive:%g." , + "The override link latency value for link (%d, %d) must be positive:%g.", src, dst, latency); } auto [_, success] = noc_ref.link_latency_overrides.insert({{src, dst}, latency}); if (!success) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(override_tag), - "The latency for link (%d, %d) was overridden once before." , + "The latency for link (%d, %d) was overridden once before.", src, dst); } } @@ -683,14 +669,14 @@ static void process_noc_overrides(pugi::xml_node noc_overrides_tag, double bandwidth = std::atof(link_latency_override); if (bandwidth <= 0.0) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(override_tag), - "The override link bandwidth value for link (%d, %d) must be positive:%g." , + "The override link bandwidth value for link (%d, %d) must be positive:%g.", src, dst, bandwidth); } auto [_, success] = noc_ref.link_bandwidth_overrides.insert({{src, dst}, bandwidth}); if (!success) { archfpga_throw(loc_data.filename_c_str(), loc_data.line(override_tag), - "The bandwidth for link (%d, %d) was overridden once before." , + "The bandwidth for link (%d, %d) was overridden once before.", src, dst); } } @@ -698,4 +684,4 @@ static void process_noc_overrides(pugi::xml_node noc_overrides_tag, bad_tag(override_tag, loc_data, noc_overrides_tag, {"router", "link"}); } } -} \ No newline at end of file +} diff --git a/libs/libarchfpga/src/read_xml_arch_file_noc_tag.h b/libs/libarchfpga/src/read_xml_arch_file_noc_tag.h index 8309d8cdec9..ab5015ecc0e 100644 --- a/libs/libarchfpga/src/read_xml_arch_file_noc_tag.h +++ b/libs/libarchfpga/src/read_xml_arch_file_noc_tag.h @@ -17,4 +17,25 @@ void process_noc_tag(pugi::xml_node noc_tag, t_arch* arch, const pugiutil::loc_data& loc_data); +/** + * @brief Describes a mesh topology as specified in the architecture file. + * It is assumed that NoC routers are equally distanced in each axis. + */ +struct t_mesh_region { + /// The location the bottom left NoC router on the X-axis. + float start_x; + /// The location the top right NoC router on the X-axis. + float end_x; + /// The location the bottom left NoC router on the Y-axis. + float start_y; + /// The location the top right NoC router on the Y-axis. + float end_y; + /// The layer from which the mesh start. + int start_layer; + /// The layer at which the mesh ends. + int end_layer; + /// The number of NoC routers in each row or column. + int mesh_size; +}; + #endif //VTR_READ_XML_ARCH_FILE_NOC_TAG_H diff --git a/libs/libarchfpga/src/vib_inf.cpp b/libs/libarchfpga/src/vib_inf.cpp index 4fcebadfebd..9be2a88afc4 100644 --- a/libs/libarchfpga/src/vib_inf.cpp +++ b/libs/libarchfpga/src/vib_inf.cpp @@ -43,7 +43,7 @@ void VibInf::set_switch_name(const std::string switch_name) { void VibInf::set_seg_groups(const std::vector seg_groups) { VTR_ASSERT(!seg_groups.empty()); seg_groups_.clear(); - for(auto seg_group : seg_groups) { + for (auto seg_group : seg_groups) { seg_groups_.push_back(seg_group); } } @@ -56,7 +56,7 @@ void VibInf::push_seg_group(const t_seg_group seg_group) { void VibInf::set_first_stages(const std::vector first_stages) { VTR_ASSERT(!first_stages.empty()); first_stages_.clear(); - for(auto first_stage : first_stages) { + for (auto first_stage : first_stages) { first_stages_.push_back(first_stage); } } @@ -69,7 +69,7 @@ void VibInf::push_first_stage(const t_first_stage_mux_inf first_stage) { void VibInf::set_second_stages(const std::vector second_stages) { VTR_ASSERT(!second_stages.empty()); second_stages_.clear(); - for(auto second_stage : second_stages) { + for (auto second_stage : second_stages) { second_stages_.push_back(second_stage); } } @@ -79,58 +79,57 @@ void VibInf::push_second_stage(const t_second_stage_mux_inf second_stage) { second_stages_.push_back(second_stage); } -std::string VibInf::get_name() const{ +std::string VibInf::get_name() const { VTR_ASSERT(!name_.empty()); return name_; } -std::string VibInf::get_pbtype_name() const{ +std::string VibInf::get_pbtype_name() const { VTR_ASSERT(!pbtype_name_.empty()); return pbtype_name_; } -int VibInf::get_seg_group_num() const{ +int VibInf::get_seg_group_num() const { VTR_ASSERT(seg_group_num_ >= 0); return seg_group_num_; } -int VibInf::get_switch_idx() const{ +int VibInf::get_switch_idx() const { VTR_ASSERT(switch_idx_ != -1); return switch_idx_; } -std::string VibInf::get_switch_name() const{ +std::string VibInf::get_switch_name() const { VTR_ASSERT(!switch_name_.empty()); return switch_name_; } -std::vector VibInf::get_seg_groups() const{ +std::vector VibInf::get_seg_groups() const { VTR_ASSERT(!seg_groups_.empty()); return seg_groups_; } -std::vector VibInf::get_first_stages() const{ +std::vector VibInf::get_first_stages() const { VTR_ASSERT(!first_stages_.empty()); return first_stages_; } -std::vector VibInf::get_second_stages() const{ +std::vector VibInf::get_second_stages() const { VTR_ASSERT(!second_stages_.empty()); return second_stages_; } -size_t VibInf::medium_mux_index_by_name(const std::string& name) const{ +size_t VibInf::medium_mux_index_by_name(const std::string& name) const { for (size_t i_medium = 0; i_medium < first_stages_.size(); ++i_medium) { if (name == first_stages_[i_medium].mux_name) { return i_medium; } } VTR_LOG_ERROR("No medium mux named %s!", name.c_str()); + return size_t(-1); } - VibDeviceGrid::VibDeviceGrid(std::string grid_name, vtr::NdMatrix vib_grid) : name_(std::move(grid_name)) , vib_grid_(std::move(vib_grid)) { - } diff --git a/libs/libarchfpga/src/vib_inf.h b/libs/libarchfpga/src/vib_inf.h index d4766fb7f88..e0232dd4c2f 100644 --- a/libs/libarchfpga/src/vib_inf.h +++ b/libs/libarchfpga/src/vib_inf.h @@ -24,8 +24,6 @@ //#include "physical_types.h" - - /* for vib tag */ enum e_parallel_axis_vib { X, @@ -34,7 +32,7 @@ enum e_parallel_axis_vib { }; struct t_seg_group { - std::string name; + std::string name; e_parallel_axis_vib axis; int seg_index; int track_num; @@ -48,7 +46,7 @@ enum e_multistage_mux_from_or_to_type { struct t_from_or_to_inf { std::string type_name; - e_multistage_mux_from_or_to_type from_type; //from_or_to_type + e_multistage_mux_from_or_to_type from_type; //from_or_to_type int type_index = -1; int phy_pin_index = -1; char seg_dir = ' '; @@ -56,14 +54,14 @@ struct t_from_or_to_inf { }; struct t_first_stage_mux_inf { - std::string mux_name; + std::string mux_name; std::vector> from_tokens; std::vector froms; }; struct t_second_stage_mux_inf : t_first_stage_mux_inf { std::vector to_tokens; - std::vector to; // for io type, port[pin] may map to several sinks + std::vector to; // for io type, port[pin] may map to several sinks }; // struct t_vib_inf { @@ -79,24 +77,24 @@ struct t_second_stage_mux_inf : t_first_stage_mux_inf { /* VibInf is used to reserve the VIB information. * * For example, a VIB is described: * * - - - - - - - L1.E0 L1.E1 - clb.O[0] L1.E2 - - - clb.I[0] MUX0 MUX1 - L1.N0 MUX0 MUX1 - - - - - Its corresponding figure is shown: - + * + * + * + * + * + * + * L1.E0 L1.E1 + * clb.O[0] L1.E2 + * + * + * clb.I[0] MUX0 MUX1 + * L1.N0 MUX0 MUX1 + * + * + * + * + * Its corresponding figure is shown: + * * | L1.N0 * +-----------------|-------+ * L1.E0-----------------|>|\ MUX-1 _| vib0|----------\ @@ -155,13 +153,12 @@ class VibInf { std::vector get_second_stages() const; size_t medium_mux_index_by_name(const std::string& name) const; - private: - std::string name_; /* vib name */ - std::string pbtype_name_; /* pbtype name of vib */ - int seg_group_num_; /* seg group number of vib */ - int switch_idx_; /* vib switch index */ - std::string switch_name_; /* vib switch name */ + std::string name_; /* vib name */ + std::string pbtype_name_; /* pbtype name of vib */ + int seg_group_num_; /* seg group number of vib */ + int switch_idx_; /* vib switch index */ + std::string switch_name_; /* vib switch name */ std::vector seg_groups_; std::vector first_stages_; std::vector second_stages_; @@ -208,7 +205,6 @@ struct t_vib_grid_loc_def { t_vib_grid_loc_spec x; //Horizontal location specification t_vib_grid_loc_spec y; //Veritcal location specification - }; struct t_vib_layer_def { @@ -253,27 +249,26 @@ class VibDeviceGrid { } const VibInf* get_vib(size_t layer, size_t x, size_t y) const { - return vib_grid_[layer][x][y]; + return vib_grid_[layer][x][y]; } size_t num_medium_nodes(size_t layer, size_t x, size_t y) const { - return vib_grid_[layer][x][y]->get_first_stages().size(); + return vib_grid_[layer][x][y]->get_first_stages().size(); } std::string medium_node_name(size_t layer, size_t x, size_t y, size_t medium_index) const { - return vib_grid_[layer][x][y]->get_first_stages()[medium_index].mux_name; + return vib_grid_[layer][x][y]->get_first_stages()[medium_index].mux_name; } std::string vib_pbtype_name(size_t layer, size_t x, size_t y) const { - return vib_grid_[layer][x][y]->get_pbtype_name(); + return vib_grid_[layer][x][y]->get_pbtype_name(); } bool is_empty() const { - return vib_grid_.empty(); + return vib_grid_.empty(); } private: - std::string name_; /** @@ -284,7 +279,6 @@ class VibDeviceGrid { * @note traditional 2-d indexing to be used */ vtr::NdMatrix vib_grid_; //This stores the grid of complex blocks. It is a 3D matrix: [0..num_layers-1][0..grid.width()-1][0..grid_height()-1] - }; #endif diff --git a/libs/libarchfpga/src/write_models_bb.cpp b/libs/libarchfpga/src/write_models_bb.cpp index 24de3938c96..a48c0b1ab9f 100644 --- a/libs/libarchfpga/src/write_models_bb.cpp +++ b/libs/libarchfpga/src/write_models_bb.cpp @@ -116,4 +116,4 @@ void DeclareModel_bb(FILE* Echo, const t_model* model) { // endmodule fprintf(Echo, "endmodule\n\n"); -} \ No newline at end of file +} diff --git a/libs/libarchfpga/test/main.cpp b/libs/libarchfpga/test/main.cpp index 2a2e12d62b2..f5c7e84bcee 100644 --- a/libs/libarchfpga/test/main.cpp +++ b/libs/libarchfpga/test/main.cpp @@ -1,2 +1,2 @@ #define CATCH_CONFIG_MAIN -#include "catch2/catch_test_macros.hpp" \ No newline at end of file +#include "catch2/catch_test_macros.hpp" diff --git a/libs/libarchfpga/test/test_read_xml_arch_file.cpp b/libs/libarchfpga/test/test_read_xml_arch_file.cpp index edc4ad2d065..e6b4b58a3f4 100644 --- a/libs/libarchfpga/test/test_read_xml_arch_file.cpp +++ b/libs/libarchfpga/test/test_read_xml_arch_file.cpp @@ -148,31 +148,32 @@ TEST_CASE("Verifying mesh topology creation", "[NoC Arch Tests]") { t_noc_inf test_noc; // mesh parameters - float mesh_start_x = 10; - float mesh_start_y = 10; - float mesh_end_x = 5; - float mesh_end_y = 56; - float mesh_size = 0; - int mesh_start_layer = 0; - int mesh_end_layer = 0; + t_mesh_region mesh_region{ + .start_x = 10.0f, + .end_x = 5.0f, + .start_y = 10.0f, + .end_y = 56.0f, + .start_layer = 0, + .end_layer = 0, + .mesh_size = 0}; SECTION("Check the error where a mesh size was illegal.") { - REQUIRE_THROWS_WITH(generate_noc_mesh(test, test_location, &test_noc, mesh_start_x, mesh_end_x, mesh_start_y, mesh_end_y, mesh_start_layer, mesh_end_layer, mesh_size), "The NoC mesh size cannot be 0."); + REQUIRE_THROWS_WITH(generate_noc_mesh(test, test_location, &test_noc, mesh_region), "The NoC mesh size cannot be 0."); } SECTION("Check the error where a mesh region size was invalid.") { - mesh_size = 3; + mesh_region.mesh_size = 3; - REQUIRE_THROWS_WITH(generate_noc_mesh(test, test_location, &test_noc, mesh_start_x, mesh_end_x, mesh_start_y, mesh_end_y, mesh_start_layer, mesh_end_layer, mesh_size), "The NoC region is invalid."); + REQUIRE_THROWS_WITH(generate_noc_mesh(test, test_location, &test_noc, mesh_region), "The NoC region is invalid."); } SECTION("Check the mesh creation for integer precision coordinates.") { // define test parameters - mesh_size = 3; + mesh_region.mesh_size = 3; - mesh_start_x = 0; - mesh_start_y = 0; + mesh_region.start_x = 0; + mesh_region.start_y = 0; - mesh_end_x = 4; - mesh_end_y = 4; + mesh_region.end_x = 4; + mesh_region.end_y = 4; // create the golden results float golden_results_x[9]; @@ -202,10 +203,10 @@ TEST_CASE("Verifying mesh topology creation", "[NoC Arch Tests]") { golden_results_x[8] = 4; golden_results_y[8] = 4; - generate_noc_mesh(test, test_location, &test_noc, mesh_start_x, mesh_end_x, mesh_start_y, mesh_end_y, mesh_start_layer, mesh_end_layer, mesh_size); + generate_noc_mesh(test, test_location, &test_noc, mesh_region); // go through all the expected routers - for (int expected_router_id = 0; expected_router_id < (mesh_size * mesh_size); expected_router_id++) { + for (int expected_router_id = 0; expected_router_id < (mesh_region.mesh_size * mesh_region.mesh_size); expected_router_id++) { // make sure the router ids match REQUIRE(test_noc.router_list[expected_router_id].id == expected_router_id); @@ -218,13 +219,13 @@ TEST_CASE("Verifying mesh topology creation", "[NoC Arch Tests]") { } SECTION("Check the mesh creation for double precision coordinates.") { // define test parameters - mesh_size = 3; + mesh_region.mesh_size = 3; - mesh_start_x = 3.5; - mesh_start_y = 5.7; + mesh_region.start_x = 3.5; + mesh_region.start_y = 5.7; - mesh_end_x = 10.8; - mesh_end_y = 6.4; + mesh_region.end_x = 10.8; + mesh_region.end_y = 6.4; // create the golden results float golden_results_x[9]; @@ -254,10 +255,10 @@ TEST_CASE("Verifying mesh topology creation", "[NoC Arch Tests]") { golden_results_x[8] = 10.8; golden_results_y[8] = 6.4; - generate_noc_mesh(test, test_location, &test_noc, mesh_start_x, mesh_end_x, mesh_start_y, mesh_end_y, mesh_start_layer, mesh_end_layer, mesh_size); + generate_noc_mesh(test, test_location, &test_noc, mesh_region); // go through all the expected routers - for (int expected_router_id = 0; expected_router_id < (mesh_size * mesh_size); expected_router_id++) { + for (int expected_router_id = 0; expected_router_id < (mesh_region.mesh_size * mesh_region.mesh_size); expected_router_id++) { // make sure the router ids match REQUIRE(test_noc.router_list[expected_router_id].id == expected_router_id); @@ -268,4 +269,4 @@ TEST_CASE("Verifying mesh topology creation", "[NoC Arch Tests]") { REQUIRE(vtr::isclose(golden_results_y[expected_router_id], test_noc.router_list[expected_router_id].device_y_position)); } } -} \ No newline at end of file +} diff --git a/libs/liblog/src/main.cpp b/libs/liblog/src/main.cpp index 65388256080..646e6c94a56 100644 --- a/libs/liblog/src/main.cpp +++ b/libs/liblog/src/main.cpp @@ -15,4 +15,4 @@ int main() { log_print_info("Test complete\n"); return 0; -} \ No newline at end of file +} diff --git a/libs/libpugiutil/src/pugixml_util.cpp b/libs/libpugiutil/src/pugixml_util.cpp index 6c5e8951cd9..ccd6dd4caec 100644 --- a/libs/libpugiutil/src/pugixml_util.cpp +++ b/libs/libpugiutil/src/pugixml_util.cpp @@ -31,7 +31,7 @@ loc_data load_xml(pugi::xml_document& doc, //Document object to be loaded w + " (line: " + std::to_string(line) + " col: " + std::to_string(col) + ")", filename.c_str(), line); } - delete (final); + delete[] final; return location_data; } else { //auto location_data = loc_data(end_result_fname); diff --git a/libs/librrgraph/src/base/rr_graph_fwd.h b/libs/librrgraph/src/base/rr_graph_fwd.h index 2f685e4c15a..b6e7300f0db 100644 --- a/libs/librrgraph/src/base/rr_graph_fwd.h +++ b/libs/librrgraph/src/base/rr_graph_fwd.h @@ -1,7 +1,8 @@ -#ifndef RR_GRAPH_FWD_H -#define RR_GRAPH_FWD_H +#ifndef RR_GRAPH_OBJ_FWD_H +#define RR_GRAPH_OBJ_FWD_H #include + #include "vtr_strong_id.h" /*************************************************************** diff --git a/libs/librrgraph/src/base/rr_graph_utils.h b/libs/librrgraph/src/base/rr_graph_utils.h index e04fe3ef177..e3f2d3cdfb9 100644 --- a/libs/librrgraph/src/base/rr_graph_utils.h +++ b/libs/librrgraph/src/base/rr_graph_utils.h @@ -21,7 +21,7 @@ struct t_pin_chain_node { int nxt_node_idx = OPEN; t_pin_chain_node() = default; - t_pin_chain_node(int pin_num, int nxt_idx) + t_pin_chain_node(int pin_num, int nxt_idx) noexcept : pin_physical_num(pin_num) , nxt_node_idx(nxt_idx) {} }; diff --git a/libs/librrgraph/src/base/rr_graph_view.h b/libs/librrgraph/src/base/rr_graph_view.h index f28a5883cbe..f6d70666e9b 100644 --- a/libs/librrgraph/src/base/rr_graph_view.h +++ b/libs/librrgraph/src/base/rr_graph_view.h @@ -526,8 +526,7 @@ class RRGraphView { /** @brief find the edges between two nodes */ std::vector find_edges(const RRNodeId& src_node, const RRNodeId& des_node) const; - /** @brief Return the number of edges. - */ + /** @brief Get the number of edges. This function is inlined for runtime optimization. */ inline t_edge_size num_edges(RRNodeId node) const { return node_storage_.num_edges(node); } diff --git a/libs/librrgraph/src/base/rr_node_types.h b/libs/librrgraph/src/base/rr_node_types.h index b89244bc95d..383f79d8024 100644 --- a/libs/librrgraph/src/base/rr_node_types.h +++ b/libs/librrgraph/src/base/rr_node_types.h @@ -10,6 +10,7 @@ #include #include "vtr_range.h" #include "vtr_ndmatrix.h" +#include "rr_graph_fwd.h" /** * @brief Type of a routing resource node. @@ -124,6 +125,6 @@ struct t_rr_rc_data { // This is the data type of fast lookups of an rr-node given an (rr_type, layer, x, y, and the side) //[0..num_rr_types-1][0..num_layer-1][0..grid_width-1][0..grid_height-1][0..NUM_2D_SIDES-1][0..max_ptc-1] -typedef std::array, 4>, NUM_RR_TYPES> t_rr_node_indices; +typedef std::array, 4>, NUM_RR_TYPES> t_rr_node_indices; #endif diff --git a/libs/librrgraph/src/base/rr_spatial_lookup.cpp b/libs/librrgraph/src/base/rr_spatial_lookup.cpp index 6234e25552e..fb1780e72ce 100644 --- a/libs/librrgraph/src/base/rr_spatial_lookup.cpp +++ b/libs/librrgraph/src/base/rr_spatial_lookup.cpp @@ -76,7 +76,7 @@ RRNodeId RRSpatialLookup::find_node(int layer, return RRNodeId::INVALID(); } - return RRNodeId(rr_node_indices_[type][layer][node_x][node_y][node_side][ptc]); + return rr_node_indices_[type][layer][node_x][node_y][node_side][ptc]; } std::vector RRSpatialLookup::find_nodes_in_range(int layer, @@ -156,14 +156,14 @@ std::vector RRSpatialLookup::find_nodes(int layer, /* Reserve space to avoid memory fragmentation */ size_t num_nodes = 0; for (const auto& node : rr_node_indices_[type][layer][node_x][node_y][side]) { - if (RRNodeId(node)) { + if (node.is_valid()) { num_nodes++; } } nodes.reserve(num_nodes); for (const auto& node : rr_node_indices_[type][layer][node_x][node_y][side]) { - if (RRNodeId(node)) { + if (node.is_valid()) { nodes.emplace_back(node); } } @@ -273,11 +273,11 @@ void RRSpatialLookup::add_node(RRNodeId node, if (size_t(ptc) >= rr_node_indices_[type][layer][x][y][side].size()) { /* Deposit invalid ids to newly allocated elements while original elements are untouched */ - rr_node_indices_[type][layer][x][y][side].resize(ptc + 1, int(RRNodeId::INVALID())); + rr_node_indices_[type][layer][x][y][side].resize(ptc + 1, RRNodeId::INVALID()); } /* Resize on demand finished; Register the node */ - rr_node_indices_[type][layer][x][y][side][ptc] = int(node); + rr_node_indices_[type][layer][x][y][side][ptc] = node; } bool RRSpatialLookup::remove_node(RRNodeId node, @@ -303,11 +303,11 @@ bool RRSpatialLookup::remove_node(RRNodeId node, if ((size_t)y >= rr_node_indices_[type].dim_size(2)) return false; if (side >= rr_node_indices_[type].dim_size(3)) return false; if ((size_t)ptc >= rr_node_indices_[type][layer][x][y][side].size()) return false; - if (rr_node_indices_[type][layer][x][y][side][ptc] != int(node)) return false; + if (rr_node_indices_[type][layer][x][y][side][ptc] != node) return false; // The node was in the spatial lookup; remove it. -1 corresponds to an invalid node id, // and so is treated as absent in the spatial lookup - rr_node_indices_[type][layer][x][y][side][ptc] = -1; + rr_node_indices_[type][layer][x][y][side][ptc] = RRNodeId::INVALID(); return true; } @@ -354,8 +354,8 @@ void RRSpatialLookup::reorder(const vtr::vector dest_order) for (size_t y = 0; y < grid.dim_size(2); y++) { for (size_t s = 0; s < grid.dim_size(3); s++) { for (auto &node: grid[l][x][y][s]) { - if (node != OPEN) { - node = size_t(dest_order[RRNodeId(node)]); + if (node.is_valid()) { + node = dest_order[node]; } } } diff --git a/libs/librrgraph/src/utils/alloc_and_load_rr_indexed_data.cpp b/libs/librrgraph/src/utils/alloc_and_load_rr_indexed_data.cpp index d94514b1637..fb0cbad32ab 100644 --- a/libs/librrgraph/src/utils/alloc_and_load_rr_indexed_data.cpp +++ b/libs/librrgraph/src/utils/alloc_and_load_rr_indexed_data.cpp @@ -29,7 +29,7 @@ static float get_delay_normalization_fac(const vtr::vector& rr_indexed_data); -static void calculate_average_switch(const RRGraphView& rr_graph, int inode, double& avg_switch_R, double& avg_switch_T, double& avg_switch_Cinternal, int& num_switches, short& buffered, vtr::vector>& fan_in_list); +static void calculate_average_switch(const RRGraphView& rr_graph, int inode, double& avg_switch_R, double& avg_switch_T, double& avg_switch_Cinternal, int& num_switches, int& num_shorts, short& buffered, vtr::vector>& fan_in_list); static void fixup_rr_indexed_data_T_values(vtr::vector& rr_indexed_data, size_t num_segment); @@ -542,15 +542,18 @@ static void load_rr_indexed_data_T_values(const RRGraphView& rr_graph, double avg_switch_T = 0; double avg_switch_Cinternal = 0; int num_switches = 0; + int num_shorts = 0; short buffered = UNDEFINED; - calculate_average_switch(rr_graph, (size_t)rr_id, avg_switch_R, avg_switch_T, avg_switch_Cinternal, num_switches, buffered, fan_in_list); + calculate_average_switch(rr_graph, (size_t)rr_id, avg_switch_R, avg_switch_T, avg_switch_Cinternal, num_switches, num_shorts, buffered, fan_in_list); if (num_switches == 0) { - VTR_LOG_WARN("Node: %d with RR_type: %s at Location:%s, had no incoming switches\n", rr_id, - rr_graph.node_type_string(rr_id), node_cords.c_str()); + if (num_shorts == 0) { + VTR_LOG_WARN("Node: %d with RR_type: %s at Location:%s, had no out-going switches\n", rr_id, + rr_graph.node_type_string(rr_id), node_cords.c_str()); + } continue; - } - VTR_ASSERT(num_switches > 0); + } + VTR_ASSERT(num_switches > 0 || num_shorts > 0); num_nodes_of_index[cost_index]++; C_total[cost_index].push_back(rr_graph.node_C(rr_id)); @@ -634,20 +637,24 @@ static void load_rr_indexed_data_T_values(const RRGraphView& rr_graph, * It is not safe to assume that each node of the same wire type has the same switches with the same * delays, therefore we take their average to take into account the possible differences */ -static void calculate_average_switch(const RRGraphView& rr_graph, int inode, double& avg_switch_R, double& avg_switch_T, double& avg_switch_Cinternal, int& num_switches, short& buffered, vtr::vector>& fan_in_list) { +static void calculate_average_switch(const RRGraphView& rr_graph, int inode, double& avg_switch_R, double& avg_switch_T, double& avg_switch_Cinternal, int& num_switches, int& num_shorts, short& buffered, vtr::vector>& fan_in_list) { auto node = RRNodeId(inode); avg_switch_R = 0; avg_switch_T = 0; avg_switch_Cinternal = 0; num_switches = 0; + num_shorts = 0; buffered = UNDEFINED; for (const auto& edge : fan_in_list[node]) { /* want to get C/R/Tdel/Cinternal of switches that connect this track segment to other track segments */ if (rr_graph.node_type(node) == CHANX || rr_graph.node_type(node) == CHANY) { int switch_index = rr_graph.rr_nodes().edge_switch(edge); - if (rr_graph.rr_switch_inf(RRSwitchId(switch_index)).type() == SwitchType::SHORT) continue; + if (rr_graph.rr_switch_inf(RRSwitchId(switch_index)).type() == SwitchType::SHORT) { + num_shorts++; + continue; + } avg_switch_R += rr_graph.rr_switch_inf(RRSwitchId(switch_index)).R; avg_switch_T += rr_graph.rr_switch_inf(RRSwitchId(switch_index)).Tdel; diff --git a/libs/librtlnumber/src/include/internal_bits.hpp b/libs/librtlnumber/src/include/internal_bits.hpp index 66f9612ba44..0d5c7388470 100644 --- a/libs/librtlnumber/src/include/internal_bits.hpp +++ b/libs/librtlnumber/src/include/internal_bits.hpp @@ -61,44 +61,37 @@ constexpr bit_value_t l_buf[4] = { _0, _1, _x, _x}; _static_unused(l_buf) - constexpr bit_value_t l_not[4] - = { + constexpr bit_value_t l_not[4] = { /* 0 1 x z <- a */ _1, _0, _x, _x}; _static_unused(l_not) - constexpr bit_value_t is_unk[4] - = { + constexpr bit_value_t is_unk[4] = { /* 0 1 x z <- a*/ _0, _0, _1, _1}; _static_unused(is_unk) - constexpr bit_value_t is_x_bit[4] - = { + constexpr bit_value_t is_x_bit[4] = { /* 0 1 x z <- a*/ _0, _0, _1, _0}; _static_unused(is_x_bit) - constexpr bit_value_t is_z_bit[4] - = { + constexpr bit_value_t is_z_bit[4] = { /* 0 1 x z <- a*/ _0, _0, _0, _1}; _static_unused(is_z_bit) - constexpr bit_value_t is_one_bit[4] - = { + constexpr bit_value_t is_one_bit[4] = { /* 0 1 x z <- a*/ _0, _1, _0, _0}; _static_unused(is_one_bit) - constexpr bit_value_t is_zero_bit[4] - = { + constexpr bit_value_t is_zero_bit[4] = { /* 0 1 x z <- a*/ _1, _0, _0, _0}; _static_unused(is_zero_bit) - constexpr bit_value_t l_and[4][4] - = { + constexpr bit_value_t l_and[4][4] = { /* a / 0 1 x z <-b */ /* 0 */ {_0, _0, _0, _0}, /* 1 */ {_0, _1, _x, _x}, @@ -106,12 +99,10 @@ _static_unused(is_zero_bit) /* z */ {_0, _x, _x, _x}}; _static_unused(l_and) - constexpr bit_value_t l_nand[4][4] - = unroll_2d_invert(l_and); + constexpr bit_value_t l_nand[4][4] = unroll_2d_invert(l_and); _static_unused(l_nand) - constexpr bit_value_t l_or[4][4] - = { + constexpr bit_value_t l_or[4][4] = { /* a / 0 1 x z <-b */ /* 0 */ {_0, _1, _x, _x}, /* 1 */ {_1, _1, _1, _1}, @@ -119,12 +110,10 @@ _static_unused(l_nand) /* z */ {_x, _1, _x, _x}}; _static_unused(l_or) - constexpr bit_value_t l_nor[4][4] - = unroll_2d_invert(l_or); + constexpr bit_value_t l_nor[4][4] = unroll_2d_invert(l_or); _static_unused(l_nor) - constexpr bit_value_t l_xor[4][4] - = { + constexpr bit_value_t l_xor[4][4] = { /* a / 0 1 x z <-b */ /* 0 */ {_0, _1, _x, _x}, /* 1 */ {_1, _0, _x, _x}, @@ -132,12 +121,10 @@ _static_unused(l_nor) /* z */ {_x, _x, _x, _x}}; _static_unused(l_xor) - constexpr bit_value_t l_xnor[4][4] - = unroll_2d_invert(l_xor); + constexpr bit_value_t l_xnor[4][4] = unroll_2d_invert(l_xor); _static_unused(l_xnor) - constexpr bit_value_t l_notif1[4][4] - = { + constexpr bit_value_t l_notif1[4][4] = { /* in / 0 1 x z <-control */ /* 0 */ {_z, _1, _x, _x}, /* 1 */ {_z, _0, _x, _x}, @@ -145,8 +132,7 @@ _static_unused(l_xnor) /* z */ {_z, _x, _x, _x}}; _static_unused(l_notif1) - constexpr bit_value_t l_notif0[4][4] - = { + constexpr bit_value_t l_notif0[4][4] = { /* in / 0 1 x z <-control */ /* 0 */ {_1, _z, _x, _x}, /* 1 */ {_0, _z, _x, _x}, @@ -154,8 +140,7 @@ _static_unused(l_notif1) /* z */ {_x, _z, _x, _x}}; _static_unused(l_notif0) - constexpr bit_value_t l_bufif1[4][4] - = { + constexpr bit_value_t l_bufif1[4][4] = { /* in / 0 1 x z <-control */ /* 0 */ {_z, _0, _x, _x}, /* 1 */ {_z, _1, _x, _x}, @@ -163,8 +148,7 @@ _static_unused(l_notif0) /* z */ {_z, _x, _x, _x}}; _static_unused(l_bufif1) - constexpr bit_value_t l_bufif0[4][4] - = { + constexpr bit_value_t l_bufif0[4][4] = { /* in / 0 1 x z <-control */ /* 0 */ {_0, _z, _x, _x}, /* 1 */ {_1, _z, _x, _x}, @@ -176,8 +160,7 @@ _static_unused(l_bufif0) * Tran NO SUPPORT FOR THESE YET */ /* cmos gates */ - constexpr bit_value_t l_rpmos[4][4] - = { + constexpr bit_value_t l_rpmos[4][4] = { /* in / 0 1 x z <-control */ /* 0 */ {_0, _z, _x, _x}, /* 1 */ {_1, _z, _x, _x}, @@ -185,8 +168,7 @@ _static_unused(l_bufif0) /* z */ {_z, _z, _z, _z}}; _static_unused(l_rpmos) - constexpr bit_value_t l_rnmos[4][4] - = { + constexpr bit_value_t l_rnmos[4][4] = { /* in / 0 1 x z <-control */ /* 0 */ {_z, _0, _x, _x}, /* 1 */ {_z, _1, _x, _x}, @@ -194,8 +176,7 @@ _static_unused(l_rpmos) /* z */ {_z, _z, _z, _z}}; _static_unused(l_rnmos) - constexpr bit_value_t l_nmos[4][4] - = { + constexpr bit_value_t l_nmos[4][4] = { /* in / 0 1 x z <-control */ /* 0 */ {_z, _0, _x, _x}, /* 1 */ {_z, _1, _x, _x}, @@ -204,8 +185,7 @@ _static_unused(l_rnmos) _static_unused(l_nmos) // see table 5-21 p:54 IEEE 1364-2005 - constexpr bit_value_t l_ternary[4][4] - = { + constexpr bit_value_t l_ternary[4][4] = { /* in / 0 1 x z <-control */ /* 0 */ {_0, _x, _x, _x}, /* 1 */ {_x, _1, _x, _x}, @@ -217,8 +197,7 @@ _static_unused(l_ternary) * these extend the library and simplify the process */ /* helper */ - constexpr bit_value_t l_unk[4][4] - = { + constexpr bit_value_t l_unk[4][4] = { /* in / 0 1 x z <-control */ /* 0 */ {_x, _x, _x, _x}, /* 1 */ {_x, _x, _x, _x}, @@ -226,8 +205,7 @@ _static_unused(l_ternary) /* z */ {_x, _x, _x, _x}}; _static_unused(l_unk) - constexpr bit_value_t l_case_eq[4][4] - = { + constexpr bit_value_t l_case_eq[4][4] = { /* a / 0 1 x z <-b */ /* 0 */ {_1, _0, _0, _0}, /* 1 */ {_0, _1, _0, _0}, @@ -235,8 +213,7 @@ _static_unused(l_unk) /* z */ {_0, _0, _0, _1}}; _static_unused(l_case_eq) - constexpr bit_value_t l_lt[4][4] - = { + constexpr bit_value_t l_lt[4][4] = { /* a / 0 1 x z <-b */ /* 0 */ {_0, _1, _x, _x}, /* 1 */ {_0, _0, _x, _x}, @@ -244,8 +221,7 @@ _static_unused(l_case_eq) /* z */ {_x, _x, _x, _x}}; _static_unused(l_lt) - constexpr bit_value_t l_gt[4][4] - = { + constexpr bit_value_t l_gt[4][4] = { /* a / 0 1 x z <-b */ /* 0 */ {_0, _0, _x, _x}, /* 1 */ {_1, _0, _x, _x}, @@ -253,12 +229,10 @@ _static_unused(l_lt) /* z */ {_x, _x, _x, _x}}; _static_unused(l_gt) - constexpr bit_value_t l_eq[4][4] - = unroll_2d(l_xnor); + constexpr bit_value_t l_eq[4][4] = unroll_2d(l_xnor); _static_unused(l_eq) - constexpr bit_value_t l_sum[4][4][4] - = { + constexpr bit_value_t l_sum[4][4][4] = { /* c_in */ /* 0 */ unroll_2d(l_xor), /* 1 */ unroll_2d(l_xnor), @@ -266,8 +240,7 @@ _static_unused(l_eq) /* z */ unroll_2d(l_unk)}; _static_unused(l_sum) - constexpr bit_value_t l_carry[4][4][4] - = { + constexpr bit_value_t l_carry[4][4][4] = { /* c_in */ /* 0 */ unroll_2d(l_and), /* 1 */ unroll_2d(l_or), @@ -275,12 +248,10 @@ _static_unused(l_sum) /* z */ unroll_2d(l_ternary)}; _static_unused(l_carry) - constexpr bit_value_t l_half_carry[4][4] - = unroll_2d(l_carry[_0]); + constexpr bit_value_t l_half_carry[4][4] = unroll_2d(l_carry[_0]); _static_unused(l_half_carry) - constexpr bit_value_t l_half_sum[4][4] - = unroll_2d(l_sum[_0]); + constexpr bit_value_t l_half_sum[4][4] = unroll_2d(l_sum[_0]); _static_unused(l_half_sum) static char bit_to_c(bit_value_t bit, bool uppercase) { diff --git a/libs/librtlnumber/src/include/rtl_utils.hpp b/libs/librtlnumber/src/include/rtl_utils.hpp index ed0d0c3edd4..4813bba457f 100644 --- a/libs/librtlnumber/src/include/rtl_utils.hpp +++ b/libs/librtlnumber/src/include/rtl_utils.hpp @@ -16,7 +16,7 @@ #include #ifndef FILE_NAME -# define FILE_NAME (strrchr(__FILE__, '/') ? strrchr(__FILE__, '/') + 1 : __FILE__) +#define FILE_NAME (strrchr(__FILE__, '/') ? strrchr(__FILE__, '/') + 1 : __FILE__) #endif /* Enable Debug Messages for libRTLNumber: Un-Comment to Enable Debug Messages: @@ -24,22 +24,22 @@ // #define ENABLE_DEBUG_MESSAGES #ifdef ENABLE_DEBUG_MESSAGES -# define DEBUG_MSG(debugMsg) std::cerr << "DEBUG: " << FILE_NAME << ":" << __LINE__ << " " << __func__ << "()" \ - << ": " << debugMsg << std::endl +#define DEBUG_MSG(debugMsg) std::cerr << "DEBUG: " << FILE_NAME << ":" << __LINE__ << " " << __func__ << "()" \ + << ": " << debugMsg << std::endl #else -# define DEBUG_MSG(debugMsg) /* No-Op */ +#define DEBUG_MSG(debugMsg) /* No-Op */ #endif #ifndef WARN_MSG -# define WARN_MSG(warnMSG) std::cerr << "WARNING: " << FILE_NAME << ":" << __LINE__ << " " << __func__ << "()" \ - << ": " << warnMSG << "!" << std::endl +#define WARN_MSG(warnMSG) std::cerr << "WARNING: " << FILE_NAME << ":" << __LINE__ << " " << __func__ << "()" \ + << ": " << warnMSG << "!" << std::endl #endif #ifndef ERR_MSG -# define ERR_MSG(errMsg) std::cerr << std::endl \ - << "ERROR: " << FILE_NAME << ":" << __LINE__ << " " << __func__ << "()" \ - << ": " << errMsg << "!" << std::endl \ - << std::endl +#define ERR_MSG(errMsg) std::cerr << std::endl \ + << "ERROR: " << FILE_NAME << ":" << __LINE__ << " " << __func__ << "()" \ + << ": " << errMsg << "!" << std::endl \ + << std::endl #endif std::string string_of_radix_to_bitstring(std::string orig_string, size_t radix); diff --git a/libs/libvtrutil/CMakeLists.txt b/libs/libvtrutil/CMakeLists.txt index e35d0246b8b..0362295ef1c 100644 --- a/libs/libvtrutil/CMakeLists.txt +++ b/libs/libvtrutil/CMakeLists.txt @@ -61,28 +61,24 @@ endif() # 2) The custom command depends on the touched version input file and generates the processed # version file, with updated values. The custom command uses the configure_version.cmake # script to generate the up-to-date vtr_version.cpp -if (VTR_ENABLE_VERSION) - add_custom_target(version ALL - COMMAND ${CMAKE_COMMAND} -E touch ${VTR_VERSION_FILE_IN}) - - add_custom_command(OUTPUT ${VTR_VERSION_FILE_OUT} - COMMAND ${CMAKE_COMMAND} - -D IN_FILE=${VTR_VERSION_FILE_IN} - -D OUT_FILE=${VTR_VERSION_FILE_OUT} - -D VTR_VERSION_MAJOR=${VTR_VERSION_MAJOR} - -D VTR_VERSION_MINOR=${VTR_VERSION_MINOR} - -D VTR_VERSION_PATCH=${VTR_VERSION_PATCH} - -D VTR_VERSION_PRERELEASE=${VTR_VERSION_PRERELEASE} - -D VTR_COMPILER_INFO=${VTR_COMPILER_INFO} - -D VTR_BUILD_INFO=${VTR_BUILD_INFO} - -P ${CMAKE_CURRENT_SOURCE_DIR}/cmake/modules/configure_version.cmake - MAIN_DEPENDENCY ${VTR_VERSION_FILE_IN} - WORKING_DIRECTORY ${CMAKE_CURRENT_SOURCE_DIR} - VERBATIM) -else() -# Just copy the input file to output file with version number - configure_file(${VTR_VERSION_FILE_IN} ${VTR_VERSION_FILE_OUT}) -endif() +add_custom_target(version ALL + COMMAND ${CMAKE_COMMAND} -E touch ${VTR_VERSION_FILE_IN}) + +add_custom_command(OUTPUT ${VTR_VERSION_FILE_OUT} + COMMAND ${CMAKE_COMMAND} + -D IN_FILE=${VTR_VERSION_FILE_IN} + -D OUT_FILE=${VTR_VERSION_FILE_OUT} + -D VTR_VERSION_MAJOR=${VTR_VERSION_MAJOR} + -D VTR_VERSION_MINOR=${VTR_VERSION_MINOR} + -D VTR_VERSION_PATCH=${VTR_VERSION_PATCH} + -D VTR_VERSION_PRERELEASE=${VTR_VERSION_PRERELEASE} + -D VTR_COMPILER_INFO=${VTR_COMPILER_INFO} + -D VTR_BUILD_INFO=${VTR_BUILD_INFO} + -P ${CMAKE_CURRENT_SOURCE_DIR}/cmake/modules/configure_version.cmake + MAIN_DEPENDENCY ${VTR_VERSION_FILE_IN} + WORKING_DIRECTORY ${CMAKE_CURRENT_SOURCE_DIR} + VERBATIM) + # # Source files and library @@ -102,9 +98,7 @@ target_include_directories(libvtrutil PUBLIC ${LIB_INCLUDE_DIRS}) set_target_properties(libvtrutil PROPERTIES PREFIX "") #Avoid extra 'lib' prefix #Ensure version is always up to date by requiring version to be run first -if (VTR_ENABLE_VERSION) - add_dependencies(libvtrutil version) -endif() +add_dependencies(libvtrutil version) #Specify link-time dependencies target_link_libraries(libvtrutil diff --git a/libs/libvtrutil/src/specrand.cpp b/libs/libvtrutil/src/specrand.cpp index a508eb31ce3..881802b8227 100644 --- a/libs/libvtrutil/src/specrand.cpp +++ b/libs/libvtrutil/src/specrand.cpp @@ -112,7 +112,7 @@ unsigned long SpecRandomNumberGenerator::spec_genrand_int32_() { if (mti >= N) { /* generate N words at one time */ - if (mti == N + 1) /* if init_genrand() has not been called, */ + if (mti == N + 1) /* if init_genrand() has not been called, */ spec_init_genrand_(5489UL); /* a default initial seed is used */ for (size_t kk = 0; kk < N - M; kk++) { diff --git a/libs/libvtrutil/src/specrand.h b/libs/libvtrutil/src/specrand.h index 9a7634e678c..6216a3a341a 100644 --- a/libs/libvtrutil/src/specrand.h +++ b/libs/libvtrutil/src/specrand.h @@ -114,7 +114,6 @@ class SpecRandomNumberGenerator : public vtr::RandomNumberGeneratorInterface { size_t mti = N + 1; /// the array for the state vector unsigned long mt[N]; - }; #endif diff --git a/libs/libvtrutil/src/tl_optional.hpp b/libs/libvtrutil/src/tl_optional.hpp index 294755b69a1..3d5f037c559 100644 --- a/libs/libvtrutil/src/tl_optional.hpp +++ b/libs/libvtrutil/src/tl_optional.hpp @@ -32,78 +32,78 @@ #include #if (defined(_MSC_VER) && _MSC_VER == 1900) -# define TL_OPTIONAL_MSVC2015 +#define TL_OPTIONAL_MSVC2015 #endif #if (defined(__GNUC__) && __GNUC__ == 4 && __GNUC_MINOR__ <= 9 && !defined(__clang__)) -# define TL_OPTIONAL_GCC49 +#define TL_OPTIONAL_GCC49 #endif #if (defined(__GNUC__) && __GNUC__ == 5 && __GNUC_MINOR__ <= 4 && !defined(__clang__)) -# define TL_OPTIONAL_GCC54 +#define TL_OPTIONAL_GCC54 #endif #if (defined(__GNUC__) && __GNUC__ == 5 && __GNUC_MINOR__ <= 5 && !defined(__clang__)) -# define TL_OPTIONAL_GCC55 +#define TL_OPTIONAL_GCC55 #endif #if (defined(__GNUC__) && __GNUC__ == 4 && __GNUC_MINOR__ <= 9 && !defined(__clang__)) // GCC < 5 doesn't support overloading on const&& for member functions -# define TL_OPTIONAL_NO_CONSTRR +#define TL_OPTIONAL_NO_CONSTRR // GCC < 5 doesn't support some standard C++11 type traits -# define TL_OPTIONAL_IS_TRIVIALLY_COPY_CONSTRUCTIBLE(T) \ - std::has_trivial_copy_constructor::value -# define TL_OPTIONAL_IS_TRIVIALLY_COPY_ASSIGNABLE(T) std::has_trivial_copy_assign::value +#define TL_OPTIONAL_IS_TRIVIALLY_COPY_CONSTRUCTIBLE(T) \ + std::has_trivial_copy_constructor::value +#define TL_OPTIONAL_IS_TRIVIALLY_COPY_ASSIGNABLE(T) std::has_trivial_copy_assign::value // This one will be different for GCC 5.7 if it's ever supported -# define TL_OPTIONAL_IS_TRIVIALLY_DESTRUCTIBLE(T) std::is_trivially_destructible::value +#define TL_OPTIONAL_IS_TRIVIALLY_DESTRUCTIBLE(T) std::is_trivially_destructible::value // GCC 5 < v < 8 has a bug in is_trivially_copy_constructible which breaks std::vector // for non-copyable types #elif (defined(__GNUC__) && __GNUC__ < 8 && !defined(__clang__)) -# ifndef TL_GCC_LESS_8_TRIVIALLY_COPY_CONSTRUCTIBLE_MUTEX -# define TL_GCC_LESS_8_TRIVIALLY_COPY_CONSTRUCTIBLE_MUTEX +#ifndef TL_GCC_LESS_8_TRIVIALLY_COPY_CONSTRUCTIBLE_MUTEX +#define TL_GCC_LESS_8_TRIVIALLY_COPY_CONSTRUCTIBLE_MUTEX namespace tl { namespace detail { template struct is_trivially_copy_constructible : std::is_trivially_copy_constructible {}; -# ifdef _GLIBCXX_VECTOR +#ifdef _GLIBCXX_VECTOR template struct is_trivially_copy_constructible> : std::is_trivially_copy_constructible {}; -# endif +#endif } // namespace detail } // namespace tl -# endif +#endif -# define TL_OPTIONAL_IS_TRIVIALLY_COPY_CONSTRUCTIBLE(T) \ - tl::detail::is_trivially_copy_constructible::value -# define TL_OPTIONAL_IS_TRIVIALLY_COPY_ASSIGNABLE(T) \ - std::is_trivially_copy_assignable::value -# define TL_OPTIONAL_IS_TRIVIALLY_DESTRUCTIBLE(T) std::is_trivially_destructible::value +#define TL_OPTIONAL_IS_TRIVIALLY_COPY_CONSTRUCTIBLE(T) \ + tl::detail::is_trivially_copy_constructible::value +#define TL_OPTIONAL_IS_TRIVIALLY_COPY_ASSIGNABLE(T) \ + std::is_trivially_copy_assignable::value +#define TL_OPTIONAL_IS_TRIVIALLY_DESTRUCTIBLE(T) std::is_trivially_destructible::value #else -# define TL_OPTIONAL_IS_TRIVIALLY_COPY_CONSTRUCTIBLE(T) \ - std::is_trivially_copy_constructible::value -# define TL_OPTIONAL_IS_TRIVIALLY_COPY_ASSIGNABLE(T) \ - std::is_trivially_copy_assignable::value -# define TL_OPTIONAL_IS_TRIVIALLY_DESTRUCTIBLE(T) std::is_trivially_destructible::value +#define TL_OPTIONAL_IS_TRIVIALLY_COPY_CONSTRUCTIBLE(T) \ + std::is_trivially_copy_constructible::value +#define TL_OPTIONAL_IS_TRIVIALLY_COPY_ASSIGNABLE(T) \ + std::is_trivially_copy_assignable::value +#define TL_OPTIONAL_IS_TRIVIALLY_DESTRUCTIBLE(T) std::is_trivially_destructible::value #endif #if __cplusplus > 201103L -# define TL_OPTIONAL_CXX14 +#define TL_OPTIONAL_CXX14 #endif // constexpr implies const in C++11, not C++14 #if (__cplusplus == 201103L || defined(TL_OPTIONAL_MSVC2015) || defined(TL_OPTIONAL_GCC49)) -# define TL_OPTIONAL_11_CONSTEXPR +#define TL_OPTIONAL_11_CONSTEXPR #else -# define TL_OPTIONAL_11_CONSTEXPR constexpr +#define TL_OPTIONAL_11_CONSTEXPR constexpr #endif namespace tl { #ifndef TL_MONOSTATE_INPLACE_MUTEX -# define TL_MONOSTATE_INPLACE_MUTEX +#define TL_MONOSTATE_INPLACE_MUTEX /// Used to represent an optional with no data; essentially a bool class monostate {}; @@ -120,7 +120,7 @@ class optional; namespace detail { #ifndef TL_TRAITS_MUTEX -# define TL_TRAITS_MUTEX +#define TL_TRAITS_MUTEX // C++14-style aliases for brevity template using remove_const_t = typename std::remove_const::type; @@ -142,14 +142,14 @@ template struct conjunction : std::conditional, B>::type {}; -# if defined(_LIBCPP_VERSION) && __cplusplus == 201103L -# define TL_TRAITS_LIBCXX_MEM_FN_WORKAROUND -# endif +#if defined(_LIBCPP_VERSION) && __cplusplus == 201103L +#define TL_TRAITS_LIBCXX_MEM_FN_WORKAROUND +#endif // In C++11 mode, there's an issue in libc++'s std::mem_fn // which results in a hard-error when using it in a noexcept expression // in some cases. This is a check to workaround the common failing case. -# ifdef TL_TRAITS_LIBCXX_MEM_FN_WORKAROUND +#ifdef TL_TRAITS_LIBCXX_MEM_FN_WORKAROUND template struct is_pointer_to_non_const_member_func : std::false_type {}; template @@ -171,14 +171,14 @@ template struct is_const_or_const_ref : std::true_type {}; template struct is_const_or_const_ref : std::true_type {}; -# endif +#endif // std::invoke from C++17 // https://stackoverflow.com/questions/38288042/c11-14-invoke-workaround template::value && is_const_or_const_ref::value)>, -# endif +#endif typename = enable_if_t>::value>, int = 0> constexpr auto invoke(Fn&& f, Args&&... args) noexcept( @@ -212,14 +212,14 @@ using invoke_result = invoke_result_impl; template using invoke_result_t = typename invoke_result::type; -# if defined(_MSC_VER) && _MSC_VER <= 1900 +#if defined(_MSC_VER) && _MSC_VER <= 1900 // TODO make a version which works with MSVC 2015 template struct is_swappable : std::true_type {}; template struct is_nothrow_swappable : std::true_type {}; -# else +#else // https://stackoverflow.com/questions/26744589/what-is-a-proper-way-to-implement-is-swappable-to-test-for-the-swappable-concept namespace swap_adl_tests { // if swap ADL finds this then it would call std::swap otherwise (same @@ -276,12 +276,14 @@ struct is_nothrow_swappable bool, is_swappable::value && ((decltype(detail::swap_adl_tests::uses_std(0))::value && detail::swap_adl_tests::is_std_swap_noexcept::value) || (!decltype(detail::swap_adl_tests::uses_std(0))::value && detail::swap_adl_tests::is_adl_swap_noexcept::value))> { }; -# endif +#endif #endif // std::void_t from C++17 template -struct voider { using type = void; }; +struct voider { + using type = void; +}; template using void_t = typename voider::type; @@ -505,8 +507,7 @@ struct optional_copy_assign_base : optional_move_base { return *this; } optional_copy_assign_base& - operator=(optional_copy_assign_base&& rhs) - = default; + operator=(optional_copy_assign_base&& rhs) = default; }; // This class manages conditionally having a trivial move assignment operator @@ -515,7 +516,7 @@ struct optional_copy_assign_base : optional_move_base { // to make do with a non-trivial move assignment operator even if T is trivially // move assignable #ifndef TL_OPTIONAL_GCC49 -template::value&& std::is_trivially_move_constructible::value&& std::is_trivially_move_assignable::value> +template::value && std::is_trivially_move_constructible::value && std::is_trivially_move_assignable::value> struct optional_move_assign_base : optional_copy_assign_base { using optional_copy_assign_base::optional_copy_assign_base; }; @@ -534,12 +535,11 @@ struct optional_move_assign_base : optional_copy_assign_base { optional_move_assign_base(optional_move_assign_base&& rhs) = default; optional_move_assign_base& - operator=(const optional_move_assign_base& rhs) - = default; + operator=(const optional_move_assign_base& rhs) = default; optional_move_assign_base& operator=(optional_move_assign_base&& rhs) noexcept( - std::is_nothrow_move_constructible::value&& std::is_nothrow_move_assignable::value) { + std::is_nothrow_move_constructible::value && std::is_nothrow_move_assignable::value) { this->assign(std::move(rhs)); return *this; } @@ -553,8 +553,7 @@ struct optional_delete_ctor_base { optional_delete_ctor_base(const optional_delete_ctor_base&) = default; optional_delete_ctor_base(optional_delete_ctor_base&&) noexcept = default; optional_delete_ctor_base& - operator=(const optional_delete_ctor_base&) - = default; + operator=(const optional_delete_ctor_base&) = default; optional_delete_ctor_base& operator=(optional_delete_ctor_base&&) noexcept = default; }; @@ -565,8 +564,7 @@ struct optional_delete_ctor_base { optional_delete_ctor_base(const optional_delete_ctor_base&) = default; optional_delete_ctor_base(optional_delete_ctor_base&&) noexcept = delete; optional_delete_ctor_base& - operator=(const optional_delete_ctor_base&) - = default; + operator=(const optional_delete_ctor_base&) = default; optional_delete_ctor_base& operator=(optional_delete_ctor_base&&) noexcept = default; }; @@ -577,8 +575,7 @@ struct optional_delete_ctor_base { optional_delete_ctor_base(const optional_delete_ctor_base&) = delete; optional_delete_ctor_base(optional_delete_ctor_base&&) noexcept = default; optional_delete_ctor_base& - operator=(const optional_delete_ctor_base&) - = default; + operator=(const optional_delete_ctor_base&) = default; optional_delete_ctor_base& operator=(optional_delete_ctor_base&&) noexcept = default; }; @@ -589,8 +586,7 @@ struct optional_delete_ctor_base { optional_delete_ctor_base(const optional_delete_ctor_base&) = delete; optional_delete_ctor_base(optional_delete_ctor_base&&) noexcept = delete; optional_delete_ctor_base& - operator=(const optional_delete_ctor_base&) - = default; + operator=(const optional_delete_ctor_base&) = default; optional_delete_ctor_base& operator=(optional_delete_ctor_base&&) noexcept = default; }; @@ -605,8 +601,7 @@ struct optional_delete_assign_base { optional_delete_assign_base(const optional_delete_assign_base&) = default; optional_delete_assign_base(optional_delete_assign_base&&) noexcept = default; optional_delete_assign_base& - operator=(const optional_delete_assign_base&) - = default; + operator=(const optional_delete_assign_base&) = default; optional_delete_assign_base& operator=(optional_delete_assign_base&&) noexcept = default; }; @@ -617,8 +612,7 @@ struct optional_delete_assign_base { optional_delete_assign_base(const optional_delete_assign_base&) = default; optional_delete_assign_base(optional_delete_assign_base&&) noexcept = default; optional_delete_assign_base& - operator=(const optional_delete_assign_base&) - = default; + operator=(const optional_delete_assign_base&) = default; optional_delete_assign_base& operator=(optional_delete_assign_base&&) noexcept = delete; }; @@ -629,8 +623,7 @@ struct optional_delete_assign_base { optional_delete_assign_base(const optional_delete_assign_base&) = default; optional_delete_assign_base(optional_delete_assign_base&&) noexcept = default; optional_delete_assign_base& - operator=(const optional_delete_assign_base&) - = delete; + operator=(const optional_delete_assign_base&) = delete; optional_delete_assign_base& operator=(optional_delete_assign_base&&) noexcept = default; }; @@ -641,8 +634,7 @@ struct optional_delete_assign_base { optional_delete_assign_base(const optional_delete_assign_base&) = default; optional_delete_assign_base(optional_delete_assign_base&&) noexcept = default; optional_delete_assign_base& - operator=(const optional_delete_assign_base&) - = delete; + operator=(const optional_delete_assign_base&) = delete; optional_delete_assign_base& operator=(optional_delete_assign_base&&) noexcept = delete; }; @@ -719,7 +711,7 @@ class optional : private detail::optional_move_assign_base, : result(nullopt); } -# ifndef TL_OPTIONAL_NO_CONSTRR +#ifndef TL_OPTIONAL_NO_CONSTRR template constexpr auto and_then(F&& f) const&& { using result = detail::invoke_result_t; @@ -729,7 +721,7 @@ class optional : private detail::optional_move_assign_base, return has_value() ? detail::invoke(std::forward(f), std::move(**this)) : result(nullopt); } -# endif +#endif #else /// Carries out some operation which returns an optional on the stored /// object if there is one. @@ -763,7 +755,7 @@ class optional : private detail::optional_move_assign_base, : result(nullopt); } -# ifndef TL_OPTIONAL_NO_CONSTRR +#ifndef TL_OPTIONAL_NO_CONSTRR template constexpr detail::invoke_result_t and_then(F&& f) const&& { using result = detail::invoke_result_t; @@ -773,7 +765,7 @@ class optional : private detail::optional_move_assign_base, return has_value() ? detail::invoke(std::forward(f), std::move(**this)) : result(nullopt); } -# endif +#endif #endif #if defined(TL_OPTIONAL_CXX14) && !defined(TL_OPTIONAL_GCC49) && !defined(TL_OPTIONAL_GCC54) && !defined(TL_OPTIONAL_GCC55) @@ -820,14 +812,14 @@ class optional : private detail::optional_move_assign_base, return optional_map_impl(*this, std::forward(f)); } -# ifndef TL_OPTIONAL_NO_CONSTRR +#ifndef TL_OPTIONAL_NO_CONSTRR template constexpr decltype(optional_map_impl(std::declval(), std::declval())) map(F&& f) const&& { return optional_map_impl(std::move(*this), std::forward(f)); } -# endif +#endif #endif #if defined(TL_OPTIONAL_CXX14) && !defined(TL_OPTIONAL_GCC49) && !defined(TL_OPTIONAL_GCC54) && !defined(TL_OPTIONAL_GCC55) @@ -874,14 +866,14 @@ class optional : private detail::optional_move_assign_base, return optional_map_impl(*this, std::forward(f)); } -# ifndef TL_OPTIONAL_NO_CONSTRR +#ifndef TL_OPTIONAL_NO_CONSTRR template constexpr decltype(optional_map_impl(std::declval(), std::declval())) transform(F&& f) const&& { return optional_map_impl(std::move(*this), std::forward(f)); } -# endif +#endif #endif /// Calls `f` if the optional is empty @@ -1251,7 +1243,7 @@ class optional : private detail::optional_move_assign_base, /// If one has a value, it is moved to the other and the movee is left /// valueless. void - swap(optional& rhs) noexcept(std::is_nothrow_move_constructible::value&& detail::is_nothrow_swappable::value) { + swap(optional& rhs) noexcept(std::is_nothrow_move_constructible::value && detail::is_nothrow_swappable::value) { using std::swap; if (has_value()) { if (rhs.has_value()) { @@ -1593,7 +1585,7 @@ class optional { : result(nullopt); } -# ifndef TL_OPTIONAL_NO_CONSTRR +#ifndef TL_OPTIONAL_NO_CONSTRR template constexpr auto and_then(F&& f) const&& { using result = detail::invoke_result_t; @@ -1603,7 +1595,7 @@ class optional { return has_value() ? detail::invoke(std::forward(f), **this) : result(nullopt); } -# endif +#endif #else /// Carries out some operation which returns an optional on the stored /// object if there is one. @@ -1637,7 +1629,7 @@ class optional { : result(nullopt); } -# ifndef TL_OPTIONAL_NO_CONSTRR +#ifndef TL_OPTIONAL_NO_CONSTRR template constexpr detail::invoke_result_t and_then(F&& f) const&& { using result = detail::invoke_result_t; @@ -1647,7 +1639,7 @@ class optional { return has_value() ? detail::invoke(std::forward(f), **this) : result(nullopt); } -# endif +#endif #endif #if defined(TL_OPTIONAL_CXX14) && !defined(TL_OPTIONAL_GCC49) && !defined(TL_OPTIONAL_GCC54) && !defined(TL_OPTIONAL_GCC55) @@ -1694,14 +1686,14 @@ class optional { return detail::optional_map_impl(*this, std::forward(f)); } -# ifndef TL_OPTIONAL_NO_CONSTRR +#ifndef TL_OPTIONAL_NO_CONSTRR template constexpr decltype(detail::optional_map_impl(std::declval(), std::declval())) map(F&& f) const&& { return detail::optional_map_impl(std::move(*this), std::forward(f)); } -# endif +#endif #endif #if defined(TL_OPTIONAL_CXX14) && !defined(TL_OPTIONAL_GCC49) && !defined(TL_OPTIONAL_GCC54) && !defined(TL_OPTIONAL_GCC55) @@ -1750,14 +1742,14 @@ class optional { return detail::optional_map_impl(*this, std::forward(f)); } -# ifndef TL_OPTIONAL_NO_CONSTRR +#ifndef TL_OPTIONAL_NO_CONSTRR template constexpr decltype(detail::optional_map_impl(std::declval(), std::declval())) transform(F&& f) const&& { return detail::optional_map_impl(std::move(*this), std::forward(f)); } -# endif +#endif #endif /// Calls `f` if the optional is empty diff --git a/libs/libvtrutil/src/vpr_error.h b/libs/libvtrutil/src/vpr_error.h index 16ba3891b12..19813253625 100644 --- a/libs/libvtrutil/src/vpr_error.h +++ b/libs/libvtrutil/src/vpr_error.h @@ -78,12 +78,12 @@ void vpr_throw_opt(enum e_vpr_error type, const char* psz_func_pretty_name, cons // information, so we prefer to use it if possible #define VPR_THROW_FUNCTION __func__ #ifdef __GNUC__ -# ifdef __GNUC_MINOR__ -# if __GNUC__ >= 2 && __GNUC_MINOR__ > 6 -# undef VPR_THROW_FUNCTION -# define VPR_THROW_FUNCTION __PRETTY_FUNCTION__ -# endif -# endif +#ifdef __GNUC_MINOR__ +#if __GNUC__ >= 2 && __GNUC_MINOR__ > 6 +#undef VPR_THROW_FUNCTION +#define VPR_THROW_FUNCTION __PRETTY_FUNCTION__ +#endif +#endif #endif /* diff --git a/libs/libvtrutil/src/vtr_assert.h b/libs/libvtrutil/src/vtr_assert.h index ba63a4bb573..e17448a0c89 100644 --- a/libs/libvtrutil/src/vtr_assert.h +++ b/libs/libvtrutil/src/vtr_assert.h @@ -29,57 +29,57 @@ // Set a default assertion level if none is specified #ifndef VTR_ASSERT_LEVEL -# define VTR_ASSERT_LEVEL 2 +#define VTR_ASSERT_LEVEL 2 #endif // Enable the assertions based on the specified level #if VTR_ASSERT_LEVEL >= 4 -# define VTR_ASSERT_DEBUG_ENABLED +#define VTR_ASSERT_DEBUG_ENABLED #endif #if VTR_ASSERT_LEVEL >= 3 -# define VTR_ASSERT_SAFE_ENABLED +#define VTR_ASSERT_SAFE_ENABLED #endif #if VTR_ASSERT_LEVEL >= 2 -# define VTR_ASSERT_ENABLED +#define VTR_ASSERT_ENABLED #endif #if VTR_ASSERT_LEVEL >= 1 -# define VTR_ASSERT_OPT_ENABLED +#define VTR_ASSERT_OPT_ENABLED #endif // Define the user assertion macros #ifdef VTR_ASSERT_DEBUG_ENABLED -# define VTR_ASSERT_DEBUG(expr) VTR_ASSERT_IMPL(expr, nullptr) -# define VTR_ASSERT_DEBUG_MSG(expr, msg) VTR_ASSERT_IMPL(expr, msg) +#define VTR_ASSERT_DEBUG(expr) VTR_ASSERT_IMPL(expr, nullptr) +#define VTR_ASSERT_DEBUG_MSG(expr, msg) VTR_ASSERT_IMPL(expr, msg) #else -# define VTR_ASSERT_DEBUG(expr) VTR_ASSERT_IMPL_NOP(expr, nullptr) -# define VTR_ASSERT_DEBUG_MSG(expr, msg) VTR_ASSERT_IMPL_NOP(expr, msg) +#define VTR_ASSERT_DEBUG(expr) VTR_ASSERT_IMPL_NOP(expr, nullptr) +#define VTR_ASSERT_DEBUG_MSG(expr, msg) VTR_ASSERT_IMPL_NOP(expr, msg) #endif #ifdef VTR_ASSERT_SAFE_ENABLED -# define VTR_ASSERT_SAFE(expr) VTR_ASSERT_IMPL(expr, nullptr) -# define VTR_ASSERT_SAFE_MSG(expr, msg) VTR_ASSERT_IMPL(expr, msg) +#define VTR_ASSERT_SAFE(expr) VTR_ASSERT_IMPL(expr, nullptr) +#define VTR_ASSERT_SAFE_MSG(expr, msg) VTR_ASSERT_IMPL(expr, msg) #else -# define VTR_ASSERT_SAFE(expr) VTR_ASSERT_IMPL_NOP(expr, nullptr) -# define VTR_ASSERT_SAFE_MSG(expr, msg) VTR_ASSERT_IMPL_NOP(expr, msg) +#define VTR_ASSERT_SAFE(expr) VTR_ASSERT_IMPL_NOP(expr, nullptr) +#define VTR_ASSERT_SAFE_MSG(expr, msg) VTR_ASSERT_IMPL_NOP(expr, msg) #endif #ifdef VTR_ASSERT_ENABLED -# define VTR_ASSERT(expr) VTR_ASSERT_IMPL(expr, nullptr) -# define VTR_ASSERT_MSG(expr, msg) VTR_ASSERT_IMPL(expr, msg) +#define VTR_ASSERT(expr) VTR_ASSERT_IMPL(expr, nullptr) +#define VTR_ASSERT_MSG(expr, msg) VTR_ASSERT_IMPL(expr, msg) #else -# define VTR_ASSERT(expr) VTR_ASSERT_IMPL_NOP(expr, nullptr) -# define VTR_ASSERT_MSG(expr, msg) VTR_ASSERT_IMPL_NOP(expr, msg) +#define VTR_ASSERT(expr) VTR_ASSERT_IMPL_NOP(expr, nullptr) +#define VTR_ASSERT_MSG(expr, msg) VTR_ASSERT_IMPL_NOP(expr, msg) #endif #ifdef VTR_ASSERT_OPT_ENABLED -# define VTR_ASSERT_OPT(expr) VTR_ASSERT_IMPL(expr, nullptr) -# define VTR_ASSERT_OPT_MSG(expr, msg) VTR_ASSERT_IMPL(expr, msg) +#define VTR_ASSERT_OPT(expr) VTR_ASSERT_IMPL(expr, nullptr) +#define VTR_ASSERT_OPT_MSG(expr, msg) VTR_ASSERT_IMPL(expr, msg) #else -# define VTR_ASSERT_OPT(expr) VTR_ASSERT_IMPL_NOP(expr, nullptr) -# define VTR_ASSERT_OPT_MSG(expr, msg) VTR_ASSERT_IMPL_NOP(expr, msg) +#define VTR_ASSERT_OPT(expr) VTR_ASSERT_IMPL_NOP(expr, nullptr) +#define VTR_ASSERT_OPT_MSG(expr, msg) VTR_ASSERT_IMPL_NOP(expr, msg) #endif /** @@ -124,12 +124,12 @@ */ #define VTR_ASSERT_FUNCTION __func__ #ifdef __GNUC__ -# ifdef __GNUC_MINOR__ -# if __GNUC__ >= 2 && __GNUC_MINOR__ > 6 -# undef VTR_ASSERT_FUNCTION -# define VTR_ASSERT_FUNCTION __PRETTY_FUNCTION__ -# endif -# endif +#ifdef __GNUC_MINOR__ +#if __GNUC__ >= 2 && __GNUC_MINOR__ > 6 +#undef VTR_ASSERT_FUNCTION +#define VTR_ASSERT_FUNCTION __PRETTY_FUNCTION__ +#endif +#endif #endif namespace vtr { diff --git a/libs/libvtrutil/src/vtr_flat_map.h b/libs/libvtrutil/src/vtr_flat_map.h index 2c0b34f8536..bc857159bdb 100644 --- a/libs/libvtrutil/src/vtr_flat_map.h +++ b/libs/libvtrutil/src/vtr_flat_map.h @@ -21,7 +21,7 @@ class flat_map2; * @brief A function to create a flat map * * Helper function to create a flat map from a vector of pairs - * without haveing to explicity specify the key and value types + * without having to explicitly specify the key and value types */ template flat_map make_flat_map(std::vector>&& vec) { @@ -435,7 +435,7 @@ template class flat_map2 : public flat_map { public: ///@brief Constructor - flat_map2() {} + flat_map2() noexcept {} explicit flat_map2(std::vector::value_type>&& values) : flat_map(std::move(values)) {} diff --git a/libs/libvtrutil/src/vtr_geometry.h b/libs/libvtrutil/src/vtr_geometry.h index 7cc17c7d74c..d459653b0a1 100644 --- a/libs/libvtrutil/src/vtr_geometry.h +++ b/libs/libvtrutil/src/vtr_geometry.h @@ -122,7 +122,7 @@ template class Rect { public: //Constructors ///@brief default constructor - Rect(); + Rect() noexcept; ///@brief construct using 4 vertex Rect(T left_val, T bottom_val, T right_val, T top_val); @@ -183,6 +183,9 @@ class Rect { ///@brief Returns true if other is contained within the rectangle (including all edges) bool contains(const Rect& other) const; + ///@brief Returns true if other strictly overlaps this rectangle (two rectangles that only share an edge do not overlap) + bool strictly_overlaps(const Rect& other) const; + /** * @brief Checks whether the rectangle is empty * diff --git a/libs/libvtrutil/src/vtr_geometry.tpp b/libs/libvtrutil/src/vtr_geometry.tpp index 6485f8337cf..6cc932ae4a9 100644 --- a/libs/libvtrutil/src/vtr_geometry.tpp +++ b/libs/libvtrutil/src/vtr_geometry.tpp @@ -91,7 +91,7 @@ Point& Point::operator-=(const Point& rhs) { * Rect */ template -Rect::Rect() +Rect::Rect() noexcept : Rect(Point(0, 0), Point(0, 0)) { //pass } @@ -192,6 +192,12 @@ bool Rect::contains(const Rect& other) const { && other.ymin() >= ymin() && other.ymax() <= ymax(); } +template +bool Rect::strictly_overlaps(const Rect& other) const { + return xmin() < other.xmax() && xmax() > other.xmin() + && ymax() > other.ymin() && ymin() < other.ymax(); +} + template bool Rect::empty() const { return xmax() <= xmin() || ymax() <= ymin(); diff --git a/libs/libvtrutil/src/vtr_log.h b/libs/libvtrutil/src/vtr_log.h index 3c52e249d9d..d9b340d1214 100644 --- a/libs/libvtrutil/src/vtr_log.h +++ b/libs/libvtrutil/src/vtr_log.h @@ -13,8 +13,10 @@ * * Three types of log message types are defined: * - VTR_LOG : The standard 'info' type log message - * - VTR_LOG_WARN : A warning log message. This represents unusual condition that may indicate an issue but executiom continues - * - VTR_LOG_ERROR : An error log message. This represents a clear issue that should result in stopping the program execution. Please note that using this log message will not actually terminate the program. So a VtrError should be thrown after all the neccessary VTR_LOG_ERROR messages are printed. + * - VTR_LOG_WARN : A warning log message. This represents an unusual condition that may indicate an issue but execution continues + * - VTR_LOG_ERROR : An error log message. This represents a clear issue that should result in stopping the program execution. + * Please note that using this log message will not actually terminate the program. So a VtrError should be thrown + * after all the necessary VTR_LOG_ERROR messages are printed. * * For example: * @@ -27,7 +29,7 @@ * * Each of the three message types also have a VTR_LOGV_* variant, * which will cause the message to be logged if a user-defined condition - * is satisifed. + * is satisfied. * * For example: * @@ -118,11 +120,11 @@ // Debug logging macros #ifdef VTR_ENABLE_DEBUG_LOGGING //Enable -# define VTR_LOG_DEBUG(...) VTR_LOG(__VA_ARGS__) -# define VTR_LOGV_DEBUG(expr, ...) VTR_LOGV(expr, __VA_ARGS__) +#define VTR_LOG_DEBUG(...) VTR_LOG(__VA_ARGS__) +#define VTR_LOGV_DEBUG(expr, ...) VTR_LOGV(expr, __VA_ARGS__) #else //Disable -# define VTR_LOG_DEBUG(...) VTR_LOG_NOP(__VA_ARGS__) -# define VTR_LOGV_DEBUG(expr, ...) VTR_LOGV_NOP(expr, __VA_ARGS__) +#define VTR_LOG_DEBUG(...) VTR_LOG_NOP(__VA_ARGS__) +#define VTR_LOGV_DEBUG(expr, ...) VTR_LOGV_NOP(expr, __VA_ARGS__) #endif namespace vtr { diff --git a/libs/libvtrutil/src/vtr_logic.h b/libs/libvtrutil/src/vtr_logic.h index b43ee49291e..21b08fea22b 100644 --- a/libs/libvtrutil/src/vtr_logic.h +++ b/libs/libvtrutil/src/vtr_logic.h @@ -4,12 +4,12 @@ #define VTR_LOGIC_H #ifdef FALSE -# undef FALSE +#undef FALSE #endif #define FALSE FALSE #ifdef TRUE -# undef TRUE +#undef TRUE #endif #define TRUE TRUE diff --git a/libs/libvtrutil/src/vtr_memory.cpp b/libs/libvtrutil/src/vtr_memory.cpp index 39d6b244b13..4bea9f1c652 100644 --- a/libs/libvtrutil/src/vtr_memory.cpp +++ b/libs/libvtrutil/src/vtr_memory.cpp @@ -10,9 +10,9 @@ #include "vtr_log.h" #ifndef __GLIBC__ -# include +#include #else -# include +#include #endif namespace vtr { @@ -164,7 +164,7 @@ void free_chunk_memory(t_chunk* chunk_info) { while (curr_ptr != nullptr) { /* Must cast pointers to type char*, since the're of type void*, which delete can't * be called on.*/ - delete[]((char*)curr_ptr->data_vptr); /* Free memory "chunk". */ + delete[] ((char*)curr_ptr->data_vptr); /* Free memory "chunk". */ prev_ptr = curr_ptr; curr_ptr = curr_ptr->next; delete (t_linked_vptr*)prev_ptr; /* Free memory used to track "chunk". */ diff --git a/libs/libvtrutil/src/vtr_memory.h b/libs/libvtrutil/src/vtr_memory.h index 72e92ebe8f8..4c9877ec2cc 100644 --- a/libs/libvtrutil/src/vtr_memory.h +++ b/libs/libvtrutil/src/vtr_memory.h @@ -5,8 +5,8 @@ #include #ifdef _WIN32 -# include -# include +#include +#include #endif namespace vtr { diff --git a/libs/libvtrutil/src/vtr_ndmatrix.h b/libs/libvtrutil/src/vtr_ndmatrix.h index b7d6f030d5d..a9a41ff41b4 100644 --- a/libs/libvtrutil/src/vtr_ndmatrix.h +++ b/libs/libvtrutil/src/vtr_ndmatrix.h @@ -387,10 +387,10 @@ class NdMatrix : public NdMatrixBase { // Peel off the first dimension return NdMatrixProxy( - this->dim_sizes_.data() + 1, //Pass the dimension information - this->dim_strides_.data() + 1, //Pass the stride for the next dimension - this->dim_strides_[0] * index, //Advance to index in this dimension - this->data_); //Pass the base pointer + this->dim_sizes_.data() + 1, //Pass the dimension information + this->dim_strides_.data() + 1, //Pass the stride for the next dimension + this->dim_strides_[0] * index, //Advance to index in this dimension + this->data_); //Pass the base pointer } /** @@ -438,4 +438,3 @@ using Matrix = NdMatrix; } // namespace vtr #endif - diff --git a/libs/libvtrutil/src/vtr_ndoffsetmatrix.h b/libs/libvtrutil/src/vtr_ndoffsetmatrix.h index f85ebc72008..0e1001239bc 100644 --- a/libs/libvtrutil/src/vtr_ndoffsetmatrix.h +++ b/libs/libvtrutil/src/vtr_ndoffsetmatrix.h @@ -63,7 +63,7 @@ class NdOffsetMatrixProxy { * dim_stride: The stride of this dimension (i.e. how many element in memory between indicies of this dimension) * start: Pointer to the start of the sub-matrix this proxy represents */ - NdOffsetMatrixProxy(const DimRange* dim_ranges, size_t idim, size_t dim_stride, T* start) + NdOffsetMatrixProxy(const DimRange* dim_ranges, size_t idim, size_t dim_stride, T* start) : dim_ranges_(dim_ranges) , idim_(idim) , dim_stride_(dim_stride) @@ -116,7 +116,7 @@ class NdOffsetMatrixProxy { * - dim_stride: The stride of this dimension (i.e. how many element in memory between indicies of this dimension) * - start: Pointer to the start of the sub-matrix this proxy represents */ - NdOffsetMatrixProxy(const DimRange* dim_ranges, size_t idim, size_t dim_stride, T* start) + NdOffsetMatrixProxy(const DimRange* dim_ranges, size_t idim, size_t dim_stride, T* start) : dim_ranges_(dim_ranges) , idim_(idim) , dim_stride_(dim_stride) diff --git a/libs/libvtrutil/src/vtr_prefix_sum.h b/libs/libvtrutil/src/vtr_prefix_sum.h new file mode 100644 index 00000000000..d2a9067e1ae --- /dev/null +++ b/libs/libvtrutil/src/vtr_prefix_sum.h @@ -0,0 +1,285 @@ +/** + * @file + * @author Alex Singer + * @date February 2025 + * @brief Definition of the Prefix Sum class which enables O(1) time-complexity + * sums over regions of an unchanging grid of values. + */ + +#pragma once + +#include +#include +#include "vtr_assert.h" +#include "vtr_ndmatrix.h" + +namespace vtr { + +/** + * @brief 1D Prefix Sum manager class. + * + * Given an array of values, it may be necessary to find the sum of values + * within a continuous sub-section of the array. If this operation needs to be + * performed many times, this may be expensive in runtime to calculate. + * + * If the array of values does not change, we can create a prefix sum which will + * allow us to get the sum of values in some continuous sub-section of the array + * in O(1) time, instead of O(k) time where k is the number of values in the + * sub-section. + * + * This class has a space complexity of O(l) where l is the length of the array + * of values. + * + * + * Static Array of Values Example (values stored in a vector): + * + * std::vector vals = {...}; + * + * // Build the Prefix Sum + * vtr::PrefixSum1D prefix_sum(vals); + * + * // Compute the sum of the values between index 3 and 7 of the array (inclusive) + * float sum = prefix_sum.get_sum(3, 7); + * + * + * Dynamic Vector of Values Example (values derived at runtime): + * + * // Build the Prefix Sum using a lambda + * vtr::PrefixSum1D prefix_sum(length, [&](size_t x) { + * // This lambda returns the value that would be in the array at index x. + * return static_cast(x * x); + * }); + * + * // Compute the sum of the values between index 0 and 5 of the array (inclusive) + * float sum = prefix_sum.get_sum(0, 5); + */ +template +class PrefixSum1D { + public: + PrefixSum1D() = default; + + /** + * @brief Construct the 1D prefix sum. + * + * This pre-computes the sums of values in the array, making it faster to + * get the sum of sub-regions of the array later. + * + * This constructor has a time complexity of O(length) + * + * @param length + * The length of the array to a make a prefix sum of. + * @param lookup + * A lambda function which will return the value in the array at + * the given x index. This is a lambda to allow a prefix sum to be + * created, even if the values in the array are not stored in a + * vector (may be computed on the spot). + * @param zero + * What is zero for this data type. For most basic data types (like + * int float, etc.) this parameter can be ignored; for more complex + * data classes (like multi-dimensional vectors) this is necessary + * to be passed in. + */ + PrefixSum1D(size_t length, std::function lookup, T zero = T()) + : prefix_sum_(length + 1, zero) { + // The first value in the prefix sum is already initialized to 0. + + // Initialize the prefix sum. The prefix sum at position x is the sum + // of all values in the original array from 0 to x - 1. + for (size_t x = 1; x < length + 1; x++) { + prefix_sum_[x] = prefix_sum_[x - 1] + lookup(x - 1); + } + } + + /** + * @brief Construct the 1D prefix sum from a vector. + */ + PrefixSum1D(std::vector vals, T zero = T()) + : PrefixSum1D( + vals.size(), + [&](size_t x) noexcept { + return vals[x]; + }, + zero) {} + + /** + * @brief Get the sum of all values in the original array of values between + * lower_x and upper_x (inclusive). + * + * Inclusive means that the sum will include the values at lower_x and + * upper_x. + * + * This method has O(1) time complexity. + */ + T get_sum(size_t lower_x, size_t upper_x) const { + // Some safety asserts. + VTR_ASSERT_SAFE_MSG(lower_x <= upper_x, "lower_x is larger than upper_x"); + VTR_ASSERT_SAFE_MSG(lower_x < prefix_sum_.size() - 1, "lower_x out of range"); + VTR_ASSERT_SAFE_MSG(upper_x < prefix_sum_.size() - 1, "upper_x out of range"); + + // The sum of the region lower_x to upper_x inclusive is equal to + // - The sum from 0 to upper_x + // - Minus the sum from 0 to lower_x - 1 + // Note: These are all offset by 1 since the first value is zero. This + // saves us from having to do bound checking. + return prefix_sum_[upper_x + 1] - prefix_sum_[lower_x]; + } + + private: + /** + * @brief The 1D prefix sum of the original array of values. + * + * Index x of the prefix sum contains the sum of all values in the original + * array from 0 to x - 1. The first value in this array is 0. By setting the + * first value in the array to 0, we can avoid bound checking. This data + * structure has the special property that the sum of any sub-array can be + * computed in O(1) time. + */ + std::vector prefix_sum_; +}; + +/** + * @brief 2D Prefix Sum manager class. + * + * Given a 2D grid of values, it may be necessary to find the sum of values + * within some rectangular sub-region of that grid. If this operation needs to + * be performed many times, this may be expensive in runtime to calculate. + * + * If the grid of values does not change, we can create a prefix sum which will + * allow us to get the sum of values in some rectangular sub-region of the + * grid in O(1) time, instead of O(k) time where k is the number of values + * in the region. + * + * This class has a space complexity of O(w * h) where w and h are the width + * and height of the grid of values. + * + * + * Static Matrix of Values Example (values stored in a matrix): + * + * vtr::NdMatrix vals({w, h}); + * + * // ... Initialize vals + * + * // Build the Prefix Sum + * vtr::PrefixSum2D prefix_sum(vals); + * + * // Compute the sum of the rectangular region from (1, 2) to (3, 4) inclusive. + * float sum = prefix_sum.get_sum(1, 2, 3, 4); + * + * + * Dynamic Matrix of Values Example (values derived at runtime): + * + * // Build the Prefix Sum using a lambda + * vtr::PrefixSum2D prefix_sum(w, h, [&](size_t x, size_t y) { + * // This lambda returns the value that would be in the matrix at (x, y) + * return (x + y) / 2.f; + * }); + * + * // Compute the sum of the rectangular region from (0, 4) to (3, 5) inclusive. + * float sum = prefix_sum.get_sum(0, 4, 3, 5); + */ +template +class PrefixSum2D { + public: + PrefixSum2D() = default; + + /** + * @brief Construct the 2D prefix sum. + * + * This pre-computes the sums of values in the grid, making it faster to + * get the sum of sub-regions of the grid later. + * + * This constructor has a time complexity of O(w * h). + * + * @param w + * The width of the grid of values to make a prefix sum over. + * @param h + * The height of the grid of values to make a prefix sum over. + * @param lookup + * A lambda function which will return the value in the grid at the + * given x, y position. This is a lambda to allow a prefix sum to + * be created, even if the values in the grid are not stored in + * a matrix (may be computed at runtime). + * @param zero + * What is zero for this data type. For most basic data types (like + * int, float, etc.) this parameter can be ignored; for more complex + * data classes (like multi-dimensional vectors) this is necessary + * to be passed in. + */ + PrefixSum2D(size_t w, size_t h, std::function lookup, T zero = T()) + : prefix_sum_({w + 1, h + 1}, zero) { + // The first row and first column should already be initialized to zero. + + // Initialize the prefix sum. The prefix sum at position (x, y) is the + // sum of all values in the original matrix in the rectangle from (0, 0) + // to (x - 1, y - 1) inclusive. + for (size_t x = 1; x < w + 1; x++) { + for (size_t y = 1; y < h + 1; y++) { + prefix_sum_[x][y] = prefix_sum_[x - 1][y] + + prefix_sum_[x][y - 1] + + lookup(x - 1, y - 1) + - prefix_sum_[x - 1][y - 1]; + } + } + } + + /** + * @brief Constructs a 2D prefix sum from a 2D grid of values. + */ + PrefixSum2D(const vtr::NdMatrix& vals, T zero = T()) + : PrefixSum2D( + vals.dim_size(0), + vals.dim_size(1), + [&](size_t x, size_t y) { + return vals[x][y]; + }, + zero) {} + + /** + * @brief Get the sum of all values in the original grid of values between + * x = [lower_x, upper_x] and y = [lower_y, upper_y]. + * + * This sum is inclusive, so it also sums the values at (upper_x, upper_y). + * + * This method has O(1) time complexity. + */ + T get_sum(size_t lower_x, size_t lower_y, size_t upper_x, size_t upper_y) const { + // Some safety asserts. + VTR_ASSERT_SAFE_MSG(lower_x <= upper_x, "lower_x is larger than upper_x"); + VTR_ASSERT_SAFE_MSG(lower_y <= upper_y, "lower_y is larger than upper_y"); + VTR_ASSERT_SAFE_MSG(lower_x < prefix_sum_.dim_size(0) - 1, "lower_x out of range"); + VTR_ASSERT_SAFE_MSG(upper_x < prefix_sum_.dim_size(0) - 1, "upper_x out of range"); + VTR_ASSERT_SAFE_MSG(lower_y < prefix_sum_.dim_size(1) - 1, "lower_y out of range"); + VTR_ASSERT_SAFE_MSG(upper_y < prefix_sum_.dim_size(1) - 1, "upper_y out of range"); + + // The sum of the region (lower_x, lower_y) to (upper_x, upper_y) + // inclusive is equal to: + // - The sum of the region (0, 0) to (upper_x, upper_y) + // - Minus the sum of the region (0, 0) to (lower_x - 1, upper_y) + // - Remove the part below the region + // - Minus the sum of the region (0, 0) to (upper_x, lower_y - 1) + // - Remove the part left of the region + // - Plus the sum of the region (0, 0) to (lower_x - 1, lower_y - 1) + // - Add back on the lower-left corner which was subtracted twice. + // Note: all of these are offset by 1 since the first row and column + // are all zeros. This allows us to avoid bounds checking when + // lower_x or lower_y are 0. + return prefix_sum_[upper_x + 1][upper_y + 1] + - prefix_sum_[lower_x][upper_y + 1] + - prefix_sum_[upper_x + 1][lower_y] + + prefix_sum_[lower_x][lower_y]; + } + + private: + /** + * @brief The 2D prefix sum of the original grid of values. + * + * Position (x, y) of the prefix sum contains the sum of all values in the + * rectangle (0, 0) -> (x - 1, y - 1) inclusive. The first row and column + * are all zeros. By setting these to zero, we can avoid bound checking. + * This data structure has the special property that the sum of any + * rectangular region can be computed in O(1) time. + */ + vtr::NdMatrix prefix_sum_; +}; + +} // namespace vtr diff --git a/libs/libvtrutil/src/vtr_random.cpp b/libs/libvtrutil/src/vtr_random.cpp index eb1756702da..6bef9c41198 100644 --- a/libs/libvtrutil/src/vtr_random.cpp +++ b/libs/libvtrutil/src/vtr_random.cpp @@ -23,7 +23,7 @@ int RandomNumberGenerator::irand(int imax) { // state = (state * IA + IC) % IM; random_state_ = random_state_ * IA + IC; // Use overflow to wrap - ival = random_state_ & (IM - 1); // Modulus + ival = random_state_ & (IM - 1); // Modulus ival = (int)((float)ival * (float)(imax + 0.999) / (float)IM); if constexpr (CHECK_RAND_CONSTEXPR) { @@ -42,7 +42,7 @@ int RandomNumberGenerator::irand(int imax) { float RandomNumberGenerator::frand() { random_state_ = random_state_ * IA + IC; /* Use overflow to wrap */ - int ival = random_state_ & (IM - 1); /* Modulus */ + int ival = random_state_ & (IM - 1); /* Modulus */ float fval = (float)ival / (float)IM; if constexpr (CHECK_RAND_CONSTEXPR) { diff --git a/libs/libvtrutil/src/vtr_random.h b/libs/libvtrutil/src/vtr_random.h index 76c8bb37912..15614b7141e 100644 --- a/libs/libvtrutil/src/vtr_random.h +++ b/libs/libvtrutil/src/vtr_random.h @@ -53,7 +53,6 @@ class RandomNumberGenerator : public RandomNumberGeneratorInterface { state_t random_state_ = 0; }; - class RngContainer : public RandomNumberGeneratorInterface { public: RngContainer(const RngContainer&) = delete; @@ -67,7 +66,6 @@ class RngContainer : public RandomNumberGeneratorInterface { inline virtual float frand() override { return rng_->frand(); } private: - std::unique_ptr rng_; #ifdef SPEC_CPU diff --git a/libs/libvtrutil/src/vtr_rusage.cpp b/libs/libvtrutil/src/vtr_rusage.cpp index a3b74c04c86..466e8264172 100644 --- a/libs/libvtrutil/src/vtr_rusage.cpp +++ b/libs/libvtrutil/src/vtr_rusage.cpp @@ -1,8 +1,8 @@ #include "vtr_rusage.h" #ifdef __unix__ -# include -# include +#include +#include #endif namespace vtr { diff --git a/libs/libvtrutil/src/vtr_strong_id.h b/libs/libvtrutil/src/vtr_strong_id.h index 5c738770d57..672dbae1e7e 100644 --- a/libs/libvtrutil/src/vtr_strong_id.h +++ b/libs/libvtrutil/src/vtr_strong_id.h @@ -167,7 +167,7 @@ template constexpr bool operator!=(const StrongId& lhs, const StrongId& rhs); template -constexpr bool operator<(const StrongId& lhs, const StrongId& rhs); +constexpr bool operator<(const StrongId& lhs, const StrongId& rhs) noexcept; template std::ostream& operator<<(std::ostream& out, const StrongId& rhs); @@ -182,11 +182,11 @@ class StrongId { static constexpr StrongId INVALID() noexcept { return StrongId(); } ///@brief Default to the sentinel value - constexpr StrongId() + constexpr StrongId() noexcept : id_(sentinel) {} ///@brief Only allow explicit constructions from a raw Id (no automatic conversions) - explicit constexpr StrongId(T id) + explicit constexpr StrongId(T id) noexcept : id_(id) {} // Allow some explicit conversion to useful types: @@ -216,7 +216,7 @@ class StrongId { ///@brief != operator friend constexpr bool operator!= <>(const StrongId& lhs, const StrongId& rhs); ///@brief < operator - friend constexpr bool operator< <>(const StrongId& lhs, const StrongId& rhs); + friend constexpr bool operator< <>(const StrongId& lhs, const StrongId& rhs) noexcept; /** * @brief to be able to print them out @@ -241,7 +241,7 @@ constexpr bool operator!=(const StrongId& lhs, const StrongId< ///@brief operator < Needed for std::map-like containers template -constexpr bool operator<(const StrongId& lhs, const StrongId& rhs) { +constexpr bool operator<(const StrongId& lhs, const StrongId& rhs) noexcept { return lhs.id_ < rhs.id_; } diff --git a/libs/libvtrutil/src/vtr_strong_id_range.h b/libs/libvtrutil/src/vtr_strong_id_range.h index f22df41f67e..6728f13a174 100644 --- a/libs/libvtrutil/src/vtr_strong_id_range.h +++ b/libs/libvtrutil/src/vtr_strong_id_range.h @@ -46,9 +46,9 @@ class StrongIdIterator { using difference_type = ssize_t; ///@brief Dereference operator (*) - StrongId& operator*() { + StrongId operator*() const { VTR_ASSERT_SAFE(bool(id_)); - return this->id_; + return id_; } ///@brief += operator @@ -75,6 +75,14 @@ class StrongIdIterator { return *this; } + ///@brief Post-increment operator + StrongIdIterator operator++(int) { + VTR_ASSERT_SAFE(bool(id_)); + StrongIdIterator temp = *this; // Create a copy of the current object + ++(*this); // Use the pre-increment operator to increment + return temp; // Return the copy + } + ///@brief Decremment operator StrongIdIterator& operator--() { VTR_ASSERT_SAFE(bool(id_)); diff --git a/libs/libvtrutil/src/vtr_thread_pool.h b/libs/libvtrutil/src/vtr_thread_pool.h new file mode 100644 index 00000000000..2185ac196e6 --- /dev/null +++ b/libs/libvtrutil/src/vtr_thread_pool.h @@ -0,0 +1,159 @@ +#pragma once + +/** + * @file vtr_thread_pool.h + * @brief A generic thread pool for parallel task execution + */ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include "vtr_log.h" +#include "vtr_time.h" + +namespace vtr { + +/** + * A thread pool for parallel task execution. It is a naive + * implementation which uses a queue for each thread and assigns + * tasks in a round robin fashion. + * + * Example usage: + * + * vtr::thread_pool pool(4); + * pool.schedule_work([]{ + * // Task body + * }); + * pool.wait_for_all(); // There's no API to wait for a single task + */ +class thread_pool { + private: + /* Thread-local data */ + struct ThreadData { + std::thread thread; + /* Per-thread task queue */ + std::queue> task_queue; + + /* Threads wait on cv for a stop signal or a new task + * queue_mutex is required for condition variable */ + std::mutex queue_mutex; + std::condition_variable cv; + bool stop = false; + }; + + /* Container for thread-local data */ + std::vector> threads; + /* Used for round-robin scheduling */ + std::atomic next_thread{0}; + /* Used for wait_for_all */ + std::atomic active_tasks{0}; + + /* Condition variable for wait_for_all */ + std::mutex completion_mutex; + std::condition_variable completion_cv; + + public: + thread_pool(size_t thread_count) { + threads.reserve(thread_count); + + for (size_t i = 0; i < thread_count; i++) { + auto thread_data = std::make_unique(); + + thread_data->thread = std::thread([&]() { + ThreadData* td = thread_data.get(); + + while (true) { + std::function task; + + { /* Wait until a task is available or stop signal is received */ + std::unique_lock lock(td->queue_mutex); + + td->cv.wait(lock, [td]() { + return td->stop || !td->task_queue.empty(); + }); + + if (td->stop && td->task_queue.empty()) { + return; + } + + /* Fetch a task from the queue */ + task = std::move(td->task_queue.front()); + td->task_queue.pop(); + } + + vtr::Timer task_timer; + task(); + } + }); + + threads.push_back(std::move(thread_data)); + } + } + + template + void schedule_work(F&& f) { + active_tasks++; + + /* Round-robin thread assignment */ + size_t thread_idx = (next_thread++) % threads.size(); + auto thread_data = threads[thread_idx].get(); + + auto task = [this, f = std::forward(f)]() { + vtr::Timer task_timer; + + try { + f(); + } catch (const std::exception& e) { + VTR_LOG_ERROR("Thread %zu failed task with error: %s\n", + std::this_thread::get_id(), e.what()); + throw; + } catch (...) { + VTR_LOG_ERROR("Thread %zu failed task with unknown error\n", + std::this_thread::get_id()); + throw; + } + + size_t remaining = --active_tasks; + if (remaining == 0) { + completion_cv.notify_all(); + } + }; + + /* Queue new task */ + { + std::lock_guard lock(thread_data->queue_mutex); + thread_data->task_queue.push(std::move(task)); + } + thread_data->cv.notify_one(); + } + + void wait_for_all() { + std::unique_lock lock(completion_mutex); + completion_cv.wait(lock, [this]() { return active_tasks == 0; }); + } + + ~thread_pool() { + /* Stop all threads */ + for (auto& thread_data : threads) { + { + std::lock_guard lock(thread_data->queue_mutex); + thread_data->stop = true; + } + thread_data->cv.notify_one(); + } + + for (auto& thread_data : threads) { + if (thread_data->thread.joinable()) { + thread_data->thread.join(); + } + } + } +}; + +} // namespace vtr diff --git a/libs/libvtrutil/src/vtr_util.cpp b/libs/libvtrutil/src/vtr_util.cpp index b3eef7379eb..eca51b05e90 100644 --- a/libs/libvtrutil/src/vtr_util.cpp +++ b/libs/libvtrutil/src/vtr_util.cpp @@ -12,7 +12,7 @@ #include "vtr_error.h" #if defined(__unix__) -# include //For getpid() +#include //For getpid() #endif namespace vtr { @@ -396,7 +396,7 @@ char* getline(char*& _lineptr, FILE* _stream) { #if defined(__unix__) nread = getdelim(&_lineptr, &_n, '\n', _stream); #elif defined(_WIN32) -# define __WIN_NLTK "\r\n" +#define __WIN_NLTK "\r\n" nread = getdelim(&_lineptr, &_n, __WIN_NLTK, _stream); #endif diff --git a/libs/libvtrutil/src/vtr_vector.h b/libs/libvtrutil/src/vtr_vector.h index be4e5874e98..921238a77d7 100644 --- a/libs/libvtrutil/src/vtr_vector.h +++ b/libs/libvtrutil/src/vtr_vector.h @@ -180,17 +180,20 @@ class vector : private std::vector { vector::const_iterator iter; bool operator!=(const enumerated_iterator& other) const { return iter != other.iter; } - void operator++() { i = key_type(size_t(i) + 1); iter++; } + void operator++() { + i = key_type(size_t(i) + 1); + iter++; + } std::tuple operator*() { return std::tie(i, *iter); } }; struct enumerated_wrapper { const vector& vec; - auto begin() { return enumerated_iterator{ key_type(0), vec.begin() }; } - auto end() { return enumerated_iterator{ key_type(vec.size()), vec.end() }; } + auto begin() { return enumerated_iterator{key_type(0), vec.begin()}; } + auto end() { return enumerated_iterator{key_type(vec.size()), vec.end()}; } }; - return enumerated_wrapper{ *this }; + return enumerated_wrapper{*this}; } public: diff --git a/libs/libvtrutil/test/test_prefix_sum.cpp b/libs/libvtrutil/test/test_prefix_sum.cpp new file mode 100644 index 00000000000..a907615fd4f --- /dev/null +++ b/libs/libvtrutil/test/test_prefix_sum.cpp @@ -0,0 +1,98 @@ +/** + * @file + * @author Alex Singer + * @date February 2025 + * @brief Test cases for the Prefix Sum class in vtr_util. + */ + +#include "catch2/catch_test_macros.hpp" + +#include "vtr_ndmatrix.h" +#include "vtr_prefix_sum.h" + +using namespace Catch; + +TEST_CASE("PrefixSum1D", "[vtr_prefix_sum/PrefixSum1D]") { + // Construct a 1D array to compute the prefix sum over. + std::vector vals = {1.f, 7.f, 2.f, 2.f, 5.f, 6.f, 1.f, 9.f, 1.f, 3.f}; + + // Construct the Prefix Sum. + vtr::PrefixSum1D prefix_sum(vals); + + // Check that the sum of each length 1 region is the original value. + SECTION("construction") { + for (size_t x = 0; x < vals.size(); x++) { + float sum_val = prefix_sum.get_sum(x, x); + REQUIRE(sum_val == vals[x]); + } + } + + float sum_of_all_vals = 0.f; + for (size_t x = 0; x < vals.size(); x++) { + sum_of_all_vals += vals[x]; + } + + // Check that get_sum is working on some testcases. + SECTION("get_sum") { + REQUIRE(prefix_sum.get_sum(0, vals.size() - 1) == sum_of_all_vals); + REQUIRE(prefix_sum.get_sum(0, 2) == 10.f); + REQUIRE(prefix_sum.get_sum(7, 9) == 13.f); + REQUIRE(prefix_sum.get_sum(2, 5) == 15.f); + } +} + +TEST_CASE("PrefixSum2D", "[vtr_prefix_sum/PrefixSum2D]") { + // Construct a 2D grid to compute the prefix sum over. + vtr::NdMatrix vals({4, 4}); + /* + * [ 1 3 9 2 ] + * [ 2 4 0 8 ] + * [ 3 7 1 3 ] + * [ 5 6 9 2 ] + */ + vals[0][0] = 5.f; + vals[1][0] = 6.f; + vals[2][0] = 9.f; + vals[3][0] = 2.f; + vals[0][1] = 3.f; + vals[1][1] = 7.f; + vals[2][1] = 1.f; + vals[3][1] = 3.f; + vals[0][2] = 2.f; + vals[1][2] = 4.f; + vals[2][2] = 0.f; + vals[3][2] = 8.f; + vals[0][3] = 1.f; + vals[1][3] = 3.f; + vals[2][3] = 9.f; + vals[3][3] = 2.f; + + // Construct the Prefix Sum. + vtr::PrefixSum2D prefix_sum(vals); + + // Check that the sum of each 1x1 region is the original value. + SECTION("construction") { + for (size_t x = 0; x < 4; x++) { + for (size_t y = 0; y < 4; y++) { + float sum_val = prefix_sum.get_sum(x, y, x, y); + REQUIRE(sum_val == vals[x][y]); + } + } + } + + float sum_of_all_vals = 0; + for (size_t x = 0; x < 4; x++) { + for (size_t y = 0; y < 4; y++) { + sum_of_all_vals += vals[x][y]; + } + } + + // Check that get_sum is working on some testcases. + SECTION("get_sum") { + REQUIRE(prefix_sum.get_sum(0, 0, 3, 3) == sum_of_all_vals); + REQUIRE(prefix_sum.get_sum(1, 1, 2, 2) == 12.f); + REQUIRE(prefix_sum.get_sum(0, 0, 3, 0) == 22.f); + REQUIRE(prefix_sum.get_sum(0, 0, 0, 3) == 11.f); + REQUIRE(prefix_sum.get_sum(1, 2, 2, 3) == 16.f); + } +} diff --git a/odin_ii/regression_test/parse_result/parse_result.py b/odin_ii/regression_test/parse_result/parse_result.py index 83373489d22..0e3bb7faefc 100755 --- a/odin_ii/regression_test/parse_result/parse_result.py +++ b/odin_ii/regression_test/parse_result/parse_result.py @@ -567,7 +567,7 @@ def compare_instances(header, toml_dict, tbl_entry, golden_tbl_entry): if len(tbl_entry[header]) != len(golden_tbl_entry[header]): return False - for (value, golden_value) in zip(tbl_entry[header], golden_tbl_entry[header]): + for value, golden_value in zip(tbl_entry[header], golden_tbl_entry[header]): if not compare_values(header, toml_dict, value, golden_value): return False @@ -778,7 +778,12 @@ def main(): arguments = parse_shared_args(sys.argv[2:]) exit( - {"display": display, "parse": parse, "join": join, "compare": compare,}.get( + { + "display": display, + "parse": parse, + "join": join, + "compare": compare, + }.get( command, lambda: "Invalid Command" )(arguments) ) diff --git a/odin_ii/regression_test/tools/8_bit_arithmetic_power_output.py b/odin_ii/regression_test/tools/8_bit_arithmetic_power_output.py index 617316e5541..c0800584a71 100644 --- a/odin_ii/regression_test/tools/8_bit_arithmetic_power_output.py +++ b/odin_ii/regression_test/tools/8_bit_arithmetic_power_output.py @@ -11,12 +11,12 @@ def make_output_vector(power): print("c") next_a = 0 for i in range(0, 512): - value = next_a ** power + value = next_a**power output = f"{value:#0{4}x}" print(output[0:2] + output[-2:]) if i % 2 == 1: next_a += 1 - value = next_a ** power + value = next_a**power output = f"{value:#0{4}x}" print(output[0:2] + output[-2:]) print("0x00") diff --git a/odin_ii/regression_test/tools/asr_vector_maker.py b/odin_ii/regression_test/tools/asr_vector_maker.py index b3477e36f7d..27c5262303e 100644 --- a/odin_ii/regression_test/tools/asr_vector_maker.py +++ b/odin_ii/regression_test/tools/asr_vector_maker.py @@ -67,7 +67,7 @@ def make_input_vector(bits): # One for each value (2^bits) # a rising edge for each line ( * 2) # a line for reset ( + 1) - lines = ((2 ** bits) * 2) + 2 + lines = ((2**bits) * 2) + 2 for i in range(0, lines): if i < (lines - 1): inputs[0].append(value) diff --git a/odin_ii/src/ast/ast_elaborate.h b/odin_ii/src/ast/ast_elaborate.h index cf6beb85607..d93f0c51f6c 100644 --- a/odin_ii/src/ast/ast_elaborate.h +++ b/odin_ii/src/ast/ast_elaborate.h @@ -32,4 +32,4 @@ ast_node_t* find_top_module(ast_t* ast); int simplify_ast_module(ast_node_t** ast_module, sc_hierarchy* local_ref); void create_param_table_for_scope(ast_node_t* module_items, sc_hierarchy* local_ref); -#endif \ No newline at end of file +#endif diff --git a/odin_ii/src/ast/ast_loop_unroll.cpp b/odin_ii/src/ast/ast_loop_unroll.cpp index ff522f56e0c..1b0887c5860 100644 --- a/odin_ii/src/ast/ast_loop_unroll.cpp +++ b/odin_ii/src/ast/ast_loop_unroll.cpp @@ -342,7 +342,6 @@ post_condition_function resolve_binary_operation(ast_node_t* node) { } } - /* * (function: resolve_post_condition) * return a lambda which gives the next value diff --git a/odin_ii/src/ast/ast_util.cpp b/odin_ii/src/ast/ast_util.cpp index f60759b6ae9..cff0a438ca7 100644 --- a/odin_ii/src/ast/ast_util.cpp +++ b/odin_ii/src/ast/ast_util.cpp @@ -113,8 +113,7 @@ void add_top_module_to_ast(ast_t* ast, ast_node_t* to_add) { oassert(ast); if (to_add) { ast->top_modules_count += 1; - ast->top_modules - = (ast_node_t**)vtr::realloc(ast->top_modules, sizeof(ast_node_t*) * ast->top_modules_count); + ast->top_modules = (ast_node_t**)vtr::realloc(ast->top_modules, sizeof(ast_node_t*) * ast->top_modules_count); ast->top_modules[ast->top_modules_count - 1] = to_add; } diff --git a/odin_ii/src/config/read_xml_config_file.cpp b/odin_ii/src/config/read_xml_config_file.cpp index b329dbd461b..124c395337f 100644 --- a/odin_ii/src/config/read_xml_config_file.cpp +++ b/odin_ii/src/config/read_xml_config_file.cpp @@ -321,4 +321,4 @@ void read_optimizations(pugi::xml_node a_node, config_t* config, const pugiutil: } return; -} \ No newline at end of file +} diff --git a/odin_ii/src/core/block_memories.cpp b/odin_ii/src/core/block_memories.cpp index 0b3cad7e3ea..fe0717c953e 100644 --- a/odin_ii/src/core/block_memories.cpp +++ b/odin_ii/src/core/block_memories.cpp @@ -1470,4 +1470,4 @@ static void free_block_memory(block_memory_t* to_free) { vtr::free(to_free->memory_id); vtr::free(to_free); -} \ No newline at end of file +} diff --git a/odin_ii/src/core/odin_memory.h b/odin_ii/src/core/odin_memory.h index f681ba5e50a..2b431c9db3c 100644 --- a/odin_ii/src/core/odin_memory.h +++ b/odin_ii/src/core/odin_memory.h @@ -35,9 +35,9 @@ #include "odin_error.h" #ifndef __GLIBC__ -# include +#include #else -# include +#include #endif namespace odin { @@ -138,4 +138,4 @@ void realloc(T** ptr_ref, S _n_bytes) { } } // namespace odin -#endif //ODIN_MEMORY_H \ No newline at end of file +#endif //ODIN_MEMORY_H diff --git a/odin_ii/src/main.cpp b/odin_ii/src/main.cpp index a0bc57d9cae..458be4ae027 100644 --- a/odin_ii/src/main.cpp +++ b/odin_ii/src/main.cpp @@ -46,4 +46,4 @@ int main(int argc, char** argv) { netlist_t* odin_netlist = start_odin_ii(argc, argv); terminate_odin_ii(odin_netlist); return 0; -} \ No newline at end of file +} diff --git a/odin_ii/src/netlist/netlist_statistic.cpp b/odin_ii/src/netlist/netlist_statistic.cpp index 6a2aeef0061..6d8ce8c5711 100644 --- a/odin_ii/src/netlist/netlist_statistic.cpp +++ b/odin_ii/src/netlist/netlist_statistic.cpp @@ -547,4 +547,4 @@ void compute_statistics(netlist_t* netlist, bool display) { printf("\n"); } } -} \ No newline at end of file +} diff --git a/odin_ii/src/utils/hierarchy_util.cpp b/odin_ii/src/utils/hierarchy_util.cpp index 7e5f9556601..72c78914e3d 100644 --- a/odin_ii/src/utils/hierarchy_util.cpp +++ b/odin_ii/src/utils/hierarchy_util.cpp @@ -332,4 +332,4 @@ ast_node_t* resolve_hierarchical_name_reference_by_upward_search(sc_hierarchy* l } return NULL; -} \ No newline at end of file +} diff --git a/odin_ii/src/utils/hierarchy_util.h b/odin_ii/src/utils/hierarchy_util.h index af38204243c..88148de1bc8 100644 --- a/odin_ii/src/utils/hierarchy_util.h +++ b/odin_ii/src/utils/hierarchy_util.h @@ -62,4 +62,4 @@ sc_hierarchy* copy_sc_hierarchy(sc_hierarchy* to_copy); void free_sc_hierarchy(sc_hierarchy* to_free); ast_node_t* resolve_hierarchical_name_reference(sc_hierarchy* local_ref, char* identifier); -#endif \ No newline at end of file +#endif diff --git a/odin_ii/src/utils/odin_types.h b/odin_ii/src/utils/odin_types.h index 2fa18d01f11..8ff837d1114 100644 --- a/odin_ii/src/utils/odin_types.h +++ b/odin_ii/src/utils/odin_types.h @@ -48,9 +48,9 @@ #define ODIN_SHORT_STRING 1 #ifndef DEBUG_ODIN -# define ODIN_STRING_TYPE ODIN_SHORT_STRING +#define ODIN_STRING_TYPE ODIN_SHORT_STRING #else -# define ODIN_STRING_TYPE ODIN_LONG_STRING +#define ODIN_STRING_TYPE ODIN_LONG_STRING #endif #define ODIN_STD_BITWIDTH (sizeof(long) * 8) diff --git a/odin_ii/src/utils/odin_util.cpp b/odin_ii/src/utils/odin_util.cpp index 2597fcfdb9e..4b605607f4e 100644 --- a/odin_ii/src/utils/odin_util.cpp +++ b/odin_ii/src/utils/odin_util.cpp @@ -43,11 +43,11 @@ // for mkdir #ifdef WIN32 -# include -# define getcwd _getcwd +#include +#define getcwd _getcwd #else -# include -# include +#include +#include #endif long shift_left_value_with_overflow_check(long input_value, long shift_by, loc_t loc) { diff --git a/odin_ii/src/utils/scope_util.cpp b/odin_ii/src/utils/scope_util.cpp index 658533b9f47..2d77fd9276d 100644 --- a/odin_ii/src/utils/scope_util.cpp +++ b/odin_ii/src/utils/scope_util.cpp @@ -80,4 +80,4 @@ void push_scope() { /* update current scope */ current_scope = scope_stack.back(); -} \ No newline at end of file +} diff --git a/parmys/CMakeLists.txt b/parmys/CMakeLists.txt index 1df18065428..f2139930d36 100644 --- a/parmys/CMakeLists.txt +++ b/parmys/CMakeLists.txt @@ -35,6 +35,7 @@ target_link_libraries(parmys ) set_target_properties(parmys PROPERTIES PREFIX "") # Avoid extra 'lib' prefix +set_target_properties(parmys PROPERTIES SUFFIX ".so") # Yosys expects the suffix to be '.so' even on macOS add_custom_target(parmys-plugin ALL DEPENDS parmys diff --git a/requirements.txt b/requirements.txt index e6b107c0625..3498cec63c3 100644 --- a/requirements.txt +++ b/requirements.txt @@ -6,7 +6,7 @@ numpy scipy # Python linter and formatter click==8.0.2 # Our version of black needs an older version of click (https://stackoverflow.com/questions/71673404/importerror-cannot-import-name-unicodefun-from-click) -black==21.4b0 +black==24.3.0 pylint==2.7.4 # Surelog diff --git a/utils/fasm/src/fasm.cpp b/utils/fasm/src/fasm.cpp index 3001bb1ae8a..2785c1149e8 100644 --- a/utils/fasm/src/fasm.cpp +++ b/utils/fasm/src/fasm.cpp @@ -343,9 +343,9 @@ static AtomNetId _find_atom_input_logical_net(const t_pb* atom, const t_pb_route static LogicVec lut_outputs(const t_pb* atom_pb, size_t num_inputs, const t_pb_routes &pb_route) { auto& atom_ctx = g_vpr_ctx.atom(); - AtomBlockId block_id = atom_ctx.lookup.pb_atom(atom_pb); - const auto& truth_table = atom_ctx.nlist.block_truth_table(block_id); - auto ports = atom_ctx.nlist.block_input_ports(atom_ctx.lookup.pb_atom(atom_pb)); + AtomBlockId block_id = atom_ctx.lookup().atom_pb_bimap().pb_atom(atom_pb); + const auto& truth_table = atom_ctx.netlist().block_truth_table(block_id); + auto ports = atom_ctx.netlist().block_input_ports(atom_ctx.lookup().atom_pb_bimap().pb_atom(atom_pb)); const t_pb_graph_node* gnode = atom_pb->pb_graph_node; @@ -384,7 +384,7 @@ static LogicVec lut_outputs(const t_pb* atom_pb, size_t num_inputs, const t_pb_r if(impl_input_net_id) { //If there is a valid net connected in the implementation - AtomNetId logical_net_id = atom_ctx.nlist.port_net(port_id, orig_index); + AtomNetId logical_net_id = atom_ctx.netlist().port_net(port_id, orig_index); VTR_ASSERT(impl_input_net_id == logical_net_id); //Mark the permutation. @@ -537,7 +537,7 @@ static const t_pb_routes &find_pb_route(const t_pb* pb) { void FasmWriterVisitor::check_for_param(const t_pb *atom) { auto& atom_ctx = g_vpr_ctx.atom(); - auto atom_blk_id = atom_ctx.lookup.pb_atom(atom); + auto atom_blk_id = atom_ctx.lookup().atom_pb_bimap().pb_atom(atom); if (atom_blk_id == AtomBlockId::INVALID()) { return; } @@ -580,7 +580,7 @@ void FasmWriterVisitor::check_for_param(const t_pb *atom) { auto ¶ms = iter->second; - for(const auto& param : atom_ctx.nlist.block_params(atom_blk_id)) { + for(const auto& param : atom_ctx.netlist().block_params(atom_blk_id)) { auto feature = params.EmitFasmFeature(param.first, param.second); if(!feature.empty()) { @@ -592,12 +592,12 @@ void FasmWriterVisitor::check_for_param(const t_pb *atom) { void FasmWriterVisitor::check_for_lut(const t_pb* atom) { auto& atom_ctx = g_vpr_ctx.atom(); - auto atom_blk_id = atom_ctx.lookup.pb_atom(atom); + auto atom_blk_id = atom_ctx.lookup().atom_pb_bimap().pb_atom(atom); if (atom_blk_id == AtomBlockId::INVALID()) { return; } - const t_model* model = atom_ctx.nlist.block_model(atom_blk_id); + const t_model* model = atom_ctx.netlist().block_model(atom_blk_id); if (model->name == std::string(MODEL_NAMES)) { VTR_ASSERT(atom->pb_graph_node != nullptr); const auto *lut_definition = find_lut(atom->pb_graph_node); diff --git a/utils/fasm/src/main.cpp b/utils/fasm/src/main.cpp index 2fbee0a79c2..820179c1456 100644 --- a/utils/fasm/src/main.cpp +++ b/utils/fasm/src/main.cpp @@ -41,7 +41,7 @@ static bool write_fasm(bool is_flat) { auto& device_ctx = g_vpr_ctx.device(); auto& atom_ctx = g_vpr_ctx.atom(); - std::string fasm_filename = atom_ctx.nlist.netlist_name() + ".fasm"; + std::string fasm_filename = atom_ctx.netlist().netlist_name() + ".fasm"; vtr::printf("Writing Implementation FASM: %s\n", fasm_filename.c_str()); std::ofstream fasm_os(fasm_filename); fasm::FasmWriterVisitor visitor(&device_ctx.arch->strings, fasm_os, is_flat); diff --git a/utils/route_diag/src/main.cpp b/utils/route_diag/src/main.cpp index debd89c8bd6..6812b5bc881 100644 --- a/utils/route_diag/src/main.cpp +++ b/utils/route_diag/src/main.cpp @@ -9,13 +9,10 @@ // Tool can either perform one route between a source (--source_rr_node) and // a sink (--sink_rr_node), or profile a source to all tiles (set // --source_rr_node and "--profile_source true"). -#include -#include -#include + #include #include "vtr_error.h" -#include "vtr_memory.h" #include "vtr_log.h" #include "vtr_time.h" @@ -28,16 +25,13 @@ #include "globals.h" #include "net_delay.h" -#include "RoutingDelayCalculator.h" #include "place_and_route.h" #include "router_delay_profiling.h" #include "route_tree.h" #include "route_common.h" #include "route_net.h" -#include "route_export.h" #include "rr_graph.h" -#include "rr_graph2.h" -#include "timing_place_lookup.h" +#include "compute_delta_delays_utils.h" struct t_route_util_options { /* Router diag tool Options */ @@ -238,36 +232,6 @@ static void profile_source(const Netlist<>& net_list, VTR_LOG("\n"); } -static t_chan_width setup_chan_width(t_router_opts router_opts, - t_chan_width_dist chan_width_dist) { - /*we give plenty of tracks, this increases routability for the */ - /*lookup table generation */ - - t_graph_type graph_directionality; - int width_fac; - - if (router_opts.fixed_channel_width == NO_FIXED_CHANNEL_WIDTH) { - auto& device_ctx = g_vpr_ctx.device(); - - auto type = find_most_common_tile_type(device_ctx.grid); - - width_fac = 4 * type->num_pins; - /*this is 2x the value that binary search starts */ - /*this should be enough to allow most pins to */ - /*connect to tracks in the architecture */ - } else { - width_fac = router_opts.fixed_channel_width; - } - - if (router_opts.route_type == GLOBAL) { - graph_directionality = GRAPH_BIDIR; - } else { - graph_directionality = GRAPH_UNIDIR; - } - - return init_chan(width_fac, chan_width_dist, graph_directionality); -} - t_route_util_options read_route_util_options(int argc, const char** argv) { //Explicitly initialize for zero initialization t_route_util_options args = t_route_util_options(); @@ -320,20 +284,18 @@ int main(int argc, const char **argv) { bool is_flat = vpr_setup.RouterOpts.flat_routing; - const Netlist<>& net_list = is_flat ? (const Netlist<>&)g_vpr_ctx.atom().nlist : + const Netlist<>& net_list = is_flat ? (const Netlist<>&)g_vpr_ctx.atom().netlist() : (const Netlist<>&)g_vpr_ctx.clustering().clb_nlist; - t_chan_width chan_width = setup_chan_width( - vpr_setup.RouterOpts, - Arch.Chans); + t_chan_width chan_width = setup_chan_width(vpr_setup.RouterOpts, + Arch.Chans); - alloc_routing_structs( - chan_width, - vpr_setup.RouterOpts, - &vpr_setup.RoutingArch, - vpr_setup.Segments, - Arch.directs, - is_flat); + alloc_routing_structs(chan_width, + vpr_setup.RouterOpts, + &vpr_setup.RoutingArch, + vpr_setup.Segments, + Arch.directs, + is_flat); if(route_options.profile_source) { profile_source(net_list, diff --git a/vpr/scripts/profile/util.py b/vpr/scripts/profile/util.py index c6a252a3c75..8ac1e2930e5 100644 --- a/vpr/scripts/profile/util.py +++ b/vpr/scripts/profile/util.py @@ -5,6 +5,7 @@ import socket import getpass + # working on the task directory def sort_runs(runs): natural_sort(runs) diff --git a/vpr/src/analysis/timing_reports.cpp b/vpr/src/analysis/timing_reports.cpp index 7ff47a81cf9..542269395c5 100644 --- a/vpr/src/analysis/timing_reports.cpp +++ b/vpr/src/analysis/timing_reports.cpp @@ -23,7 +23,7 @@ void generate_setup_timing_stats(const std::string& prefix, print_setup_timing_summary(*timing_ctx.constraints, *timing_info.setup_analyzer(), "Final ", analysis_opts.write_timing_summary); - VprTimingGraphResolver resolver(atom_ctx.nlist, atom_ctx.lookup, *timing_ctx.graph, delay_calc, is_flat, blk_loc_registry); + VprTimingGraphResolver resolver(atom_ctx.netlist(), atom_ctx.lookup(), *timing_ctx.graph, delay_calc, is_flat, blk_loc_registry); resolver.set_detail_level(analysis_opts.timing_report_detail); tatum::TimingReporter timing_reporter(resolver, *timing_ctx.graph, *timing_ctx.constraints); @@ -48,7 +48,7 @@ void generate_hold_timing_stats(const std::string& prefix, print_hold_timing_summary(*timing_ctx.constraints, *timing_info.hold_analyzer(), "Final "); - VprTimingGraphResolver resolver(atom_ctx.nlist, atom_ctx.lookup, *timing_ctx.graph, delay_calc, is_flat, blk_loc_registry); + VprTimingGraphResolver resolver(atom_ctx.netlist(), atom_ctx.lookup(), *timing_ctx.graph, delay_calc, is_flat, blk_loc_registry); resolver.set_detail_level(analysis_opts.timing_report_detail); tatum::TimingReporter timing_reporter(resolver, *timing_ctx.graph, *timing_ctx.constraints); diff --git a/vpr/src/analytical_place/analytical_placement_flow.cpp b/vpr/src/analytical_place/analytical_placement_flow.cpp index 9b334402114..2007a15b199 100644 --- a/vpr/src/analytical_place/analytical_placement_flow.cpp +++ b/vpr/src/analytical_place/analytical_placement_flow.cpp @@ -10,6 +10,7 @@ #include "analytical_solver.h" #include "ap_netlist.h" #include "atom_netlist.h" +#include "detailed_placer.h" #include "full_legalizer.h" #include "gen_ap_netlist_from_atoms.h" #include "global_placer.h" @@ -20,6 +21,7 @@ #include "user_place_constraints.h" #include "vpr_context.h" #include "vpr_types.h" +#include "stats.h" #include "vtr_assert.h" #include "vtr_time.h" @@ -58,18 +60,101 @@ static void print_ap_netlist_stats(const APNetlist& netlist) { VTR_LOG("\n"); } +/** + * @brief Passes the flat placement information to a provided partial placement. + * + * @param flat_placement_info The flat placement information to be read. + * @param ap_netlist The APNetlist that used to iterate over its blocks. + * @param prepacker The Prepacker to get molecule of blocks in the ap_netlist. + * @param p_placement The partial placement to be updated which is assumend + * to be generated on ap_netlist or have the same blocks. + */ +static void convert_flat_to_partial_placement(const FlatPlacementInfo& flat_placement_info, const APNetlist& ap_netlist, const Prepacker& prepacker, PartialPlacement& p_placement) { + for (APBlockId ap_blk_id : ap_netlist.blocks()) { + // Get the molecule that AP block represents + PackMoleculeId mol_id = ap_netlist.block_molecule(ap_blk_id); + const t_pack_molecule& mol = prepacker.get_molecule(mol_id); + // Get location of a valid atom in the molecule and verify that + // all atoms of the molecule share same placement information. + float atom_loc_x, atom_loc_y, atom_loc_layer; + int atom_loc_sub_tile; + bool found_valid_atom = false; + for (AtomBlockId atom_blk_id : mol.atom_block_ids) { + if (!atom_blk_id.is_valid()) + continue; + float current_loc_x = flat_placement_info.blk_x_pos[atom_blk_id]; + float current_loc_y = flat_placement_info.blk_y_pos[atom_blk_id]; + float current_loc_layer = flat_placement_info.blk_layer[atom_blk_id]; + int current_loc_sub_tile = flat_placement_info.blk_sub_tile[atom_blk_id]; + if (found_valid_atom) { + if (current_loc_x != atom_loc_x || current_loc_y != atom_loc_y || current_loc_layer != atom_loc_layer || current_loc_sub_tile != atom_loc_sub_tile) + VPR_FATAL_ERROR(VPR_ERROR_AP, + "Molecule of ID %zu contains atom %s (ID: %zu) with a location (%g, %g, layer: %g, subtile: %d) " + "that conflicts the location of other atoms in this molecule of (%g, %g, layer: %g, subtile: %d).", + mol_id, g_vpr_ctx.atom().netlist().block_name(atom_blk_id).c_str(), atom_blk_id, + current_loc_x, current_loc_y, current_loc_layer, current_loc_sub_tile, + atom_loc_x, atom_loc_y, atom_loc_layer, atom_loc_sub_tile); + } else { + atom_loc_x = current_loc_x; + atom_loc_y = current_loc_y; + atom_loc_layer = current_loc_layer; + atom_loc_sub_tile = current_loc_sub_tile; + found_valid_atom = true; + } + } + // Ensure that there is a valid atom in the molecule to pass its location. + VTR_ASSERT_MSG(found_valid_atom, "Each molecule must contain at least one valid atom"); + // Pass the placement information + p_placement.block_x_locs[ap_blk_id] = atom_loc_x; + p_placement.block_y_locs[ap_blk_id] = atom_loc_y; + p_placement.block_layer_nums[ap_blk_id] = atom_loc_layer; + p_placement.block_sub_tiles[ap_blk_id] = atom_loc_sub_tile; + } +} + +/** + * @brief If a flat placement is provided, skips the Global Placer and + * converts it to a partial placement. Otherwise, runs the Global Placer. + */ +static PartialPlacement run_global_placer(const t_ap_opts& ap_opts, + const AtomNetlist& atom_nlist, + const APNetlist& ap_netlist, + const Prepacker& prepacker, + const DeviceContext& device_ctx) { + if (g_vpr_ctx.atom().flat_placement_info().valid) { + VTR_LOG("Flat Placement is provided in the AP flow, skipping the Global Placement.\n"); + PartialPlacement p_placement(ap_netlist); + convert_flat_to_partial_placement(g_vpr_ctx.atom().flat_placement_info(), + ap_netlist, + prepacker, + p_placement); + return p_placement; + } else { + // Run the Global Placer + std::unique_ptr global_placer = make_global_placer(ap_opts.analytical_solver_type, + ap_opts.partial_legalizer_type, + ap_netlist, + prepacker, + atom_nlist, + device_ctx.grid, + device_ctx.logical_block_types, + device_ctx.physical_tile_types, + ap_opts.log_verbosity); + return global_placer->place(); + } +} + void run_analytical_placement_flow(t_vpr_setup& vpr_setup) { // Start an overall timer for the Analytical Placement flow. vtr::ScopedStartFinishTimer timer("Analytical Placement"); // The global state used/modified by this flow. - const AtomNetlist& atom_nlist = g_vpr_ctx.atom().nlist; + const AtomNetlist& atom_nlist = g_vpr_ctx.atom().netlist(); const DeviceContext& device_ctx = g_vpr_ctx.device(); const UserPlaceConstraints& constraints = g_vpr_ctx.floorplanning().constraints; // Run the prepacker - Prepacker prepacker; - prepacker.init(atom_nlist, device_ctx.logical_block_types); + const Prepacker prepacker(atom_nlist, device_ctx.logical_block_types); // Create the ap netlist from the atom netlist using the result from the // prepacker. @@ -78,10 +163,13 @@ void run_analytical_placement_flow(t_vpr_setup& vpr_setup) { constraints); print_ap_netlist_stats(ap_netlist); - // Run the Global Placer - std::unique_ptr global_placer = make_global_placer(e_global_placer::SimPL, - ap_netlist); - PartialPlacement p_placement = global_placer->place(); + // Run the Global Placer. + const t_ap_opts& ap_opts = vpr_setup.APOpts; + PartialPlacement p_placement = run_global_placer(ap_opts, + atom_nlist, + ap_netlist, + prepacker, + device_ctx); // Verify that the partial placement is valid before running the full // legalizer. @@ -93,17 +181,32 @@ void run_analytical_placement_flow(t_vpr_setup& vpr_setup) { device_ctx.grid.get_num_layers())); // Run the Full Legalizer. - FullLegalizer full_legalizer(ap_netlist, - vpr_setup, - device_ctx.grid, - device_ctx.arch, - atom_nlist, - prepacker, - device_ctx.logical_block_types, - vpr_setup.PackerRRGraph, - device_ctx.arch->models, - device_ctx.arch->model_library, - vpr_setup.PackerOpts); - full_legalizer.legalize(p_placement); -} + std::unique_ptr full_legalizer = make_full_legalizer(ap_opts.full_legalizer_type, + ap_netlist, + atom_nlist, + prepacker, + vpr_setup, + *device_ctx.arch, + device_ctx.grid); + full_legalizer->legalize(p_placement); + // Print the number of resources in netlist and number of resources available in architecture + float target_device_utilization = vpr_setup.PackerOpts.target_device_utilization; + print_resource_usage(); + // Print the device utilization + print_device_utilization(target_device_utilization); + + // Run the Detailed Placer. + std::unique_ptr detailed_placer = make_detailed_placer(ap_opts.detailed_placer_type, + g_vpr_ctx.placement().blk_loc_registry(), + atom_nlist, + g_vpr_ctx.clustering().clb_nlist, + vpr_setup, + *device_ctx.arch); + detailed_placer->optimize_placement(); + + // Clean up some of the global variables that will no longer be used outside + // of this flow. + g_vpr_ctx.mutable_placement().clean_placement_context_post_place(); + g_vpr_ctx.mutable_floorplanning().clean_floorplanning_context_post_place(); +} diff --git a/vpr/src/analytical_place/analytical_placement_flow.h b/vpr/src/analytical_place/analytical_placement_flow.h index 638456177f1..527fe241706 100644 --- a/vpr/src/analytical_place/analytical_placement_flow.h +++ b/vpr/src/analytical_place/analytical_placement_flow.h @@ -16,4 +16,3 @@ struct t_vpr_setup; * @param vpr_setup The setup options provided by the user. */ void run_analytical_placement_flow(t_vpr_setup& vpr_setup); - diff --git a/vpr/src/analytical_place/analytical_solver.cpp b/vpr/src/analytical_place/analytical_solver.cpp index 4c5e0037c7b..2aae5099608 100644 --- a/vpr/src/analytical_place/analytical_solver.cpp +++ b/vpr/src/analytical_place/analytical_solver.cpp @@ -9,13 +9,17 @@ #include "analytical_solver.h" #include #include +#include #include #include #include +#include "device_grid.h" +#include "flat_placement_types.h" #include "partial_placement.h" #include "ap_netlist.h" #include "vpr_error.h" #include "vtr_assert.h" +#include "vtr_time.h" #include "vtr_vector.h" #ifdef EIGEN_INSTALLED @@ -35,18 +39,30 @@ #pragma GCC diagnostic pop #endif // EIGEN_INSTALLED -std::unique_ptr make_analytical_solver(e_analytical_solver solver_type, - const APNetlist& netlist) { +std::unique_ptr make_analytical_solver(e_ap_analytical_solver solver_type, + const APNetlist& netlist, + const DeviceGrid& device_grid, + int log_verbosity) { // Based on the solver type passed in, build the solver. switch (solver_type) { - case e_analytical_solver::QP_HYBRID: + case e_ap_analytical_solver::QP_Hybrid: #ifdef EIGEN_INSTALLED - return std::make_unique(netlist); + return std::make_unique(netlist, device_grid, log_verbosity); #else (void)netlist; + (void)device_grid; + (void)log_verbosity; VPR_FATAL_ERROR(VPR_ERROR_AP, "QP Hybrid Solver requires the Eigen library"); break; +#endif // EIGEN_INSTALLED + case e_ap_analytical_solver::LP_B2B: +#ifdef EIGEN_INSTALLED + return std::make_unique(netlist, device_grid, log_verbosity); +#else + VPR_FATAL_ERROR(VPR_ERROR_AP, + "LP B2B Solver requires the Eigen library"); + break; #endif // EIGEN_INSTALLED default: VPR_FATAL_ERROR(VPR_ERROR_AP, @@ -56,16 +72,20 @@ std::unique_ptr make_analytical_solver(e_analytical_solver sol return nullptr; } -AnalyticalSolver::AnalyticalSolver(const APNetlist& netlist) - : netlist_(netlist), - blk_id_to_row_id_(netlist.blocks().size(), APRowId::INVALID()), - row_id_to_blk_id_(netlist.blocks().size(), APBlockId::INVALID()) { +AnalyticalSolver::AnalyticalSolver(const APNetlist& netlist, int log_verbosity) + : netlist_(netlist) + , blk_id_to_row_id_(netlist.blocks().size(), APRowId::INVALID()) + , row_id_to_blk_id_(netlist.blocks().size(), APBlockId::INVALID()) + , log_verbosity_(log_verbosity) { // Get the number of moveable blocks in the netlist and create a unique // row ID from [0, num_moveable_blocks) for each moveable block in the // netlist. num_moveable_blocks_ = 0; + num_fixed_blocks_ = 0; size_t current_row_id = 0; for (APBlockId blk_id : netlist.blocks()) { + if (netlist.block_mobility(blk_id) == APBlockMobility::FIXED) + num_fixed_blocks_++; if (netlist.block_mobility(blk_id) != APBlockMobility::MOVEABLE) continue; APRowId new_row_id = APRowId(current_row_id); @@ -155,10 +175,10 @@ void QPHybridSolver::init_linear_system() { } // Initialize the linear system with zeros. - size_t num_variables = num_moveable_blocks_ + num_star_nodes; - A_sparse = Eigen::SparseMatrix(num_variables, num_variables); - b_x = Eigen::VectorXd::Zero(num_variables); - b_y = Eigen::VectorXd::Zero(num_variables); + num_variables_ = num_moveable_blocks_ + num_star_nodes; + A_sparse = Eigen::SparseMatrix(num_variables_, num_variables_); + b_x = Eigen::VectorXd::Zero(num_variables_); + b_y = Eigen::VectorXd::Zero(num_variables_); // Create a list of triplets that will be used to create the sparse // coefficient matrix. This is the method recommended by Eigen to initialize @@ -169,13 +189,11 @@ void QPHybridSolver::init_linear_system() { std::vector> tripletList; // Reserve enough space for the triplets. This is just to help with // performance. - // This is an over-estimate that assumes that each net connnects to all - // moveable blocks using a star node. // TODO: This can be made more space-efficient by getting the average fanout // of all nets in the APNetlist. Ideally this should be not enough // space, but be within a constant factor. size_t num_nets = netlist_.nets().size(); - tripletList.reserve(num_moveable_blocks_ * num_nets); + tripletList.reserve(num_nets); // Create the connections using a hybrid connection model of the star and // clique connnection models. @@ -238,42 +256,17 @@ void QPHybridSolver::init_linear_system() { A_sparse.setFromTriplets(tripletList.begin(), tripletList.end()); } -/** - * @brief Helper method to update the linear system with anchors to the current - * partial placement. - * - * For each moveable block (with row = i) in the netlist: - * A[i][i] = A[i][i] + coeff_pseudo_anchor; - * b[i] = b[i] + pos[block(i)] * coeff_pseudo_anchor; - * Where coeff_pseudo_anchor grows with each iteration. - * - * This is basically a fast way of adding a connection between all moveable - * blocks in the netlist and their target fixed placement location. - * - * See add_connection_to_system. - * - * @param A_sparse_diff The ceofficient matrix to update. - * @param b_x_diff The x-dimension constant vector to update. - * @param b_y_diff The y-dimension constant vector to update. - * @param p_placement The location the moveable blocks should be anchored - * to. - * @param num_moveable_blocks The number of moveable blocks in the netlist. - * @param row_id_to_blk_id Lookup for the row id from the APBlock Id. - * @param iteration The current iteration of the Global Placer. - */ -static inline void update_linear_system_with_anchors( - Eigen::SparseMatrix &A_sparse_diff, - Eigen::VectorXd &b_x_diff, - Eigen::VectorXd &b_y_diff, - PartialPlacement& p_placement, - size_t num_moveable_blocks, - vtr::vector row_id_to_blk_id, - unsigned iteration) { +void QPHybridSolver::update_linear_system_with_anchors( + Eigen::SparseMatrix& A_sparse_diff, + Eigen::VectorXd& b_x_diff, + Eigen::VectorXd& b_y_diff, + PartialPlacement& p_placement, + unsigned iteration) { // Anchor weights grow exponentially with iteration. - double coeff_pseudo_anchor = 0.01 * std::exp((double)iteration/5); - for (size_t row_id_idx = 0; row_id_idx < num_moveable_blocks; row_id_idx++) { + double coeff_pseudo_anchor = anchor_weight_mult_ * std::exp((double)iteration / anchor_weight_exp_fac_); + for (size_t row_id_idx = 0; row_id_idx < num_moveable_blocks_; row_id_idx++) { APRowId row_id = APRowId(row_id_idx); - APBlockId blk_id = row_id_to_blk_id[row_id]; + APBlockId blk_id = row_id_to_blk_id_[row_id]; double pseudo_w = coeff_pseudo_anchor; A_sparse_diff.coeffRef(row_id_idx, row_id_idx) += pseudo_w; b_x_diff(row_id_idx) += pseudo_w * p_placement.block_x_locs[blk_id]; @@ -281,7 +274,54 @@ static inline void update_linear_system_with_anchors( } } -void QPHybridSolver::solve(unsigned iteration, PartialPlacement &p_placement) { +void QPHybridSolver::init_guesses(const DeviceGrid& device_grid) { + // If the number of fixed blocks is zero, initialized the guesses to the + // center of the device. + if (num_fixed_blocks_ == 0) { + guess_x = Eigen::VectorXd::Constant(num_variables_, device_grid.width() / 2.0); + guess_y = Eigen::VectorXd::Constant(num_variables_, device_grid.height() / 2.0); + return; + } + + // Compute the centroid of all fixed blocks in the netlist. + t_flat_pl_loc centroid({0.0f, 0.0f, 0.0f}); + unsigned num_blks_summed = 0; + for (APBlockId blk_id : netlist_.blocks()) { + // We only get the centroid of fixed blocks since these are the only + // blocks with positions that we know. + if (netlist_.block_mobility(blk_id) != APBlockMobility::FIXED) + continue; + // Get the flat location of the fixed block. + APFixedBlockLoc fixed_blk_loc = netlist_.block_loc(blk_id); + VTR_ASSERT_SAFE(fixed_blk_loc.x != APFixedBlockLoc::UNFIXED_DIM); + VTR_ASSERT_SAFE(fixed_blk_loc.y != APFixedBlockLoc::UNFIXED_DIM); + VTR_ASSERT_SAFE(fixed_blk_loc.layer_num != APFixedBlockLoc::UNFIXED_DIM); + t_flat_pl_loc flat_blk_loc; + flat_blk_loc.x = fixed_blk_loc.x; + flat_blk_loc.y = fixed_blk_loc.y; + flat_blk_loc.layer = fixed_blk_loc.layer_num; + // Accumulate into the centroid. + centroid += flat_blk_loc; + num_blks_summed++; + } + // Divide the sum by the number of fixed blocks. + VTR_ASSERT_SAFE(num_blks_summed == num_fixed_blocks_); + centroid /= static_cast(num_blks_summed); + + // Set the guesses to the centroid location. + guess_x = Eigen::VectorXd::Constant(num_variables_, centroid.x); + guess_y = Eigen::VectorXd::Constant(num_variables_, centroid.y); +} + +void QPHybridSolver::solve(unsigned iteration, PartialPlacement& p_placement) { + // In the first iteration, if the number of fixed blocks is 0, set the + // placement to be equal to the guess. The solver below will just set the + // solution to the zero vector if we do not set it to the guess directly. + if (iteration == 0 && num_fixed_blocks_ == 0) { + store_solution_into_placement(guess_x, guess_y, p_placement); + return; + } + // Create a temporary linear system which will contain the original linear // system which may be updated to include the anchor points. Eigen::SparseMatrix A_sparse_diff = Eigen::SparseMatrix(A_sparse); @@ -292,30 +332,41 @@ void QPHybridSolver::solve(unsigned iteration, PartialPlacement &p_placement) { // anchor-points (fixed block positions). if (iteration != 0) { update_linear_system_with_anchors(A_sparse_diff, b_x_diff, b_y_diff, - p_placement, num_moveable_blocks_, - row_id_to_blk_id_, iteration); + p_placement, iteration); } // Verify that the constant vectors are valid. - VTR_ASSERT_DEBUG(!b_x_diff.hasNaN() && "b_x has NaN!"); - VTR_ASSERT_DEBUG(!b_y_diff.hasNaN() && "b_y has NaN!"); + VTR_ASSERT_SAFE_MSG(!b_x_diff.hasNaN(), "b_x has NaN!"); + VTR_ASSERT_SAFE_MSG(!b_y_diff.hasNaN(), "b_y has NaN!"); // Set up the ConjugateGradient Solver using the coefficient matrix. // TODO: can change cg.tolerance to increase performance when needed // - This tolerance may need to be a function of the number of nets. // - Instead of normalizing the fixed blocks, the tolerance can be scaled // by the size of the device. - Eigen::ConjugateGradient, Eigen::Lower|Eigen::Upper> cg; + Eigen::ConjugateGradient, Eigen::Lower | Eigen::Upper> cg; cg.compute(A_sparse_diff); VTR_ASSERT(cg.info() == Eigen::Success && "Conjugate Gradient failed at compute!"); // Use the solver to solve for x and y using the constant vectors - // TODO: Use solve with guess to make this faster. Use the previous placement - // as a guess. - Eigen::VectorXd x = cg.solve(b_x_diff); + Eigen::VectorXd x = cg.solveWithGuess(b_x_diff, guess_x); + total_num_cg_iters_ += cg.iterations(); VTR_ASSERT(cg.info() == Eigen::Success && "Conjugate Gradient failed at solving b_x!"); - Eigen::VectorXd y = cg.solve(b_y_diff); + Eigen::VectorXd y = cg.solveWithGuess(b_y_diff, guess_y); + total_num_cg_iters_ += cg.iterations(); VTR_ASSERT(cg.info() == Eigen::Success && "Conjugate Gradient failed at solving b_y!"); // Write the results back into the partial placement object. + store_solution_into_placement(x, y, p_placement); + + // Update the guess. The guess for the next iteration is the solution in + // this iteration. + guess_x = x; + guess_y = y; +} + +void QPHybridSolver::store_solution_into_placement(const Eigen::VectorXd& x_soln, + const Eigen::VectorXd& y_soln, + PartialPlacement& p_placement) { + // NOTE: The first [0, num_moveable_blocks_) rows always represent the // moveable APBlocks. The star nodes always come after and are ignored // in the solution. @@ -324,10 +375,408 @@ void QPHybridSolver::solve(unsigned iteration, PartialPlacement &p_placement) { APBlockId blk_id = row_id_to_blk_id_[row_id]; VTR_ASSERT_DEBUG(blk_id.is_valid()); VTR_ASSERT_DEBUG(netlist_.block_mobility(blk_id) == APBlockMobility::MOVEABLE); - p_placement.block_x_locs[blk_id] = x[row_id_idx]; - p_placement.block_y_locs[blk_id] = y[row_id_idx]; + // Due to the iterative nature of CG, it is possible for the solver to + // overstep 0 and return a negative number by an incredibly small margin. + // Clamp the number to 0 in this case. + // TODO: Should investigate good bounds on this, the bounds below were + // chosen since any difference higher than 1e-9 would concern me. + double x_pos = x_soln[row_id_idx]; + if (x_pos < 0.0) { + VTR_ASSERT_SAFE(std::abs(x_pos) < negative_soln_tolerance_); + x_pos = 0.0; + } + double y_pos = y_soln[row_id_idx]; + if (y_pos < 0.0) { + VTR_ASSERT_SAFE(std::abs(y_pos) < negative_soln_tolerance_); + y_pos = 0.0; + } + p_placement.block_x_locs[blk_id] = x_pos; + p_placement.block_y_locs[blk_id] = y_pos; } } -#endif // EIGEN_INSTALLED +void QPHybridSolver::print_statistics() { + VTR_LOG("QP-Hybrid Solver Statistics:\n"); + VTR_LOG("\tTotal number of CG iterations: %u\n", total_num_cg_iters_); +} +void B2BSolver::solve(unsigned iteration, PartialPlacement& p_placement) { + // Store an initial placement into the p_placement object as a starting point + // for the B2B solver. + if (iteration == 0) { + // In the first iteration, we have no prior information. + // Run the intial placer to get a first guess. + switch (initial_placement_ty_) { + case e_initial_placement_type::LeastDense: + initialize_placement_least_dense(p_placement); + break; + default: + VPR_FATAL_ERROR(VPR_ERROR_AP, "Unknown initial placement type"); + } + } else { + // After the first iteration, the prior solved solution will serve as + // the best starting points for the bounds. + + // Save the legalized solution; we need it for the anchors. + block_x_locs_legalized = p_placement.block_x_locs; + block_y_locs_legalized = p_placement.block_y_locs; + + // Store last solved position into p_placement for b2b model + p_placement.block_x_locs = block_x_locs_solved; + p_placement.block_y_locs = block_y_locs_solved; + } + + // Run the B2B solver using p_placement as a starting point. + b2b_solve_loop(iteration, p_placement); + + // Store the solved solutions for the next iteration. + block_x_locs_solved = p_placement.block_x_locs; + block_y_locs_solved = p_placement.block_y_locs; +} + +void B2BSolver::initialize_placement_least_dense(PartialPlacement& p_placement) { + // Find a gap for the blocks such that each block can fit onto the device + // if they were evenly spaced by this gap. + double gap = std::sqrt(device_grid_height_ * device_grid_width_ / static_cast(num_moveable_blocks_)); + + // Assuming this gap, get how many columns/rows of blocks there will be. + size_t cols = std::ceil(device_grid_width_ / gap); + size_t rows = std::ceil(device_grid_height_ / gap); + + // Spread the blocks at these grid coordinates. + for (size_t r = 0; r <= rows; r++) { + for (size_t c = 0; c <= cols; c++) { + size_t i = r * cols + c; + if (i >= num_moveable_blocks_) + break; + APRowId row_id = APRowId(i); + APBlockId blk_id = row_id_to_blk_id_[row_id]; + p_placement.block_x_locs[blk_id] = c * gap; + p_placement.block_y_locs[blk_id] = r * gap; + } + } +} + +void B2BSolver::b2b_solve_loop(unsigned iteration, PartialPlacement& p_placement) { + // Set up the guesses for x and y to help CG converge faster + // A good guess for B2B is the last solved solution. + Eigen::VectorXd x_guess(num_moveable_blocks_); + Eigen::VectorXd y_guess(num_moveable_blocks_); + for (size_t row_id_idx = 0; row_id_idx < num_moveable_blocks_; row_id_idx++) { + APRowId row_id = APRowId(row_id_idx); + APBlockId blk_id = row_id_to_blk_id_[row_id]; + x_guess(row_id_idx) = p_placement.block_x_locs[blk_id]; + y_guess(row_id_idx) = p_placement.block_y_locs[blk_id]; + } + + // Create a timer to keep track of how long each part of the solver take. + vtr::Timer runtime_timer; + + // To solve B2B, we need to do the following: + // 1) Set up the connectivity matrix and constant vectors based on the + // bounds of the current solution (stored in p_placement). + // 2) Solve the system of equations using CG and store the result into + // p_placement. + // 3) Repeat. Note: We need to repeat step 1 and 2 iteratively since + // the bounds are likely to have changed after step 2. + // We stop when it looks like the placement is converging (the change in + // HPWL is sufficiently small for a few iterations). + double prev_hpwl = std::numeric_limits::max(); + double curr_hpwl = prev_hpwl; + unsigned num_convergence = 0; + for (unsigned counter = 0; counter < max_num_bound_updates_; counter++) { + VTR_LOGV(log_verbosity_ >= 10, + "\tPlacement HPWL in b2b loop: %f\n", + p_placement.get_hpwl(netlist_)); + + // Set up the linear system, including anchor points. + float build_linear_system_start_time = runtime_timer.elapsed_sec(); + init_linear_system(p_placement); + if (iteration != 0) + update_linear_system_with_anchors(iteration); + total_time_spent_building_linear_system_ += runtime_timer.elapsed_sec() - build_linear_system_start_time; + VTR_ASSERT_SAFE_MSG(!b_x.hasNaN(), "b_x has NaN!"); + VTR_ASSERT_SAFE_MSG(!b_y.hasNaN(), "b_y has NaN!"); + VTR_ASSERT_SAFE_MSG((b_x.array() >= 0).all(), "b_x has NaN!"); + VTR_ASSERT_SAFE_MSG((b_y.array() >= 0).all(), "b_y has NaN!"); + + // Build the solvers for each dimension. + // Note: Since we have two different connectivity matrices, we need to + // different CG solver objects. + float solve_linear_system_start_time = runtime_timer.elapsed_sec(); + Eigen::VectorXd x, y; + Eigen::ConjugateGradient, Eigen::Lower | Eigen::Upper> cg_x; + Eigen::ConjugateGradient, Eigen::Lower | Eigen::Upper> cg_y; + cg_x.compute(A_sparse_x); + cg_y.compute(A_sparse_y); + VTR_ASSERT_SAFE_MSG(cg_x.info() == Eigen::Success, "Conjugate Gradient failed at compute for A_x!"); + VTR_ASSERT_SAFE_MSG(cg_y.info() == Eigen::Success, "Conjugate Gradient failed at compute for A_y!"); + cg_x.setMaxIterations(max_cg_iterations_); + cg_y.setMaxIterations(max_cg_iterations_); + + // Solve the x dimension. + x = cg_x.solveWithGuess(b_x, x_guess); + total_num_cg_iters_ += cg_x.iterations(); + VTR_LOGV(log_verbosity_ >= 20, "\t\tNum CG-x iter: %zu\n", cg_x.iterations()); + + // Solve the y dimension. + y = cg_y.solveWithGuess(b_y, y_guess); + total_num_cg_iters_ += cg_y.iterations(); + VTR_LOGV(log_verbosity_ >= 20, "\t\tNum CG-y iter: %zu\n", cg_y.iterations()); + + total_time_spent_solving_linear_system_ += runtime_timer.elapsed_sec() - solve_linear_system_start_time; + + // Save the result into the partial placement object. + store_solution_into_placement(x, y, p_placement); + + // If the current HPWL is larger than the previous HPWL (i.e. the HPWL + // got worst since last B2B iter) or the gap between the two solutions + // is small. Increment a counter. + // TODO: Since, in theory, the HPWL could get worst due to numerical + // reasons, should we save the best result? May not be worth it... + curr_hpwl = p_placement.get_hpwl(netlist_); + double target_gap = b2b_convergence_gap_fac_ * curr_hpwl; + if (curr_hpwl > prev_hpwl || std::abs(curr_hpwl - prev_hpwl) < target_gap) + num_convergence++; + + // If the HPWL got close enough times, stop. This is to allow the HPWL + // to "bounce", which can happen as it converges. + // This trades-off quality for run time. + if (num_convergence >= target_num_b2b_convergences_) + break; + prev_hpwl = curr_hpwl; + + // Update the guesses with the most recent answer + x_guess = x; + y_guess = y; + } +} + +namespace { +/** + * @brief Struct used to hold the bounding blocks of an AP net. + */ +struct APNetBounds { + /// @brief The leftmost block in the net. + APBlockId min_x_blk; + /// @brief The rightmost block in the net. + APBlockId max_x_blk; + /// @brief The bottom-most block in the net. + APBlockId min_y_blk; + /// @brief The top-most block in the net. + APBlockId max_y_blk; +}; + +} // namespace + +/** + * @brief Helper method to get the unique bounding blocks of a given net. + * + * In the B2B model, we do not want the same block to be the bounds in a given + * dimension. Therefore, if all blocks share the same x location for example, + * different bounds will be chosen for the x dimension. + */ +static inline APNetBounds get_unique_net_bounds(APNetId net_id, + const PartialPlacement& p_placement, + const APNetlist& netlist) { + VTR_ASSERT_SAFE_MSG(netlist.net_pins(net_id).size() != 0, + "Cannot get the bounds of an empty net"); + VTR_ASSERT_SAFE_MSG(netlist.net_pins(net_id).size() >= 2, + "Expect nets to have at least 2 pins"); + + APNetBounds bounds; + double max_x_pos = std::numeric_limits::lowest(); + double min_x_pos = std::numeric_limits::max(); + double max_y_pos = std::numeric_limits::lowest(); + double min_y_pos = std::numeric_limits::max(); + + for (APPinId pin_id : netlist.net_pins(net_id)) { + // Update the bounds based on the position of the block that has this pin. + APBlockId blk_id = netlist.pin_block(pin_id); + double x_pos = p_placement.block_x_locs[blk_id]; + double y_pos = p_placement.block_y_locs[blk_id]; + if (x_pos < min_x_pos) { + min_x_pos = x_pos; + bounds.min_x_blk = blk_id; + } + if (y_pos < min_y_pos) { + min_y_pos = y_pos; + bounds.min_y_blk = blk_id; + } + if (x_pos > max_x_pos) { + max_x_pos = x_pos; + bounds.max_x_blk = blk_id; + } + if (y_pos > max_y_pos) { + max_y_pos = y_pos; + bounds.max_y_blk = blk_id; + } + + // In the case of a tie, we do not want to have the same blocks as bounds. + // If there is a tie for the max position, and the current min bound is + // not this block, take the incoming block. + if (x_pos == max_x_pos && bounds.min_x_blk != blk_id) { + max_x_pos = x_pos; + bounds.max_x_blk = blk_id; + } + if (y_pos == max_y_pos && bounds.min_y_blk != blk_id) { + max_y_pos = y_pos; + bounds.max_y_blk = blk_id; + } + } + + // Ensure the same block is set as the bounds. + // If there is not a bug in the above code, then this could imply that a + // net only connects to a single APBlock, which does not make sense in this + // context. + VTR_ASSERT_SAFE(bounds.min_x_blk != bounds.max_x_blk); + VTR_ASSERT_SAFE(bounds.min_y_blk != bounds.max_y_blk); + + return bounds; +} + +void B2BSolver::add_connection_to_system(APBlockId first_blk_id, + APBlockId second_blk_id, + size_t num_pins, + const vtr::vector& blk_locs, + std::vector>& triplet_list, + Eigen::VectorXd& b) { + // To make the code below simpler, we assume that the first block is always + // moveable. + if (netlist_.block_mobility(first_blk_id) != APBlockMobility::MOVEABLE) { + if (netlist_.block_mobility(second_blk_id) != APBlockMobility::MOVEABLE) { + // If both blocks are fixed, do not connect them. + return; + } + // If the first block is fixed and the second block is moveable, swap them. + std::swap(first_blk_id, second_blk_id); + } + + // Compute the weight of the connection. + // From the Kraftwerk2 paper: + // w = (2 / (P - 1)) * (1 / distance) + // + // epsilon is needed to prevent numerical instability. If two nodes are on top of each other. + // The denominator of weight is zero, which causes infinity term in the matrix. Another way of + // interpreting epsilon is the minimum distance two nodes are considered to be in placement. + double dist = std::max(std::abs(blk_locs[first_blk_id] - blk_locs[second_blk_id]), distance_epsilon_); + double w = (2.0 / static_cast(num_pins - 1)) * (1.0 / dist); + + // Update the connectivity matrix and the constant vector. + // This is similar to how connections are added for the quadratic formulation. + size_t first_row_id = (size_t)blk_id_to_row_id_[first_blk_id]; + if (netlist_.block_mobility(second_blk_id) == APBlockMobility::MOVEABLE) { + size_t second_row_id = (size_t)blk_id_to_row_id_[second_blk_id]; + triplet_list.emplace_back(first_row_id, first_row_id, w); + triplet_list.emplace_back(second_row_id, second_row_id, w); + triplet_list.emplace_back(first_row_id, second_row_id, -w); + triplet_list.emplace_back(second_row_id, first_row_id, -w); + } else { + triplet_list.emplace_back(first_row_id, first_row_id, w); + b(first_row_id) += w * blk_locs[second_blk_id]; + } +} + +void B2BSolver::init_linear_system(PartialPlacement& p_placement) { + // Reset the linear system + A_sparse_x = Eigen::SparseMatrix(num_moveable_blocks_, num_moveable_blocks_); + A_sparse_y = Eigen::SparseMatrix(num_moveable_blocks_, num_moveable_blocks_); + b_x = Eigen::VectorXd::Zero(num_moveable_blocks_); + b_y = Eigen::VectorXd::Zero(num_moveable_blocks_); + + // Create triplet lists to store the sparse positions to update and reserve + // space for them. + size_t num_nets = netlist_.nets().size(); + std::vector> triplet_list_x; + triplet_list_x.reserve(num_nets); + std::vector> triplet_list_y; + triplet_list_y.reserve(num_nets); + + for (APNetId net_id : netlist_.nets()) { + size_t num_pins = netlist_.net_pins(net_id).size(); + VTR_ASSERT_SAFE_MSG(num_pins > 1, "net must have at least 2 pins"); + + // Find the bounding blocks + APNetBounds net_bounds = get_unique_net_bounds(net_id, p_placement, netlist_); + + // Add an edge from every block to their bounds (ignoring the bounds + // themselves for now). + // FIXME: If one block has multiple pins, it may connect to the bounds + // multiple times. Should investigate the effect of this. + for (APPinId pin_id : netlist_.net_pins(net_id)) { + APBlockId blk_id = netlist_.pin_block(pin_id); + if (blk_id != net_bounds.max_x_blk && blk_id != net_bounds.min_x_blk) { + add_connection_to_system(blk_id, net_bounds.max_x_blk, num_pins, p_placement.block_x_locs, triplet_list_x, b_x); + add_connection_to_system(blk_id, net_bounds.min_x_blk, num_pins, p_placement.block_x_locs, triplet_list_x, b_x); + } + if (blk_id != net_bounds.max_y_blk && blk_id != net_bounds.min_y_blk) { + add_connection_to_system(blk_id, net_bounds.max_y_blk, num_pins, p_placement.block_y_locs, triplet_list_y, b_y); + add_connection_to_system(blk_id, net_bounds.min_y_blk, num_pins, p_placement.block_y_locs, triplet_list_y, b_y); + } + } + + // Connect the bounds to each other. Its just easier to put these here + // instead of in the for loop above. + add_connection_to_system(net_bounds.max_x_blk, net_bounds.min_x_blk, num_pins, p_placement.block_x_locs, triplet_list_x, b_x); + add_connection_to_system(net_bounds.max_y_blk, net_bounds.min_y_blk, num_pins, p_placement.block_y_locs, triplet_list_y, b_y); + } + + // Build the sparse connectivity matrices from the triplets. + A_sparse_x.setFromTriplets(triplet_list_x.begin(), triplet_list_x.end()); + A_sparse_y.setFromTriplets(triplet_list_y.begin(), triplet_list_y.end()); +} + +// This function adds anchors for legalized solution. Anchors are treated as fixed node, +// each connecting to a movable node. Number of nodes in a anchor net is always 2. +void B2BSolver::update_linear_system_with_anchors(unsigned iteration) { + VTR_ASSERT_SAFE_MSG(iteration != 0, + "no fixed solution to anchor to in the first iteration"); + // Get the anchor weight based on the iteration number. We want the anchor + // weights to get stronger as we get later in global placement. Found that + // an exponential weight term worked well for this. + double coeff_pseudo_anchor = anchor_weight_mult_ * std::exp((double)iteration / anchor_weight_exp_fac_); + + // Add an anchor for each moveable block to its solved position. + for (size_t row_id_idx = 0; row_id_idx < num_moveable_blocks_; row_id_idx++) { + APRowId row_id = APRowId(row_id_idx); + APBlockId blk_id = row_id_to_blk_id_[row_id]; + double pseudo_w_x = coeff_pseudo_anchor * 2.0; + double pseudo_w_y = coeff_pseudo_anchor * 2.0; + A_sparse_x.coeffRef(row_id_idx, row_id_idx) += pseudo_w_x; + A_sparse_y.coeffRef(row_id_idx, row_id_idx) += pseudo_w_y; + b_x(row_id_idx) += pseudo_w_x * block_x_locs_legalized[blk_id]; + b_y(row_id_idx) += pseudo_w_y * block_y_locs_legalized[blk_id]; + } +} + +void B2BSolver::store_solution_into_placement(Eigen::VectorXd& x_soln, + Eigen::VectorXd& y_soln, + PartialPlacement& p_placement) { + for (size_t row_id_idx = 0; row_id_idx < num_moveable_blocks_; row_id_idx++) { + // Since we are capping the number of iterations, the solver may not + // have enough time to converge on a solution that is on the device. + // We just clamp the solution to zero for now. + // TODO: Should handle this better. If the solution is very negative + // it may indicate a bug. + if (x_soln[row_id_idx] < 0.0) + x_soln[row_id_idx] = 0.0; + if (y_soln[row_id_idx] < 0.0) + y_soln[row_id_idx] = 0.0; + + APRowId row_id = APRowId(row_id_idx); + APBlockId blk_id = row_id_to_blk_id_[row_id]; + p_placement.block_x_locs[blk_id] = x_soln[row_id_idx]; + p_placement.block_y_locs[blk_id] = y_soln[row_id_idx]; + } +} + +void B2BSolver::print_statistics() { + VTR_LOG("B2B Solver Statistics:\n"); + VTR_LOG("\tTotal number of CG iterations: %u\n", total_num_cg_iters_); + VTR_LOG("\tTotal time spent building linear system: %g seconds\n", + total_time_spent_building_linear_system_); + VTR_LOG("\tTotal time spent solving linear system: %g seconds\n", + total_time_spent_solving_linear_system_); +} + +#endif // EIGEN_INSTALLED diff --git a/vpr/src/analytical_place/analytical_solver.h b/vpr/src/analytical_place/analytical_solver.h index 33397a554d1..1c00fc055a4 100644 --- a/vpr/src/analytical_place/analytical_solver.h +++ b/vpr/src/analytical_place/analytical_solver.h @@ -9,7 +9,9 @@ #pragma once #include -#include "ap_netlist_fwd.h" +#include "ap_flow_enums.h" +#include "ap_netlist.h" +#include "device_grid.h" #include "vtr_strong_id.h" #include "vtr_vector.h" @@ -24,21 +26,12 @@ // Pop the GCC diagnostics state back to what it was before. #pragma GCC diagnostic pop -#endif // EIGEN_INSTALLED +#endif // EIGEN_INSTALLED // Forward declarations class PartialPlacement; class APNetlist; -/** - * @brief Enumeration of all of the solvers currently implemented in VPR. - * - * NOTE: More are coming. - */ -enum class e_analytical_solver { - QP_HYBRID // A solver which optimizes the quadratic HPWL of the design. -}; - /** * @brief A strong ID for the rows in a matrix used during solving. * @@ -58,7 +51,7 @@ typedef vtr::StrongId APRowId; * compare different solvers. */ class AnalyticalSolver { -public: + public: virtual ~AnalyticalSolver() {} /** @@ -67,7 +60,7 @@ class AnalyticalSolver { * Initializes the internal data members of the base class which are useful * for all solvers. */ - AnalyticalSolver(const APNetlist &netlist); + AnalyticalSolver(const APNetlist& netlist, int log_verbosity); /** * @brief Run an iteration of the solver using the given partial placement @@ -87,10 +80,17 @@ class AnalyticalSolver { * @param p_placement A "hint" to a legal solution that the solver should * try and be like. */ - virtual void solve(unsigned iteration, PartialPlacement &p_placement) = 0; + virtual void solve(unsigned iteration, PartialPlacement& p_placement) = 0; -protected: + /** + * @brief Print statistics on the analytical solver. + * + * This is expected to be called after global placement to collect cummulative + * information on how the solver performed. + */ + virtual void print_statistics() = 0; + protected: /// @brief The APNetlist the solver is optimizing over. It is implied that /// the netlist is not being modified during global placement. const APNetlist& netlist_; @@ -99,6 +99,9 @@ class AnalyticalSolver { /// when allocating matrices. size_t num_moveable_blocks_ = 0; + /// @brief The number of fixed blocks in the netlist. + size_t num_fixed_blocks_ = 0; + /// @brief A lookup between a moveable APBlock and its linear ID from /// [0, num_moveable_blocks). Fixed blocks will return an invalid row /// ID. This is useful when knowing which row in the matrix @@ -109,13 +112,18 @@ class AnalyticalSolver { /// APBlock it represents. useful when getting the results from the /// solver. vtr::vector row_id_to_blk_id_; + + /// @brief The verbosity of log messages in the Analytical Solver. + int log_verbosity_; }; /** * @brief A factory method which creates an Analytical Solver of the given type. */ -std::unique_ptr make_analytical_solver(e_analytical_solver solver_type, - const APNetlist &netlist); +std::unique_ptr make_analytical_solver(e_ap_analytical_solver solver_type, + const APNetlist& netlist, + const DeviceGrid& device_grid, + int log_verbosity); // The Eigen library is used to solve matrix equations in the following solvers. // The solver cannot be built if Eigen is not installed. @@ -145,7 +153,7 @@ std::unique_ptr make_analytical_solver(e_analytical_solver sol * https://doi.org/10.1109/TCAD.2005.846365 */ class QPHybridSolver : public AnalyticalSolver { -private: + private: /// @brief The threshold for the number of pins a net will have to use the /// Star or Clique net models. If the number of pins is larger /// than this number, a star node will be created. @@ -156,6 +164,29 @@ class QPHybridSolver : public AnalyticalSolver { /// sparse. static constexpr size_t star_num_pins_threshold = 3; + // The following constants are used to configure the anchor weighting. + // The weights of anchors grow exponentially each iteration by the following + // function: + // anchor_w = anchor_weight_mult_ * e^(iter / anchor_weight_exp_fac_) + // The numbers below were empircally found to work well. + + /// @brief Multiplier for the anchorweight. The smaller this number is, the + /// weaker the anchors will be at the start. + static constexpr double anchor_weight_mult_ = 0.001; + + /// @brief Factor for controlling the growth of the exponential term in the + /// weight factor function. Larger numbers will cause the anchor + /// weights to grow slower. + static constexpr double anchor_weight_exp_fac_ = 5.0; + + /// @brief Due to the iterative nature of Conjugate Gradient method, the + /// solver may overstep 0 to give a slightly negative solution. This + /// is ok, and we can just clamp the position to 0. However, negative + /// values that are too large may be indicative of an issue in the + /// formulation. This value is how negative we tolerate the positions + /// to be. + static constexpr double negative_soln_tolerance_ = 1e-9; + /** * @brief Initializes the linear system of Ax = b_x and Ay = b_y based on * the APNetlist and the fixed APBlock locations. @@ -166,6 +197,51 @@ class QPHybridSolver : public AnalyticalSolver { */ void init_linear_system(); + /** + * @brief Intializes the guesses which will be used in the solver. + * + * The guesses will be used as starting points for the CG solver. The better + * these guesses are, the faster the solver will converge. + */ + void init_guesses(const DeviceGrid& device_grid); + + /** + * @brief Helper method to update the linear system with anchors to the + * current partial placement. + * + * For each moveable block (with row = i) in the netlist: + * A[i][i] = A[i][i] + coeff_pseudo_anchor; + * b[i] = b[i] + pos[block(i)] * coeff_pseudo_anchor; + * Where coeff_pseudo_anchor grows with each iteration. + * + * This is basically a fast way of adding a connection between all moveable + * blocks in the netlist and their target fixed placement location. + * + * See add_connection_to_system. + * + * @param A_sparse_diff The ceofficient matrix to update. + * @param b_x_diff The x-dimension constant vector to update. + * @param b_y_diff The y-dimension constant vector to update. + * @param p_placement The location the moveable blocks should be + * anchored to. + * @param num_moveable_blocks The number of moveable blocks in the netlist. + * @param row_id_to_blk_id Lookup for the row id from the APBlock Id. + * @param iteration The current iteration of the Global Placer. + */ + void update_linear_system_with_anchors(Eigen::SparseMatrix& A_sparse_diff, + Eigen::VectorXd& b_x_diff, + Eigen::VectorXd& b_y_diff, + PartialPlacement& p_placement, + unsigned iteration); + + /** + * @brief Store the x and y solutions in Eigen's vectors into the partial + * placement object. + */ + void store_solution_into_placement(const Eigen::VectorXd& x_soln, + const Eigen::VectorXd& y_soln, + PartialPlacement& p_placement); + // The following variables represent the linear system without any anchor // points. These are filled in the constructor and never modified. // When the anchor-points are taken into consideration, the diagonal of the @@ -181,19 +257,36 @@ class QPHybridSolver : public AnalyticalSolver { Eigen::VectorXd b_x; /// @brief The constant vector in the y dimension for the linear system. Eigen::VectorXd b_y; + /// @brief The number of variables in the solver. This is the sum of the + /// number of moveable blocks in the netlist and the number of star + /// nodes that exist. + size_t num_variables_ = 0; + + /// @brief The current guess for the x positions of the blocks. + Eigen::VectorXd guess_x; + /// @brief The current guess for the y positions of the blocks. + Eigen::VectorXd guess_y; -public: + /// @brief The total number of CG iterations this solver has performed so far. + unsigned total_num_cg_iters_ = 0; + public: /** * @brief Constructor of the QPHybridSolver * * Initializes internal data and constructs the initial linear system. */ - QPHybridSolver(const APNetlist& netlist) : AnalyticalSolver(netlist) { + QPHybridSolver(const APNetlist& netlist, + const DeviceGrid& device_grid, + int log_verbosity) + : AnalyticalSolver(netlist, log_verbosity) { // Initializing the linear system only depends on the netlist and fixed // block locations. Both are provided by the netlist, allowing this to // be initialized in the constructor. init_linear_system(); + + // Initialize the guesses for the first iteration. + init_guesses(device_grid); } /** @@ -216,8 +309,284 @@ class QPHybridSolver : public AnalyticalSolver { * @param p_placement A "guess" solution. The result will be written into * this object. */ - void solve(unsigned iteration, PartialPlacement &p_placement) final; + void solve(unsigned iteration, PartialPlacement& p_placement) final; + + /** + * @brief Print statistics of the solver. + */ + void print_statistics() final; }; -#endif // EIGEN_INSTALLED +/** + * @brief An Analytical Solver which tries to minimize the linear HPWL objective: + * SUM((xmax - xmin) + (ymax - ymin)) over all nets. + * + * This is implemented using the Bound2Bound method, which iteratively sets up a + * linear system of equations (similar to the QP Hybrid approach above) which + * solves a quadratic objective function. For a net model, each block connects + * to the current bounding blocks in the given dimension and the weight of this + * connection is inversly proportional to the distance of the block to the bound. + * After minimizing this system, the bounds are likely to change; so the system + * needs to be reconstructed and solved iteratively. + * + * This technique was proposed in Kraftwerk2, where they proved that the B2B Net + * Model will, in theory, converge on the linear HPWL solution. + * https://doi.org/10.1109/TCAD.2008.925783 + */ +class B2BSolver : public AnalyticalSolver { + private: + /** + * @brief Enumeration for different initial placements that this class can + * perform in the first iteration. + * + * TODO: Investigate other initial placement techniques, the first iteration + * can be very expensive. + */ + enum class e_initial_placement_type { + LeastDense //< Randomly place blocks as a uniform grid over the device. + }; + /// @brief Which initial placement algorithm to use in the first iteration. + /// In the first iteration, we need some solution to initialize the + /// bounds. Some papers have found that setting it to a random + /// initial placement is the best approach. + static constexpr e_initial_placement_type initial_placement_ty_ = e_initial_placement_type::LeastDense; + + /// @brief Since the weights in the B2B model divide by the distance between + /// blocks and their bounds, that distance may get very very close to + /// 0. This causes the weight matrix to become numerically unstable. + /// We can gaurd against this by clamping the distance to not be smaller + /// than some epsilon. + /// Decreasing this number may lead to more instability, but can yield + /// a higher quality solution. + static constexpr double distance_epsilon_ = 0.01; + + /// @brief The gap between the HPWL of the current solved solution in the + /// B2B loop and the previous solved solution that is considered to + /// be close-enough to be converged (as a fraction of the current + /// solved solution HPWL). + /// Decreasing this number toward zero would cause the B2B solver to run + /// more iterations to try and reduce the HPWL further. + static constexpr double b2b_convergence_gap_fac_ = 0.001; + + /// @brief The number of times the B2B loop should "converge" before stopping + /// the loop. Due to numerical inaccuracies, it is possible for the + /// HPWL to bounce up and down as it converges. Increasing this number + /// will allow more bounces which may get better quality; however + /// more iterations will need to be run. + static constexpr unsigned target_num_b2b_convergences_ = 2; + + /// @brief Max number of bound update / solve iterations. Increasing this + /// number will yield better quality at the expense of runtime. + static constexpr unsigned max_num_bound_updates_ = 24; + + /// @brief Max number of iterations the Conjugate Gradient solver can perform. + /// Due to the weights getting very large in the early iterations of + /// Global Placement, the CG solver may take a very long time to + /// converge; but the solution quality will not change much. By + /// default the max iteration is set to 2 * num_moveable_blocks; + /// which causes the first iteration of B2B to become quadratic in the + /// number of moveable blocks if it cannot converge. Found through + /// experimentation that this can be clamped to a much smaller number + /// to prevent this behaviour and get good runtime. + // TODO: Need to investigate this more to find a good number for this. + // TODO: Should this be a proportion of the design size? + static constexpr unsigned max_cg_iterations_ = 150; + + // The following constants are used to configure the anchor weighting. + // The weights of anchors grow exponentially each iteration by the following + // function: + // anchor_w = anchor_weight_mult_ * e^(iter / anchor_weight_exp_fac_) + // The numbers below were empircally found to work well. + + /// @brief Multiplier for the anchorweight. The smaller this number is, the + /// weaker the anchors will be at the start. + static constexpr double anchor_weight_mult_ = 0.01; + + /// @brief Factor for controlling the growth of the exponential term in the + /// weight factor function. Larger numbers will cause the anchor + /// weights to grow slower. + static constexpr double anchor_weight_exp_fac_ = 5.0; + + public: + B2BSolver(const APNetlist& ap_netlist, + const DeviceGrid& device_grid, + int log_verbosity) + : AnalyticalSolver(ap_netlist, log_verbosity) + , device_grid_width_(device_grid.width()) + , device_grid_height_(device_grid.height()) {} + + /** + * @brief Perform an iteration of the B2B solver, storing the result into + * the partial placement object passed in. + * + * In the first iteration (iteration = 0), the partial placement object will + * be ignored, and a random initial placement will be used to initially + * construct the system of equations. In all other iterations, the previous + * solved solution will be used. + * + * The B2B solver will then iteratively solve the system of equations and + * update the system to achieve a good HPWL solution which is close to the + * linear HPWL solution. Due to numerical issues with this algorithm, we will + * likely not converge on the true minimum HPWL solution, but it should be + * close. + * + * See the base class for more information. + * + * @param iteration + * The current iteration of the Global Placer + * @param p_placement + * A "guess" solution. The result will be written into this object. + * In all iterations other than the first, this solution will be used + * as anchor-points in the system. + */ + void solve(unsigned iteration, PartialPlacement& p_placement) final; + + /** + * @brief Print overall statistics on this solver. + * + * This is expected to be called after all iterations of Global Placement + * has been complete. + */ + void print_statistics() final; + + private: + /** + * @brief Run the B2B outer solving loop. + * + * The placement in p_placement should be initialized with the initial + * positions of the blocks that the B2B algorithm should use to build the + * first system of equations. This placement will be iteratively updated + * with better and better solutions as B2B iterates. + * + * If iteration is 0, no anchor-blocks will be added to the system, otherwise + * the solution in block_locs_legalized will be used as anchor-blocks. + */ + void b2b_solve_loop(unsigned iteration, PartialPlacement& p_placement); + + /** + * @brief Randomly distributes AP blocks using a normal distribution. + */ + void initialize_placement_random_normal(PartialPlacement& p_placement); + + /** + * @brief Randomly distributes AP blocks using a uniform distribution. + */ + void initialize_placement_random_uniform(PartialPlacement& p_placement); + + /** + * @brief Randomly distributes AP blocks using as a uniform grid. + */ + void initialize_placement_least_dense(PartialPlacement& p_placement); + + /** + * @brief Add a weighted connection to the linear system between the first + * and second blocks for a single dimension. + * + * This method is used to construct different linear systems for different + * dimensions (x and y). Since the act of adding weighted connections is the + * same regardless of dimension, this method passes in dimension-specific + * information to be updated. + * + * @param first_blk_id + * @param second_blk_id + * @param num_pins + * The number of pins in the hypernet connecting the two blocks. + * @param blk_locs + * The location of all blocks in a given dimension. + * @param triplet_list + * The triplet list which will be used to construct the connectivity + * matrix for this dimension. + * @param b + * The constant vector for this dimension. + */ + void add_connection_to_system(APBlockId first_blk_id, + APBlockId second_blk_id, + size_t num_pins, + const vtr::vector& blk_locs, + std::vector>& triplet_list, + Eigen::VectorXd& b); + + /** + * @brief Initializes the linear system with the given partial placement. + * + * Blocks will be connected to the bounding blocks of their nets using + * weighted connections, with weight inversly proportional to the distance + * between blocks and the bounds. When solved in a quadratic equation this + * approximates a linear equation. + * + * This will set the connectivity matrices (A) and constant vectors (b) to + * be solved by B2B. + */ + void init_linear_system(PartialPlacement& p_placement); + + /** + * @brief Updates the linear system with anchor-blocks from the legalized + * solution. + */ + void update_linear_system_with_anchors(unsigned iteration); + + /** + * @brief Store the x and y solutions in Eigen's vectors into the partial + * placement object. + * + * Note: The x_soln and y_soln may be modified if it is found that the + * solution is imposible (i.e. has negative positions). + */ + void store_solution_into_placement(Eigen::VectorXd& x_soln, + Eigen::VectorXd& y_soln, + PartialPlacement& p_placement); + + // The following are variables used to store the system of equations to be + // solved in the x and y dimensions. The equations are of the form: + // Ax = b + // There are two sets of matrices and vectors since the x and y dimensions + // of the objective are independent and can be solved separately. + // These are updated each iteration of the B2B loop. + + /// @brief The coefficient / connectivity matrix for the x dimension. + Eigen::SparseMatrix A_sparse_x; + /// @brief The coefficient / connectivity matrix for the y dimension. + Eigen::SparseMatrix A_sparse_y; + /// @brief The constant vector in the x dimension. + Eigen::VectorXd b_x; + /// @brief The constant vector in the y dimension. + Eigen::VectorXd b_y; + + // The following is the solution of the previous iteration of this solver. + // They are updated at the end of solve() and are used as the starting point + // for the next call to solve. + vtr::vector block_x_locs_solved; + vtr::vector block_y_locs_solved; + + // The following are the legalized solution coming into the analytical solver + // (other than the first iteration). These are stored to be used as anchor + // blocks during the solver. + vtr::vector block_x_locs_legalized; + vtr::vector block_y_locs_legalized; + + /// @brief The width of the device grid. Used for randomly generating points + /// on the grid. + size_t device_grid_width_; + /// @brief The height of the device grid. Used for randomly generating points + /// on the grid. + size_t device_grid_height_; + + /// @brief The total number of CG iterations that this solver has performed + /// so far. This can be a useful metric for the amount of work the + /// solver performs. + unsigned total_num_cg_iters_ = 0; + + /// @brief The total time spent building the linear systems in the B2B solve + /// loop so far. This includes creating connections between blocks + /// in the connectivity matrix and constant vector as well as adding + /// anchor connections. + float total_time_spent_building_linear_system_ = 0.0f; + + /// @brief The total time spent solving the linear systems in the B2B solve + /// loop so far. This includes creating the CG solver object and + /// actually solving for a solution. + float total_time_spent_solving_linear_system_ = 0.0f; +}; + +#endif // EIGEN_INSTALLED diff --git a/vpr/src/analytical_place/ap_flow_enums.h b/vpr/src/analytical_place/ap_flow_enums.h new file mode 100644 index 00000000000..91c1407059d --- /dev/null +++ b/vpr/src/analytical_place/ap_flow_enums.h @@ -0,0 +1,55 @@ +/** + * @file + * @author Alex Singer + * @date February 2025 + * @brief Enumerations used by the Analytical Placement Flow. + */ + +#pragma once + +/** + * @brief The type of an Analytical Solver. + * + * The Analytical Placement flow may implement different Analytical Solvers as + * part of the Global Placer. This enum can select between these different + * Analytical Solvers. + */ +enum class e_ap_analytical_solver { + QP_Hybrid, ///< Analytical Solver which uses the hybrid net model to optimize the quadratic HPWL objective. + LP_B2B ///< Analytical Solver which uses the B2B net model to optimize the linear HPWL objective. +}; + +/** + * @brief The type of a Partial Legalizer. + * + * The Analytical Placement flow may implement different Partial Legalizer as + * part of the Global Placer. This enum can select between these different + * Partial Legalizers. + */ +enum class e_ap_partial_legalizer { + BiPartitioning, ///< Partial Legalizer which forms minimum windows around dense regions and uses bipartitioning to spread blocks over windows. + FlowBased ///> Partial Legalizer which flows blocks from overfilled bins to underfilled bins. +}; + +/** + * @brief The type of a Full Legalizer. + * + * The Analytical Placement flow may implement different Full Legalizers. This + * enum can select between these different Full Legalizers. + */ +enum class e_ap_full_legalizer { + Naive, ///< The Naive Full Legalizer, which clusters atoms placed in the same tile and tries to place them in that tile according to the flat placement. + APPack, ///< The APPack Full Legalizer, which uses the flat placement to improve the Packer and Placer. + Basic_Min_Disturbance ///< The Basic Min. Disturbance Full Legalizer, which tries to reconstruct a clustered placement that is as close to the incoming flat placement as it can. +}; + +/** + * @brief The type of a Detailed Placer. + * + * The Analytical Placement flow may implement different Detailed Placers. This + * enum can select between these different Detailed Placers. + */ +enum class e_ap_detailed_placer { + Identity, ///< The Identity Detailed Placer, which does not perform any optimizations on the legalized placement. Needed as a placeholder. + Annealer ///< The Annealer Detailed Placer, which runs the annealer found in the Place part of the VPR flow (using the same options as the Placement stage). +}; diff --git a/vpr/src/analytical_place/ap_netlist.cpp b/vpr/src/analytical_place/ap_netlist.cpp index 6ae59e596b4..26c80119b9a 100644 --- a/vpr/src/analytical_place/ap_netlist.cpp +++ b/vpr/src/analytical_place/ap_netlist.cpp @@ -9,13 +9,13 @@ #include #include "netlist_fwd.h" #include "netlist_utils.h" -#include "vpr_types.h" +#include "prepack.h" #include "vtr_assert.h" /* * Blocks */ -const t_pack_molecule* APNetlist::block_molecule(const APBlockId id) const { +PackMoleculeId APNetlist::block_molecule(const APBlockId id) const { VTR_ASSERT_SAFE(valid_block_id(id)); return block_molecules_[id]; @@ -37,11 +37,11 @@ const APFixedBlockLoc& APNetlist::block_loc(const APBlockId id) const { /* * Mutators */ -APBlockId APNetlist::create_block(const std::string& name, const t_pack_molecule* mol) { +APBlockId APNetlist::create_block(const std::string& name, PackMoleculeId molecule_id) { APBlockId blk_id = Netlist::create_block(name); // Initialize the data - block_molecules_.insert(blk_id, mol); + block_molecules_.insert(blk_id, molecule_id); block_mobilities_.insert(blk_id, APBlockMobility::MOVEABLE); block_locs_.insert(blk_id, APFixedBlockLoc()); @@ -49,7 +49,7 @@ APBlockId APNetlist::create_block(const std::string& name, const t_pack_molecule VTR_ASSERT(validate_block_sizes()); // Check post-conditions: values - VTR_ASSERT(block_molecule(blk_id) == mol); + VTR_ASSERT(block_molecule(blk_id) == molecule_id); VTR_ASSERT(block_mobility(blk_id) == APBlockMobility::MOVEABLE); return blk_id; @@ -59,18 +59,18 @@ void APNetlist::set_block_loc(const APBlockId id, const APFixedBlockLoc& loc) { VTR_ASSERT_SAFE(valid_block_id(id)); // Check that the location is fixed; if all dims are unfixed then it is not fixed. - if (loc.x == APFixedBlockLoc::UNFIXED_DIM && - loc.y == APFixedBlockLoc::UNFIXED_DIM && - loc.sub_tile == APFixedBlockLoc::UNFIXED_DIM && - loc.layer_num == APFixedBlockLoc::UNFIXED_DIM) + if (loc.x == APFixedBlockLoc::UNFIXED_DIM + && loc.y == APFixedBlockLoc::UNFIXED_DIM + && loc.sub_tile == APFixedBlockLoc::UNFIXED_DIM + && loc.layer_num == APFixedBlockLoc::UNFIXED_DIM) return; // Ensure that the block is fixed to a single position on the grid (x, y, layer). // sub-tile is allowed to be unfixed. - VTR_ASSERT(loc.x != APFixedBlockLoc::UNFIXED_DIM && - loc.y != APFixedBlockLoc::UNFIXED_DIM && - loc.layer_num != APFixedBlockLoc::UNFIXED_DIM && - "AP: Currently, AP assumes block is locked down to a single position on the device grid."); + VTR_ASSERT(loc.x != APFixedBlockLoc::UNFIXED_DIM + && loc.y != APFixedBlockLoc::UNFIXED_DIM + && loc.layer_num != APFixedBlockLoc::UNFIXED_DIM + && "AP: Currently, AP assumes block is locked down to a single position on the device grid."); block_locs_[id] = loc; block_mobilities_[id] = APBlockMobility::FIXED; @@ -207,4 +207,3 @@ bool APNetlist::validate_net_sizes_impl(size_t /*num_nets*/) const { // No AP-specific net data to check return true; } - diff --git a/vpr/src/analytical_place/ap_netlist.h b/vpr/src/analytical_place/ap_netlist.h index ec64ae38a9b..32dd8448018 100644 --- a/vpr/src/analytical_place/ap_netlist.h +++ b/vpr/src/analytical_place/ap_netlist.h @@ -23,9 +23,7 @@ #include #include "netlist.h" #include "ap_netlist_fwd.h" - -// Forward declarations -class t_pack_molecule; +#include "prepack.h" /** * @brief Struct to store fixed block location information @@ -51,8 +49,8 @@ struct APFixedBlockLoc { * block ids. */ enum class APBlockMobility : bool { - MOVEABLE, // The block is not constrained in any dimension. - FIXED // The block is fixed. + MOVEABLE, // The block is not constrained in any dimension. + FIXED // The block is fixed. }; /** @@ -64,7 +62,7 @@ enum class APBlockMobility : bool { * APBlocks. These need not have physical meaning. */ class APNetlist : public Netlist { -public: + public: /** * @brief Constructs a netlist * @@ -72,18 +70,19 @@ class APNetlist : public Netlist { * @param id A unique identifier for the netlist (e.g. a secure digest of * the input file) */ - APNetlist(std::string name = "", std::string id = "") : Netlist(name, id) {} + APNetlist(std::string name = "", std::string id = "") + : Netlist(name, id) {} APNetlist(const APNetlist& rhs) = default; APNetlist& operator=(const APNetlist& rhs) = default; -public: // Public Accessors + public: // Public Accessors /* * Blocks */ /// @brief Returns the molecule that this block represents. - const t_pack_molecule* block_molecule(const APBlockId id) const; + PackMoleculeId block_molecule(const APBlockId id) const; /// @brief Returns the mobility of this block. APBlockMobility block_mobility(const APBlockId id) const; @@ -92,7 +91,7 @@ class APNetlist : public Netlist { /// This method should not be used if the block is moveable. const APFixedBlockLoc& block_loc(const APBlockId id) const; -public: // Public Mutators + public: // Public Mutators /* * Note: all create_*() functions will silently return the appropriate ID * if it has already been created. @@ -104,7 +103,7 @@ class APNetlist : public Netlist { * @param name The unique name of the block * @param mol The molecule the block represents */ - APBlockId create_block(const std::string& name, const t_pack_molecule* mol); + APBlockId create_block(const std::string& name, PackMoleculeId molecule_id); /** * @brief Fixes a block at the given location @@ -143,7 +142,7 @@ class APNetlist : public Netlist { */ APNetId create_net(const std::string& name); -private: // Private Members + private: // Private Members /* * Netlist compression / optimization */ @@ -180,13 +179,12 @@ class APNetlist : public Netlist { bool validate_pin_sizes_impl(size_t num_pins) const override; bool validate_net_sizes_impl(size_t num_nets) const override; -private: // Private Data + private: // Private Data /// @brief Molecule of each block - vtr::vector_map block_molecules_; + vtr::vector_map block_molecules_; /// @brief Type of each block vtr::vector_map block_mobilities_; /// @brief Location of each block (if fixed). /// NOTE: This vector will likely be quite sparse. vtr::vector_map block_locs_; }; - diff --git a/vpr/src/analytical_place/ap_netlist_fwd.h b/vpr/src/analytical_place/ap_netlist_fwd.h index 3fc9e87dea7..ede36cf6a07 100644 --- a/vpr/src/analytical_place/ap_netlist_fwd.h +++ b/vpr/src/analytical_place/ap_netlist_fwd.h @@ -26,7 +26,7 @@ class APNetlist; * @brief A unique identifier for a block in the AP netlist */ class APBlockId : public ParentBlockId { -public: + public: static constexpr APBlockId INVALID() { return APBlockId(); } using ParentBlockId::ParentBlockId; @@ -37,7 +37,7 @@ class APBlockId : public ParentBlockId { * @brief A unique identifier for a net in the AP netlist */ class APNetId : public ParentNetId { -public: + public: static constexpr APNetId INVALID() { return APNetId(); } using ParentNetId::ParentNetId; @@ -48,7 +48,7 @@ class APNetId : public ParentNetId { * @brief A unique identifier for a port in the AP netlist */ class APPortId : public ParentPortId { -public: + public: static constexpr APPortId INVALID() { return APPortId(); } using ParentPortId::ParentPortId; @@ -59,7 +59,7 @@ class APPortId : public ParentPortId { * @brief A unique identifier for a pin in the AP netlist */ class APPinId : public ParentPinId { -public: + public: static constexpr APPinId INVALID() { return APPinId(); } using ParentPinId::ParentPinId; @@ -101,4 +101,3 @@ struct hash { } }; } // namespace std - diff --git a/vpr/src/analytical_place/detailed_placer.cpp b/vpr/src/analytical_place/detailed_placer.cpp new file mode 100644 index 00000000000..75001fe4c42 --- /dev/null +++ b/vpr/src/analytical_place/detailed_placer.cpp @@ -0,0 +1,109 @@ +/** + * @file + * @author Alex Singer + * @date February 2025 + * @brief Implementation of the Detailed Placers. + */ + +#include "detailed_placer.h" +#include +#include "PlacementDelayModelCreator.h" +#include "ap_flow_enums.h" +#include "atom_netlist.h" +#include "clustered_netlist.h" +#include "clustered_netlist_utils.h" +#include "echo_files.h" +#include "flat_placement_types.h" +#include "globals.h" +#include "physical_types.h" +#include "place_and_route.h" +#include "place_delay_model.h" +#include "placer.h" +#include "vpr_error.h" +#include "vpr_types.h" +#include "vpr_utils.h" +#include "vtr_time.h" + +std::unique_ptr make_detailed_placer(e_ap_detailed_placer detailed_placer_type, + const BlkLocRegistry& curr_clustered_placement, + const AtomNetlist& atom_netlist, + const ClusteredNetlist& clustered_netlist, + t_vpr_setup& vpr_setup, + const t_arch& arch) { + switch (detailed_placer_type) { + case e_ap_detailed_placer::Identity: + return std::make_unique(); + case e_ap_detailed_placer::Annealer: + return std::make_unique(curr_clustered_placement, + atom_netlist, + clustered_netlist, + vpr_setup, + arch); + default: + VPR_FATAL_ERROR(VPR_ERROR_AP, + "Unrecognized detailed placer type"); + } +} + +AnnealerDetailedPlacer::AnnealerDetailedPlacer(const BlkLocRegistry& curr_clustered_placement, + const AtomNetlist& atom_netlist, + const ClusteredNetlist& clustered_netlist, + t_vpr_setup& vpr_setup, + const t_arch& arch) + : DetailedPlacer() + // TODO: These two variables needed to be stored in the class since + // the Placer stores a reference to these objects. These + // should really be initialized and stored into the Placer + // class directly. + , pb_gpin_lookup_(g_vpr_ctx.device().logical_block_types) + , netlist_pin_lookup_(clustered_netlist, atom_netlist, pb_gpin_lookup_) { + // Initialize the place delay model. + // TODO: This initialization is complicated. Should be moved within create_delay_model + // or something. + std::shared_ptr place_delay_model; + if (vpr_setup.PlacerOpts.place_algorithm.is_timing_driven()) { + place_delay_model = PlacementDelayModelCreator::create_delay_model(vpr_setup.PlacerOpts, + vpr_setup.RouterOpts, + (const Netlist<>&)clustered_netlist, + &vpr_setup.RoutingArch, + vpr_setup.Segments, + arch.Chans, + arch.directs, + false /*is_flat*/); + if (isEchoFileEnabled(E_ECHO_PLACEMENT_DELTA_DELAY_MODEL)) { + place_delay_model->dump_echo(getEchoFileName(E_ECHO_PLACEMENT_DELTA_DELAY_MODEL)); + } + } + + placer_ = std::make_unique((const Netlist<>&)clustered_netlist, + curr_clustered_placement, + vpr_setup.PlacerOpts, + vpr_setup.AnalysisOpts, + vpr_setup.NocOpts, + pb_gpin_lookup_, + netlist_pin_lookup_, + FlatPlacementInfo(), + place_delay_model, + g_vpr_ctx.placement().cube_bb, + false /*is_flat*/, + false /*quiet*/); +} + +void AnnealerDetailedPlacer::optimize_placement() { + // Create a scoped timer for the detailed placer. + vtr::ScopedStartFinishTimer full_legalizer_timer("AP Detailed Placer"); + + // Prevent the annealer from directly modifying the global legal placement. + // It should only modify its own, local placement. + g_vpr_ctx.mutable_placement().lock_loc_vars(); + + // Run the simulated annealer. + placer_->place(); + + // Copy the placement solution into the global placement solution. + placer_->copy_locs_to_global_state(g_vpr_ctx.mutable_placement()); + + // Since the placement was modified, need to resynchronize the pins in the + // clusters. + post_place_sync(); +} diff --git a/vpr/src/analytical_place/detailed_placer.h b/vpr/src/analytical_place/detailed_placer.h new file mode 100644 index 00000000000..46f769a4d22 --- /dev/null +++ b/vpr/src/analytical_place/detailed_placer.h @@ -0,0 +1,108 @@ +/** + * @file + * @author Alex Singer + * @date February 2025 + * @brief Defines the DetailedPlacer class which takes a fully legal clustering + * and placement and optimizes them while remaining legal. + */ + +#pragma once + +#include +#include "ap_flow_enums.h" +#include "clustered_netlist_utils.h" +#include "placer.h" +#include "vpr_utils.h" + +/** + * @brief The detailed placer in an AP flow. + * + * Given a fully legal clustering and clustered placement, will optimize the + * solution while remaining fully legal (able to be used in the rest of the VPR + * flow). + */ +class DetailedPlacer { + public: + virtual ~DetailedPlacer() {} + + DetailedPlacer() = default; + + /** + * @brief Optimize the current legal placement. + */ + virtual void optimize_placement() = 0; +}; + +/** + * @brief A factory method which creates a Detailed Placer of the given type. + */ +std::unique_ptr make_detailed_placer(e_ap_detailed_placer detailed_placer_type, + const BlkLocRegistry& curr_clustered_placement, + const AtomNetlist& atom_netlist, + const ClusteredNetlist& clustered_netlist, + t_vpr_setup& vpr_setup, + const t_arch& arch); + +/** + * @brief The Identity Detailed Placer. + * + * This detailed placer does literally nothing to the legal placement. This + * class is used as a placeholder to make the higher-level code easier to work + * with. + */ +class IdentityDetailedPlacer : public DetailedPlacer { + public: + using DetailedPlacer::DetailedPlacer; + + void optimize_placement() final {} +}; + +/** + * @brief The Annealer Detailed Placer. + * + * This Detailed Placer passes the legal solution into the Annealer in the + * VPR flow (uses the legal solution as the initial placement). This performs + * the Simulated Annealing algorithm on the solution at the cluster level to + * try and find a better clustered placement. + * + * This Detailed Placer reuses the options from the Placer stage of VPR for this + * stage. So options passed to the Placer will be used in here. + */ +class AnnealerDetailedPlacer : public DetailedPlacer { + public: + /** + * @brief Construct the Annealer Detailed Placer class. + * + * @param curr_clustered_placement + * The legalized placement solution to pass as the initial placement + * into the annealer. + * @param atom_netlist + * The netlist of atoms in the circuit. + * @param clustered_netlist + * The netlist of clusters created by the Full Legalizer. + * @param vpr_setup + * The setup variables, used to get the params from the user. + * @param arch + * The FPGA architecture to optimize onto. + */ + AnnealerDetailedPlacer(const BlkLocRegistry& curr_clustered_placement, + const AtomNetlist& atom_netlist, + const ClusteredNetlist& clustered_netlist, + t_vpr_setup& vpr_setup, + const t_arch& arch); + + /** + * @brief Run the annealer. + */ + void optimize_placement() final; + + private: + /// @brief The placer class, which contains the annealer. + std::unique_ptr placer_; + + /// @brief A lookup between the block pin indices and pb graph pins. + IntraLbPbPinLookup pb_gpin_lookup_; + + /// @brief A lookup between CLB pins and atom pins. + ClusteredPinAtomPinsLookup netlist_pin_lookup_; +}; diff --git a/vpr/src/analytical_place/flat_placement_bins.h b/vpr/src/analytical_place/flat_placement_bins.h new file mode 100644 index 00000000000..e94ed958312 --- /dev/null +++ b/vpr/src/analytical_place/flat_placement_bins.h @@ -0,0 +1,196 @@ +/** + * @file + * @author Alex Singer + * @date February 2025 + * @brief Flat Placement Bin Abstraction + * + * This file declares a class which can bin AP Blocks spatially throughout the + * FPGA. + */ + +#pragma once + +#include +#include "ap_netlist.h" +#include "vtr_assert.h" +#include "vtr_geometry.h" +#include "vtr_log.h" +#include "vtr_range.h" +#include "vtr_strong_id.h" +#include "vtr_vector.h" +#include "vtr_vector_map.h" + +// The tag for the flat placement bin. +struct flat_placement_bin_tag {}; + +/** + * @brief A unique ID to a flat placement bin. + */ +typedef vtr::StrongId FlatPlacementBinId; + +/** + * @brief A container of bins which hold AP blocks and take up space on the FPGA. + * + * For flat placement, blocks may be placed anywhere on the FPGA grid. This + * placement is continuous; however, in order to compute quantities like density + * and legality, there needs to be a way to bin blocks together spatially. + * + * This class maintains bins which hold AP blocks and take up a rectangular + * amount of space on the FPGA grid. + * + * This class is only a container; it leaves how the FPGA is split into bins to + * higher level classes. + */ +class FlatPlacementBins { + public: + // Iterator for the flat placement bin IDs + typedef typename vtr::vector_map::const_iterator bin_iterator; + + // Range for the flat placement bin IDs + typedef typename vtr::Range bin_range; + + FlatPlacementBins(const APNetlist& ap_netlist) + : block_bin_(ap_netlist.blocks().size(), FlatPlacementBinId::INVALID()) {} + + /** + * @brief Returns a range of all bins that have been created. + */ + bin_range bins() const { + return vtr::make_range(bin_ids_.begin(), bin_ids_.end()); + } + + /** + * @brief Creates a bin which exists in the given bin_region. + * + * @param bin_region + * The rectangular region of the FPGA device that this bin will + * represent. + */ + inline FlatPlacementBinId create_bin(const vtr::Rect& bin_region) { + FlatPlacementBinId new_bin_id = FlatPlacementBinId(bin_ids_.size()); + bin_ids_.push_back(new_bin_id); + bin_region_.push_back(bin_region); + bin_contained_blocks_.resize(bin_contained_blocks_.size() + 1); + return new_bin_id; + } + + /** + * @brief Add the given block to the given bin. + */ + inline void add_block_to_bin(APBlockId blk_id, FlatPlacementBinId bin_id) { + VTR_ASSERT(blk_id.is_valid()); + VTR_ASSERT(bin_id.is_valid()); + VTR_ASSERT(!block_bin_[blk_id].is_valid()); + bin_contained_blocks_[bin_id].insert(blk_id); + block_bin_[blk_id] = bin_id; + } + + /** + * @brief Remove the given block from the given bin. The bin must contain + * this block. + */ + inline void remove_block_from_bin(APBlockId blk_id, FlatPlacementBinId bin_id) { + VTR_ASSERT(blk_id.is_valid()); + VTR_ASSERT(bin_id.is_valid()); + VTR_ASSERT(block_bin_[blk_id] == bin_id); + bin_contained_blocks_[bin_id].erase(blk_id); + block_bin_[blk_id] = FlatPlacementBinId::INVALID(); + } + + /** + * @brief Get the blocks contained within the given bin. + */ + inline const std::unordered_set& bin_contained_blocks(FlatPlacementBinId bin_id) const { + VTR_ASSERT(bin_id.is_valid()); + return bin_contained_blocks_[bin_id]; + } + + /** + * @brief Get the region of the FPGA that the given bin covers. + */ + inline const vtr::Rect& bin_region(FlatPlacementBinId bin_id) const { + VTR_ASSERT(bin_id.is_valid()); + return bin_region_[bin_id]; + } + + /** + * @brief Get the bin that contains the given AP block. + */ + inline FlatPlacementBinId block_bin(APBlockId blk_id) const { + VTR_ASSERT(blk_id.is_valid()); + return block_bin_[blk_id]; + } + + /** + * @brief Remove all of the AP blocks from the given bin. + */ + inline void remove_all_blocks_from_bin(FlatPlacementBinId bin_id) { + VTR_ASSERT(bin_id.is_valid()); + // Invalidate the block bin lookup for the blocks in the bin. + for (APBlockId blk_id : bin_contained_blocks_[bin_id]) { + block_bin_[blk_id] = FlatPlacementBinId::INVALID(); + } + // Remove all of the blocks from the bin. + bin_contained_blocks_[bin_id].clear(); + } + + /** + * @brief Verify the internal members of this class are consistent. + */ + inline bool verify() const { + // Ensure all bin IDs are valid and consistent. + for (FlatPlacementBinId bin_id : bin_ids_) { + if (!bin_id.is_valid()) { + VTR_LOG("Bin Verify: Invalid bin ID in bins.\n"); + return false; + } + if (bin_ids_.count(bin_id) != 1) { + VTR_LOG("Bin Verify: Found a bin ID not in the bin IDs array.\n"); + return false; + } + if (bin_ids_[bin_id] != bin_id) { + VTR_LOG("Bin Verify: Bin ID found which is not consistent.\n"); + return false; + } + } + + // Ensure the data members of this class are all the correct size. + size_t num_bins = bin_ids_.size(); + if (bin_contained_blocks_.size() != num_bins) { + VTR_LOG("Bin Verify: bin_constained_blocks_ not the correct size.\n"); + return false; + } + if (bin_region_.size() != num_bins) { + VTR_LOG("Bin Verify: bin_region_ not the correct size.\n"); + return false; + } + + // Make sure that the bin_contained_blocks_ and the block_bin_ are + // consistent. + for (FlatPlacementBinId bin_id : bin_ids_) { + for (APBlockId blk_id : bin_contained_blocks_[bin_id]) { + if (block_bin_[blk_id] != bin_id) { + VTR_LOG("Bin Verify: Block is contained within a bin but does not agree.\n"); + return false; + } + } + } + + return true; + } + + private: + /// @brief A vector of the Flat Placement Bin IDs. If any of them are invalid, + /// then that means that the bin has been destroyed. + vtr::vector_map bin_ids_; + + /// @brief The contained AP blocks of each bin. + vtr::vector_map> bin_contained_blocks_; + + /// @brief The bin that contains each AP block. + vtr::vector block_bin_; + + /// @brief The region that each bin represents on the FPGA grid. + // TODO: For 3D FPGAs, this should be a 3D rectangle. + vtr::vector_map> bin_region_; +}; diff --git a/vpr/src/analytical_place/flat_placement_density_manager.cpp b/vpr/src/analytical_place/flat_placement_density_manager.cpp new file mode 100644 index 00000000000..f4c37b191c8 --- /dev/null +++ b/vpr/src/analytical_place/flat_placement_density_manager.cpp @@ -0,0 +1,309 @@ +/** + * @file + * @author Alex Singer + * @date February 2025 + * @brief Implementation of the density manager class. + */ + +#include "flat_placement_density_manager.h" +#include +#include "ap_netlist.h" +#include "ap_netlist_fwd.h" +#include "atom_netlist.h" +#include "flat_placement_bins.h" +#include "flat_placement_mass_calculator.h" +#include "partial_placement.h" +#include "physical_types.h" +#include "prepack.h" +#include "vtr_assert.h" +#include "vtr_geometry.h" +#include "vtr_vector.h" +#include "vtr_vector_map.h" + +/** + * @brief Calculates how over-capacity the given utilization vector is. + */ +static PrimitiveVector calc_bin_overfill(const PrimitiveVector& bin_utilization, + const PrimitiveVector& bin_capacity) { + PrimitiveVector overfill = bin_utilization - bin_capacity; + overfill.relu(); + VTR_ASSERT_DEBUG(overfill.is_non_negative()); + return overfill; +} + +/** + * @brief Calculates how under-capacity the given utilization vector is. + */ +static PrimitiveVector calc_bin_underfill(const PrimitiveVector& bin_utilization, + const PrimitiveVector& bin_capacity) { + PrimitiveVector underfill = bin_capacity - bin_utilization; + underfill.relu(); + VTR_ASSERT_DEBUG(underfill.is_non_negative()); + return underfill; +} + +FlatPlacementDensityManager::FlatPlacementDensityManager(const APNetlist& ap_netlist, + const Prepacker& prepacker, + const AtomNetlist& atom_netlist, + const DeviceGrid& device_grid, + const std::vector& logical_block_types, + const std::vector& physical_tile_types, + int log_verbosity) + : ap_netlist_(ap_netlist) + , bins_(ap_netlist) + , mass_calculator_(ap_netlist, prepacker, atom_netlist, logical_block_types, physical_tile_types, log_verbosity) + , log_verbosity_(log_verbosity) { + // Initialize the bin spatial lookup object. + size_t num_layers, width, height; + std::tie(num_layers, width, height) = device_grid.dim_sizes(); + bin_spatial_lookup_.resize({num_layers, width, height}); + + // Create a bin for each tile. This will create one bin for each root tile + // location. + vtr::vector_map bin_phy_tile_type_idx; + for (size_t layer = 0; layer < num_layers; layer++) { + for (size_t x = 0; x < width; x++) { + for (size_t y = 0; y < height; y++) { + // Only create bins for root tile locations. + auto tile_loc = t_physical_tile_loc(x, y, layer); + int w_offset = device_grid.get_width_offset(tile_loc); + int h_offset = device_grid.get_height_offset(tile_loc); + if (w_offset != 0 || h_offset != 0) { + // If this is not a root tile location, set the spatial bin + // lookup to point to the root tile location's bin. + FlatPlacementBinId root_bin_id = bin_spatial_lookup_[layer][x - w_offset][y - h_offset]; + bin_spatial_lookup_[layer][x][y] = root_bin_id; + continue; + } + + // Create a bin for this tile. + auto tile_type = device_grid.get_physical_type(tile_loc); + int tw = tile_type->width; + int th = tile_type->height; + VTR_ASSERT_SAFE(tw != 0 && th != 0); + vtr::Rect new_bin_region(vtr::Point(x, y), + vtr::Point(x + tw, + y + th)); + FlatPlacementBinId new_bin_id = bins_.create_bin(new_bin_region); + + // Add the bin to the spatial lookup + bin_spatial_lookup_[layer][x][y] = new_bin_id; + + // Store the index of the physical tile type into a map to be + // used to compute the capacity. + bin_phy_tile_type_idx.insert(new_bin_id, tile_type->index); + } + } + } + + // Initialize the bin capacities to the mass capacity of the physical tile + // this bin represents. + bin_capacity_.resize(bins_.bins().size()); + for (FlatPlacementBinId bin_id : bins_.bins()) { + size_t physical_tile_type_index = bin_phy_tile_type_idx[bin_id]; + bin_capacity_[bin_id] = mass_calculator_.get_physical_tile_type_capacity(physical_tile_type_index); + } + + // Initialize the bin utilizations to be zero (there is nothing in the bin + // currently). + bin_utilization_.resize(bins_.bins().size(), PrimitiveVector()); + + // Initialize the bin underfill and overfill. + bin_underfill_.resize(bins_.bins().size()); + bin_overfill_.resize(bins_.bins().size()); + for (FlatPlacementBinId bin_id : bins_.bins()) { + bin_underfill_[bin_id] = calc_bin_underfill(bin_utilization_[bin_id], bin_capacity_[bin_id]); + bin_overfill_[bin_id] = calc_bin_overfill(bin_utilization_[bin_id], bin_capacity_[bin_id]); + } + + // Note: The overfilled_bins_ are left empty. All bins are empty, therefore + // no bin is overfilled. +} + +FlatPlacementBinId FlatPlacementDensityManager::get_bin(double x, double y, double layer) const { + size_t layer_pos = std::floor(layer); + size_t x_pos = std::floor(x); + size_t y_pos = std::floor(y); + VTR_ASSERT(layer_pos < bin_spatial_lookup_.dim_size(0)); + VTR_ASSERT(x_pos < bin_spatial_lookup_.dim_size(1)); + VTR_ASSERT(y_pos < bin_spatial_lookup_.dim_size(2)); + return bin_spatial_lookup_[layer_pos][x][y]; +} + +void FlatPlacementDensityManager::insert_block_into_bin(APBlockId blk_id, + FlatPlacementBinId bin_id) { + VTR_ASSERT(blk_id.is_valid()); + VTR_ASSERT(bin_id.is_valid()); + // Add the block to the bin. + bins_.add_block_to_bin(blk_id, bin_id); + // Update the bin utilization. + bin_utilization_[bin_id] += mass_calculator_.get_block_mass(blk_id); + // Update the bin overfill and underfill + bin_overfill_[bin_id] = calc_bin_overfill(bin_utilization_[bin_id], bin_capacity_[bin_id]); + bin_underfill_[bin_id] = calc_bin_underfill(bin_utilization_[bin_id], bin_capacity_[bin_id]); + // Insert the bin into the overfilled bin set if it is overfilled. + if (bin_is_overfilled(bin_id)) + overfilled_bins_.insert(bin_id); +} + +void FlatPlacementDensityManager::remove_block_from_bin(APBlockId blk_id, + FlatPlacementBinId bin_id) { + VTR_ASSERT(blk_id.is_valid()); + VTR_ASSERT(bin_id.is_valid()); + // Remove the block from the bin. + bins_.remove_block_from_bin(blk_id, bin_id); + // Update the bin utilization. + bin_utilization_[bin_id] -= mass_calculator_.get_block_mass(blk_id); + // Update the bin overfill and underfill. + bin_overfill_[bin_id] = calc_bin_overfill(bin_utilization_[bin_id], bin_capacity_[bin_id]); + bin_underfill_[bin_id] = calc_bin_underfill(bin_utilization_[bin_id], bin_capacity_[bin_id]); + // Remove from overfilled bins set if it is not overfilled. + if (!bin_is_overfilled(bin_id)) + overfilled_bins_.erase(bin_id); +} + +void FlatPlacementDensityManager::import_placement_into_bins(const PartialPlacement& p_placement) { + // Empty the bins such that all blocks are no longer within the bins. + empty_bins(); + + // Insert each block in the netlist into their bin based on their placement. + // TODO: Maybe import the fixed block locations in the constructor and then + // only import the moveable block locations. + for (APBlockId blk_id : ap_netlist_.blocks()) { + FlatPlacementBinId bin_id = get_bin(p_placement.block_x_locs[blk_id], + p_placement.block_y_locs[blk_id], + p_placement.block_layer_nums[blk_id]); + insert_block_into_bin(blk_id, bin_id); + } +} + +vtr::Point FlatPlacementDensityManager::get_block_location_in_bin(APBlockId blk_id, + const vtr::Rect& bin_region, + const PartialPlacement& p_placement) const { + // A block should not be placed on the edges of the region + // of a bin; however they can be infinitely close to these sides. It is + // arbitrary how close to the edge we place the blocks; opted to place them + // as close as possible. + double epsilon = 0.0001; + double x = std::clamp(p_placement.block_x_locs[blk_id], + bin_region.bottom_left().x() + epsilon, + bin_region.top_right().x() - epsilon); + double y = std::clamp(p_placement.block_y_locs[blk_id], + bin_region.bottom_left().y() + epsilon, + bin_region.top_right().y() - epsilon); + return vtr::Point(x, y); +} + +void FlatPlacementDensityManager::export_placement_from_bins(PartialPlacement& p_placement) const { + // Updates the partial placement with the location of the blocks in the bin + // by moving the blocks to the point with the bin closest to where they + // were originally. + for (APBlockId blk_id : ap_netlist_.blocks()) { + // Only the moveable block locations should be exported. + if (ap_netlist_.block_mobility(blk_id) == APBlockMobility::FIXED) + continue; + // Project the coordinate of the block in the partial placement to the + // closest point in the bin. + FlatPlacementBinId blk_bin_id = bins_.block_bin(blk_id); + VTR_ASSERT_DEBUG(blk_bin_id.is_valid()); + vtr::Point new_blk_pos = get_block_location_in_bin(blk_id, + bins_.bin_region(blk_bin_id), + p_placement); + p_placement.block_x_locs[blk_id] = new_blk_pos.x(); + p_placement.block_y_locs[blk_id] = new_blk_pos.y(); + // NOTE: This code currently does not support 3D FPGAs. + VTR_ASSERT(std::floor(p_placement.block_layer_nums[blk_id]) == 0.0); + } +} + +void FlatPlacementDensityManager::empty_bins() { + // Reset all of the bins and their utilizations. + for (FlatPlacementBinId bin_id : bins_.bins()) { + bins_.remove_all_blocks_from_bin(bin_id); + bin_utilization_[bin_id].clear(); + bin_overfill_[bin_id].clear(); + bin_underfill_[bin_id] = bin_capacity_[bin_id]; + } + // Once all the bins are reset, all bins should be empty; therefore no bins + // are overfilled. + overfilled_bins_.clear(); +} + +bool FlatPlacementDensityManager::verify() const { + // Verify the bins for consistency. + if (!bins_.verify()) { + VTR_LOG("Bins failed to verify.\n"); + return false; + } + // Make sure that every block has a bin. + for (APBlockId blk_id : ap_netlist_.blocks()) { + if (!bins_.block_bin(blk_id).is_valid()) { + VTR_LOG("Bin Verify: Found a block that is not in a bin.\n"); + return false; + } + } + // Make sure that every bin has the correct utilization, supply, and demand. + for (FlatPlacementBinId bin_id : bins_.bins()) { + PrimitiveVector calc_utilization; + for (APBlockId blk_id : bins_.bin_contained_blocks(bin_id)) { + calc_utilization += mass_calculator_.get_block_mass(blk_id); + } + if (bin_utilization_[bin_id] != calc_utilization) { + VTR_LOG("Bin Verify: Found a bin with incorrect utilization.\n"); + return false; + } + PrimitiveVector calc_overfill = bin_utilization_[bin_id] - bin_capacity_[bin_id]; + calc_overfill.relu(); + if (bin_overfill_[bin_id] != calc_overfill) { + VTR_LOG("Bin Verify: Found a bin with incorrect overfill.\n"); + return false; + } + PrimitiveVector calc_underfill = bin_capacity_[bin_id] - bin_utilization_[bin_id]; + calc_underfill.relu(); + if (bin_underfill_[bin_id] != calc_underfill) { + VTR_LOG("Bin Verify: Found a bin with incorrect underfill.\n"); + return false; + } + if (!bin_overfill_[bin_id].is_non_negative()) { + VTR_LOG("Bin Verify: Found a bin with a negative overfill.\n"); + return false; + } + if (!bin_underfill_[bin_id].is_non_negative()) { + VTR_LOG("Bin Verify: Found a bin with a negative underfill.\n"); + return false; + } + if (!bin_capacity_[bin_id].is_non_negative()) { + VTR_LOG("Bin Verify: Found a bin with a negative capacity.\n"); + return false; + } + if (!bin_utilization_[bin_id].is_non_negative()) { + VTR_LOG("Bin Verify: Found a bin with a negative utilization.\n"); + return false; + } + } + // Make sure all overfilled bins are actually overfilled. + // TODO: Need to make sure that all non-overfilled bins are actually not + // overfilled. + for (FlatPlacementBinId bin_id : overfilled_bins_) { + if (bin_overfill_[bin_id].is_zero()) { + VTR_LOG("Bin Verify: Found an overfilled bin that was not overfilled.\n"); + return false; + } + } + // If all above passed, then the bins are valid. + return true; +} + +void FlatPlacementDensityManager::print_bin_grid() const { + size_t width = bin_spatial_lookup_.dim_size(1); + size_t height = bin_spatial_lookup_.dim_size(2); + for (size_t y = 0; y < height; y++) { + for (size_t x = 0; x < width; x++) { + FlatPlacementBinId bin_id = get_bin(x, y, 0.0); + VTR_LOG("%3zu ", + bins_.bin_contained_blocks(bin_id).size()); + } + VTR_LOG("\n"); + } + VTR_LOG("\n"); +} diff --git a/vpr/src/analytical_place/flat_placement_density_manager.h b/vpr/src/analytical_place/flat_placement_density_manager.h new file mode 100644 index 00000000000..ad3977589c1 --- /dev/null +++ b/vpr/src/analytical_place/flat_placement_density_manager.h @@ -0,0 +1,273 @@ +/** + * @file + * @author Alex Singer + * @date February 2024 + * @brief Manager class for how density is calculated in the AP flow. + * + * This class decides how the FPGA grid is partitioned into bins and what + * defines a bin that is "overfilled". + */ + +#pragma once + +#include +#include +#include +#include "flat_placement_bins.h" +#include "flat_placement_mass_calculator.h" +#include "vtr_assert.h" +#include "vtr_ndmatrix.h" +#include "vtr_vector.h" + +class APNetlist; +class AtomNetlist; +class DeviceGrid; +class Prepacker; +struct PartialPlacement; +struct t_logical_block_type; +struct t_physical_tile_type; + +/** + * @brief Manager class for computing the density of a flat placement. + * + * Density is a function of mass and volume. Since a flat placement is a + * continuous placement of discrete objects, the space the objects are placed + * over needs to be partitioned into discrete bins. Regions that are too dense + * are called overfilled bins and regions that may hold more (not too dense) + * are called underfilled bins. This class manages the construction of these + * bins and how overfilled / underfilled they are. + * + * Currently, a bin is created for each tile in the FPGA grid (with a unique + * root tile location). For example, a CLB taking up a single tile would be a + * 1x1 bin, while a DSP block taking up multiple tiles may be a 4x1 bin. The + * capacity of each bin is the capacity of the tile it represents (as computed + * by the flat placement mass calculator). When AP blocks are added / removed + * from bins, this class will maintain the current utilization of the bin. Since + * these masses / capacities are repesented by M-dimensional quantities (where + * M is the number of models in the architecture), the overfill and underfill of + * each bin is given as an M-dimensional vector. For example, in an architecture + * of only LUTs and FFs, an overfill of <3, 1> means that a bin has 3 too many + * LUTs and 1 too many FFs. + * + * This class is able to answer questions about the current density of the flat + * placement such as which bins are currently overfilled, what bin is at the + * given location, etc. + * + * TODO: Add an option to this class to change the granularity of the bins. This + * may allow us to trade off quality and runtime. + */ +class FlatPlacementDensityManager { + public: + /** + * @brief Construct the density manager. + * + * @param ap_netlist + * @param prepacker + * @param atom_netlist + * @param device_grid + * @param logical_block_types + * @param physical_tile_types + * @param log_verbosity + */ + FlatPlacementDensityManager(const APNetlist& ap_netlist, + const Prepacker& prepacker, + const AtomNetlist& atom_netlist, + const DeviceGrid& device_grid, + const std::vector& logical_block_types, + const std::vector& physical_tile_types, + int log_verbosity); + + /** + * @brief Returns a reference to the bins the manager has created. + */ + inline const FlatPlacementBins& flat_placement_bins() const { + return bins_; + } + + /** + * @brief Returns a reference to the mass calculator that the manager class + * is using to detect overfilled / undefilled bins. + */ + inline const FlatPlacementMassCalculator& mass_calculator() const { + return mass_calculator_; + } + + /** + * @brief Returns the bin located at the given (x, y, layer) position. + */ + FlatPlacementBinId get_bin(double x, double y, double layer) const; + + /** + * @brief Returns the size of the placeable region, i.e. the region that + * contains all bins. + */ + inline std::tuple get_overall_placeable_region_size() const { + return std::make_tuple(bin_spatial_lookup_.dim_size(1), // width + bin_spatial_lookup_.dim_size(2), // height + bin_spatial_lookup_.dim_size(0)); // depth + } + + /** + * @brief Insert the given block into the given bin. + * + * As well as updating the bin's contents, also maintains the utilization + * of the bins. + */ + void insert_block_into_bin(APBlockId blk_id, FlatPlacementBinId bin_id); + + /** + * @brief Remove the given block from the given bin. + * + * Like insertion, this maintains the utilization of bins. + */ + void remove_block_from_bin(APBlockId blk_id, FlatPlacementBinId bin_id); + + /** + * @brief Returns the current utilization of the given bin. + * + * This is the sum of the mass of each atoms in the given bin. + */ + inline const PrimitiveVector& get_bin_utilization(FlatPlacementBinId bin_id) const { + VTR_ASSERT(bin_id.is_valid()); + return bin_utilization_[bin_id]; + } + + /** + * @brief Returns the capacity of the given bin. + * + * This is an approximation of the amount of mass that the tile that this + * bin represents can hold. + */ + inline const PrimitiveVector& get_bin_capacity(FlatPlacementBinId bin_id) const { + VTR_ASSERT(bin_id.is_valid()); + return bin_capacity_[bin_id]; + } + + /** + * @brief Returns how overfilled the given bin is. + * + * This cannot be negative. This is how much over the capacity the current + * utilization is. An overfill of 0 implies that the bin is not overfilled. + */ + inline const PrimitiveVector& get_bin_overfill(FlatPlacementBinId bin_id) const { + VTR_ASSERT(bin_id.is_valid()); + return bin_overfill_[bin_id]; + } + + /** + * @brief Returns how underfilled the given bin is. + * + * This cannot be negative. This is how much more mass the given bin can + * hold without going over capactiy. + */ + inline const PrimitiveVector& get_bin_underfill(FlatPlacementBinId bin_id) const { + VTR_ASSERT(bin_id.is_valid()); + return bin_underfill_[bin_id]; + } + + /** + * @brief Returns true of the given bin is overfilled (it contains too much + * mass and is over capacity). + */ + inline bool bin_is_overfilled(FlatPlacementBinId bin_id) const { + // A bin is overfilled if the overfill is non-zero. + return get_bin_overfill(bin_id).is_non_zero(); + } + + /** + * @brief Returns a list of all overfilled bins. + */ + inline const std::unordered_set& get_overfilled_bins() const { + return overfilled_bins_; + } + + /** + * @brief Import the given flat placement into the bins. + * + * This will place AP blocks into the bins that they are placed over. + * + * This will reset the bins before importing the placement. Anything inside + * the bins will be removed. + */ + void import_placement_into_bins(const PartialPlacement& p_placement); + + /** + * @brief Exports the placement of blocks in bins to a flat placement. + * + * This will move each block to the position closest to the original flat + * placement that is still within the bin the block was placed into. + */ + void export_placement_from_bins(PartialPlacement& p_placement) const; + + /** + * @brief Gets the position of the AP block within the bin it contains. + * + * This will return the position of the block that is closest to the position + * in the given flat placement, while still being within the the bin region. + * + * For example, if the block is located within the bin, its position will + * be returned (unmodified). If the block is located to the left of the bin + * (y coordinate is within the bounds of the bin), then this will return + * the point on the left edge of the bin with the same y coordinate as the + * block. + * + * TODO: It may be a good idea to investigate placing blocks at the input + * or output pin locations of the bin. + */ + vtr::Point get_block_location_in_bin(APBlockId blk_id, + const vtr::Rect& bin_region, + const PartialPlacement& p_placement) const; + + /** + * @brief Resets all bins by emptying them. + */ + void empty_bins(); + + /** + * @brief Verifies that the bins were constructed correctly and that the + * utilization, overfill, underfill, and capacity are all correct. + * Returns false if there are any issues. + */ + bool verify() const; + + /** + * @brief Debug printer which prints a simple representation of the bins + * and their capacity to the log file. + */ + void print_bin_grid() const; + + private: + /// @brief The AP netlist of blocks which are filling the bins. + const APNetlist& ap_netlist_; + + /// @brief The bins created by this class. + FlatPlacementBins bins_; + + /// @brief The mass calculator used to compute the mass of the blocks and + /// physical tiles. + FlatPlacementMassCalculator mass_calculator_; + + /// @brief Spatial lookup for an (layer, x, y) position to the bin at that + /// location. + /// + /// Access: [0..grid.num_layers-1][0..grid.width-1][0..grid.height-1] + vtr::NdMatrix bin_spatial_lookup_; + + /// @brief The capacity of each bin. + vtr::vector bin_capacity_; + + /// @brief The utilization of each bin. + vtr::vector bin_utilization_; + + /// @brief The overfill of each bin. + vtr::vector bin_overfill_; + + /// @brief The underfill of each bin. + vtr::vector bin_underfill_; + + /// @brief The set of overfilled bins. + std::unordered_set overfilled_bins_; + + /// @brief The verbosity of log messages in this class. + const int log_verbosity_; +}; diff --git a/vpr/src/analytical_place/flat_placement_mass_calculator.cpp b/vpr/src/analytical_place/flat_placement_mass_calculator.cpp new file mode 100644 index 00000000000..c99aaf29339 --- /dev/null +++ b/vpr/src/analytical_place/flat_placement_mass_calculator.cpp @@ -0,0 +1,278 @@ +/** + * @file + * @author Alex Singer + * @date February 2024 + * @brief Implementation of the mass calculator used in the AP flow. + */ + +#include "flat_placement_mass_calculator.h" +#include +#include "ap_netlist.h" +#include "atom_netlist.h" +#include "globals.h" +#include "logic_types.h" +#include "physical_types.h" +#include "prepack.h" +#include "primitive_vector.h" +#include "vtr_log.h" + +/** + * @brief Get the scalar mass of the given model (primitive type). + * + * A model with a higher mass will take up more space in its bin which may force + * more spreading of that type of primitive. + * + * TODO: This will be made more complicated later. Models may be weighted based + * on some factors. + */ +static float get_model_mass(const t_model* model) { + // Currently, all models have a mass of one. + (void)model; + return 1.f; +} + +// This method is being forward-declared due to the double recursion below. +// Eventually this should be made into a non-recursive algorithm for performance, +// however this is not in a performance critical part of the code. +static PrimitiveVector calc_pb_type_capacity(const t_pb_type* pb_type); + +/** + * @brief Get the amount of primitives this mode can contain. + * + * This is part of a double recursion, since a mode contains primitives which + * themselves have modes. + */ +static PrimitiveVector calc_mode_capacity(const t_mode& mode) { + // Accumulate the capacities of all the pbs in this mode. + PrimitiveVector capacity; + for (int pb_child_idx = 0; pb_child_idx < mode.num_pb_type_children; pb_child_idx++) { + const t_pb_type& pb_type = mode.pb_type_children[pb_child_idx]; + PrimitiveVector pb_capacity = calc_pb_type_capacity(&pb_type); + // A mode may contain multiple pbs of the same type, multiply the + // capacity. + pb_capacity *= pb_type.num_pb; + capacity += pb_capacity; + } + return capacity; +} + +/** + * @brief Get the amount of primitives this pb can contain. + * + * This is the other part of the double recursion. A pb may have multiple modes. + * Modes are made of pbs. + */ +static PrimitiveVector calc_pb_type_capacity(const t_pb_type* pb_type) { + // Since a pb cannot be multiple modes at the same time, we do not + // accumulate the capacities of the mode. Instead we need to "mix" the two + // capacities as if the pb could choose either one. + PrimitiveVector capacity; + // If this is a leaf / primitive, create the base PrimitiveVector capacity. + if (pb_type->num_modes == 0) { + const t_model* model = pb_type->model; + VTR_ASSERT(model != nullptr); + VTR_ASSERT_DEBUG(model->index >= 0); + capacity.add_val_to_dim(get_model_mass(model), model->index); + return capacity; + } + // For now, we simply mix the capacities of modes by taking the max of each + // dimension of the capcities. This provides an upper-bound on the amount of + // primitives this pb can contain. + for (int mode = 0; mode < pb_type->num_modes; mode++) { + PrimitiveVector mode_capacity = calc_mode_capacity(pb_type->modes[mode]); + capacity = PrimitiveVector::max(capacity, mode_capacity); + } + return capacity; +} + +/** + * @brief Calculate the cpacity of the given logical block type. + */ +static PrimitiveVector calc_logical_block_type_capacity(const t_logical_block_type& logical_block_type) { + // If this logical block is empty, it cannot contain any primitives. + if (logical_block_type.is_empty()) + return PrimitiveVector(); + // The primitive capacity of a logical block is the primitive capacity of + // its root pb. + return calc_pb_type_capacity(logical_block_type.pb_type); +} + +/** + * @brief Get the primitive capacity of the given sub_tile. + * + * Sub_tiles may reuse logical blocks between one another, therefore this method + * requires that the capacities of all of the logical blocks have been + * pre-calculated and stored in the given vector. + * + * @param sub_tile The sub_tile to get the capacity of. + * @param logical_block_type_capacities The capacities of all logical block + * types. + */ +static PrimitiveVector calc_sub_tile_capacity(const t_sub_tile& sub_tile, + const std::vector& logical_block_type_capacities) { + // Similar to getting the primitive capacity of the pb, sub_tiles have many + // equivalent sites, but it can only be one of them at a time. Need to "mix" + // the capacities of the different sites this sub_tile may be. + PrimitiveVector capacity; + for (t_logical_block_type_ptr block_type : sub_tile.equivalent_sites) { + const PrimitiveVector& block_capacity = logical_block_type_capacities[block_type->index]; + // Currently, we take the max of each primitive dimension as an upper + // bound on the capacity of the sub_tile. + capacity = PrimitiveVector::max(capacity, block_capacity); + } + return capacity; +} + +/** + * @brief Get the primitive capacity of a tile of the given type. + * + * Tiles may reuse logical blocks between one another, therefore this method + * requires that the capacities of all of the logical blocks have been + * pre-calculated and stored in the given vector. + * + * @param tile_type The tile type to get the capacity of. + * @param logical_block_type_capacities The capacities of all logical block + * types. + */ +static PrimitiveVector calc_physical_tile_type_capacity(const t_physical_tile_type& tile_type, + const std::vector& logical_block_type_capacities) { + // Accumulate the capacities of all the sub_tiles in the given tile type. + PrimitiveVector capacity; + for (const t_sub_tile& sub_tile : tile_type.sub_tiles) { + PrimitiveVector sub_tile_capacity = calc_sub_tile_capacity(sub_tile, logical_block_type_capacities); + // A tile may contain many sub_tiles of the same type. Multiply by the + // number of sub_tiles of this type. + sub_tile_capacity *= sub_tile.capacity.total(); + capacity += sub_tile_capacity; + } + return capacity; +} + +/** + * @brief Get the primitive mass of the given block. + * + * This returns an M-dimensional vector with each entry indicating the mass of + * that primitive type in this block. M is the number of unique models + * (primitive types) in the architecture. + */ +static PrimitiveVector calc_block_mass(APBlockId blk_id, + const APNetlist& netlist, + const Prepacker& prepacker, + const AtomNetlist& atom_netlist) { + PrimitiveVector mass; + PackMoleculeId mol_id = netlist.block_molecule(blk_id); + const t_pack_molecule& mol = prepacker.get_molecule(mol_id); + for (AtomBlockId atom_blk_id : mol.atom_block_ids) { + // See issue #2791, some of the atom_block_ids may be invalid. They can + // safely be ignored. + if (!atom_blk_id.is_valid()) + continue; + const t_model* model = atom_netlist.block_model(atom_blk_id); + VTR_ASSERT_DEBUG(model->index >= 0); + mass.add_val_to_dim(get_model_mass(model), model->index); + } + return mass; +} + +/** + * @brief Debug printing method to print the capacities of all logical blocks + * and physical tile types. + */ +static void print_capacities(const std::vector& logical_block_type_capacities, + const std::vector& physical_tile_type_capacities, + const std::vector& logical_block_types, + const std::vector& physical_tile_types) { + // Get a linear list of all models. + // TODO: I do not like using the global context here, but these models + // should be stable in VTR. If they were stored better, we may be + // able to pass them in. + std::vector all_models; + t_model* curr_model = g_vpr_ctx.device().arch->models; + while (curr_model != nullptr) { + if (curr_model->index >= (int)all_models.size()) + all_models.resize(curr_model->index + 1); + all_models[curr_model->index] = curr_model; + curr_model = curr_model->next; + } + curr_model = g_vpr_ctx.device().arch->model_library; + while (curr_model != nullptr) { + if (curr_model->index >= (int)all_models.size()) + all_models.resize(curr_model->index + 1); + all_models[curr_model->index] = curr_model; + curr_model = curr_model->next; + } + // Print the capacities. + VTR_LOG("Logical Block Type Capacities:\n"); + VTR_LOG("------------------------------\n"); + VTR_LOG("name\t"); + for (t_model* model : all_models) { + VTR_LOG("%s\t", model->name); + } + VTR_LOG("\n"); + for (const t_logical_block_type& block_type : logical_block_types) { + const PrimitiveVector& capacity = logical_block_type_capacities[block_type.index]; + VTR_LOG("%s\t", block_type.name.c_str()); + for (t_model* model : all_models) { + VTR_LOG("%.2f\t", capacity.get_dim_val(model->index)); + } + VTR_LOG("\n"); + } + VTR_LOG("\n"); + VTR_LOG("Physical Tile Type Capacities:\n"); + VTR_LOG("------------------------------\n"); + VTR_LOG("name\t"); + for (t_model* model : all_models) { + VTR_LOG("%s\t", model->name); + } + VTR_LOG("\n"); + for (const t_physical_tile_type& tile_type : physical_tile_types) { + const PrimitiveVector& capacity = physical_tile_type_capacities[tile_type.index]; + VTR_LOG("%s\t", tile_type.name.c_str()); + for (t_model* model : all_models) { + VTR_LOG("%.2f\t", capacity.get_dim_val(model->index)); + } + VTR_LOG("\n"); + } + VTR_LOG("\n"); + // TODO: Print the masses of each model. +} + +FlatPlacementMassCalculator::FlatPlacementMassCalculator(const APNetlist& ap_netlist, + const Prepacker& prepacker, + const AtomNetlist& atom_netlist, + const std::vector& logical_block_types, + const std::vector& physical_tile_types, + int log_verbosity) + : physical_tile_type_capacity_(physical_tile_types.size()) + , logical_block_type_capacity_(logical_block_types.size()) + , block_mass_(ap_netlist.blocks().size()) + , log_verbosity_(log_verbosity) { + + // Precompute the capacity of each logical block type. + for (const t_logical_block_type& logical_block_type : logical_block_types) { + logical_block_type_capacity_[logical_block_type.index] = calc_logical_block_type_capacity(logical_block_type); + } + + // Precompute the capacity of each physical tile type. + for (const t_physical_tile_type& physical_tile_type : physical_tile_types) { + physical_tile_type_capacity_[physical_tile_type.index] = calc_physical_tile_type_capacity(physical_tile_type, logical_block_type_capacity_); + } + + // Precompute the mass of each block in the APNetlist + VTR_LOGV(log_verbosity_ >= 10, "Pre-computing the block masses...\n"); + for (APBlockId ap_block_id : ap_netlist.blocks()) { + block_mass_[ap_block_id] = calc_block_mass(ap_block_id, + ap_netlist, + prepacker, + atom_netlist); + } + VTR_LOGV(log_verbosity_ >= 10, "Finished pre-computing the block masses.\n"); + + // Print the precomputed block capacities. This can be helpful for debugging. + if (log_verbosity_ > 1) { + print_capacities(logical_block_type_capacity_, + physical_tile_type_capacity_, + logical_block_types, + physical_tile_types); + } +} diff --git a/vpr/src/analytical_place/flat_placement_mass_calculator.h b/vpr/src/analytical_place/flat_placement_mass_calculator.h new file mode 100644 index 00000000000..286b91dd743 --- /dev/null +++ b/vpr/src/analytical_place/flat_placement_mass_calculator.h @@ -0,0 +1,114 @@ +/** + * @file + * @author Alex Singer + * @date February 2024 + * @brief Mass calculation for AP blocks and logical/physical block/tile types + */ + +#pragma once + +#include +#include "ap_netlist_fwd.h" +#include "primitive_vector.h" +#include "vtr_assert.h" +#include "vtr_vector.h" + +class AtomNetlist; +class Prepacker; +struct t_logical_block_type; +struct t_physical_tile_type; + +/** + * @brief A calculator class which computes the M-dimensional mass of AP blocks + * and the capacity of tiles. + * + * Each atom in the Atom Netlist represents some model which may be implemented + * on the FPGA. The FPGA architecture has M models. Since an AP block may + * represent multiple atoms, its "mass" (the amount of "space" this block takes + * up) must be M-dimensional. For example, a LUT+FF molecule would have a mass + * of <1, 1> if LUTs and FFs were the only models in the architecture; while a + * single LUT would have a mass of <1, 0>. + * + * This class handles how the mass of the AP blocks are calculated. + * + * This class also handles how much capacity each tile in the FGPA has. This + * capacity is an approximation of how much M-dimensional mass that the tile + * can hold. Since tiles may have multiple modes, the actual capacity of the + * tiles change depending on what is in the tile. This class simplifies this + * to assume that the capacity does not change and approximates the theoretical + * mass that the tile should aim to hold. + */ +class FlatPlacementMassCalculator { + public: + /** + * @brief Construct the mass calculator. + * + * @param ap_netlist + * The netlist of AP blocks to compute the mass of. The mass of each + * block is precomputed in the constructor and loaded cheaply later. + * @param prepacker + * The prepacker used to pack atoms into the molecules. The AP netlist + * contains molecules; this class is needed to get information on these + * molecules. + * @param atom_netlist + * The netlist of atoms for the circuit. + * @param logical_block_types + * A list of all logical block types that can be implemented in the + * device. The capacity of each logical block type is precomputed to + * help compute the capacity of physical_tile_types. + * @param physical_tile_types + * A list of all physical_tile_types that exist on the FGPA. The + * capacity of each physical tile is precomputed in the constructor to + * be loaded cheaply later. + * @param log_verbosity + * The verbosity of log messages in the mass calculator. + */ + FlatPlacementMassCalculator(const APNetlist& ap_netlist, + const Prepacker& prepacker, + const AtomNetlist& atom_netlist, + const std::vector& logical_block_types, + const std::vector& physical_tile_types, + int log_verbosity); + + /** + * @brief Get the M-dimensional capacity of the given physical tile type. + * + * This is an approximation based on the description of the tile in the + * architecture. + */ + inline const PrimitiveVector& get_physical_tile_type_capacity(size_t physical_tile_type_index) const { + VTR_ASSERT(physical_tile_type_index < physical_tile_type_capacity_.size()); + return physical_tile_type_capacity_[physical_tile_type_index]; + } + + /** + * @brief Get the M-dimensional capacity of the given logical block type. + */ + inline const PrimitiveVector& get_logical_block_type_capacity(size_t logical_block_type_index) const { + VTR_ASSERT(logical_block_type_index < logical_block_type_capacity_.size()); + return logical_block_type_capacity_[logical_block_type_index]; + } + + /** + * @brief Get the M-dimensional mass of the given AP block. + */ + inline const PrimitiveVector& get_block_mass(APBlockId blk_id) const { + VTR_ASSERT(blk_id.is_valid()); + return block_mass_[blk_id]; + } + + private: + /// @brief The capacity of each physical tile type, indexed by the index + /// of the physical_tile_type. + std::vector physical_tile_type_capacity_; + + /// @brief The capacity of each logical block type, indexed by the index + /// of the logical block type. + std::vector logical_block_type_capacity_; + + /// @brief The mass of each block in the AP netlist. + vtr::vector block_mass_; + + /// @brief The verbosity of log messages in the mass calculator. + const int log_verbosity_; +}; diff --git a/vpr/src/analytical_place/full_legalizer.cpp b/vpr/src/analytical_place/full_legalizer.cpp index e0850479118..6981908c479 100644 --- a/vpr/src/analytical_place/full_legalizer.cpp +++ b/vpr/src/analytical_place/full_legalizer.cpp @@ -9,25 +9,37 @@ #include "full_legalizer.h" +#include #include +#include +#include #include #include -#include "partial_placement.h" #include "ShowSetup.h" +#include "ap_flow_enums.h" #include "ap_netlist_fwd.h" +#include "blk_loc_registry.h" #include "check_netlist.h" #include "cluster_legalizer.h" #include "cluster_util.h" #include "clustered_netlist.h" +#include "device_grid.h" +#include "flat_placement_types.h" #include "globals.h" #include "initial_placement.h" +#include "load_flat_place.h" #include "logic_types.h" +#include "noc_place_utils.h" #include "pack.h" +#include "partial_placement.h" #include "physical_types.h" +#include "place.h" #include "place_and_route.h" #include "place_constraints.h" #include "place_macro.h" +#include "prepack.h" +#include "read_place.h" #include "verify_clustering.h" #include "verify_placement.h" #include "vpr_api.h" @@ -37,10 +49,44 @@ #include "vtr_assert.h" #include "vtr_geometry.h" #include "vtr_ndmatrix.h" +#include "vtr_random.h" #include "vtr_strong_id.h" #include "vtr_time.h" #include "vtr_vector.h" +std::unique_ptr make_full_legalizer(e_ap_full_legalizer full_legalizer_type, + const APNetlist& ap_netlist, + const AtomNetlist& atom_netlist, + const Prepacker& prepacker, + const t_vpr_setup& vpr_setup, + const t_arch& arch, + const DeviceGrid& device_grid) { + switch (full_legalizer_type) { + case e_ap_full_legalizer::Naive: + return std::make_unique(ap_netlist, + atom_netlist, + prepacker, + vpr_setup, + arch, + device_grid); + case e_ap_full_legalizer::APPack: + return std::make_unique(ap_netlist, + atom_netlist, + prepacker, + vpr_setup, + arch, + device_grid); + case e_ap_full_legalizer::Basic_Min_Disturbance: + VTR_LOG("Basic Minimum Disturbance Full Legalizer selected!\n"); + VPR_FATAL_ERROR(VPR_ERROR_AP, + "Basic Min. Disturbance Full Legalizer has not been implemented yet."); + + default: + VPR_FATAL_ERROR(VPR_ERROR_AP, + "Unrecognized full legalizer type"); + } +} + namespace { /// @brief A unique ID for each root tile on the device. @@ -60,17 +106,16 @@ typedef vtr::StrongId DeviceTileId; * unify the two flows and make it more stable! */ class APClusterPlacer { -private: + private: // Get the macro for the given cluster block. t_pl_macro get_macro(ClusterBlockId clb_blk_id) { - const auto& place_macros = g_vpr_ctx.placement().blk_loc_registry().place_macros(); // Basically stolen from initial_placement.cpp:place_one_block // TODO: Make this a cleaner interface and share the code. - int imacro = place_macros.get_imacro_from_iblk(clb_blk_id); + int imacro = place_macros_.get_imacro_from_iblk(clb_blk_id); // If this block is part of a macro, return it. if (imacro != -1) { - return place_macros[imacro]; + return place_macros_[imacro]; } // If not, create a "fake" macro with a single element. t_pl_macro_member macro_member; @@ -83,39 +128,34 @@ class APClusterPlacer { return pl_macro; } -public: + const PlaceMacros& place_macros_; + + public: /** * @brief Constructor for the APClusterPlacer * * Initializes internal and global state necessary to place clusters on the * FPGA device. */ - APClusterPlacer() { - // FIXME: This was stolen from place/place.cpp - // it used a static method, just taking what I think I will need. + APClusterPlacer(const PlaceMacros& place_macros, + const char* constraints_file) + : place_macros_(place_macros) { + // Initialize the block loc registry. auto& blk_loc_registry = g_vpr_ctx.mutable_placement().mutable_blk_loc_registry(); - const auto& directs = g_vpr_ctx.device().arch->directs; - - init_placement_context(blk_loc_registry, directs); - - // stolen from place/place.cpp:alloc_and_load_try_swap_structs - // FIXME: set cube_bb to false by hand, should be passed in. - g_vpr_ctx.mutable_placement().cube_bb = false; - g_vpr_ctx.mutable_placement().compressed_block_grids = create_compressed_block_grids(); - - // TODO: The next few steps will be basically a direct copy of the initial - // placement code since it does everything we need! It would be nice - // to share the code. - - // Clear the grid locations (stolen from initial_placement) - blk_loc_registry.clear_all_grid_locs(); - - // Deal with the placement constraints. - propagate_place_constraints(blk_loc_registry.place_macros()); + blk_loc_registry.init(); + // Place the fixed blocks and mark them as fixed. mark_fixed_blocks(blk_loc_registry); - alloc_and_load_compressed_cluster_constraints(); + // Read the constraint file and place fixed blocks. + if (strlen(constraints_file) != 0) { + read_constraints(constraints_file, blk_loc_registry); + } + + // Update the block loc registry with the fixed / moveable blocks. + // We can do this here since the fixed blocks will not change beyond + // this point. + blk_loc_registry.alloc_and_load_movable_blocks(); } /** @@ -202,7 +242,8 @@ class APClusterPlacer { * @param primitive_candidate_block_types A list of candidate block types for * the given molecule. */ -static LegalizationClusterId create_new_cluster(t_pack_molecule* seed_molecule, +static LegalizationClusterId create_new_cluster(PackMoleculeId seed_molecule_id, + const Prepacker& prepacker, ClusterLegalizer& cluster_legalizer, const std::map>& primitive_candidate_block_types) { const AtomContext& atom_ctx = g_vpr_ctx.atom(); @@ -212,8 +253,10 @@ static LegalizationClusterId create_new_cluster(t_pack_molecule* seed_molecule, // placed into. // TODO: The original implementation sorted based on balance. Perhaps this // should do the same. - AtomBlockId root_atom = seed_molecule->atom_block_ids[seed_molecule->root]; - const t_model* root_model = atom_ctx.nlist.block_model(root_atom); + VTR_ASSERT(seed_molecule_id.is_valid()); + const t_pack_molecule& seed_molecule = prepacker.get_molecule(seed_molecule_id); + AtomBlockId root_atom = seed_molecule.atom_block_ids[seed_molecule.root]; + const t_model* root_model = atom_ctx.netlist().block_model(root_atom); auto itr = primitive_candidate_block_types.find(root_model); VTR_ASSERT(itr != primitive_candidate_block_types.end()); @@ -224,7 +267,7 @@ static LegalizationClusterId create_new_cluster(t_pack_molecule* seed_molecule, for (int mode = 0; mode < num_modes; mode++) { e_block_pack_status pack_status = e_block_pack_status::BLK_STATUS_UNDEFINED; LegalizationClusterId new_cluster_id; - std::tie(pack_status, new_cluster_id) = cluster_legalizer.start_new_cluster(seed_molecule, type, mode); + std::tie(pack_status, new_cluster_id) = cluster_legalizer.start_new_cluster(seed_molecule_id, type, mode); if (pack_status == e_block_pack_status::BLK_PASSED) return new_cluster_id; } @@ -235,24 +278,20 @@ static LegalizationClusterId create_new_cluster(t_pack_molecule* seed_molecule, return LegalizationClusterId(); } -void FullLegalizer::create_clusters(const PartialPlacement& p_placement) { +void NaiveFullLegalizer::create_clusters(const PartialPlacement& p_placement) { // PACKING: // Initialize the cluster legalizer (Packing) // FIXME: The legalization strategy is currently set to full. Should handle // this better to make it faster. - t_pack_high_fanout_thresholds high_fanout_thresholds(packer_opts_.high_fanout_threshold); + t_pack_high_fanout_thresholds high_fanout_thresholds(vpr_setup_.PackerOpts.high_fanout_threshold); ClusterLegalizer cluster_legalizer(atom_netlist_, prepacker_, - logical_block_types_, - lb_type_rr_graphs_, - user_models_, - library_models_, - packer_opts_.target_external_pin_util, + vpr_setup_.PackerRRGraph, + vpr_setup_.PackerOpts.target_external_pin_util, high_fanout_thresholds, ClusterLegalizationStrategy::FULL, - packer_opts_.enable_pin_feasibility_filter, - packer_opts_.feasible_block_array_size, - packer_opts_.pack_verbosity); + vpr_setup_.PackerOpts.enable_pin_feasibility_filter, + vpr_setup_.PackerOpts.pack_verbosity); // Create clusters for each tile. // Start by giving each root tile a unique ID. size_t grid_width = device_grid_.width(); @@ -290,33 +329,29 @@ void FullLegalizer::create_clusters(const PartialPlacement& p_placement) { for (size_t tile_id_idx = 0; tile_id_idx < num_device_tiles; tile_id_idx++) { DeviceTileId tile_id = DeviceTileId(tile_id_idx); // Create the molecule list - std::list mol_list; + std::list mol_list; for (APBlockId ap_blk_id : blocks_in_tiles[tile_id]) { - // FIXME: The netlist stores a const pointer to mol; but the cluster - // legalizer does not accept this. Need to fix one or the other. - // For now, using const_cast. - t_pack_molecule* mol = const_cast(ap_netlist_.block_molecule(ap_blk_id)); - mol_list.push_back(mol); + mol_list.push_back(ap_netlist_.block_molecule(ap_blk_id)); } // Clustering algorithm: Create clusters one at a time. while (!mol_list.empty()) { // Arbitrarily choose the first molecule as a seed molecule. - t_pack_molecule* seed_mol = mol_list.front(); + PackMoleculeId seed_mol_id = mol_list.front(); mol_list.pop_front(); // Use the seed molecule to create a cluster for this tile. - LegalizationClusterId new_cluster_id = create_new_cluster(seed_mol, cluster_legalizer, primitive_candidate_block_types); + LegalizationClusterId new_cluster_id = create_new_cluster(seed_mol_id, prepacker_, cluster_legalizer, primitive_candidate_block_types); // Insert all molecules that you can into the cluster. // NOTE: If the mol_list was somehow sorted, we can just stop at // first failure! auto it = mol_list.begin(); while (it != mol_list.end()) { - t_pack_molecule* mol = *it; - if (!cluster_legalizer.is_molecule_compatible(mol, new_cluster_id)) { + PackMoleculeId mol_id = *it; + if (!cluster_legalizer.is_molecule_compatible(mol_id, new_cluster_id)) { ++it; continue; } // Try to insert it. If successful, remove from list. - e_block_pack_status pack_status = cluster_legalizer.add_mol_to_cluster(mol, new_cluster_id); + e_block_pack_status pack_status = cluster_legalizer.add_mol_to_cluster(mol_id, new_cluster_id); if (pack_status == e_block_pack_status::BLK_PASSED) { it = mol_list.erase(it); } else { @@ -330,30 +365,31 @@ void FullLegalizer::create_clusters(const PartialPlacement& p_placement) { // Check and output the clustering. std::unordered_set is_clock = alloc_and_load_is_clock(); - check_and_output_clustering(cluster_legalizer, packer_opts_, is_clock, arch_); + check_and_output_clustering(cluster_legalizer, vpr_setup_.PackerOpts, is_clock, &arch_); // Reset the cluster legalizer. This is required to load the packing. cluster_legalizer.reset(); // Regenerate the clustered netlist from the file generated previously. // FIXME: This writing and loading from a file is wasteful. Should generate // the clusters directly from the cluster legalizer. - vpr_load_packing(vpr_setup_, *arch_); - load_cluster_constraints(); + vpr_load_packing(vpr_setup_, arch_); const ClusteredNetlist& clb_nlist = g_vpr_ctx.clustering().clb_nlist; // Verify the packing and print some info - check_netlist(packer_opts_.pack_verbosity); + check_netlist(vpr_setup_.PackerOpts.pack_verbosity); writeClusteredNetlistStats(vpr_setup_.FileNameOpts.write_block_usage); print_pb_type_count(clb_nlist); } -void FullLegalizer::place_clusters(const ClusteredNetlist& clb_nlist, - const PartialPlacement& p_placement) { +void NaiveFullLegalizer::place_clusters(const ClusteredNetlist& clb_nlist, + const PlaceMacros& place_macros, + const PartialPlacement& p_placement) { // PLACING: // Create a lookup from the AtomBlockId to the APBlockId vtr::vector atom_to_ap_block(atom_netlist_.blocks().size()); for (APBlockId ap_blk_id : ap_netlist_.blocks()) { - const t_pack_molecule* blk_mol = ap_netlist_.block_molecule(ap_blk_id); - for (AtomBlockId atom_blk_id : blk_mol->atom_block_ids) { + PackMoleculeId blk_mol_id = ap_netlist_.block_molecule(ap_blk_id); + const t_pack_molecule& blk_mol = prepacker_.get_molecule(blk_mol_id); + for (AtomBlockId atom_blk_id : blk_mol.atom_block_ids) { // See issue #2791, some of the atom_block_ids may be invalid. They // can safely be ignored. if (!atom_blk_id.is_valid()) @@ -367,7 +403,7 @@ void FullLegalizer::place_clusters(const ClusteredNetlist& clb_nlist, // Move the clusters to where they want to be first. // TODO: The fixed clusters should probably be moved first for legality // reasons. - APClusterPlacer ap_cluster_placer; + APClusterPlacer ap_cluster_placer(place_macros, vpr_setup_.PlacerOpts.constraints_file.c_str()); std::vector unplaced_clusters; for (ClusterBlockId cluster_blk_id : clb_nlist.blocks()) { // Assume that the cluster will always want to be placed wherever the @@ -408,7 +444,7 @@ void FullLegalizer::place_clusters(const ClusteredNetlist& clb_nlist, // - This may be needed to perform SA. Not needed right now. } -void FullLegalizer::legalize(const PartialPlacement& p_placement) { +void NaiveFullLegalizer::legalize(const PartialPlacement& p_placement) { // Create a scoped timer for the full legalizer vtr::ScopedStartFinishTimer full_legalizer_timer("AP Full Legalizer"); @@ -428,8 +464,17 @@ void FullLegalizer::legalize(const PartialPlacement& p_placement) { // TODO: Eventually should be returned from the create_clusters method. const ClusteredNetlist& clb_nlist = g_vpr_ctx.clustering().clb_nlist; + // Initialize the placement context. + g_vpr_ctx.mutable_placement().init_placement_context(vpr_setup_.PlacerOpts, + arch_.directs); + + const PlaceMacros& place_macros = *g_vpr_ctx.placement().place_macros; + + // Update the floorplanning context with the macro information. + g_vpr_ctx.mutable_floorplanning().update_floorplanning_context_pre_place(place_macros); + // Place the clusters based on where the atoms want to be placed. - place_clusters(clb_nlist, p_placement); + place_clusters(clb_nlist, place_macros, p_placement); // Verify that the placement created by the full legalizer is valid. unsigned num_placement_errors = verify_placement(g_vpr_ctx); @@ -448,3 +493,86 @@ void FullLegalizer::legalize(const PartialPlacement& p_placement) { post_place_sync(); } +void APPack::legalize(const PartialPlacement& p_placement) { + // Create a scoped timer for the full legalizer + vtr::ScopedStartFinishTimer full_legalizer_timer("AP Full Legalizer"); + + // Convert the Partial Placement (APNetlist) to a flat placement (AtomNetlist). + FlatPlacementInfo flat_placement_info(atom_netlist_); + for (APBlockId ap_blk_id : ap_netlist_.blocks()) { + PackMoleculeId mol_id = ap_netlist_.block_molecule(ap_blk_id); + const t_pack_molecule& mol = prepacker_.get_molecule(mol_id); + for (AtomBlockId atom_blk_id : mol.atom_block_ids) { + if (!atom_blk_id.is_valid()) + continue; + flat_placement_info.blk_x_pos[atom_blk_id] = p_placement.block_x_locs[ap_blk_id]; + flat_placement_info.blk_y_pos[atom_blk_id] = p_placement.block_y_locs[ap_blk_id]; + flat_placement_info.blk_layer[atom_blk_id] = p_placement.block_layer_nums[ap_blk_id]; + flat_placement_info.blk_sub_tile[atom_blk_id] = p_placement.block_sub_tiles[ap_blk_id]; + } + } + + // Run the Packer stage with the flat placement as a hint. + try_pack(vpr_setup_.PackerOpts, + vpr_setup_.AnalysisOpts, + arch_, + vpr_setup_.RoutingArch, + vpr_setup_.PackerRRGraph, + prepacker_, + flat_placement_info); + + // The Packer stores the clusters into a .net file. Load the packing file. + // FIXME: This should be removed. Reading from a file is strange. + vpr_load_packing(vpr_setup_, arch_); + + // Setup the global variables for placement. + g_vpr_ctx.mutable_placement().init_placement_context(vpr_setup_.PlacerOpts, arch_.directs); + g_vpr_ctx.mutable_floorplanning().update_floorplanning_context_pre_place(*g_vpr_ctx.placement().place_macros); + + // The placement will be stored in the global block loc registry. + BlkLocRegistry& blk_loc_registry = g_vpr_ctx.mutable_placement().mutable_blk_loc_registry(); + + // Create the noc cost handler used in the initial placer. + std::optional noc_cost_handler; + if (vpr_setup_.NocOpts.noc) + noc_cost_handler.emplace(blk_loc_registry.block_locs()); + + // Create the RNG container for the initial placer. + vtr::RngContainer rng(vpr_setup_.PlacerOpts.seed); + + // Run the initial placer on the clusters created by the packer, using the + // flat placement information from the global placer to guide where to place + // the clusters. + initial_placement(vpr_setup_.PlacerOpts, + vpr_setup_.PlacerOpts.constraints_file.c_str(), + vpr_setup_.NocOpts, + blk_loc_registry, + *g_vpr_ctx.placement().place_macros, + noc_cost_handler, + flat_placement_info, + rng); + + // Log some information on how good the reconstruction was. + log_flat_placement_reconstruction_info(flat_placement_info, + blk_loc_registry.block_locs(), + g_vpr_ctx.clustering().atoms_lookup, + g_vpr_ctx.atom().lookup(), + atom_netlist_, + g_vpr_ctx.clustering().clb_nlist); + + // Verify that the placement is valid for the VTR flow. + unsigned num_errors = verify_placement(blk_loc_registry, + *g_vpr_ctx.placement().place_macros, + g_vpr_ctx.clustering().clb_nlist, + g_vpr_ctx.device().grid, + g_vpr_ctx.floorplanning().cluster_constraints); + if (num_errors != 0) { + VPR_ERROR(VPR_ERROR_AP, + "\nCompleted placement consistency check, %d errors found.\n" + "Aborting program.\n", + num_errors); + } + + // Synchronize the pins in the clusters after placement. + post_place_sync(); +} diff --git a/vpr/src/analytical_place/full_legalizer.h b/vpr/src/analytical_place/full_legalizer.h index e5f72586b28..3532022760d 100644 --- a/vpr/src/analytical_place/full_legalizer.h +++ b/vpr/src/analytical_place/full_legalizer.h @@ -9,7 +9,8 @@ #pragma once -#include +#include +#include "ap_flow_enums.h" // Forward declarations class APNetlist; @@ -17,50 +18,34 @@ class AtomNetlist; class ClusteredNetlist; class DeviceGrid; class PartialPlacement; +class PlaceMacros; class Prepacker; struct t_arch; -struct t_lb_type_rr_node; -struct t_logical_block_type; -struct t_model; -struct t_packer_opts; struct t_vpr_setup; /** * @brief The full legalizer in an AP flow * * Given a valid partial placement (of any level of legality), will produce a - * fully legal clustering and placement for use in the rest of the VTR flow. + * fully legal clustering and clustered placement for use in the rest of the + * VTR flow. */ class FullLegalizer { -public: - /** - * @brief Constructor of the Full Legalizer class. - * - * Brings in all the necessary state here. This is the state needed from the - * AP Context. the Packer Context, and the Placer Context. - */ + public: + virtual ~FullLegalizer() {} + FullLegalizer(const APNetlist& ap_netlist, - t_vpr_setup& vpr_setup, - const DeviceGrid& device_grid, - const t_arch* arch, const AtomNetlist& atom_netlist, const Prepacker& prepacker, - const std::vector& logical_block_types, - std::vector* lb_type_rr_graphs, - const t_model* user_models, - const t_model* library_models, - const t_packer_opts& packer_opts) - : ap_netlist_(ap_netlist), - vpr_setup_(vpr_setup), - device_grid_(device_grid), - arch_(arch), - atom_netlist_(atom_netlist), - prepacker_(prepacker), - logical_block_types_(logical_block_types), - lb_type_rr_graphs_(lb_type_rr_graphs), - user_models_(user_models), - library_models_(library_models), - packer_opts_(packer_opts) {} + const t_vpr_setup& vpr_setup, + const t_arch& arch, + const DeviceGrid& device_grid) + : ap_netlist_(ap_netlist) + , atom_netlist_(atom_netlist) + , prepacker_(prepacker) + , vpr_setup_(vpr_setup) + , arch_(arch) + , device_grid_(device_grid) {} /** * @brief Perform legalization on the given partial placement solution @@ -69,9 +54,60 @@ class FullLegalizer { * This implies that all blocks are placed on the * device grid and fixed blocks are observed. */ - void legalize(const PartialPlacement& p_placement); + virtual void legalize(const PartialPlacement& p_placement) = 0; + + protected: + /// @brief The AP Netlist to fully legalize the flat placement of. + const APNetlist& ap_netlist_; + + /// @brief The Atom Netlist used to generate the AP Netlist. + const AtomNetlist& atom_netlist_; + + /// @brief The Prepacker used to create molecules from the Atom Netlist. + const Prepacker& prepacker_; + + /// @brief The VPR setup options passed into the VPR flow. This must be + /// mutable since some parts of packing modify the options. + const t_vpr_setup& vpr_setup_; + + /// @brief Information on the architecture of the FPGA. + const t_arch& arch_; + + /// @brief The device grid which records where clusters can be placed. + const DeviceGrid& device_grid_; +}; + +/** + * @brief A factory method which creates a Full Legalizer of the given type. + */ +std::unique_ptr make_full_legalizer(e_ap_full_legalizer full_legalizer_type, + const APNetlist& ap_netlist, + const AtomNetlist& atom_netlist, + const Prepacker& prepacker, + const t_vpr_setup& vpr_setup, + const t_arch& arch, + const DeviceGrid& device_grid); + +/** + * @brief The Naive Full Legalizer. + * + * This Full Legalizer will try to create clusters exactly where they want to + * according to the Partial Placement. It will grow clusters from atoms that + * are placed in the same tile, then it will try to place the cluster at that + * location. If a location cannot be found, once all other clusters have tried + * to be placed, it will try to find anywhere the cluster will fit and place it + * there. + */ +class NaiveFullLegalizer : public FullLegalizer { + public: + using FullLegalizer::FullLegalizer; + + /** + * @brief Perform naive full legalization. + */ + void legalize(const PartialPlacement& p_placement) final; -private: + private: /** * @brief Helper method to create the clusters from the given partial * placement. @@ -85,26 +121,35 @@ class FullLegalizer { * placement. */ void place_clusters(const ClusteredNetlist& clb_nlist, + const PlaceMacros& place_macros, const PartialPlacement& p_placement); - - // AP Context Info - const APNetlist& ap_netlist_; - // Overall Setup Info - // FIXME: I do not like bringing all of this in. Perhaps clean up the methods - // that use it. - t_vpr_setup& vpr_setup_; - // Device Context Info - const DeviceGrid& device_grid_; - const t_arch* arch_; - // Packing Context Info - const AtomNetlist& atom_netlist_; - const Prepacker& prepacker_; - const std::vector& logical_block_types_; - std::vector* lb_type_rr_graphs_; - const t_model* user_models_; - const t_model* library_models_; - const t_packer_opts& packer_opts_; - // Placement Context Info - // TODO: Populate this once the placer is cleaned up some. }; +/** + * @brief APPack: A flat-placement-informed Packer Placer. + * + * The idea of APPack is to use the flat-placement information generated by the + * AP Flow to guide the Packer and Placer to a better solution. + * + * In the Packer, the flat-placement can provide more context for the clusters + * to pull in atoms that want to be near the other atoms in the cluster, and + * repell atoms that are far apart. This can potentially make better clusters + * than a Packer that does not know that information. + * + * In the Placer, the flat-placement can help decide where clusters of atoms + * want to be placed. If this placement is then fed into a Simulated Annealing + * based Detailed Placement step, this would enable it to converge on a better + * answer faster. + */ +class APPack : public FullLegalizer { + public: + using FullLegalizer::FullLegalizer; + + /** + * @brief Run APPack. + * + * This will call the Packer and Placer using the options provided by the + * user for these stages in VPR. + */ + void legalize(const PartialPlacement& p_placement) final; +}; diff --git a/vpr/src/analytical_place/gen_ap_netlist_from_atoms.cpp b/vpr/src/analytical_place/gen_ap_netlist_from_atoms.cpp index 34207e88145..ac20f67f336 100644 --- a/vpr/src/analytical_place/gen_ap_netlist_from_atoms.cpp +++ b/vpr/src/analytical_place/gen_ap_netlist_from_atoms.cpp @@ -16,7 +16,6 @@ #include "prepack.h" #include "region.h" #include "user_place_constraints.h" -#include "vpr_types.h" #include "vtr_assert.h" #include "vtr_geometry.h" #include "vtr_time.h" @@ -40,10 +39,11 @@ APNetlist gen_ap_netlist_from_atoms(const AtomNetlist& atom_netlist, // Each net has the exact same name as in the atom netlist for (AtomBlockId atom_blk_id : atom_netlist.blocks()) { // Get the molecule of this block - t_pack_molecule* mol = prepacker.get_atom_molecule(atom_blk_id); + PackMoleculeId molecule_id = prepacker.get_atom_molecule(atom_blk_id); + const t_pack_molecule& mol = prepacker.get_molecule(molecule_id); // Create the AP block (if not already done) - const std::string& first_blk_name = atom_netlist.block_name(mol->atom_block_ids[0]); - APBlockId ap_blk_id = ap_netlist.create_block(first_blk_name, mol); + const std::string& first_blk_name = atom_netlist.block_name(mol.atom_block_ids[0]); + APBlockId ap_blk_id = ap_netlist.create_block(first_blk_name, molecule_id); // Add the ports and pins of this block to the supernode for (AtomPortId atom_port_id : atom_netlist.block_ports(atom_blk_id)) { BitIndex port_width = atom_netlist.port_width(atom_port_id); @@ -68,8 +68,9 @@ APNetlist gen_ap_netlist_from_atoms(const AtomNetlist& atom_netlist, // Fix the block locations given by the VPR constraints for (APBlockId ap_blk_id : ap_netlist.blocks()) { - const t_pack_molecule* mol = ap_netlist.block_molecule(ap_blk_id); - for (AtomBlockId mol_atom_blk_id : mol->atom_block_ids) { + PackMoleculeId molecule_id = ap_netlist.block_molecule(ap_blk_id); + const t_pack_molecule& mol = prepacker.get_molecule(molecule_id); + for (AtomBlockId mol_atom_blk_id : mol.atom_block_ids) { PartitionId part_id = constraints.get_atom_partition(mol_atom_blk_id); if (!part_id.is_valid()) continue; @@ -172,4 +173,3 @@ APNetlist gen_ap_netlist_from_atoms(const AtomNetlist& atom_netlist, return ap_netlist; } - diff --git a/vpr/src/analytical_place/gen_ap_netlist_from_atoms.h b/vpr/src/analytical_place/gen_ap_netlist_from_atoms.h index f03055a826d..ed5ae7bb0d1 100644 --- a/vpr/src/analytical_place/gen_ap_netlist_from_atoms.h +++ b/vpr/src/analytical_place/gen_ap_netlist_from_atoms.h @@ -27,4 +27,3 @@ class UserPlaceConstraints; APNetlist gen_ap_netlist_from_atoms(const AtomNetlist& atom_netlist, const Prepacker& prepacker, const UserPlaceConstraints& constraints); - diff --git a/vpr/src/analytical_place/global_placer.cpp b/vpr/src/analytical_place/global_placer.cpp index d2fbfe42d11..3e716b7ea16 100644 --- a/vpr/src/analytical_place/global_placer.cpp +++ b/vpr/src/analytical_place/global_placer.cpp @@ -8,38 +8,147 @@ #include "global_placer.h" #include +#include #include +#include #include "analytical_solver.h" +#include "ap_flow_enums.h" #include "ap_netlist.h" +#include "ap_netlist_fwd.h" +#include "atom_netlist.h" +#include "device_grid.h" +#include "flat_placement_bins.h" +#include "flat_placement_density_manager.h" +#include "globals.h" #include "partial_legalizer.h" #include "partial_placement.h" -#include "vpr_error.h" +#include "physical_types.h" +#include "primitive_vector.h" #include "vtr_log.h" #include "vtr_time.h" -std::unique_ptr make_global_placer(e_global_placer placer_type, - const APNetlist& netlist) { - // Based on the placer type passed in, build the global placer. - switch (placer_type) { - case e_global_placer::SimPL: - return std::make_unique(netlist); - default: - VPR_FATAL_ERROR(VPR_ERROR_AP, - "Unrecognized global placer type"); - - } +std::unique_ptr make_global_placer(e_ap_analytical_solver analytical_solver_type, + e_ap_partial_legalizer partial_legalizer_type, + const APNetlist& ap_netlist, + const Prepacker& prepacker, + const AtomNetlist& atom_netlist, + const DeviceGrid& device_grid, + const std::vector& logical_block_types, + const std::vector& physical_tile_types, + int log_verbosity) { + return std::make_unique(analytical_solver_type, + partial_legalizer_type, + ap_netlist, + prepacker, + atom_netlist, + device_grid, + logical_block_types, + physical_tile_types, + log_verbosity); } -SimPLGlobalPlacer::SimPLGlobalPlacer(const APNetlist& netlist) : GlobalPlacer(netlist) { +SimPLGlobalPlacer::SimPLGlobalPlacer(e_ap_analytical_solver analytical_solver_type, + e_ap_partial_legalizer partial_legalizer_type, + const APNetlist& ap_netlist, + const Prepacker& prepacker, + const AtomNetlist& atom_netlist, + const DeviceGrid& device_grid, + const std::vector& logical_block_types, + const std::vector& physical_tile_types, + int log_verbosity) + : GlobalPlacer(ap_netlist, log_verbosity) { // This can be a long method. Good to time this to see how long it takes to // construct the global placer. vtr::ScopedStartFinishTimer global_placer_building_timer("Constructing Global Placer"); + // Build the solver. - solver_ = make_analytical_solver(e_analytical_solver::QP_HYBRID, - netlist); + VTR_LOGV(log_verbosity_ >= 10, "\tBuilding the solver...\n"); + solver_ = make_analytical_solver(analytical_solver_type, + ap_netlist_, + device_grid, + log_verbosity_); + + // Build the density manager used by the partial legalizer. + VTR_LOGV(log_verbosity_ >= 10, "\tBuilding the density manager...\n"); + density_manager_ = std::make_shared(ap_netlist_, + prepacker, + atom_netlist, + device_grid, + logical_block_types, + physical_tile_types, + log_verbosity_); + // Build the partial legalizer - partial_legalizer_ = make_partial_legalizer(e_partial_legalizer::FLOW_BASED, - netlist); + VTR_LOGV(log_verbosity_ >= 10, "\tBuilding the partial legalizer...\n"); + partial_legalizer_ = make_partial_legalizer(partial_legalizer_type, + ap_netlist_, + density_manager_, + prepacker, + log_verbosity_); +} + +/** + * @brief Helper method to print the statistics on the given partial placement. + */ +static void print_placement_stats(const PartialPlacement& p_placement, + const APNetlist& ap_netlist, + FlatPlacementDensityManager& density_manager) { + // Print the placement HPWL + VTR_LOG("\tPlacement HPWL: %f\n", p_placement.get_hpwl(ap_netlist)); + + // Print density information. Need to reset the density manager to ensure + // the data is valid. + density_manager.import_placement_into_bins(p_placement); + + // Print the number of overfilled bins. + size_t num_overfilled_bins = density_manager.get_overfilled_bins().size(); + VTR_LOG("\tNumber of overfilled bins: %zu\n", num_overfilled_bins); + + // Print the average overfill + float total_overfill = 0.0f; + for (FlatPlacementBinId bin_id : density_manager.get_overfilled_bins()) { + total_overfill += density_manager.get_bin_overfill(bin_id).manhattan_norm(); + } + float avg_overfill = 0.0f; + if (num_overfilled_bins != 0) + avg_overfill = total_overfill / static_cast(num_overfilled_bins); + VTR_LOG("\tAverage overfill magnitude: %f\n", avg_overfill); + + // Print the number of overfilled tiles per type. + const auto& physical_tile_types = g_vpr_ctx.device().physical_tile_types; + const auto& device_grid = g_vpr_ctx.device().grid; + std::vector overfilled_tiles_by_type(physical_tile_types.size(), 0); + for (FlatPlacementBinId bin_id : density_manager.get_overfilled_bins()) { + const auto& bin_region = density_manager.flat_placement_bins().bin_region(bin_id); + auto tile_loc = t_physical_tile_loc((int)bin_region.xmin(), + (int)bin_region.ymin(), + 0); + auto tile_type = device_grid.get_physical_type(tile_loc); + overfilled_tiles_by_type[tile_type->index]++; + } + VTR_LOG("\tOverfilled bins by tile type:\n"); + for (size_t type_idx = 0; type_idx < physical_tile_types.size(); type_idx++) { + VTR_LOG("\t\t%10s: %zu\n", + physical_tile_types[type_idx].name.c_str(), + overfilled_tiles_by_type[type_idx]); + } + + // Count the number of blocks that were placed in a bin which they cannot + // physically be placed into (according to their mass). + unsigned num_misplaced_blocks = 0; + for (FlatPlacementBinId bin_id : density_manager.get_overfilled_bins()) { + for (APBlockId ap_blk_id : density_manager.flat_placement_bins().bin_contained_blocks(bin_id)) { + // Get the blk mass and project it onto the capacity of its bin. + PrimitiveVector blk_mass = density_manager.mass_calculator().get_block_mass(ap_blk_id); + PrimitiveVector projected_mass = blk_mass; + projected_mass.project(density_manager.get_bin_capacity(bin_id)); + // If the projected mass does not match its match, this implies that + // there this block does not belong in this bin. + if (projected_mass != blk_mass) + num_misplaced_blocks++; + } + } + VTR_LOG("\tNumber of blocks in an incompatible bin: %zu\n", num_misplaced_blocks); } /** @@ -94,7 +203,17 @@ PartialPlacement SimPLGlobalPlacer::place() { if (log_verbosity_ >= 1) print_SimPL_status_header(); // Initialialize the partial placement object. - PartialPlacement p_placement(netlist_); + PartialPlacement p_placement(ap_netlist_); + + float total_time_spent_in_solver = 0.0f; + float total_time_spent_in_legalizer = 0.0f; + + // Create a partial placement object to store the best placement found during + // global placement. It is possible for the global placement to hit a minimum + // in the middle of its iterations, this lets us keep that solution. + PartialPlacement best_p_placement(ap_netlist_); + double best_ub_hpwl = std::numeric_limits::max(); + // Run the global placer. for (size_t i = 0; i < max_num_iterations_; i++) { float iter_start_time = runtime_timer.elapsed_sec(); @@ -103,13 +222,16 @@ PartialPlacement SimPLGlobalPlacer::place() { float solver_start_time = runtime_timer.elapsed_sec(); solver_->solve(i, p_placement); float solver_end_time = runtime_timer.elapsed_sec(); - double lb_hpwl = p_placement.get_hpwl(netlist_); + double lb_hpwl = p_placement.get_hpwl(ap_netlist_); // Run the legalizer. float legalizer_start_time = runtime_timer.elapsed_sec(); partial_legalizer_->legalize(p_placement); float legalizer_end_time = runtime_timer.elapsed_sec(); - double ub_hpwl = p_placement.get_hpwl(netlist_); + double ub_hpwl = p_placement.get_hpwl(ap_netlist_); + + total_time_spent_in_solver += solver_end_time - solver_start_time; + total_time_spent_in_legalizer += legalizer_end_time - legalizer_start_time; // Print some stats if (log_verbosity_ >= 1) { @@ -120,15 +242,37 @@ PartialPlacement SimPLGlobalPlacer::place() { iter_end_time - iter_start_time); } + // If this placement is better than the best we have seen, save it. + if (ub_hpwl < best_ub_hpwl) { + best_ub_hpwl = ub_hpwl; + best_p_placement = p_placement; + } + // Exit condition: If the upper-bound and lower-bound HPWLs are // sufficiently close together then stop. double hpwl_relative_gap = (ub_hpwl - lb_hpwl) / ub_hpwl; if (hpwl_relative_gap < target_hpwl_relative_gap_) break; } + + // Print statistics on the solver used. + solver_->print_statistics(); + + // Print statistics on the partial legalizer used. + partial_legalizer_->print_statistics(); + + VTR_LOG("Global Placer Statistics:\n"); + VTR_LOG("\tTime spent in solver: %g seconds\n", total_time_spent_in_solver); + VTR_LOG("\tTime spent in legalizer: %g seconds\n", total_time_spent_in_legalizer); + + // Print some statistics on the final placement. + VTR_LOG("Placement after Global Placement:\n"); + print_placement_stats(best_p_placement, + ap_netlist_, + *density_manager_); + // Return the placement from the final iteration. // TODO: investigate saving the best solution found so far. It should be // cheap to save a copy of the PartialPlacement object. - return p_placement; + return best_p_placement; } - diff --git a/vpr/src/analytical_place/global_placer.h b/vpr/src/analytical_place/global_placer.h index 0628b9b0d50..94f68e260f9 100644 --- a/vpr/src/analytical_place/global_placer.h +++ b/vpr/src/analytical_place/global_placer.h @@ -15,19 +15,16 @@ #pragma once #include +#include "ap_flow_enums.h" +#include "flat_placement_density_manager.h" +#include "partial_legalizer.h" // Forward declarations class APNetlist; class AnalyticalSolver; -class PartialPlacement; class PartialLegalizer; - -/** - * @brief Enumeration of all of the global placers currently implemented in VPR. - */ -enum class e_global_placer { - SimPL // Global placer based on the SimPL paper. -}; +class Prepacker; +struct PartialPlacement; /** * @brief The Global Placer base class @@ -38,7 +35,7 @@ enum class e_global_placer { * placers. */ class GlobalPlacer { -public: + public: virtual ~GlobalPlacer() {} /** @@ -50,9 +47,9 @@ class GlobalPlacer { * @param log_verbosity The verbosity of log messages in the Global * Placer. */ - GlobalPlacer(const APNetlist& netlist, int log_verbosity = 1) - : netlist_(netlist), - log_verbosity_(log_verbosity) {} + GlobalPlacer(const APNetlist& ap_netlist, int log_verbosity) + : ap_netlist_(ap_netlist) + , log_verbosity_(log_verbosity) {} /** * @brief Perform global placement on the given netlist. @@ -62,10 +59,9 @@ class GlobalPlacer { */ virtual PartialPlacement place() = 0; -protected: - + protected: /// @brief The APNetlist the global placer is placing. - const APNetlist& netlist_; + const APNetlist& ap_netlist_; /// @brief The setting of how verbose the log messages should be in the /// global placer. Anything larger than zero will display per @@ -76,8 +72,15 @@ class GlobalPlacer { /** * @brief A factory method which creates a Global Placer of the given type. */ -std::unique_ptr make_global_placer(e_global_placer placer_type, - const APNetlist& netlist); +std::unique_ptr make_global_placer(e_ap_analytical_solver analytical_solver_type, + e_ap_partial_legalizer partial_legalizer_type, + const APNetlist& ap_netlist, + const Prepacker& prepacker, + const AtomNetlist& atom_netlist, + const DeviceGrid& device_grid, + const std::vector& logical_block_types, + const std::vector& physical_tile_types, + int log_verbosity); /** * @brief A Global Placer based on the SimPL work for analytical ASIC placement. @@ -106,8 +109,7 @@ std::unique_ptr make_global_placer(e_global_placer placer_type, * approach each other until a good quality, mostly-legal solution is found. */ class SimPLGlobalPlacer : public GlobalPlacer { -private: - + private: /// @brief The maximum number of iterations the global placer can perform. static constexpr size_t max_num_iterations_ = 100; @@ -115,22 +117,33 @@ class SimPLGlobalPlacer : public GlobalPlacer { /// lower-bound placements. The placer will stop if the difference /// between the two bounds, normalized to the upper-bound, is smaller /// than this number. - static constexpr double target_hpwl_relative_gap_ = 0.10; + /// This number was empircally found to work well. + static constexpr double target_hpwl_relative_gap_ = 0.05; /// @brief The solver which generates the lower-bound placement. std::unique_ptr solver_; + /// @brief The denisty manager the partial legalizer will optimize over. + std::shared_ptr density_manager_; + /// @brief The legalizer which generates the upper-bound placement. std::unique_ptr partial_legalizer_; -public: - + public: /** * @brief Constructor for the SimPL Global Placer * * Constructs the solver and partial legalizer. */ - SimPLGlobalPlacer(const APNetlist& netlist); + SimPLGlobalPlacer(e_ap_analytical_solver analytical_solver_type, + e_ap_partial_legalizer partial_legalizer_type, + const APNetlist& ap_netlist, + const Prepacker& prepacker, + const AtomNetlist& atom_netlist, + const DeviceGrid& device_grid, + const std::vector& logical_block_types, + const std::vector& physical_tile_types, + int log_verbosity); /** * @brief Run a SimPL-like global placement algorithm @@ -140,4 +153,3 @@ class SimPLGlobalPlacer : public GlobalPlacer { */ PartialPlacement place() final; }; - diff --git a/vpr/src/analytical_place/model_grouper.cpp b/vpr/src/analytical_place/model_grouper.cpp new file mode 100644 index 00000000000..0aca963c96a --- /dev/null +++ b/vpr/src/analytical_place/model_grouper.cpp @@ -0,0 +1,184 @@ +/** + * @file + * @author Alex Singer + * @date March 2025 + * @brief Implementation of a model grouper class which groups models together + * which must be legalized together in a flat placement. + */ + +#include "model_grouper.h" +#include +#include +#include +#include +#include "cad_types.h" +#include "logic_types.h" +#include "prepack.h" +#include "vtr_assert.h" +#include "vtr_log.h" + +/** + * @brief Recursive helper function which gets the models in the given pattern + * block. + * + * @param pattern_block + * The pattern block to get the models of. + * @param models + * A set of the models found so far. + * @param block_visited + * A vector of flags for each pattern block to signify which blocks have + * been visited. + */ +static void get_pattern_models_recurr(t_pack_pattern_block* pattern_block, + std::unordered_set& models, + std::vector& block_visited) { + // If the pattern block is invalid or this block has been visited, return. + if (pattern_block == nullptr || block_visited[pattern_block->block_id]) { + return; + } + + // Mark this block as visited and insert its model into the models vector. + block_visited[pattern_block->block_id] = true; + models.insert(pattern_block->pb_type->model->index); + + // Go through this block's connections and get their pattern models. + t_pack_pattern_connections* connection = pattern_block->connections; + while (connection != nullptr) { + get_pattern_models_recurr(connection->from_block, models, block_visited); + get_pattern_models_recurr(connection->to_block, models, block_visited); + connection = connection->next; + } +} + +/** + * @brief Entry point into the recursive function above. Gets the models in + * the given pack pattern. + */ +static std::unordered_set get_pattern_models(const t_pack_patterns& pack_pattern) { + std::unordered_set models_in_pattern; + + // Initialize the visited flags for each block to false. + std::vector block_visited(pack_pattern.num_blocks, false); + // Begin the recursion with the root block. + get_pattern_models_recurr(pack_pattern.root_block, models_in_pattern, block_visited); + + return models_in_pattern; +} + +ModelGrouper::ModelGrouper(const Prepacker& prepacker, + t_model* user_models, + t_model* library_models, + int log_verbosity) { + /** + * Group the models together based on their pack patterns. If model A and + * model B form a pattern, and model B and model C form a pattern, then + * models A, B, and C are in a group together. + * + * An efficient way to find this is to represent this problem as a graph, + * where each node is a model and each edge is a relationship where a model + * is in a pack pattern with another model. We can then perform BFS to find + * the connected sub-graphs which will be the groups. + */ + + // Get the number of models + // TODO: Clean up the models vectors in VTR. + std::unordered_map model_name; + unsigned num_models = 0; + t_model* model = library_models; + while (model != nullptr) { + model_name[model->index] = model->name; + num_models++; + model = model->next; + } + model = user_models; + while (model != nullptr) { + model_name[model->index] = model->name; + num_models++; + model = model->next; + } + + // Create an adjacency list for the edges. An edge is formed where two + // models share a pack pattern together. + std::vector> adj_list(num_models); + for (const t_pack_patterns& pack_pattern : prepacker.get_all_pack_patterns()) { + // Get the models within this pattern. + auto models_in_pattern = get_pattern_models(pack_pattern); + VTR_ASSERT_SAFE(!models_in_pattern.empty()); + + // Debug print the models within the pattern. + if (log_verbosity >= 20) { + VTR_LOG("Pattern: %s\n\t", pack_pattern.name); + for (int model_idx : models_in_pattern) { + VTR_LOG("%s ", model_name[model_idx]); + } + VTR_LOG("\n"); + } + + // Connect each of the models to the first model in the pattern. Since + // we only care if there exist a path from each model to another, we do + // not need to connect the models in a clique. + int first_model_idx = *models_in_pattern.begin(); + for (int model_idx : models_in_pattern) { + adj_list[model_idx].insert(first_model_idx); + adj_list[first_model_idx].insert(model_idx); + } + } + + // Perform BFS to group the models. + VTR_LOGV(log_verbosity >= 20, + "Finding model groups...\n"); + std::queue node_queue; + model_group_id_.resize(num_models, ModelGroupId::INVALID()); + for (int model_idx = 0; model_idx < (int)num_models; model_idx++) { + // If this model is already in a group, skip it. + if (model_group_id_[model_idx].is_valid()) { + VTR_LOGV(log_verbosity >= 20, + "\t(%d -> %d)\n", model_idx, model_group_id_[model_idx]); + continue; + } + + ModelGroupId group_id = ModelGroupId(group_ids_.size()); + // Put the model in this group and push to the queue. + model_group_id_[model_idx] = group_id; + node_queue.push(model_idx); + + while (!node_queue.empty()) { + // Pop a node from the queue, and explore its neighbors. + int node_model_idx = node_queue.front(); + node_queue.pop(); + for (int neighbor_model_idx : adj_list[node_model_idx]) { + // If this neighbor is already in this group, skip it. + if (model_group_id_[neighbor_model_idx].is_valid()) { + VTR_ASSERT_SAFE(model_group_id_[neighbor_model_idx] == group_id); + continue; + } + // Put the neighbor in this group and push it to the queue. + model_group_id_[neighbor_model_idx] = group_id; + node_queue.push(neighbor_model_idx); + } + } + + VTR_LOGV(log_verbosity >= 20, + "\t(%d -> %d)\n", model_idx, model_group_id_[model_idx]); + group_ids_.push_back(group_id); + } + + // Create a lookup between each group and the models it contains. + groups_.resize(groups().size()); + for (int model_idx = 0; model_idx < (int)num_models; model_idx++) { + groups_[model_group_id_[model_idx]].push_back(model_idx); + } + + // Debug printing for each group. + if (log_verbosity >= 20) { + for (ModelGroupId group_id : groups()) { + const std::vector& group = groups_[group_id]; + VTR_LOG("Group %zu:\n", group_id); + VTR_LOG("\tSize = %zu\n", group.size()); + VTR_LOG("\tContained models:\n"); + for (int model_idx : group) { + VTR_LOG("\t\t%s\n", model_name[model_idx]); + } + } + } +} diff --git a/vpr/src/analytical_place/model_grouper.h b/vpr/src/analytical_place/model_grouper.h new file mode 100644 index 00000000000..d5a9113d6c1 --- /dev/null +++ b/vpr/src/analytical_place/model_grouper.h @@ -0,0 +1,115 @@ +/** + * @file + * @author Alex Singer + * @date March 2025 + * @brief Declaration of a model grouper class which groups together models + * that must be legalized together in a flat placement. + */ + +#pragma once + +#include +#include "vtr_assert.h" +#include "vtr_range.h" +#include "vtr_strong_id.h" +#include "vtr_vector.h" +#include "vtr_vector_map.h" + +// Forward declarations. +class Prepacker; +struct t_model; + +/// @brief Tag for the ModelGroupId +struct model_group_id_tag; + +/// @brief A unique ID of a group of models created by the ModelGrouper class. +typedef vtr::StrongId ModelGroupId; + +/** + * @brief A manager class for grouping together models that must be legalized + * together in a flat placement due to how they form molecules with each + * other. + * + * When performing legalization of a flat placement, it is desirable to split + * the problem into independent legalization problems. We cannot place all of + * the blocks of different model types independently since some blocks are made + * of multiple different types of models. We wish to find the minimum number of + * models that we need to legalize at the same time. + * + * This class groups models together based on the pack patterns that they can + * form in the prepacker. If model A and model B can form a pack pattern, and + * model B and model C can form a pack pattern, then models A, B, and C form a + * group and must be legalized together. + * + * This class also manages what models each group contains and the group of each + * model, where the user can use IDs to get relavent information. + */ +class ModelGrouper { + public: + // Iterator for the model group IDs + typedef typename vtr::vector_map::const_iterator group_iterator; + + // Range for the model group IDs + typedef typename vtr::Range group_range; + + public: + ModelGrouper() = delete; + + /** + * @brief Constructor for the model grouper class. Groups are formed here. + * + * @param prepacker + * The prepacker used to create molecules in the flat placement. This + * provides the pack patterns for forming the groups. + * @param user_models + * Linked list of user-provided models. + * @param library_models + * Linked list of library models. + * @param log_verbosity + * The verbosity of log messages in the grouper class. + */ + ModelGrouper(const Prepacker& prepacker, + t_model* user_models, + t_model* library_models, + int log_verbosity); + + /** + * @brief Returns a list of all valid group IDs. + */ + inline group_range groups() const { + return vtr::make_range(group_ids_.begin(), group_ids_.end()); + } + + /** + * @brief Gets the group ID of the given model. + */ + inline ModelGroupId get_model_group_id(int model_index) const { + VTR_ASSERT_SAFE_MSG(model_index < (int)model_group_id_.size(), + "Model index outside of range for model_group_id_"); + ModelGroupId group_id = model_group_id_[model_index]; + VTR_ASSERT_SAFE_MSG(group_id.is_valid(), + "Model is not in a group"); + return group_id; + } + + /** + * @brief Gets the models in the given group. + */ + inline const std::vector& get_models_in_group(ModelGroupId group_id) const { + VTR_ASSERT_SAFE_MSG(group_id.is_valid(), + "Invalid group id"); + VTR_ASSERT_SAFE_MSG(groups_[group_id].size() != 0, + "Group is empty"); + return groups_[group_id]; + } + + private: + /// @brief List of all group IDs. + vtr::vector_map group_ids_; + + /// @brief A lookup between models and the group ID that contains them. + std::vector model_group_id_; + + /// @brief A lookup between each group ID and the models in that group. + vtr::vector> groups_; +}; diff --git a/vpr/src/analytical_place/partial_legalizer.cpp b/vpr/src/analytical_place/partial_legalizer.cpp index e782c85b073..7021734f8d5 100644 --- a/vpr/src/analytical_place/partial_legalizer.cpp +++ b/vpr/src/analytical_place/partial_legalizer.cpp @@ -11,6 +11,8 @@ #include #include #include +#include +#include #include #include #include @@ -19,28 +21,43 @@ #include #include #include "ap_netlist.h" -#include "device_grid.h" +#include "flat_placement_bins.h" +#include "flat_placement_density_manager.h" +#include "flat_placement_mass_calculator.h" #include "globals.h" +#include "model_grouper.h" #include "partial_placement.h" #include "physical_types.h" +#include "prepack.h" #include "primitive_vector.h" #include "vpr_context.h" #include "vpr_error.h" -#include "vpr_types.h" #include "vtr_assert.h" #include "vtr_geometry.h" #include "vtr_log.h" -#include "vtr_ndmatrix.h" +#include "vtr_math.h" +#include "vtr_prefix_sum.h" #include "vtr_strong_id.h" +#include "vtr_time.h" #include "vtr_vector.h" #include "vtr_vector_map.h" -std::unique_ptr make_partial_legalizer(e_partial_legalizer legalizer_type, - const APNetlist& netlist) { +std::unique_ptr make_partial_legalizer(e_ap_partial_legalizer legalizer_type, + const APNetlist& netlist, + std::shared_ptr density_manager, + const Prepacker& prepacker, + int log_verbosity) { // Based on the partial legalizer type passed in, build the partial legalizer. switch (legalizer_type) { - case e_partial_legalizer::FLOW_BASED: - return std::make_unique(netlist); + case e_ap_partial_legalizer::FlowBased: + return std::make_unique(netlist, + density_manager, + log_verbosity); + case e_ap_partial_legalizer::BiPartitioning: + return std::make_unique(netlist, + density_manager, + prepacker, + log_verbosity); default: VPR_FATAL_ERROR(VPR_ERROR_AP, "Unrecognized partial legalizer type"); @@ -49,164 +66,6 @@ std::unique_ptr make_partial_legalizer(e_partial_legalizer leg return nullptr; } -/** - * @brief Get the scalar mass of the given model (primitive type). - * - * A model with a higher mass will take up more space in its bin which may force - * more spreading of that type of primitive. - * - * TODO: This will be made more complicated later. Models may be weighted based - * on some factors. - */ -static inline float get_model_mass(const t_model* model) { - // Currently, all models have a mass of one. - (void)model; - return 1.f; -} - -/** - * @brief Get the primitive mass of the given block. - * - * This returns an M-dimensional vector with each entry indicating the mass of - * that primitive type in this block. M is the number of unique models - * (primitive types) in the architecture. - */ -static inline PrimitiveVector get_primitive_mass(APBlockId blk_id, - const APNetlist& netlist) { - PrimitiveVector mass; - const t_pack_molecule* mol = netlist.block_molecule(blk_id); - for (AtomBlockId atom_blk_id : mol->atom_block_ids) { - // See issue #2791, some of the atom_block_ids may be invalid. They can - // safely be ignored. - if (!atom_blk_id.is_valid()) - continue; - const t_model* model = g_vpr_ctx.atom().nlist.block_model(atom_blk_id); - VTR_ASSERT_DEBUG(model->index >= 0); - mass.add_val_to_dim(get_model_mass(model), model->index); - } - return mass; -} - -// This method is being forward-declared due to the double recursion below. -// Eventually this should be made into a non-recursive algorithm for performance, -// however this is not in a performance critical part of the code. -static PrimitiveVector get_primitive_capacity(const t_pb_type* pb_type); - -/** - * @brief Get the amount of primitives this mode can contain. - * - * This is part of a double recursion, since a mode contains primitives which - * themselves have modes. - */ -static PrimitiveVector get_primitive_capacity(const t_mode& mode) { - // Accumulate the capacities of all the pbs in this mode. - PrimitiveVector capacity; - for (int pb_child_idx = 0; pb_child_idx < mode.num_pb_type_children; pb_child_idx++) { - const t_pb_type& pb_type = mode.pb_type_children[pb_child_idx]; - PrimitiveVector pb_capacity = get_primitive_capacity(&pb_type); - // A mode may contain multiple pbs of the same type, multiply the - // capacity. - pb_capacity *= pb_type.num_pb; - capacity += pb_capacity; - } - return capacity; -} - -/** - * @brief Get the amount of primitives this pb can contain. - * - * This is the other part of the double recursion. A pb may have multiple modes. - * Modes are made of pbs. - */ -static PrimitiveVector get_primitive_capacity(const t_pb_type* pb_type) { - // Since a pb cannot be multiple modes at the same time, we do not - // accumulate the capacities of the mode. Instead we need to "mix" the two - // capacities as if the pb could choose either one. - PrimitiveVector capacity; - // If this is a leaf / primitive, create the base PrimitiveVector capacity. - if (pb_type->num_modes == 0) { - const t_model* model = pb_type->model; - VTR_ASSERT(model != nullptr); - VTR_ASSERT_DEBUG(model->index >= 0); - capacity.add_val_to_dim(get_model_mass(model), model->index); - return capacity; - } - // For now, we simply mix the capacities of modes by taking the max of each - // dimension of the capcities. This provides an upper-bound on the amount of - // primitives this pb can contain. - for (int mode = 0; mode < pb_type->num_modes; mode++) { - PrimitiveVector mode_capacity = get_primitive_capacity(pb_type->modes[mode]); - capacity = PrimitiveVector::max(capacity, mode_capacity); - } - return capacity; -} - -/** - * @brief Helper method to get the primitive capacity of the given logical block - * type. - * - * This is the entry point to the double recursion. - */ -static inline PrimitiveVector get_primitive_capacity(const t_logical_block_type& block_type) { - // If this logical block is empty, it cannot contain any primitives. - if (block_type.is_empty()) - return PrimitiveVector(); - // The primitive capacity of a logical block is the primitive capacity of - // its root pb. - return get_primitive_capacity(block_type.pb_type); -} - -/** - * @brief Get the primitive capacity of the given sub_tile. - * - * Sub_tiles may reuse logical blocks between one another, therefore this method - * requires that the capacities of all of the logical blocks have been - * pre-calculated and stored in the given vector. - * - * @param sub_tile The sub_tile to get the capacity of. - * @param logical_block_type_capacities The capacities of all logical block - * types. - */ -static inline PrimitiveVector get_primitive_capacity(const t_sub_tile& sub_tile, - const std::vector& logical_block_type_capacities) { - // Similar to getting the primitive capacity of the pb, sub_tiles have many - // equivalent sites, but it can only be one of them at a time. Need to "mix" - // the capacities of the different sites this sub_tile may be. - PrimitiveVector capacity; - for (t_logical_block_type_ptr block_type : sub_tile.equivalent_sites) { - const PrimitiveVector& block_capacity = logical_block_type_capacities[block_type->index]; - // Currently, we take the max of each primitive dimension as an upper - // bound on the capacity of the sub_tile. - capacity = PrimitiveVector::max(capacity, block_capacity); - } - return capacity; -} - -/** - * @brief Get the primitive capacity of a tile of the given type. - * - * Tiles may reuse logical blocks between one another, therefore this method - * requires that the capacities of all of the logical blocks have been - * pre-calculated and stored in the given vector. - * - * @param tile_type The tile type to get the capacity of. - * @param logical_block_type_capacities The capacities of all logical block - * types. - */ -static inline PrimitiveVector get_primitive_capacity(const t_physical_tile_type& tile_type, - const std::vector& logical_block_type_capacities) { - // Accumulate the capacities of all the sub_tiles in the given tile type. - PrimitiveVector capacity; - for (const t_sub_tile& sub_tile : tile_type.sub_tiles) { - PrimitiveVector sub_tile_capacity = get_primitive_capacity(sub_tile, logical_block_type_capacities); - // A tile may contain many sub_tiles of the same type. Multiply by the - // number of sub_tiles of this type. - sub_tile_capacity *= sub_tile.capacity.total(); - capacity += sub_tile_capacity; - } - return capacity; -} - /** * @brief Get the number of models in the device architecture. * @@ -228,106 +87,46 @@ static inline size_t get_num_models() { return num_models; } -/** - * @brief Debug printing method to print the capacities of all logical blocks - * and physical tile types. - */ -static inline void print_capacities(const std::vector& logical_block_type_capacities, - const std::vector& physical_tile_type_capacities, - const std::vector& logical_block_types, - const std::vector& physical_tile_types) { - // Get a linear list of all models. - // TODO: Again, the way these models are stored is so annoying. It would be - // nice if they were already vectors! - std::vector all_models; - t_model* curr_model = g_vpr_ctx.device().arch->models; - while (curr_model != nullptr) { - if (curr_model->index >= (int)all_models.size()) - all_models.resize(curr_model->index + 1); - all_models[curr_model->index] = curr_model; - curr_model = curr_model->next; - } - curr_model = g_vpr_ctx.device().arch->model_library; - while (curr_model != nullptr) { - if (curr_model->index >= (int)all_models.size()) - all_models.resize(curr_model->index + 1); - all_models[curr_model->index] = curr_model; - curr_model = curr_model->next; - } - // Print the capacities. - VTR_LOG("Logical Block Type Capacities:\n"); - VTR_LOG("------------------------------\n"); - VTR_LOG("name\t"); - for (t_model* model : all_models) { - VTR_LOG("%s\t", model->name); - } - VTR_LOG("\n"); - for (const t_logical_block_type& block_type : logical_block_types) { - const PrimitiveVector& capacity = logical_block_type_capacities[block_type.index]; - VTR_LOG("%s\t", block_type.name.c_str()); - for (t_model* model : all_models) { - VTR_LOG("%.2f\t", capacity.get_dim_val(model->index)); - } - VTR_LOG("\n"); - } - VTR_LOG("\n"); - VTR_LOG("Physical Tile Type Capacities:\n"); - VTR_LOG("------------------------------\n"); - VTR_LOG("name\t"); - for (t_model* model : all_models) { - VTR_LOG("%s\t", model->name); - } - VTR_LOG("\n"); - for (const t_physical_tile_type& tile_type : physical_tile_types) { - const PrimitiveVector& capacity = physical_tile_type_capacities[tile_type.index]; - VTR_LOG("%s\t", tile_type.name.c_str()); - for (t_model* model : all_models) { - VTR_LOG("%.2f\t", capacity.get_dim_val(model->index)); - } - VTR_LOG("\n"); - } - VTR_LOG("\n"); -} - /** * @brief Helper method to get the direct neighbors of the given bin. * * A direct neighbor of a bin is a bin which shares a side with the given bin on * the tile graph. Corners do not count. */ -static std::unordered_set get_direct_neighbors_of_bin( - LegalizerBinId bin_id, - const vtr::vector_map& bins, - const vtr::NdMatrix tile_bin) { - const LegalizerBin& bin = bins[bin_id]; - int bl_x = bin.bounding_box.bottom_left().x(); - int bl_y = bin.bounding_box.bottom_left().y(); - size_t bin_width = bin.bounding_box.width(); - size_t bin_height = bin.bounding_box.height(); +static std::unordered_set get_direct_neighbors_of_bin( + FlatPlacementBinId bin_id, + const FlatPlacementDensityManager& density_manager) { + const vtr::Rect& bin_region = density_manager.flat_placement_bins().bin_region(bin_id); + int bl_x = bin_region.bottom_left().x(); + int bl_y = bin_region.bottom_left().y(); + size_t bin_width = bin_region.width(); + size_t bin_height = bin_region.height(); // This is an unfortunate consequence of using double precision to store // the bounding box. We need to ensure that the bin represents a tile (not // part of a tile). If it did represent part of a tile, this algorithm // would need to change. - VTR_ASSERT_DEBUG(static_cast(bl_x) == bin.bounding_box.bottom_left().x() && - static_cast(bl_y) == bin.bounding_box.bottom_left().y() && - static_cast(bin_width) == bin.bounding_box.width() && - static_cast(bin_height) == bin.bounding_box.height()); + VTR_ASSERT_DEBUG(static_cast(bl_x) == bin_region.bottom_left().x() && static_cast(bl_y) == bin_region.bottom_left().y() && static_cast(bin_width) == bin_region.width() && static_cast(bin_height) == bin_region.height()); + + double placeable_region_width, placeable_region_height, placeable_region_depth; + std::tie(placeable_region_width, placeable_region_height, placeable_region_depth) = density_manager.get_overall_placeable_region_size(); + // Current does not handle 3D FPGAs + VTR_ASSERT(placeable_region_depth == 1.0); // Add the neighbors. - std::unordered_set neighbor_bin_ids; + std::unordered_set neighbor_bin_ids; // Add unique tiles on left and right sides for (size_t ty = bl_y; ty < bl_y + bin_height; ty++) { if (bl_x >= 1) - neighbor_bin_ids.insert(tile_bin[bl_x - 1][ty]); - if (bl_x <= (int)(tile_bin.dim_size(0) - bin_width - 1)) - neighbor_bin_ids.insert(tile_bin[bl_x + bin_width][ty]); + neighbor_bin_ids.insert(density_manager.get_bin(bl_x - 1, ty, 0.0)); + if (bl_x <= (int)(placeable_region_width - bin_width - 1)) + neighbor_bin_ids.insert(density_manager.get_bin(bl_x + bin_width, ty, 0.0)); } // Add unique tiles on the top and bottom for (size_t tx = bl_x; tx < bl_x + bin_width; tx++) { if (bl_y >= 1) - neighbor_bin_ids.insert(tile_bin[tx][bl_y - 1]); - if (bl_y <= (int)(tile_bin.dim_size(1) - bin_height - 1)) - neighbor_bin_ids.insert(tile_bin[tx][bl_y + bin_height]); + neighbor_bin_ids.insert(density_manager.get_bin(tx, bl_y - 1, 0.0)); + if (bl_y <= (int)(placeable_region_height - bin_height - 1)) + neighbor_bin_ids.insert(density_manager.get_bin(tx, bl_y + bin_height, 0.0)); } // A bin cannot be a neighbor with itself. @@ -343,23 +142,26 @@ static inline vtr::Point get_center_of_rect(vtr::Rect rect) { return rect.bottom_left() + vtr::Point(rect.width() / 2.0, rect.height() / 2.0); } -void FlowBasedLegalizer::compute_neighbors_of_bin(LegalizerBinId src_bin_id, size_t num_models) { +void FlowBasedLegalizer::compute_neighbors_of_bin(FlatPlacementBinId src_bin_id, size_t num_models) { // Make sure that this bin does not already have neighbors. - VTR_ASSERT_DEBUG(bins_[src_bin_id].neighbors.size() == 0); + VTR_ASSERT_DEBUG(bin_neighbors_.size() == 0); // Bins need to be neighbors to every possible molecule type so things can // flow properly. // Perform BFS to find the closest bins of each type. Where closest is in // manhattan distance. + const FlatPlacementBins& flat_placement_bins = density_manager_->flat_placement_bins(); + size_t num_bins = flat_placement_bins.bins().size(); + // Create the queue and insert the source bin into it. - std::queue q; + std::queue q; q.push(src_bin_id); // Create visited flags for each bin. Set the source to visited. - vtr::vector_map bin_visited(bins_.size(), false); + vtr::vector_map bin_visited(num_bins, false); bin_visited[src_bin_id] = true; // Create a distance count for each bin from the src. - vtr::vector_map bin_distance(bins_.size(), 0); + vtr::vector_map bin_distance(num_bins, 0); // Flags to check if a specific model has been found in the given direction. // In this case, direction is the direction of the largest component of the // manhattan distance between the source bin and the target bin. @@ -374,15 +176,16 @@ void FlowBasedLegalizer::compute_neighbors_of_bin(LegalizerBinId src_bin_id, siz bool all_right_found = false; bool all_models_found_in_all_directions = false; // The center of the source bin. - vtr::Point src_bin_center = get_center_of_rect(bins_[src_bin_id].bounding_box); + const vtr::Rect& src_bin_region = flat_placement_bins.bin_region(src_bin_id); + vtr::Point src_bin_center = get_center_of_rect(src_bin_region); // The result will be stored in this set. - std::unordered_set neighbors; + std::unordered_set neighbors; // Helper method to add a neighbor to the set of neighbors and update the // found flags for a given direction if this bin is new for a given model // type. This method returns true if every model has been found in the given // direction (i.e. dir_found is now all true). - auto add_neighbor_if_new_dir = [&](LegalizerBinId target_bin_id, + auto add_neighbor_if_new_dir = [&](FlatPlacementBinId target_bin_id, std::vector& dir_found) { bool all_found = true; // Go through all possible models @@ -391,7 +194,8 @@ void FlowBasedLegalizer::compute_neighbors_of_bin(LegalizerBinId src_bin_id, siz if (dir_found[i]) continue; // If this bin has this model in its capacity, we found a neighbor! - if (bins_[target_bin_id].capacity.get_dim_val(i) > 0) { + const PrimitiveVector& target_bin_capacity = density_manager_->get_bin_capacity(target_bin_id); + if (target_bin_capacity.get_dim_val(i) > 0) { dir_found[i] = true; neighbors.insert(target_bin_id); } else { @@ -403,9 +207,9 @@ void FlowBasedLegalizer::compute_neighbors_of_bin(LegalizerBinId src_bin_id, siz // Perform the BFS from the source node until all nodes have been explored // or all of the models have been found in all directions. - while(!q.empty() && !all_models_found_in_all_directions) { + while (!q.empty() && !all_models_found_in_all_directions) { // Pop the bin from the queue. - LegalizerBinId bin_id = q.front(); + FlatPlacementBinId bin_id = q.front(); q.pop(); // If the distance of this block from the source is too large, do not // explore. @@ -414,14 +218,15 @@ void FlowBasedLegalizer::compute_neighbors_of_bin(LegalizerBinId src_bin_id, siz continue; // Get the direct neighbors of the bin (neighbors that are directly // touching). - auto direct_neighbors = get_direct_neighbors_of_bin(bin_id, bins_, tile_bin_); - for (LegalizerBinId dir_neighbor_bin_id : direct_neighbors) { + auto direct_neighbors = get_direct_neighbors_of_bin(bin_id, *density_manager_); + for (FlatPlacementBinId dir_neighbor_bin_id : direct_neighbors) { // If this neighbor has been visited, do not do anything. if (bin_visited[dir_neighbor_bin_id]) continue; // Get the signed distance from the src bin to the target bin in the // x and y dimensions. - vtr::Point target_bin_center = get_center_of_rect(bins_[dir_neighbor_bin_id].bounding_box); + const vtr::Rect& dir_neighbor_bin_region = flat_placement_bins.bin_region(dir_neighbor_bin_id); + vtr::Point target_bin_center = get_center_of_rect(dir_neighbor_bin_region); double dx = target_bin_center.x() - src_bin_center.x(); double dy = target_bin_center.y() - src_bin_center.y(); // Is the target bin above the source bin? @@ -451,260 +256,45 @@ void FlowBasedLegalizer::compute_neighbors_of_bin(LegalizerBinId src_bin_id, siz q.push(dir_neighbor_bin_id); } // Check if all of the models have been found in all directions. - all_models_found_in_all_directions = all_up_found && all_down_found && - all_left_found && all_right_found; + all_models_found_in_all_directions = all_up_found && all_down_found && all_left_found && all_right_found; } // Assign the results into the neighbors of the bin. - bins_[src_bin_id].neighbors.assign(neighbors.begin(), neighbors.end()); + bin_neighbors_[src_bin_id].assign(neighbors.begin(), neighbors.end()); } -FlowBasedLegalizer::FlowBasedLegalizer(const APNetlist& netlist) - : PartialLegalizer(netlist), - // TODO: Pass the device grid in. - tile_bin_({g_vpr_ctx.device().grid.width(), g_vpr_ctx.device().grid.height()}) { - const DeviceGrid& grid = g_vpr_ctx.device().grid; - size_t grid_width = grid.width(); - size_t grid_height = grid.height(); - - // Pre-compute the capacities of all logical blocks in the device. - // logical_block_type::index -> PrimitiveVector - std::vector logical_block_type_capacities(g_vpr_ctx.device().logical_block_types.size()); - for (const t_logical_block_type& logical_block_type : g_vpr_ctx.device().logical_block_types) { - logical_block_type_capacities[logical_block_type.index] = get_primitive_capacity(logical_block_type); - } - // Pre-compute the capacities of all physical tile types in the device. - // physical_tile_type::index -> PrimitiveVector - std::vector physical_tile_type_capacities(g_vpr_ctx.device().physical_tile_types.size()); - for (const t_physical_tile_type& physical_tile_type : g_vpr_ctx.device().physical_tile_types) { - physical_tile_type_capacities[physical_tile_type.index] = get_primitive_capacity(physical_tile_type, logical_block_type_capacities); - } - // Print these capacities. Helpful for debugging. - if (log_verbosity_ > 1) { - print_capacities(logical_block_type_capacities, - physical_tile_type_capacities, - g_vpr_ctx.device().logical_block_types, - g_vpr_ctx.device().physical_tile_types); - } - // Create the bins - // This currently creates 1 bin per tile. - for (size_t x = 0; x < grid_width; x++) { - for (size_t y = 0; y < grid_height; y++) { - // Ignoring 3D placement for now. - t_physical_tile_loc tile_loc = {(int)x, (int)y, 0}; - // Is this the root location? Only create bins for roots. - size_t width_offset = grid.get_width_offset(tile_loc); - size_t height_offset = grid.get_height_offset(tile_loc); - if (width_offset != 0 || height_offset != 0) { - // If this is not a root, point the tile_bin_ lookup to the root - // tile location. - tile_bin_[x][y] = tile_bin_[x - width_offset][y - height_offset]; - continue; - } - // Create the bin - LegalizerBinId new_bin_id = LegalizerBinId(bins_.size()); - LegalizerBin new_bin; - // NOTE: The bounding box from the tile does not make sense in this - // context, making my own here based on the tile size and - // position. - t_physical_tile_type_ptr tile_type = grid.get_physical_type(tile_loc); - int width = tile_type->width; - int height = tile_type->height; - new_bin.bounding_box = vtr::Rect(vtr::Point(x, y), - vtr::Point(x + width, - y + height)); - // The capacity of the bin is the capacity of the tile it represents. - new_bin.capacity = physical_tile_type_capacities[tile_type->index]; - bins_.push_back(std::move(new_bin)); - tile_bin_[x][y] = new_bin_id; - } - } +FlowBasedLegalizer::FlowBasedLegalizer(const APNetlist& netlist, + std::shared_ptr density_manager, + int log_verbosity) + : PartialLegalizer(netlist, log_verbosity) + , density_manager_(density_manager) + , bin_neighbors_(density_manager_->flat_placement_bins().bins().size()) { - // Get the number of models in the device. - size_t num_models = get_num_models(); // Connect the bins. - // TODO: Should create a list of bin IDs to make this more efficient. - for (size_t x = 0; x < grid_width; x++) { - for (size_t y = 0; y < grid_height; y++) { - // Ignoring 3D placement for now. Will likely require modification to - // the solver and legalizer. - t_physical_tile_loc tile_loc = {(int)x, (int)y, 0}; - // Is this the root location? - if (grid.get_width_offset(tile_loc) != 0 || - grid.get_height_offset(tile_loc) != 0) { - continue; - } - // Compute the neighbors of this bin. - compute_neighbors_of_bin(tile_bin_[x][y], num_models); - } - } - - // Pre-compute the masses of the APBlocks - VTR_LOGV(log_verbosity_ >= 10, "Pre-computing the block masses...\n"); - for (APBlockId blk_id : netlist.blocks()) { - block_masses_.insert(blk_id, get_primitive_mass(blk_id, netlist)); + size_t num_models = get_num_models(); + for (FlatPlacementBinId bin_id : density_manager_->flat_placement_bins().bins()) { + compute_neighbors_of_bin(bin_id, num_models); } - VTR_LOGV(log_verbosity_ >= 10, "Finished pre-computing the block masses.\n"); - - // Initialize the block_bins. - block_bins_.resize(netlist.blocks().size(), LegalizerBinId::INVALID()); } -bool FlowBasedLegalizer::verify_bins() const { - // Make sure that every block has a bin. - for (APBlockId blk_id : netlist_.blocks()) { - if (!block_bins_[blk_id].is_valid()) { - VTR_LOG("Bin Verify: Found a block that is not in a bin.\n"); - return false; - } - } - // Make sure that every tile has a bin. - const DeviceGrid& device_grid = g_vpr_ctx.device().grid; - if (tile_bin_.dim_size(0) != device_grid.width() || - tile_bin_.dim_size(1) != device_grid.height()) { - VTR_LOG("Bin Verify: Tile-bin lookup does not contain every tile.\n"); - return false; - } - for (size_t x = 0; x < device_grid.width(); x++) { - for (size_t y = 0; y < device_grid.height(); y++) { - if (!tile_bin_[x][y].is_valid()) { - VTR_LOG("Bin Verify: Found a tile with no bin.\n"); - return false; - } - } +bool FlowBasedLegalizer::verify() const { + if (density_manager_->verify() == false) { + VTR_LOG("Flow-Based Legalizer Verify: Density Manager failed verification.\n"); } - // Make sure that every bin has the correct utilization, supply, and demand. - for (const LegalizerBin& bin : bins_) { - PrimitiveVector calc_utilization; - for (APBlockId blk_id : bin.contained_blocks) { - calc_utilization += block_masses_[blk_id]; - } - if (bin.utilization != calc_utilization) { - VTR_LOG("Bin Verify: Found a bin with incorrect utilization.\n"); - return false; - } - PrimitiveVector calc_supply = bin.utilization - bin.capacity; - calc_supply.relu(); - if (bin.supply != calc_supply) { - VTR_LOG("Bin Verify: Found a bin with incorrect supply.\n"); - return false; - } - PrimitiveVector calc_demand = bin.capacity - bin.utilization; - calc_demand.relu(); - if (bin.demand != calc_demand) { - VTR_LOG("Bin Verify: Found a bin with incorrect demand.\n"); - return false; - } - if (!bin.supply.is_non_negative()) { - VTR_LOG("Bin Verify: Found a bin with a negative supply.\n"); - return false; - } - if (!bin.demand.is_non_negative()) { - VTR_LOG("Bin Verify: Found a bin with a negative demand.\n"); - return false; - } - if (!bin.capacity.is_non_negative()) { - VTR_LOG("Bin Verify: Found a bin with a negative capacity.\n"); - return false; - } - if (!bin.utilization.is_non_negative()) { - VTR_LOG("Bin Verify: Found a bin with a negative utilization.\n"); - return false; - } - if (bin.neighbors.size() == 0) { - VTR_LOG("Bin Verify: Found a bin with no neighbors.\n"); - return false; - } - } - // Make sure all overfilled bins are actually overfilled. - // TODO: Need to make sure that all non-overfilled bins are actually not - // overfilled. - for (LegalizerBinId bin_id : overfilled_bins_) { - const LegalizerBin& bin = bins_[bin_id]; - if (bin.supply.is_zero()) { - VTR_LOG("Bin Verify: Found an overfilled bin that was not overfilled.\n"); + // Make sure that the bins are connected correctly. + for (FlatPlacementBinId bin_id : density_manager_->flat_placement_bins().bins()) { + if (bin_neighbors_[bin_id].empty()) { + VTR_LOG("Flow-Based Legalizer Verify: Found a bin with no neighbors.\n"); return false; } + // TODO: Should verify more about the connectivity. Such as every bin + // has a neighbor of each model type and a path exists from every + // bin to every other bin. } // If all above passed, then the bins are valid. return true; } -void FlowBasedLegalizer::reset_bins() { - // Reset all of the bins by removing all of the contained blocks. - for (LegalizerBin& bin : bins_) { - bin.contained_blocks.clear(); - bin.utilization = PrimitiveVector(); - bin.compute_supply(); - bin.compute_demand(); - } - // Reset the reverse lookup of block_bins_ - std::fill(block_bins_.begin(), block_bins_.end(), LegalizerBinId::INVALID()); - // No bin can be overfilled right now. - overfilled_bins_.clear(); -} - -void FlowBasedLegalizer::import_placement_into_bins(const PartialPlacement& p_placement) { - // TODO: Maybe import the fixed block locations in the constructor and - // then only import the moveable block locations. - for (APBlockId blk_id : netlist_.blocks()) { - size_t x_loc = p_placement.block_x_locs[blk_id]; - size_t y_loc = p_placement.block_y_locs[blk_id]; - LegalizerBinId bin_id = get_bin(x_loc, y_loc); - insert_blk_into_bin(blk_id, bin_id); - } -} - -/** - * @brief Get the location of a block assuming that it is placed within the - * given bin. - * - * This function will return the position of the block in the point within the - * bin's bounding box which is closest to the original position of the block - * (the position in p_placement). - */ -static inline vtr::Point get_block_location_in_bin(APBlockId blk_id, - const LegalizerBin& bin, - const PartialPlacement& p_placement) { - // A block cannot be placed on the right or top sides of the bounding box - // of a bin; however they can be infinitely close to these sides. It is - // arbitrary how close to the edge we place the blocks; opted to place them - // as close as possible. - double epsilon = 0.0001; - double x = std::clamp(p_placement.block_x_locs[blk_id], - bin.bounding_box.bottom_left().x(), - bin.bounding_box.top_right().x() - epsilon); - double y = std::clamp(p_placement.block_y_locs[blk_id], - bin.bounding_box.bottom_left().y(), - bin.bounding_box.top_right().y() - epsilon); - return vtr::Point(x, y); -} - -void FlowBasedLegalizer::export_placement_from_bins(PartialPlacement& p_placement) const { - // Updates the partial placement with the location of the blocks in the bin - // by moving the blocks to the point within the bin closest to where they - // were originally. - // TODO: This should be investigated more. This may put blocks onto the edges - // of bins which may not be ideal. - for (APBlockId blk_id : netlist_.blocks()) { - // Only the moveable block locations should be exported. - if (netlist_.block_mobility(blk_id) == APBlockMobility::FIXED) - continue; - // Project the coordinate of the block in the partial placement to the - // closest point in the bin. - LegalizerBinId bin_id = block_bins_[blk_id]; - VTR_ASSERT_DEBUG(bin_id.is_valid()); - const LegalizerBin& bin = bins_[bin_id]; - // Set the position of the block to the closest position in the bin to - // where the block was. - vtr::Point new_blk_pos = get_block_location_in_bin(blk_id, - bin, - p_placement); - p_placement.block_x_locs[blk_id] = new_blk_pos.x(); - p_placement.block_y_locs[blk_id] = new_blk_pos.y(); - } -} - // Helper method to compute the phi term in the durav algorithm. static inline float computeMaxMovement(size_t iter) { return 100 * (iter + 1) * (iter + 1); @@ -719,40 +309,44 @@ static inline float computeMaxMovement(size_t iter) { * * @param src_bin The bin that contains the blocks to move. * @param target_bin The bin to move blocks to. - * @param block_masses A lookup for the masses of all blocks. * @param p_placement The placement of the blocks prior to legalization. * @param netlist The APNetlist for the placement. + * @param density_manager The density manager for this partial legalizer. * * @return A pair of the minimum cost moveable block and its cost. */ static inline std::pair get_min_cost_block_in_bin( - const LegalizerBin& src_bin, - const LegalizerBin& target_bin, - const vtr::vector_map& block_masses, - const PartialPlacement& p_placement, - const APNetlist& netlist) { + FlatPlacementBinId src_bin, + FlatPlacementBinId target_bin, + const PartialPlacement& p_placement, + const APNetlist& netlist, + const FlatPlacementDensityManager& density_manager) { // Get the min cost block and its cost. APBlockId min_cost_block; float min_cost = std::numeric_limits::infinity(); + const FlatPlacementBins& bins = density_manager.flat_placement_bins(); + const FlatPlacementMassCalculator& mass_calculator = density_manager.mass_calculator(); + const std::unordered_set& src_contained_blocks = bins.bin_contained_blocks(src_bin); // FIXME: If these were somehow pre-sorted, this can be made much cheaper. - for (APBlockId blk_id : src_bin.contained_blocks) { + for (APBlockId blk_id : src_contained_blocks) { // If this block is fixed, it has infinite cost to move. if (netlist.block_mobility(blk_id) == APBlockMobility::FIXED) continue; - const PrimitiveVector& block_mass = block_masses[blk_id]; + const PrimitiveVector& block_mass = mass_calculator.get_block_mass(blk_id); // Is this block compatible with the target bin? // If the capacity of the target, projected onto the mass, is less than // the mass, then the block is not compatible. // TODO: We may want to add a cost term based on how much space is // available in the bin? - PrimitiveVector target_capacity = target_bin.capacity; + PrimitiveVector target_capacity = density_manager.get_bin_capacity(target_bin); target_capacity.project(block_mass); if (target_capacity < block_mass) continue; // Compute the quadratic movement (aka cost). - vtr::Point new_block_pos = get_block_location_in_bin(blk_id, - target_bin, - p_placement); + const vtr::Rect& target_bin_region = bins.bin_region(target_bin); + const vtr::Point& new_block_pos = density_manager.get_block_location_in_bin(blk_id, + target_bin_region, + p_placement); double dx = new_block_pos.x() - p_placement.block_x_locs[blk_id]; double dy = new_block_pos.y() - p_placement.block_y_locs[blk_id]; float cost = (dx * dx) + (dy * dy); @@ -777,18 +371,18 @@ static inline std::pair get_min_cost_block_in_bin( * @param psi Algorithm parameter which represents the maximum * cost this function can return. This function will * return inf if the cost is larger than psi. - * @param block_masses A lookup for the masses of all blocks. * @param p_placement The placement of the blocks prior to legalization. * @param netlist The APNetlist for the placement. + * @param density_manager The density manager for this partial legalizer. */ -static inline float compute_cost(const LegalizerBin& src_bin, - const LegalizerBin& target_bin, +static inline float compute_cost(FlatPlacementBinId src_bin, + FlatPlacementBinId target_bin, float psi, - const vtr::vector_map& block_masses, const PartialPlacement& p_placement, - const APNetlist& netlist) { + const APNetlist& netlist, + const FlatPlacementDensityManager& density_manager) { // If the src bin is empty, then there is nothing to move. - if (src_bin.contained_blocks.size() == 0) + if (density_manager.flat_placement_bins().bin_contained_blocks(src_bin).size() == 0) return std::numeric_limits::infinity(); // Get the min cost block in the src bin which is compatible with the target // bin. @@ -796,9 +390,9 @@ static inline float compute_cost(const LegalizerBin& src_bin, float min_cost; std::tie(min_cost_block, min_cost) = get_min_cost_block_in_bin(src_bin, target_bin, - block_masses, p_placement, - netlist); + netlist, + density_manager); // If no block can be moved to the target bin, return. if (std::isinf(min_cost)) return std::numeric_limits::infinity(); @@ -810,59 +404,64 @@ static inline float compute_cost(const LegalizerBin& src_bin, // This weight tries to keep blocks of the same type together. // This term can be found by taking the L1 norm of the projection of the // src bin's utilization on the direction of the mass. - PrimitiveVector weight_vec = src_bin.utilization; - weight_vec.project(block_masses[min_cost_block]); + const FlatPlacementMassCalculator& mass_calculator = density_manager.mass_calculator(); + const PrimitiveVector& min_cost_block_mass = mass_calculator.get_block_mass(min_cost_block); + PrimitiveVector weight_vec = density_manager.get_bin_utilization(src_bin); + weight_vec.project(min_cost_block_mass); float weight = weight_vec.manhattan_norm(); // Return the overall cost which is the quadratic movement times the weight. return weight * min_cost; } -std::vector> FlowBasedLegalizer::get_paths(LegalizerBinId src_bin_id, - const PartialPlacement& p_placement, - float psi) { +std::vector> FlowBasedLegalizer::get_paths( + FlatPlacementBinId src_bin_id, + const PartialPlacement& p_placement, + float psi) { VTR_LOGV(log_verbosity_ >= 20, "\tGetting paths...\n"); + const FlatPlacementBins& flat_placement_bins = density_manager_->flat_placement_bins(); + size_t num_bins = flat_placement_bins.bins().size(); // Create a visited vector. - vtr::vector_map bin_visited(bins_.size(), false); + vtr::vector_map bin_visited(num_bins, false); bin_visited[src_bin_id] = true; // Create a cost array. The cost of a path is equal to the cost of its tail // bin. - vtr::vector_map bin_cost(bins_.size(), 0.f); + vtr::vector_map bin_cost(num_bins, 0.f); // Create a starting path. - std::vector starting_path; + std::vector starting_path; starting_path.push_back(src_bin_id); // Create a FIFO queue. - std::queue> queue; + std::queue> queue; queue.push(std::move(starting_path)); // Create the resulting vector of paths. // TODO: Can we store this more efficiently as a tree? - std::vector> paths; + std::vector> paths; // Perform the BFS to search for direct paths to flow the starting bin's // supply of primitives until it has found sufficient demand. PrimitiveVector demand; - const PrimitiveVector& starting_bin_supply = bins_[src_bin_id].supply; + const PrimitiveVector& starting_bin_supply = get_bin_supply(src_bin_id); while (!queue.empty() && demand < starting_bin_supply) { // Pop the current bin off the queue. - std::vector &p = queue.front(); - LegalizerBinId tail_bin_id = p.back(); + std::vector& p = queue.front(); + FlatPlacementBinId tail_bin_id = p.back(); // Look over its neighbors - for (LegalizerBinId neighbor_bin_id : bins_[tail_bin_id].neighbors) { + for (FlatPlacementBinId neighbor_bin_id : bin_neighbors_[tail_bin_id]) { // If this bin has already been visited, skip it. if (bin_visited[neighbor_bin_id]) continue; // Compute the cost of moving a block from the tail bin to its // neighbor. - float cost = compute_cost(bins_[tail_bin_id], - bins_[neighbor_bin_id], + float cost = compute_cost(tail_bin_id, + neighbor_bin_id, psi, - block_masses_, p_placement, - netlist_); + netlist_, + *density_manager_); // If the cost is infinite, then the path cannot be made to this // neighbor bin. if (std::isinf(cost)) continue; // Else, a path can be made. - std::vector p_copy(p); + std::vector p_copy(p); bin_cost[neighbor_bin_id] = bin_cost[tail_bin_id] + cost; p_copy.push_back(neighbor_bin_id); bin_visited[neighbor_bin_id] = true; @@ -879,7 +478,7 @@ std::vector> FlowBasedLegalizer::get_paths(Legalizer // investigated further... // FIXME: Perhaps we do not check if it is empty, but check if the // demand is sufficiently large... - PrimitiveVector neighbor_demand = bins_[neighbor_bin_id].demand; + PrimitiveVector neighbor_demand = get_bin_demand(neighbor_bin_id); neighbor_demand.project(starting_bin_supply); VTR_ASSERT_DEBUG(neighbor_demand.is_non_negative()); // if (bins_[neighbor_bin_id].contained_blocks.size() == 0) { @@ -900,39 +499,38 @@ std::vector> FlowBasedLegalizer::get_paths(Legalizer // Helpful debug messages. VTR_LOGV(log_verbosity_ >= 20, "\t\tSupply of source bin: %.2f\n", - starting_bin_supply.manhattan_norm()); + starting_bin_supply.manhattan_norm()); VTR_LOGV(log_verbosity_ >= 20, "\t\tDemand of all paths from source: %.2f\n", - starting_bin_supply.manhattan_norm()); + starting_bin_supply.manhattan_norm()); // Sort the paths in increasing order of cost. - std::sort(paths.begin(), paths.end(), [&](const std::vector& a, - const std::vector& b) { + std::sort(paths.begin(), paths.end(), [&](const std::vector& a, const std::vector& b) { return bin_cost[a.back()] < bin_cost[b.back()]; }); return paths; } -void FlowBasedLegalizer::flow_blocks_along_path(const std::vector& path, +void FlowBasedLegalizer::flow_blocks_along_path(const std::vector& path, const PartialPlacement& p_placement, float psi) { // Get the root bin of the path. VTR_ASSERT(!path.empty()); - LegalizerBinId src_bin_id = path[0]; + FlatPlacementBinId src_bin_id = path[0]; // Create a stack and put the src bin on top. - std::stack s; + std::stack s; s.push(src_bin_id); // Insert the bins in the path into the stack in reverse order (so the last // bin in the path is on top of the stack). size_t path_size = path.size(); for (size_t path_idx = 1; path_idx < path_size; path_idx++) { - LegalizerBinId sink_bin_id = path[path_idx]; + FlatPlacementBinId sink_bin_id = path[path_idx]; // Check that the cost of moving a block from the source bin to the sink // bin is non-infinite. According to the paper, this check is needed // since a previous flow on another path may have made this path not // necessary anymore. - float cost = compute_cost(bins_[src_bin_id], bins_[sink_bin_id], psi, - block_masses_, p_placement, netlist_); + float cost = compute_cost(src_bin_id, sink_bin_id, psi, + p_placement, netlist_, *density_manager_); if (std::isinf(cost)) return; src_bin_id = sink_bin_id; @@ -940,7 +538,7 @@ void FlowBasedLegalizer::flow_blocks_along_path(const std::vector p = get_min_cost_block_in_bin(bins_[src_bin_id], - bins_[sink_bin_id], - block_masses_, + std::pair p = get_min_cost_block_in_bin(src_bin_id, + sink_bin_id, p_placement, - netlist_); + netlist_, + *density_manager_); // Move the block from the src bin to the sink bin. - remove_blk_from_bin(p.first, src_bin_id); - insert_blk_into_bin(p.first, sink_bin_id); + density_manager_->remove_block_from_bin(p.first, src_bin_id); + density_manager_->insert_block_into_bin(p.first, sink_bin_id); sink_bin_id = src_bin_id; } @@ -999,38 +597,18 @@ static void print_flow_based_legalizer_status(size_t iteration, fflush(stdout); } -/** - * @brief Debug method to print the current number of blocks contained in each - * bin visually. - * - * This method helps to see how the spreading is working. - */ -static void print_flow_based_bin_grid(const vtr::NdMatrix& tile_bin, - const vtr::vector_map& bins) { - for (size_t y = 0; y < tile_bin.dim_size(1); y++) { - for (size_t x = 0; x < tile_bin.dim_size(0); x++) { - const LegalizerBin& bin = bins[tile_bin[x][y]]; - VTR_LOG("%3zu ", bin.contained_blocks.size()); - } - VTR_LOG("\n"); - } - VTR_LOG("\n"); -} - -void FlowBasedLegalizer::legalize(PartialPlacement &p_placement) { +void FlowBasedLegalizer::legalize(PartialPlacement& p_placement) { VTR_LOGV(log_verbosity_ >= 10, "Running Flow-Based Legalizer\n"); - // Reset the bins from the previous iteration and prepare for this iteration. - reset_bins(); // Import the partial placement into bins. - import_placement_into_bins(p_placement); + density_manager_->import_placement_into_bins(p_placement); // Verify that the placement was imported correctly. - VTR_ASSERT_SAFE(verify_bins()); + VTR_ASSERT_SAFE(density_manager_->verify()); // Print the number of blocks in each bin visually before spreading. if (log_verbosity_ >= 15) { VTR_LOG("Bin utilization prior to spreading:\n"); - print_flow_based_bin_grid(tile_bin_, bins_); + density_manager_->print_bin_grid(); } // Print the status header to make printing the status clearer. @@ -1048,7 +626,8 @@ void FlowBasedLegalizer::legalize(PartialPlacement &p_placement) { break; } // If there are no overfilled bins, no more work to do. - if (overfilled_bins_.empty()) { + const std::unordered_set& overfilled_bins = density_manager_->get_overfilled_bins(); + if (overfilled_bins.empty()) { VTR_LOGV(log_verbosity_ >= 10, "Flow-Based legalizer has no overfilled tiles. No further spreading needed.\n"); break; @@ -1063,28 +642,28 @@ void FlowBasedLegalizer::legalize(PartialPlacement &p_placement) { // NOTE: Although the supply should always be non-negative, we still // take the absolute value in the norm for completeness. // TODO: This is a guess. Should investigate other norms. - std::vector overfilled_bins_vec(overfilled_bins_.begin(), overfilled_bins_.end()); - std::sort(overfilled_bins_vec.begin(), overfilled_bins_vec.end(), [&](LegalizerBinId a, LegalizerBinId b) { - return bins_[a].supply.manhattan_norm() < bins_[b].supply.manhattan_norm(); + std::vector overfilled_bins_vec(overfilled_bins.begin(), overfilled_bins.end()); + std::sort(overfilled_bins_vec.begin(), overfilled_bins_vec.end(), [&](FlatPlacementBinId a, FlatPlacementBinId b) { + return get_bin_supply(a).manhattan_norm() < get_bin_supply(b).manhattan_norm(); }); // Get the paths to flow blocks from the overfilled bins to the under // filled bins and flow the blocks. - for (LegalizerBinId src_bin_id : overfilled_bins_vec) { + for (FlatPlacementBinId src_bin_id : overfilled_bins_vec) { // Get the list of candidate paths based on psi. A path is a list // of LegalizerBins traversed. // NOTE: The paths are sorted by increasing cost within the // getPaths method. - std::vector> paths = get_paths(src_bin_id, - p_placement, - psi); + std::vector> paths = get_paths(src_bin_id, + p_placement, + psi); VTR_LOGV(log_verbosity_ >= 20, "\tNum paths: %zu\n", paths.size()); // For each path, flow the blocks along the path. - for (const std::vector& path : paths) { + for (const std::vector& path : paths) { VTR_LOGV(log_verbosity_ >= 30, "\t\tPath length: %zu\n", path.size()); // If the bin is no longer overfilled, no need to move any more // blocks along the paths. - if (!bin_is_overfilled(src_bin_id)) + if (!density_manager_->bin_is_overfilled(src_bin_id)) break; // Move blocks over the paths. // NOTE: This will only modify the bins. (actual block @@ -1097,10 +676,10 @@ void FlowBasedLegalizer::legalize(PartialPlacement &p_placement) { if (log_verbosity_ >= 10) { // TODO: Get the total cell displacement for debugging. print_flow_based_legalizer_status( - flowBasedIter, - overfilled_bins_vec.size(), - bins_[overfilled_bins_vec.back()].supply.manhattan_norm(), - psi); + flowBasedIter, + overfilled_bins_vec.size(), + get_bin_supply(overfilled_bins_vec.back()).manhattan_norm(), + psi); } // Increment the iteration. @@ -1111,15 +690,853 @@ void FlowBasedLegalizer::legalize(PartialPlacement &p_placement) { flowBasedIter + 1); // Verify that the bins are valid before export. - VTR_ASSERT(verify_bins()); + VTR_ASSERT(verify()); // Print the number of blocks in each bin after spreading. if (log_verbosity_ >= 15) { VTR_LOG("Bin utilization after spreading:\n"); - print_flow_based_bin_grid(tile_bin_, bins_); + density_manager_->print_bin_grid(); + } + + // Export the legalized placement to the partial placement. + density_manager_->export_placement_from_bins(p_placement); +} + +PerModelPrefixSum2D::PerModelPrefixSum2D(const FlatPlacementDensityManager& density_manager, + t_model* user_models, + t_model* library_models, + std::function lookup) { + // Get the number of models in the architecture. + // TODO: We really need to clean up how models are stored in VPR... + t_model* cur = user_models; + int num_models = 0; + while (cur != nullptr) { + num_models++; + cur = cur->next; + } + cur = library_models; + while (cur != nullptr) { + num_models++; + cur = cur->next; + } + + // Get the size that the prefix sums should be. + size_t width, height, layers; + std::tie(width, height, layers) = density_manager.get_overall_placeable_region_size(); + + // Create each of the prefix sums. + model_prefix_sum_.resize(num_models); + for (int model_index = 0; model_index < num_models; model_index++) { + model_prefix_sum_[model_index] = vtr::PrefixSum2D( + width, + height, + [&](size_t x, size_t y) { + return lookup(model_index, x, y); + }); + } +} + +float PerModelPrefixSum2D::get_model_sum(int model_index, + const vtr::Rect& region) const { + VTR_ASSERT_SAFE(model_index < (int)model_prefix_sum_.size() && model_index >= 0); + // Get the sum over the given region. + return model_prefix_sum_[model_index].get_sum(region.xmin(), + region.ymin(), + region.xmax() - 1, + region.ymax() - 1); +} + +PrimitiveVector PerModelPrefixSum2D::get_sum(const std::vector& model_indices, + const vtr::Rect& region) const { + PrimitiveVector res; + for (int model_index : model_indices) { + VTR_ASSERT_SAFE(res.get_dim_val(model_index) == 0.0f); + res.set_dim_val(model_index, get_model_sum(model_index, region)); + } + return res; +} + +BiPartitioningPartialLegalizer::BiPartitioningPartialLegalizer( + const APNetlist& netlist, + std::shared_ptr density_manager, + const Prepacker& prepacker, + int log_verbosity) + : PartialLegalizer(netlist, log_verbosity) + , density_manager_(density_manager) + , model_grouper_(prepacker, + g_vpr_ctx.device().arch->models, + g_vpr_ctx.device().arch->model_library, + log_verbosity) { + // Compute the capacity prefix sum. Capacity is assumed to not change + // between iterations of the partial legalizer. + capacity_prefix_sum_ = PerModelPrefixSum2D( + *density_manager, + g_vpr_ctx.device().arch->models, + g_vpr_ctx.device().arch->model_library, + [&](int model_index, size_t x, size_t y) { + // Get the bin at this grid location. + FlatPlacementBinId bin_id = density_manager_->get_bin(x, y, 0); + // Get the capacity of the bin for this model. + float cap = density_manager_->get_bin_capacity(bin_id).get_dim_val(model_index); + VTR_ASSERT_SAFE(cap >= 0.0f); + // Bins may be large, but the prefix sum assumes a 1x1 grid of + // values. Normalize by the area of the bin to turn this into + // a 1x1 bin equivalent. + const vtr::Rect& bin_region = density_manager_->flat_placement_bins().bin_region(bin_id); + float bin_area = bin_region.width() * bin_region.height(); + VTR_ASSERT_SAFE(!vtr::isclose(bin_area, 0.f)); + return cap / bin_area; + }); + + num_windows_partitioned_ = 0; + num_blocks_partitioned_ = 0; +} + +void BiPartitioningPartialLegalizer::print_statistics() { + VTR_LOG("Bi-Partitioning Partial Legalizer Statistics:\n"); + VTR_LOG("\tTotal number of windows partitioned: %u\n", num_windows_partitioned_); + VTR_LOG("\tTotal number of blocks partitioned: %u\n", num_blocks_partitioned_); +} + +void BiPartitioningPartialLegalizer::legalize(PartialPlacement& p_placement) { + VTR_LOGV(log_verbosity_ >= 10, "Running Bi-Partitioning Legalizer\n"); + + // Prepare the density manager. + density_manager_->import_placement_into_bins(p_placement); + + // Quick return. If there are no overfilled bins, there is nothing to spread. + if (density_manager_->get_overfilled_bins().size() == 0) { + VTR_LOGV(log_verbosity_ >= 10, "No overfilled bins. Nothing to legalize.\n"); + return; + } + + if (log_verbosity_ >= 10) { + size_t num_overfilled_bins = density_manager_->get_overfilled_bins().size(); + VTR_LOG("\tNumber of overfilled blocks before legalization: %zu\n", + num_overfilled_bins); + // FIXME: Make this a method in the density manager class. + float avg_overfill = 0.f; + for (FlatPlacementBinId overfilled_bin_id : density_manager_->get_overfilled_bins()) { + avg_overfill += density_manager_->get_bin_overfill(overfilled_bin_id).manhattan_norm(); + } + VTR_LOG("\t\tAverage overfill per overfilled bin: %f\n", + avg_overfill / static_cast(num_overfilled_bins)); + } + + // 1) Identify the groups that need to be spread + std::unordered_set groups_to_spread; + for (FlatPlacementBinId overfilled_bin_id : density_manager_->get_overfilled_bins()) { + // Get the overfilled models in this bin. + const PrimitiveVector& overfill = density_manager_->get_bin_overfill(overfilled_bin_id); + std::vector overfilled_models = overfill.get_non_zero_dims(); + // For each model, insert its group into the set. Set will handle dupes. + for (int model_index : overfilled_models) { + groups_to_spread.insert(model_grouper_.get_model_group_id(model_index)); + } + } + + // 2) For each group, identify non-overlapping windows and spread + vtr::Timer runtime_timer; + float window_identification_time = 0.0f; + float window_spreading_time = 0.0f; + for (ModelGroupId group_id : groups_to_spread) { + VTR_LOGV(log_verbosity_ >= 10, "\tSpreading group %zu\n", group_id); + // Identify non-overlapping spreading windows. + float window_identification_start_time = runtime_timer.elapsed_sec(); + auto non_overlapping_windows = identify_non_overlapping_windows(group_id); + window_identification_time += runtime_timer.elapsed_sec() - window_identification_start_time; + VTR_ASSERT(non_overlapping_windows.size() != 0); + + // Spread the blocks over the non-overlapping windows. + float window_spreading_start_time = runtime_timer.elapsed_sec(); + spread_over_windows(non_overlapping_windows, p_placement, group_id); + window_spreading_time += runtime_timer.elapsed_sec() - window_spreading_start_time; + } + + // FIXME: Remove this duplicate code... + if (log_verbosity_ >= 10) { + size_t num_overfilled_bins = density_manager_->get_overfilled_bins().size(); + VTR_LOG("\tNumber of overfilled blocks after legalization: %zu\n", + num_overfilled_bins); + // FIXME: Make this a method in the density manager class. + float avg_overfill = 0.f; + for (FlatPlacementBinId overfilled_bin_id : density_manager_->get_overfilled_bins()) { + avg_overfill += density_manager_->get_bin_overfill(overfilled_bin_id).manhattan_norm(); + } + VTR_LOG("\t\tAverage overfill per overfilled bin: %f\n", + avg_overfill / static_cast(num_overfilled_bins)); + VTR_LOG("\tTime spent identifying windows: %g\n", window_identification_time); + VTR_LOG("\tTime spent spreading windows: %g\n", window_spreading_time); } // Export the legalized placement to the partial placement. - export_placement_from_bins(p_placement); + density_manager_->export_placement_from_bins(p_placement); +} + +std::vector BiPartitioningPartialLegalizer::identify_non_overlapping_windows(ModelGroupId group_id) { + + // 1) Cluster the overfilled bins. This will make creating minimum spanning + // windows more efficient. + auto overfilled_bin_clusters = get_overfilled_bin_clusters(group_id); + + // 2) For each of the overfilled bin clusters, create a minimum window such + // that there is enough space in the window for the atoms inside. + auto windows = get_min_windows_around_clusters(overfilled_bin_clusters, group_id); + + // 3) Merge overlapping windows. + merge_overlapping_windows(windows); + + // TODO: Investigate shrinking the windows. + + // 4) Move the blocks out of their bins and into the windows. + move_blocks_into_windows(windows, group_id); + + return windows; +} + +/** + * @brief Helper method to check if the given PrimitiveVector has any values + * in the model dimensions in the given group. + * + * This method assumes the vector is non-negative. If the vector had any negative + * dimensions, it does not make sense to ask if it is in the group or not. + */ +static bool is_vector_in_group(const PrimitiveVector& vec, + ModelGroupId group_id, + const ModelGrouper& model_grouper) { + VTR_ASSERT_SAFE(vec.is_non_negative()); + const std::vector& models_in_group = model_grouper.get_models_in_group(group_id); + for (int model_index : models_in_group) { + float dim_val = vec.get_dim_val(model_index); + if (dim_val != 0.0f) + return true; + } + return false; +} + +/** + * @brief Checks if the overfilled models in the given overfilled bin is in the + * given model group. + * + * This method does not check if the bin could be in the given group (for + * example the capacity), this checks if the overfilled blocks are in the group. + */ +static bool is_overfilled_bin_in_group(FlatPlacementBinId overfilled_bin_id, + ModelGroupId group_id, + const FlatPlacementDensityManager& density_manager, + const ModelGrouper& model_grouper) { + const PrimitiveVector& bin_overfill = density_manager.get_bin_overfill(overfilled_bin_id); + VTR_ASSERT_SAFE(bin_overfill.is_non_zero()); + return is_vector_in_group(bin_overfill, group_id, model_grouper); +} + +/** + * @brief Checks if the given AP block is in the given model group. + * + * An AP block is in a model group if it contains any models in the model group. + */ +static bool is_block_in_group(APBlockId blk_id, + ModelGroupId group_id, + const FlatPlacementDensityManager& density_manager, + const ModelGrouper& model_grouper) { + const PrimitiveVector& blk_mass = density_manager.mass_calculator().get_block_mass(blk_id); + return is_vector_in_group(blk_mass, group_id, model_grouper); } +std::vector BiPartitioningPartialLegalizer::get_overfilled_bin_clusters( + ModelGroupId group_id) { + // Use BFS over the overfilled bins to cluster them. + std::vector overfilled_bin_clusters; + // Maintain the distance from the last overfilled bin + vtr::vector dist(density_manager_->flat_placement_bins().bins().size(), -1); + for (FlatPlacementBinId overfilled_bin_id : density_manager_->get_overfilled_bins()) { + // If this bin is not overfilled with the models in the group, skip. + if (!is_overfilled_bin_in_group(overfilled_bin_id, + group_id, + *density_manager_, + model_grouper_)) { + continue; + } + // If this bin is already in a cluster, skip. + if (dist[overfilled_bin_id] != -1) + continue; + dist[overfilled_bin_id] = 0; + // Collect nearby bins into a vector. + FlatPlacementBinCluster nearby_bins; + nearby_bins.push_back(overfilled_bin_id); + // Create a queue and insert the overfilled bin into it. + std::queue bin_queue; + bin_queue.push(overfilled_bin_id); + while (!bin_queue.empty()) { + // Pop a bin from queue. + FlatPlacementBinId bin_node = bin_queue.front(); + bin_queue.pop(); + // If the node's distance from an overfilled bin is the max gap, + // do not explore its neighbors. + if (dist[bin_node] > max_bin_cluster_gap_) + continue; + // Explore the neighbors of this bin. + for (FlatPlacementBinId neighbor : get_direct_neighbors_of_bin(bin_node, *density_manager_)) { + int neighbor_dist = dist[bin_node] + 1; + // If this neighbor has been explore with a better distance, + // do not explore it. + if (dist[neighbor] != -1 && dist[neighbor] <= neighbor_dist) + continue; + // If the neighbor is an overfilled bin that we care about, add + // it to the list of nearby bins and set its distance to 0. + if (density_manager_->bin_is_overfilled(neighbor) + && is_overfilled_bin_in_group(neighbor, group_id, *density_manager_, model_grouper_)) { + nearby_bins.push_back(neighbor); + dist[neighbor] = 0; + } else { + dist[neighbor] = neighbor_dist; + } + // Enqueue the neighbor. + bin_queue.push(neighbor); + } + } + + // Move the cluster into the vector of overfilled bin clusters. + overfilled_bin_clusters.push_back(std::move(nearby_bins)); + } + + return overfilled_bin_clusters; +} + +/** + * @brief Helper method to decide if the given region's utilization is higher + * than its capacity. + */ +static bool is_region_overfilled(const vtr::Rect& region, + const PerModelPrefixSum2D& capacity_prefix_sum, + const PerModelPrefixSum2D& utilization_prefix_sum, + const std::vector& model_indices) { + // Go through each model in the model group we are interested in. + for (int model_index : model_indices) { + // Get the capacity of this region for this model. + float region_model_capacity = capacity_prefix_sum.get_model_sum(model_index, + region); + // Get the utilization of this region for this model. + float region_model_utilization = utilization_prefix_sum.get_model_sum(model_index, + region); + // If the utilization is higher than the capacity, then this region is + // overfilled. + // TODO: Look into adding some head room to account for rounding. + if (region_model_utilization > region_model_capacity) + return true; + } + + // If the utilization is less than or equal to the capacity for each model + // then this region is not overfilled. + return false; +} + +std::vector BiPartitioningPartialLegalizer::get_min_windows_around_clusters( + const std::vector& overfilled_bin_clusters, + ModelGroupId group_id) { + // TODO: Currently, we greedily grow the region by 1 in all directions until + // the capacity is larger than the utilization. This may not produce + // the minimum window. Should investigate "touching-up" the windows. + // FIXME: It may be a good idea to sort the bins by their overfill here. Then + // we can check for overlap as we go. + + // Get the width, height, and number of layers for the spreading region. + // This is used by the growing part of this routine to prevent the windows + // from outgrowing the device. + size_t width, height, layers; + std::tie(width, height, layers) = density_manager_->get_overall_placeable_region_size(); + + // Precompute a prefix sum for the current utilization of each 1x1 region + // of the device. This needs to be recomputed every time the bins are + // modified, so it is recomputed here. + PerModelPrefixSum2D utilization_prefix_sum( + *density_manager_, + g_vpr_ctx.device().arch->models, + g_vpr_ctx.device().arch->model_library, + [&](int model_index, size_t x, size_t y) { + FlatPlacementBinId bin_id = density_manager_->get_bin(x, y, 0); + // This is computed the same way as the capacity prefix sum above. + const vtr::Rect& bin_region = density_manager_->flat_placement_bins().bin_region(bin_id); + float bin_area = bin_region.width() * bin_region.height(); + float util = density_manager_->get_bin_utilization(bin_id).get_dim_val(model_index); + VTR_ASSERT_SAFE(util >= 0.0f); + return util / bin_area; + }); + + // Create windows for each overfilled bin cluster. + std::vector windows; + for (const std::vector& overfilled_bin_cluster : overfilled_bin_clusters) { + // Create a new window for this cluster of bins. + SpreadingWindow new_window; + + // Set the region of the window to the bounding box of the cluster of bins. + size_t num_bins_in_cluster = overfilled_bin_cluster.size(); + VTR_ASSERT_SAFE(num_bins_in_cluster != 0); + vtr::Rect& region = new_window.region; + region = density_manager_->flat_placement_bins().bin_region(overfilled_bin_cluster[0]); + for (size_t i = 1; i < num_bins_in_cluster; i++) { + region = vtr::bounding_box(region, + density_manager_->flat_placement_bins().bin_region(overfilled_bin_cluster[i])); + } + + // Grow the region until it is just large enough to not overfill + while (true) { + // Grow the region by 1 on all sides. + double new_xmin = std::clamp(region.xmin() - 1.0, 0.0, width); + double new_xmax = std::clamp(region.xmax() + 1.0, 0.0, width); + double new_ymin = std::clamp(region.ymin() - 1.0, 0.0, height); + double new_ymax = std::clamp(region.ymax() + 1.0, 0.0, height); + + // If the region did not grow, exit. This is a maximal bin. + // TODO: Maybe print warning. + if (new_xmin == region.xmin() && new_xmax == region.xmax() && new_ymin == region.ymin() && new_ymax == region.ymax()) { + break; + } + + region.set_xmin(new_xmin); + region.set_xmax(new_xmax); + region.set_ymin(new_ymin); + region.set_ymax(new_ymax); + + // If the region is no longer overfilled, stop growing. + if (!is_region_overfilled(region, capacity_prefix_sum_, utilization_prefix_sum, model_grouper_.get_models_in_group(group_id))) + break; + } + // Insert this window into the list of windows. + windows.emplace_back(std::move(new_window)); + } + + return windows; +} + +void BiPartitioningPartialLegalizer::merge_overlapping_windows( + std::vector& windows) { + // Merge overlapping windows. + // TODO: This is a very basic merging process which will identify the + // minimum region containing both windows; however, after merging it + // is very likely that this window will now be too large. Need to + // investigate shrinking the windows after merging. + // TODO: I am not sure if it is possible, but after merging 2 windows, the + // new window may overlap with another window that has been already + // created. This should not cause issues with the algorithm since one + // of the new windows will just be empty, but it is not ideal. + // FIXME: This loop is O(N^2) with the number of overfilled bins which may + // get expensive as the circuit sizes increase. Should investigate + // spatial sorting structures (like kd-trees) to help keep this fast. + // Another idea is to merge windows early on (before growing them). + std::vector non_overlapping_windows; + size_t num_windows = windows.size(); + // Need to keep track of which windows have been merged or not to prevent + // merging windows multiple times. + std::vector finished_window(num_windows, false); + for (size_t i = 0; i < num_windows; i++) { + // If the window has already been finished (merged), nothing to do. + if (finished_window[i]) + continue; + + // Check for overlaps between this window and the future windows and + // update the region accordingly. + vtr::Rect& region = windows[i].region; + for (size_t j = i + 1; j < num_windows; j++) { + // No need to check windows which have already finished. + if (finished_window[j]) + continue; + // Check for overlap + if (region.strictly_overlaps(windows[j].region)) { + // If overlap, merge with this region and mark the window as + // finished. + // Here, the merged region is the bounding box around the two + // regions. + region = vtr::bounding_box(region, windows[j].region); + finished_window[j] = true; + } + } + + // This is not strictly necessary, but marking this window as finished + // is just a nice, clean thing to do. + finished_window[i] = true; + + // Move this window into the new list of non-overlapping windows. + non_overlapping_windows.emplace_back(std::move(windows[i])); + } + + // Store the results into the input window. + windows = std::move(non_overlapping_windows); +} + +void BiPartitioningPartialLegalizer::move_blocks_into_windows( + std::vector& non_overlapping_windows, + ModelGroupId group_id) { + // Move the blocks from their bins into the windows that should contain them. + // TODO: It may be good for debugging to check if the windows have nothing + // to move. This may indicate a problem (overfilled bins of fixed + // blocks, overlapping windows, etc.). + for (SpreadingWindow& window : non_overlapping_windows) { + // Iterate over all bins that this window covers. + // TODO: This is a bit crude and should somehow be made more robust. + size_t lower_x = window.region.xmin(); + size_t upper_x = window.region.xmax() - 1; + size_t lower_y = window.region.ymin(); + size_t upper_y = window.region.ymax() - 1; + for (size_t x = lower_x; x <= upper_x; x++) { + for (size_t y = lower_y; y <= upper_y; y++) { + // Get all of the movable blocks from the bin. + std::vector moveable_blks; + FlatPlacementBinId bin_id = density_manager_->get_bin(x, y, 0); + const auto& bin_contained_blocks = density_manager_->flat_placement_bins().bin_contained_blocks(bin_id); + moveable_blks.reserve(bin_contained_blocks.size()); + for (APBlockId blk_id : bin_contained_blocks) { + // If this block is not moveable, do not move it. + if (netlist_.block_mobility(blk_id) != APBlockMobility::MOVEABLE) + continue; + // If this block is not in the group, do not move it. + if (!is_block_in_group(blk_id, group_id, *density_manager_, model_grouper_)) + continue; + + moveable_blks.push_back(blk_id); + } + // Remove the moveable blocks from their bins and store into + // the windows. + for (APBlockId blk_id : moveable_blks) { + density_manager_->remove_block_from_bin(blk_id, bin_id); + window.contained_blocks.push_back(blk_id); + } + } + } + } +} + +void BiPartitioningPartialLegalizer::spread_over_windows(std::vector& non_overlapping_windows, + const PartialPlacement& p_placement, + ModelGroupId group_id) { + if (log_verbosity_ >= 10) { + VTR_LOG("\tIdentified %zu non-overlapping spreading windows.\n", + non_overlapping_windows.size()); + + if (log_verbosity_ >= 20) { + for (const SpreadingWindow& window : non_overlapping_windows) { + VTR_LOG("\t\t[(%.1f, %.1f), (%.1f, %.1f)]\n", + window.region.xmin(), window.region.ymin(), + window.region.xmax(), window.region.ymax()); + PrimitiveVector window_capacity = capacity_prefix_sum_.get_sum(model_grouper_.get_models_in_group(group_id), + window.region); + VTR_LOG("\t\t\tCapacity: %f\n", + window_capacity.manhattan_norm()); + VTR_LOG("\t\t\tNumber of contained blocks: %zu\n", + window.contained_blocks.size()); + } + } + } + + // Insert the windows into a queue for spreading. + std::queue window_queue; + for (SpreadingWindow& window : non_overlapping_windows) { + window_queue.push(std::move(window)); + } + + // For each window in the queue: + // 1) If the window is small enough, do not partition further. + // 2) Partition the window + // 3) Partition the blocks into the window partitions + // 4) Insert the new windows into the queue + std::vector finished_windows; + while (!window_queue.empty()) { + // Get a reference to the front of the queue but do not pop it yet. We + // can save time from having to copy the element out since these windows + // contain vectors. + SpreadingWindow& window = window_queue.front(); + + // Check if the window is empty. This can happen when there is odd + // numbers of blocks or when things do not perfectly fit. + if (window.contained_blocks.empty()) { + // If the window does not contain any blocks, pop it from the queue + // and do not put it in finished windows. There is no point + // operating on it further. + window_queue.pop(); + continue; + } + + // 1) Check if the window is small enough (one bin in size). + // TODO: Perhaps we can make this stopping criteria more intelligent. + // Like stopping when we know there is only one bin within the + // window. + double window_area = window.region.width() * window.region.height(); + if (window_area <= 1.0) { + finished_windows.emplace_back(std::move(window)); + window_queue.pop(); + continue; + } + + num_windows_partitioned_++; + num_blocks_partitioned_ += window.contained_blocks.size(); + + // 2) Partition the window. + auto partitioned_window = partition_window(window); + + // 3) Partition the blocks. + partition_blocks_in_window(window, partitioned_window, group_id, p_placement); + + // 4) Enqueue the new windows. + window_queue.push(std::move(partitioned_window.lower_window)); + window_queue.push(std::move(partitioned_window.upper_window)); + + // Pop the top element off the queue. This will invalidate the window + // object. + window_queue.pop(); + } + + if (log_verbosity_ >= 10) { + VTR_LOG("\t%zu finalized windows.\n", + finished_windows.size()); + + if (log_verbosity_ >= 30) { + for (const SpreadingWindow& window : finished_windows) { + VTR_LOG("\t\t[(%.1f, %.1f), (%.1f, %.1f)]\n", + window.region.xmin(), window.region.ymin(), + window.region.xmax(), window.region.ymax()); + PrimitiveVector window_capacity = capacity_prefix_sum_.get_sum(model_grouper_.get_models_in_group(group_id), + window.region); + VTR_LOG("\t\t\tCapacity: %f\n", + window_capacity.manhattan_norm()); + VTR_LOG("\t\t\tNumber of contained blocks: %zu\n", + window.contained_blocks.size()); + } + } + } + + // Move the blocks into the bins. + move_blocks_out_of_windows(finished_windows); + + // Verify that the bins are valid after moving blocks back from windows. + VTR_ASSERT_SAFE(density_manager_->verify()); +} + +PartitionedWindow BiPartitioningPartialLegalizer::partition_window(SpreadingWindow& window) { + PartitionedWindow partitioned_window; + + // Select the partition direction. + // To keep it simple, we partition the direction which would cut the + // region the most. + // TODO: Should explore making the partition line based on the capacity + // of the two partitioned regions. We may want to cut the + // region in half such that the mass of the atoms contained within + // the two future regions is equal. + partitioned_window.partition_dir = e_partition_dir::VERTICAL; + if (window.region.height() > window.region.width()) + partitioned_window.partition_dir = e_partition_dir::HORIZONTAL; + + // To keep it simple, just cut the space in half. + // TODO: Should investigate other cutting techniques. Cutting perfectly + // in half may not be the most efficient technique. + SpreadingWindow& lower_window = partitioned_window.lower_window; + SpreadingWindow& upper_window = partitioned_window.upper_window; + partitioned_window.pivot_pos = 0.f; + if (partitioned_window.partition_dir == e_partition_dir::VERTICAL) { + // Find the x-coordinate of a cut line directly in the middle of the + // region. We floor this to prevent fractional cut lines. + double pivot_x = std::floor((window.region.xmin() + window.region.xmax()) / 2.0); + + // Cut the region at this cut line. + lower_window.region = vtr::Rect(vtr::Point(window.region.xmin(), + window.region.ymin()), + vtr::Point(pivot_x, + window.region.ymax())); + + upper_window.region = vtr::Rect(vtr::Point(pivot_x, + window.region.ymin()), + vtr::Point(window.region.xmax(), + window.region.ymax())); + partitioned_window.pivot_pos = pivot_x; + } else { + VTR_ASSERT(partitioned_window.partition_dir == e_partition_dir::HORIZONTAL); + // Similarly in the y direction, find the non-fractional y coordinate + // to make a horizontal cut. + double pivot_y = std::floor((window.region.ymin() + window.region.ymax()) / 2.0); + + // Then cut the window. + lower_window.region = vtr::Rect(vtr::Point(window.region.xmin(), + window.region.ymin()), + vtr::Point(window.region.xmax(), + pivot_y)); + + upper_window.region = vtr::Rect(vtr::Point(window.region.xmin(), + pivot_y), + vtr::Point(window.region.xmax(), + window.region.ymax())); + partitioned_window.pivot_pos = pivot_y; + } + + return partitioned_window; +} + +void BiPartitioningPartialLegalizer::partition_blocks_in_window( + SpreadingWindow& window, + PartitionedWindow& partitioned_window, + ModelGroupId group_id, + const PartialPlacement& p_placement) { + + SpreadingWindow& lower_window = partitioned_window.lower_window; + SpreadingWindow& upper_window = partitioned_window.upper_window; + + // Get the capacity of each window partition. + const std::vector& model_indices = model_grouper_.get_models_in_group(group_id); + PrimitiveVector lower_window_capacity = capacity_prefix_sum_.get_sum(model_indices, + lower_window.region); + PrimitiveVector upper_window_capacity = capacity_prefix_sum_.get_sum(model_indices, + upper_window.region); + + // Due to the division by the area, we may get numerical underflows / + // overflows which accumulate. If they accumulate in the positive + // direction, it is not a big deal; but in the negative direction it + // will cause problems with the algorithm below. Clamp any negative + // numbers to 0. + lower_window_capacity.relu(); + upper_window_capacity.relu(); + PrimitiveVector lower_window_underfill = lower_window_capacity; + PrimitiveVector upper_window_underfill = upper_window_capacity; + VTR_ASSERT_SAFE(lower_window_underfill.is_non_negative()); + VTR_ASSERT_SAFE(upper_window_underfill.is_non_negative()); + + // FIXME: We need to take into account the current utilization of the + // fixed blocks... We need to take into account that they are there. + // Currently we assume the underfill is the capacity + // Without this, we may overfill blocks which have fixed blocks in + // them. + + // If the lower window has no space, put all of the blocks in the upper window. + // NOTE: We give some room due to numerical overflows from the prefix sum. + if (lower_window_underfill.manhattan_norm() < 0.01f) { + upper_window.contained_blocks = std::move(window.contained_blocks); + return; + } + // If the upper window has no space, put all of the blocks in the lower window. + if (upper_window_underfill.manhattan_norm() < 0.01f) { + lower_window.contained_blocks = std::move(window.contained_blocks); + return; + } + + // Reserve space in each of the windows to make insertion faster. + upper_window.contained_blocks.reserve(window.contained_blocks.size()); + lower_window.contained_blocks.reserve(window.contained_blocks.size()); + + // Sort the blocks and get the pivot index. The pivot index is the index in + // the windows contained block which decides which sub-window the block + // wants to be in. The blocks at indices [0, pivot) want to be in the lower + // window, blocks at indices [pivot, num_blks) want to be in the upper window. + // This want is based on the solved positions of the blocks. + size_t pivot; + if (partitioned_window.partition_dir == e_partition_dir::VERTICAL) { + // Sort the blocks in the window by the x coordinate. + std::sort(window.contained_blocks.begin(), window.contained_blocks.end(), [&](APBlockId a, APBlockId b) { + return p_placement.block_x_locs[a] < p_placement.block_x_locs[b]; + }); + auto upper = std::upper_bound(window.contained_blocks.begin(), + window.contained_blocks.end(), + partitioned_window.pivot_pos, + [&](double value, APBlockId blk_id) { + return value < p_placement.block_x_locs[blk_id]; + }); + pivot = std::distance(window.contained_blocks.begin(), upper); + } else { + VTR_ASSERT(partitioned_window.partition_dir == e_partition_dir::HORIZONTAL); + // Sort the blocks in the window by the y coordinate. + std::sort(window.contained_blocks.begin(), window.contained_blocks.end(), [&](APBlockId a, APBlockId b) { + return p_placement.block_y_locs[a] < p_placement.block_y_locs[b]; + }); + auto upper = std::upper_bound(window.contained_blocks.begin(), + window.contained_blocks.end(), + partitioned_window.pivot_pos, + [&](double value, APBlockId blk_id) { + return value < p_placement.block_y_locs[blk_id]; + }); + pivot = std::distance(window.contained_blocks.begin(), upper); + } + + // Try to place the blocks that want to be in the lower window from lower + // to upper. + std::vector unplaced_blocks; + for (size_t i = 0; i < pivot; i++) { + const PrimitiveVector& blk_mass = density_manager_->mass_calculator().get_block_mass(window.contained_blocks[i]); + VTR_ASSERT_SAFE(lower_window_underfill.is_non_negative()); + // Try to put the blk in the window. + lower_window_underfill -= blk_mass; + if (lower_window_underfill.is_non_negative()) + // If the underfill is not negative, then we can add it to the window. + lower_window.contained_blocks.push_back(window.contained_blocks[i]); + else { + // If the underfill went negative, undo the addition and mark this + // block as unplaced. + lower_window_underfill += blk_mass; + unplaced_blocks.push_back(window.contained_blocks[i]); + } + } + // Try to place the blocks that want to be in the upper window from upper + // to lower. + // NOTE: This needs to be an int in case the pivot is 0. + for (int i = window.contained_blocks.size() - 1; i >= (int)pivot; i--) { + const PrimitiveVector& blk_mass = density_manager_->mass_calculator().get_block_mass(window.contained_blocks[i]); + VTR_ASSERT_SAFE(lower_window_underfill.is_non_negative()); + upper_window_underfill -= blk_mass; + if (upper_window_underfill.is_non_negative()) + upper_window.contained_blocks.push_back(window.contained_blocks[i]); + else { + upper_window_underfill += blk_mass; + unplaced_blocks.push_back(window.contained_blocks[i]); + } + } + + // Handle the unplaced blocks. + // To handle these blocks, we will try to balance the overfill in both + // windows. To do this we sort the unplaced blocks by largest mass to + // smallest mass. Then we place each block in the bin with the highest + // underfill. + // FIXME: Above was the intuition; however, after experimentation, found that + // sorting by smallest mass to largest mass worked better... + // FIXME: I think large blocks (like carry chains) need to be handled special + // early on. If they are put into a partition too late, they may have + // to create overfill! Perhaps the partitions can hold two lists. + std::sort(unplaced_blocks.begin(), + unplaced_blocks.end(), + [&](APBlockId a, APBlockId b) { + const auto& blk_a_mass = density_manager_->mass_calculator().get_block_mass(a); + const auto& blk_b_mass = density_manager_->mass_calculator().get_block_mass(b); + return blk_a_mass.manhattan_norm() < blk_b_mass.manhattan_norm(); + }); + for (APBlockId blk_id : unplaced_blocks) { + // Project the underfill from each window onto the mass. This gives us + // the overfill in the dimensions the mass cares about. + const PrimitiveVector& blk_mass = density_manager_->mass_calculator().get_block_mass(blk_id); + PrimitiveVector projected_lower_window_underfill = lower_window_underfill; + lower_window_underfill.project(blk_mass); + PrimitiveVector projected_upper_window_underfill = upper_window_underfill; + upper_window_underfill.project(blk_mass); + // Put the block in the window with a higher underfill. This tries to + // balance the overfill as much as possible. This works even if the + // overfill becomes negative. + if (projected_lower_window_underfill.manhattan_norm() >= projected_upper_window_underfill.manhattan_norm()) { + lower_window.contained_blocks.push_back(blk_id); + lower_window_underfill -= blk_mass; + } else { + upper_window.contained_blocks.push_back(blk_id); + upper_window_underfill -= blk_mass; + } + } +} + +void BiPartitioningPartialLegalizer::move_blocks_out_of_windows( + std::vector& finished_windows) { + + for (const SpreadingWindow& window : finished_windows) { + // Get the bin at the center of the window. + vtr::Point center = get_center_of_rect(window.region); + FlatPlacementBinId bin_id = density_manager_->get_bin(center.x(), center.y(), 0); + + // Move all blocks in the window into this bin. + for (APBlockId blk_id : window.contained_blocks) { + // Note: The blocks should have been removed from their original + // bins when they were put into the windows. There are asserts + // within the denisty manager class which will verify this. + density_manager_->insert_block_into_bin(blk_id, bin_id); + } + } +} diff --git a/vpr/src/analytical_place/partial_legalizer.h b/vpr/src/analytical_place/partial_legalizer.h index 96171e5fdb5..2eb4e5771da 100644 --- a/vpr/src/analytical_place/partial_legalizer.h +++ b/vpr/src/analytical_place/partial_legalizer.h @@ -13,29 +13,24 @@ #pragma once +#include #include -#include #include #include "ap_netlist_fwd.h" +#include "ap_flow_enums.h" +#include "flat_placement_bins.h" +#include "flat_placement_density_manager.h" +#include "model_grouper.h" #include "primitive_vector.h" -#include "vtr_assert.h" #include "vtr_geometry.h" -#include "vtr_ndmatrix.h" -#include "vtr_strong_id.h" -#include "vtr_vector_map.h" +#include "vtr_prefix_sum.h" +#include "vtr_vector.h" // Forward declarations class APNetlist; +class Prepacker; struct PartialPlacement; -/** - * @brief Enumeration of all of the partial legalizers currently implemented in - * VPR. - */ -enum class e_partial_legalizer { - FLOW_BASED // Multi-commodity flow-based partial legalizer. -}; - /** * @brief The Partial Legalizer base class * @@ -46,7 +41,7 @@ enum class e_partial_legalizer { * compare different solvers. */ class PartialLegalizer { -public: + public: virtual ~PartialLegalizer() {} /** @@ -54,9 +49,9 @@ class PartialLegalizer { * * Currently just copies the parameters into the class as member varaibles. */ - PartialLegalizer(const APNetlist& netlist, int log_verbosity = 1) - : netlist_(netlist), - log_verbosity_(log_verbosity) {} + PartialLegalizer(const APNetlist& netlist, int log_verbosity) + : netlist_(netlist) + , log_verbosity_(log_verbosity) {} /** * @brief Partially legalize the given partial placement. @@ -71,10 +66,17 @@ class PartialLegalizer { * @param p_placement The placement to legalize. Will be filled with the * legalized placement. */ - virtual void legalize(PartialPlacement &p_placement) = 0; + virtual void legalize(PartialPlacement& p_placement) = 0; -protected: + /** + * @brief Print statistics on the Partial Legalizer. + * + * This is expected to be called at the end of Global Placement to provide + * cummulative information on how much work the partial legalizer performed. + */ + virtual void print_statistics() = 0; + protected: /// @brief The APNetlist the legalizer will be legalizing the placement of. /// It is implied that the netlist is not being modified during /// global placement. @@ -89,85 +91,11 @@ class PartialLegalizer { /** * @brief A factory method which creates a Partial Legalizer of the given type. */ -std::unique_ptr make_partial_legalizer(e_partial_legalizer legalizer_type, - const APNetlist& netlist); - -/** - * @brief A strong ID for the bins used in the partial legalizer. - * - * This allows a separation between the legalizers and tiles such that a bin may - * represent multiple tiles. - */ -struct legalizer_bin_tag {}; -typedef vtr::StrongId LegalizerBinId; - -/** - * @brief A bin used to contain blocks in the partial legalizer. - * - * Bins can be thought of as generalized tiles which have a capacity of blocks - * (and their types) and a current utilization of the bin. A bin may represent - * multiple tiles. - * - * The capacity, utilization, supply, and demand of the bin are stored as - * M-dimensional vectors; where M is the number of models (primitives) in the - * device. This allows the bin to quickly know how much of each types of - * primitives it can contain and how much of each type it currently contains. - */ -struct LegalizerBin { - /// @brief The blocks currently contained in this bin. - std::unordered_set contained_blocks; - - /// @brief The maximum mass of each primitive type this bin can contain. - PrimitiveVector capacity; - - /// @brief The current mass of each primitive type this bin contains. - PrimitiveVector utilization; - - /// @brief The current over-utilization of the bin. This is defined as: - /// elementwise_max(utilization - capacity, 0) - PrimitiveVector supply; - - /// @brief The current under-utilization of the bin. This is defined as: - /// elementwise_max(capacity - utilization, 0) - PrimitiveVector demand; - - /// @brief The bounding box of the bin on the device grid. This is the - /// positions on the grid the blocks will exist. - /// - /// For example, if the tile at location (2,3) was turned directly into a - /// bin, the bounding box of that bin would be [(2.0, 3.0), (3.0, 4.0)) - /// Notice the notation here. The left and bottom edges are included in the - /// set. - /// It is implied that blocks cannot be placed on the right or top edges of - /// the bounding box (since then they may be in another bin!). - /// - /// NOTE: This uses a double to match the precision of the positions of - /// APBlocks (which are doubles). The use of a double here also allows - /// bins to represent partial tiles which may be useful. - vtr::Rect bounding_box; - - /// @brief The neighbors of this bin. These are neighboring bins that this - /// bin can flow blocks to. - std::vector neighbors; - - /** - * @brief Helper method to compute the supply of the bin. - */ - void compute_supply() { - supply = utilization - capacity; - supply.relu(); - VTR_ASSERT_DEBUG(supply.is_non_negative()); - } - - /** - * @brief Helper method to compute the demand of the bin. - */ - void compute_demand() { - demand = capacity - utilization; - demand.relu(); - VTR_ASSERT_DEBUG(demand.is_non_negative()); - } -}; +std::unique_ptr make_partial_legalizer(e_ap_partial_legalizer legalizer_type, + const APNetlist& netlist, + std::shared_ptr density_manager, + const Prepacker& prepacker, + int log_verbosity); /** * @brief A multi-commodity flow-based spreading partial legalizer. @@ -183,14 +111,9 @@ struct LegalizerBin { * on their work by generalizing it to any theoretical architecture which can be * expressed in VPR. * https://doi.org/10.1145/3289602.3293896 - * - * - * TODO: Make the bin size a parameter for the legalizer somehow. That way we - * can make 1x1 bins for very accurate legalizers and larger (clamped) for - * less accurate legalizers. */ class FlowBasedLegalizer : public PartialLegalizer { -private: + private: /// @brief The maximum number of iterations the legalizer can take. This /// prevents the legalizer from never converging if there is not /// enough space to flow blocks. @@ -208,99 +131,32 @@ class FlowBasedLegalizer : public PartialLegalizer { /// sufficient neighbors. static constexpr unsigned max_bin_neighbor_dist_ = 4; - /// @brief A vector of all the bins in the legalizer. - vtr::vector_map bins_; - - /// @brief A reverse lookup between every block and the bin they are - /// currently in. - vtr::vector_map block_bins_; - - /// @brief The mass of each APBlock, represented as a primitive vector. - vtr::vector_map block_masses_; - - /// @brief A lookup that gets the bin that represents every tile (and - /// sub-tile). - vtr::NdMatrix tile_bin_; - - /// @brief A set of overfilled bins. Instead of computing this when needed, - /// this list is maintained whenever a block is moved from one bin to - /// another. - std::unordered_set overfilled_bins_; + /// @brief The density manager which manages how the bins are constructed + /// and maintains how overfilled bins are. + std::shared_ptr density_manager_; - /** - * @brief Returns true if the given bin is overfilled. - */ - inline bool bin_is_overfilled(LegalizerBinId bin_id) const { - VTR_ASSERT_DEBUG(bin_id.is_valid()); - VTR_ASSERT_DEBUG(bins_[bin_id].supply.is_non_negative()); - // By definition, a bin is overfilled if its supply is non-zero. - return bins_[bin_id].supply.is_non_zero(); - } + /// @brief The neighbors of each bin. + /// + /// These are the closest bins in each direction for each model type to flow + /// from this bin into. + vtr::vector> bin_neighbors_; /** - * @brief Helper method to insert a block into a bin. - * - * This method maintains all the necessary state of the class and updates - * the bin the block is being inserted into. - * - * This method assumes that the given block is not currently in a bin. + * @brief Get the supply of the given bin. Supply is how much over-capacity + * the bin is. */ - inline void insert_blk_into_bin(APBlockId blk_id, LegalizerBinId bin_id) { - VTR_ASSERT_DEBUG(blk_id.is_valid()); - VTR_ASSERT_DEBUG(bin_id.is_valid()); - // Make sure that this block is not anywhere else. - VTR_ASSERT(block_bins_[blk_id] == LegalizerBinId::INVALID()); - // Insert the block into the bin. - block_bins_[blk_id] = bin_id; - LegalizerBin& bin = bins_[bin_id]; - bin.contained_blocks.insert(blk_id); - // Update the utilization, supply, and demand. - const PrimitiveVector& blk_mass = block_masses_[blk_id]; - bin.utilization += blk_mass; - bin.compute_supply(); - bin.compute_demand(); - // Update the overfilled bins since this bin may have become overfilled. - if (bin_is_overfilled(bin_id)) - overfilled_bins_.insert(bin_id); + inline const PrimitiveVector& get_bin_supply(FlatPlacementBinId bin_id) const { + // Supply is defined as the overfill of the bin. + return density_manager_->get_bin_overfill(bin_id); } /** - * @brief Helper method to remove a block from a bin. - * - * This method maintains all the necessary state of the class and updates - * the bin the block is being removed from. - * - * This method assumes that the given block is currently in the given bin. + * @brief Get the demand of the given bin. Demand is how much under-capacity + * the bin is. */ - inline void remove_blk_from_bin(APBlockId blk_id, LegalizerBinId bin_id) { - VTR_ASSERT_DEBUG(blk_id.is_valid()); - VTR_ASSERT_DEBUG(bin_id.is_valid()); - // Make sure that this block is in this bin. - VTR_ASSERT(block_bins_[blk_id] == bin_id); - LegalizerBin& bin = bins_[bin_id]; - VTR_ASSERT_DEBUG(bin.contained_blocks.count(blk_id) == 1); - // Remove the block from the bin. - block_bins_[blk_id] = LegalizerBinId::INVALID(); - bin.contained_blocks.erase(blk_id); - // Update the utilization, supply, and demand. - const PrimitiveVector& blk_mass = block_masses_[blk_id]; - bin.utilization -= blk_mass; - bin.compute_supply(); - bin.compute_demand(); - // Update the overfilled bins since this bin may no longer be - // overfilled. - if (!bin_is_overfilled(bin_id)) - overfilled_bins_.erase(bin_id); - } - - /** - * @brief Helper method to get the bin at the current device x and y tile - * coordinate. - */ - inline LegalizerBinId get_bin(size_t x, size_t y) const { - VTR_ASSERT_DEBUG(x < tile_bin_.dim_size(0)); - VTR_ASSERT_DEBUG(y < tile_bin_.dim_size(1)); - return tile_bin_[x][y]; + inline const PrimitiveVector& get_bin_demand(FlatPlacementBinId bin_id) const { + // Demand is defined as the underfill of the bin. + return density_manager_->get_bin_underfill(bin_id); } /** @@ -325,7 +181,7 @@ class FlowBasedLegalizer : public PartialLegalizer { * @param src_bin_id The bin to compute the neighbors for. * @param num_models The number of models in the architecture. */ - void compute_neighbors_of_bin(LegalizerBinId src_bin_id, size_t num_models); + void compute_neighbors_of_bin(FlatPlacementBinId src_bin_id, size_t num_models); /** * @brief Debugging method which verifies that all the bins are valid. @@ -336,30 +192,7 @@ class FlowBasedLegalizer : public PartialLegalizer { * - Every bin has the correct utilization, supply, and demand * - The overfilled bins are correct */ - bool verify_bins() const; - - /** - * @brief Resets all of the bins from a previous call to partial legalize. - * - * This removes all of the blocks from the bins. - */ - void reset_bins(); - - /** - * @brief Import the given partial placement into bins. - * - * This is called at the beginning of legalize to prepare the bins with the - * current placement. - */ - void import_placement_into_bins(const PartialPlacement& p_placement); - - /** - * @brief Export the placement found from spreading the bins. - * - * This is called at the end of legalize to write back the result of the - * legalizer. - */ - void export_placement_from_bins(PartialPlacement& p_placement) const; + bool verify() const; /** * @brief Gets paths to flow blocks from the src_bin_id at a maximum cost @@ -371,9 +204,9 @@ class FlowBasedLegalizer : public PartialLegalizer { * @param psi An algorithm parameter that increases over many * iterations. The "max-cost" a path can be. */ - std::vector> get_paths(LegalizerBinId src_bin_id, - const PartialPlacement& p_placement, - float psi); + std::vector> get_paths(FlatPlacementBinId src_bin_id, + const PartialPlacement& p_placement, + float psi); /** * @brief Flows the blocks along the given path. @@ -387,20 +220,21 @@ class FlowBasedLegalizer : public PartialLegalizer { * @param psi An algorithm parameter that increases over many * iterations. The "max-cost" a path can be. */ - void flow_blocks_along_path(const std::vector& path, + void flow_blocks_along_path(const std::vector& path, const PartialPlacement& p_placement, float psi); -public: - + public: /** - * @brief Construcotr for the flow-based legalizer. + * @brief Constructor for the flow-based legalizer. * * Builds all of the bins, computing their capacities based on the device * description. Builds the connectivity of bins. Computes the mass of all * blocks in the netlist. */ - FlowBasedLegalizer(const APNetlist& netlist); + FlowBasedLegalizer(const APNetlist& netlist, + std::shared_ptr density_manager, + int log_verbosity); /** * @brief Performs flow-based spreading on the given partial placement. @@ -408,6 +242,290 @@ class FlowBasedLegalizer : public PartialLegalizer { * @param p_placement The placmeent to legalize. The result of the partial * legalizer will be stored in this object. */ - void legalize(PartialPlacement &p_placement) final; + void legalize(PartialPlacement& p_placement) final; + + void print_statistics() final {} +}; + +/** + * @brief A cluster of flat placement bins. + */ +typedef typename std::vector FlatPlacementBinCluster; + +/** + * @brief Enum for the direction of a partition. + */ +enum class e_partition_dir { + VERTICAL, + HORIZONTAL +}; + +/** + * @brief Spatial window used to spread the blocks contained within. + * + * This window's region is identified and grown until it has enough space to + * accomodate the blocks stored within. This window is then successivly + * partitioned until it is small enough (blocks are not too dense). + */ +struct SpreadingWindow { + /// @brief The blocks contained within this window. + std::vector contained_blocks; + + /// @brief The 2D region of space that this window covers. + vtr::Rect region; +}; + +/** + * @brief Struct to hold the information from partitioning a window. Contains + * the two window partitions and some information about how they were + * generated. + */ +struct PartitionedWindow { + /// @brief The direction of the partition. + e_partition_dir partition_dir; + + /// @brief The position that the parent window was split at. + double pivot_pos; + + /// @brief The lower window. This is the left partition when the direction + /// is vertical, and the bottom partition when the direction is + /// horizontal. + SpreadingWindow lower_window; + + /// @brief The upper window. This is the right partition when the direction + /// is vertical, and the top partition when the direction is + /// horizontal. + SpreadingWindow upper_window; +}; + +/** + * @brief Wrapper class around the prefix sum class which creates a prefix sum + * for each model type and has helper methods for getting the sums over + * regions. + */ +class PerModelPrefixSum2D { + public: + PerModelPrefixSum2D() = default; + + /** + * @brief Construct prefix sums for each of the models in the architecture. + * + * Uses the density manager to get the size of the placeable region. + * + * The lookup is a lambda used to populate the prefix sum. It provides + * the model index, x, and y to be populated. + */ + PerModelPrefixSum2D(const FlatPlacementDensityManager& density_manager, + t_model* user_models, + t_model* library_models, + std::function lookup); + + /** + * @brief Get the sum for a given model over the given region. + */ + float get_model_sum(int model_index, + const vtr::Rect& region) const; + + /** + * @brief Get the multi-dimensional sum over the given model indices over + * the given region. + */ + PrimitiveVector get_sum(const std::vector& model_indices, + const vtr::Rect& region) const; + + private: + /// @brief Per-Model Prefix Sums + std::vector> model_prefix_sum_; }; +/** + * @brief A bi-paritioning spreading full legalizer. + * + * This creates minimum spanning windows around overfilled bins in the device + * such that the capacity of the bins within the window is just higher than the + * current utilization of the bins within the window. These windows are then + * split in both region and contained atoms. This spatially spreads out the + * atoms within each window. This splitting continues until the windows are + * small enough and the atoms are placed. The benefit of this approach is that + * it cuts the problem size for each partition, which can yield improved + * performance when there is a lot of overfill. + * + * This technique is based on the lookahead legalizer in SimPL and the window- + * based legalization found in GPlace3.0. + * SimPL: https://doi.org/10.1145/2461256.2461279 + * GPlace3.0: https://doi.org/10.1145/3233244 + */ +class BiPartitioningPartialLegalizer : public PartialLegalizer { + private: + /// @brief The maximum gap between overfilled bins we can have in a flat + /// placement bin cluster. For example, if this is set to 1, we will + /// allow two overfilled bins to be clustered together if they only + /// have 1 non-overfilled bin of gap between them. + /// The rational behind this is that it allows us to predict that the windows + /// created for each cluster will overlap if they are within some gap distance. + /// Increasing this number too much may cluster bins together too much and + /// create large windows; decreasing this number will put more pressure on + /// the window generation code, which can increase window size and runtime. + /// TODO: Should this be distance instead of number of bins? + static constexpr int max_bin_cluster_gap_ = 2; + + public: + /** + * @brief Constructor for the bi-partitioning partial legalizer. + * + * Uses the provided denisity manager to identify the capacity and + * utilization of regions of the device. + */ + BiPartitioningPartialLegalizer(const APNetlist& netlist, + std::shared_ptr density_manager, + const Prepacker& prepacker, + int log_verbosity); + + /** + * @brief Perform bi-partitioning spreading on the given partial placement. + * + * @param p_placement + * The placement to legalize. The result of the partial legalizer + * will be stored in this object. + */ + void legalize(PartialPlacement& p_placement) final; + + /** + * @brief Print statistics on the BiPartitioning Partial Legalizer. + */ + void print_statistics() final; + + private: + // ======================================================================== + // Identifying spreading windows + // ======================================================================== + + /** + * @brief Identify spreading windows which contain overfilled bins in the + * given model group on the device and do not overlap. + * + * This process is split into 4 stages: + * 1) Overfilled bins are identified and clustered. + * 2) Grow windows around the overfilled bin clusters. These windows + * will grow until there is just enough space to accomodate the blocks + * within the window (capacity of the window is larger than the utilization). + * 3) Merge overlapping windows. + * 4) Move the blocks within these window regions from their bins into + * their windows. This updates the current utilization of bins, making + * spreading easier. + * + * We identify non-overlapping windows for different model groups independtly + * for a few reasons: + * - Each model group, by design, can be spread independent of each other. + * This reduces the problem size by the number of groups. + * - Without model groups, one block placed on the wrong side of the chip + * may create a window the size of the entire chip! This would rip up and + * spread all the blocks in the chip, which is very expensive. + * - This allows us to ignore block models which are already in legal + * positions. + */ + std::vector identify_non_overlapping_windows(ModelGroupId group_id); + + /** + * @brief Identifies clusters of overfilled bins for the given model group. + * + * This locates clusters of overfilled bins which are within a given + * distance from each other. + */ + std::vector get_overfilled_bin_clusters(ModelGroupId group_id); + + /** + * @brief Creates and grows minimum spanning windows around the given + * overfilled bin clusters. + * + * Here, minimum means that the windows are just large enough such that the + * capacity of the bins within the window is larger than the utilization for + * the given model group. + */ + std::vector get_min_windows_around_clusters( + const std::vector& overfilled_bin_clusters, + ModelGroupId group_id); + + /** + * @brief Merges overlapping windows in the given vector of windows. + * + * The resulting merged windows is stored in the given windows object. + */ + void merge_overlapping_windows(std::vector& windows); + + /** + * @brief Moves the blocks out of their bins and into their window. + * + * Only blocks in the given model group will be moved. + */ + void move_blocks_into_windows(std::vector& non_overlapping_windows, + ModelGroupId group_id); + + // ======================================================================== + // Spreading blocks over windows + // ======================================================================== + + /** + * @brief Spread the blocks over each of the given non-overlapping windows. + * + * The partial placement solution from the solver is used to decide which + * window partition to put a block into. The model group this window is + * spreading over can make it more efficient to make decisions. + */ + void spread_over_windows(std::vector& non_overlapping_windows, + const PartialPlacement& p_placement, + ModelGroupId group_id); + + /** + * @brief Partition the given window into two sub-windows. + * + * We return extra information about how the window was created; for example, + * the direction of the partition (vertical / horizontal) and the position + * of the cut. + */ + PartitionedWindow partition_window(SpreadingWindow& window); + + /** + * @brief Partition the blocks in the given window into the partitioned + * windows. + * + * This is kept separate from splitting the physical window region for + * cleanliness. After this point, the window will not have any atoms in + * it. + */ + void partition_blocks_in_window(SpreadingWindow& window, + PartitionedWindow& partitioned_window, + ModelGroupId group_id, + const PartialPlacement& p_placement); + + /** + * @brief Move the blocks out of the given windows and put them back into + * the correct bin according to the window that contains them. + */ + void move_blocks_out_of_windows(std::vector& finished_windows); + + private: + /// @brief The density manager which manages the capacity and utilization + /// of regions of the device. + std::shared_ptr density_manager_; + + /// @brief Grouper object which handles grouping together models which must + /// be spread together. Models are grouped based on the pack patterns + /// that they can form with each other. + ModelGrouper model_grouper_; + + /// @brief The prefix sum for the capacity of the device, as given by the + /// density manager. We will need to get the capacity of 2D regions + /// of the device very often for this partial legalizer. This data + /// structure greatly improves the time complexity of this operation. + /// + /// This is populated in the constructor and not modified. + PerModelPrefixSum2D capacity_prefix_sum_; + + /// @brief The number of times a window was partitioned in the legalizer. + unsigned num_windows_partitioned_ = 0; + + /// @brief The number of times a block was partitioned from one window into + /// another. This includes blocks which get partitioned multiple times. + unsigned num_blocks_partitioned_ = 0; +}; diff --git a/vpr/src/analytical_place/partial_placement.cpp b/vpr/src/analytical_place/partial_placement.cpp index fc80f43b4a7..4e52faecef0 100644 --- a/vpr/src/analytical_place/partial_placement.cpp +++ b/vpr/src/analytical_place/partial_placement.cpp @@ -43,13 +43,9 @@ bool PartialPlacement::verify_locs(const APNetlist& netlist, for (APBlockId blk_id : netlist.blocks()) { double x_pos = block_x_locs[blk_id]; double y_pos = block_y_locs[blk_id]; - if (std::isnan(x_pos) || - x_pos < 0.0 || - x_pos >= grid_width) + if (std::isnan(x_pos) || x_pos < 0.0 || x_pos >= grid_width) return false; - if (std::isnan(y_pos) || - y_pos < 0.0 || - y_pos >= grid_height) + if (std::isnan(y_pos) || y_pos < 0.0 || y_pos >= grid_height) return false; if (netlist.block_mobility(blk_id) == APBlockMobility::FIXED) { const APFixedBlockLoc& fixed_loc = netlist.block_loc(blk_id); @@ -120,4 +116,3 @@ bool PartialPlacement::verify(const APNetlist& netlist, // If all other verify methods passed, then the placement is valid. return true; } - diff --git a/vpr/src/analytical_place/partial_placement.h b/vpr/src/analytical_place/partial_placement.h index e111dd7bd79..bb7406fcd34 100644 --- a/vpr/src/analytical_place/partial_placement.h +++ b/vpr/src/analytical_place/partial_placement.h @@ -71,10 +71,10 @@ struct PartialPlacement { * @param netlist The APNetlist which contains the blocks to be placed. */ PartialPlacement(const APNetlist& netlist) - : block_x_locs(netlist.blocks().size(), -1.0), - block_y_locs(netlist.blocks().size(), -1.0), - block_layer_nums(netlist.blocks().size(), 0.0), - block_sub_tiles(netlist.blocks().size(), 0) { + : block_x_locs(netlist.blocks().size(), -1.0) + , block_y_locs(netlist.blocks().size(), -1.0) + , block_layer_nums(netlist.blocks().size(), 0.0) + , block_sub_tiles(netlist.blocks().size(), 0) { // Note: All blocks are initialized to: // x_loc = -1.0 // y_loc = -1.0 @@ -84,7 +84,7 @@ struct PartialPlacement { for (APBlockId blk_id : netlist.blocks()) { if (netlist.block_mobility(blk_id) != APBlockMobility::FIXED) continue; - const APFixedBlockLoc &loc = netlist.block_loc(blk_id); + const APFixedBlockLoc& loc = netlist.block_loc(blk_id); if (loc.x != -1) block_x_locs[blk_id] = loc.x; if (loc.y != -1) @@ -201,4 +201,3 @@ struct PartialPlacement { size_t grid_height, size_t grid_num_layers) const; }; - diff --git a/vpr/src/analytical_place/primitive_vector.h b/vpr/src/analytical_place/primitive_vector.h index 1dd7c4d5a4b..d76ae8b509d 100644 --- a/vpr/src/analytical_place/primitive_vector.h +++ b/vpr/src/analytical_place/primitive_vector.h @@ -10,8 +10,11 @@ #pragma once +#include #include #include +#include +#include "vtr_log.h" /** * @brief A sparse vector class to store an M-dimensional quantity of primitives @@ -30,7 +33,7 @@ * Primitive Vectors. */ class PrimitiveVector { -private: + private: /// @brief Storage container for the data of this primitive vector. /// /// This is stored as a map since it is assumed that the vector will be @@ -41,16 +44,31 @@ class PrimitiveVector { /// Perhaps we can just waste the space and use a vector. std::unordered_map data_; -public: + public: /** * @brief Add the value to the given dimension. * * This is a common enough feature to use its own setter. */ inline void add_val_to_dim(float val, size_t dim) { - if (data_.count(dim) == 0) - data_[dim] = 0.f; - data_[dim] += val; + auto it = data_.find(dim); + if (it == data_.end()) + data_.insert({dim, val}); + else { + it->second += val; + } + } + + /** + * @brief Subtract the value to the given dimension. + */ + inline void subtract_val_from_dim(float val, size_t dim) { + auto it = data_.find(dim); + if (it == data_.end()) + data_.insert({dim, -1.0f * val}); + else { + it->second -= val; + } } /** @@ -104,19 +122,26 @@ class PrimitiveVector { */ inline PrimitiveVector& operator+=(const PrimitiveVector& rhs) { for (const auto& p : rhs.data_) { - float dim_val = get_dim_val(p.first); - set_dim_val(p.first, dim_val + p.second); + add_val_to_dim(p.second, p.first); } return *this; } + /** + * @brief Element-wise addition of this with rhs. + */ + inline PrimitiveVector operator+(const PrimitiveVector& rhs) const { + PrimitiveVector res = *this; + res += rhs; + return res; + } + /** * @brief Element-wise de-accumulation of rhs into this. */ inline PrimitiveVector& operator-=(const PrimitiveVector& rhs) { for (const auto& p : rhs.data_) { - float dim_val = get_dim_val(p.first); - set_dim_val(p.first, dim_val - p.second); + subtract_val_from_dim(p.second, p.first); } return *this; } @@ -140,6 +165,25 @@ class PrimitiveVector { return *this; } + /** + * @brief Element-wise division with a scalar. + */ + inline PrimitiveVector& operator/=(float rhs) { + for (auto& p : data_) { + p.second /= rhs; + } + return *this; + } + + /** + * @brief Element-wise division with a scalar. + */ + inline PrimitiveVector operator/(float rhs) const { + PrimitiveVector res = *this; + res /= rhs; + return res; + } + /** * @brief Returns true if any dimension of this vector is less than any * dimension of rhs; false otherwise. @@ -168,12 +212,11 @@ class PrimitiveVector { * is positive, it will not change. */ inline void relu() { - for (auto& p : data_) { - // TODO: Should remove the zero elements from the map to improve - // efficiency. - if (p.second < 0.f) - p.second = 0.f; - } + std::erase_if(data_, [](const std::pair& p) { + // Note: we erase the numbers from the map to improve the performance + // of future operations on this vector. + return p.second <= 0.0f; + }); } /** @@ -234,12 +277,36 @@ class PrimitiveVector { inline void project(const PrimitiveVector& dir) { // For each dimension of this vector, if that dimension is zero in dir // set the dimension to zero. + std::erase_if(data_, [&](const std::pair& p) { + return dir.get_dim_val(p.first) == 0.0f; + }); + } + + /** + * @brief Gets the non-zero dimensions of this vector. + */ + inline std::vector get_non_zero_dims() const { + std::vector non_zero_dims; for (auto& p : data_) { - // TODO: Instead of zeroing the dimension, it should be removed - // from the map. - if (dir.get_dim_val(p.first) == 0.f) - p.second = 0.f; + if (p.second != 0.0f) + non_zero_dims.push_back(p.first); + } + return non_zero_dims; + } + + /** + * @brief Returns true if this and other do not share any non-zero dimensions. + */ + inline bool are_dims_disjoint(const PrimitiveVector& other) const { + for (const auto& p : other.data_) { + // If this and other both have a shared dimension, then they are not + // perpendicular. + if (p.second != 0.0f && get_dim_val(p.first) != 0.0f) { + return false; + } } + // If they do not share any dimensions, then they are perpendicular. + return true; } /** @@ -268,5 +335,13 @@ class PrimitiveVector { } return res; } -}; + /** + * @brief Debug printing method. + */ + inline void print() const { + for (const auto& p : data_) { + VTR_LOG("(%zu, %f)\n", p.first, p.second); + } + } +}; diff --git a/vpr/src/base/CheckSetup.cpp b/vpr/src/base/CheckSetup.cpp index e4a5ab8c8e7..1beb53242e7 100644 --- a/vpr/src/base/CheckSetup.cpp +++ b/vpr/src/base/CheckSetup.cpp @@ -7,7 +7,6 @@ #include "globals.h" #include "read_xml_arch_file.h" - static constexpr int DYMANIC_PORT_RANGE_MIN = 49152; static constexpr int DYNAMIC_PORT_RANGE_MAX = 65535; @@ -36,7 +35,6 @@ void CheckSetup(const t_packer_opts& packer_opts, } } - if ((GLOBAL == router_opts.route_type) && (placer_opts.place_algorithm.is_timing_driven())) { /* Works, but very weird. Can't optimize timing well, since you're @@ -58,16 +56,14 @@ void CheckSetup(const t_packer_opts& packer_opts, "A block location file requires that placement is enabled.\n"); } - if (placer_opts.place_algorithm.is_timing_driven() && - placer_opts.place_static_move_prob.size() > NUM_PL_MOVE_TYPES) { + if (placer_opts.place_algorithm.is_timing_driven() && placer_opts.place_static_move_prob.size() > NUM_PL_MOVE_TYPES) { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "The number of provided placer move probabilities (%d) should equal or less than the total number of supported moves (%d).\n", placer_opts.place_static_move_prob.size(), NUM_PL_MOVE_TYPES); } - if (!placer_opts.place_algorithm.is_timing_driven() && - placer_opts.place_static_move_prob.size() > NUM_PL_NONTIMING_MOVE_TYPES) { + if (!placer_opts.place_algorithm.is_timing_driven() && placer_opts.place_static_move_prob.size() > NUM_PL_NONTIMING_MOVE_TYPES) { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "The number of placer non timing move probabilities (%d) should equal to or less than the total number of supported moves (%d).\n", placer_opts.place_static_move_prob.size(), @@ -145,9 +141,9 @@ void CheckSetup(const t_packer_opts& packer_opts, if (server_opts.is_server_mode_enabled) { if (server_opts.port_num < DYMANIC_PORT_RANGE_MIN || server_opts.port_num > DYNAMIC_PORT_RANGE_MAX) { - VPR_FATAL_ERROR(VPR_ERROR_OTHER, - "Specified server port number `--port %d` is out of range [%d-%d]. Please specify a port number within that range.\n", - server_opts.port_num, DYMANIC_PORT_RANGE_MIN, DYNAMIC_PORT_RANGE_MAX); + VPR_FATAL_ERROR(VPR_ERROR_OTHER, + "Specified server port number `--port %d` is out of range [%d-%d]. Please specify a port number within that range.\n", + server_opts.port_num, DYMANIC_PORT_RANGE_MIN, DYNAMIC_PORT_RANGE_MAX); } } } diff --git a/vpr/src/base/SetupGrid.cpp b/vpr/src/base/SetupGrid.cpp index bc88df43135..5de45ed2bf8 100644 --- a/vpr/src/base/SetupGrid.cpp +++ b/vpr/src/base/SetupGrid.cpp @@ -9,12 +9,13 @@ #include #include #include -#include #include +#include "physical_types_util.h" #include "vtr_assert.h" #include "vtr_math.h" #include "vtr_log.h" +#include "stats.h" #include "vpr_types.h" #include "vpr_error.h" @@ -152,7 +153,7 @@ DeviceGrid create_device_grid(const std::string& layout_name, const std::vector< // //We do not support auto layout now // // // VPR_FATAL_ERROR(VPR_ERROR_ARCH, "We do not support auto layout now\n"); - + // } else { // //Use the specified device @@ -606,7 +607,7 @@ static DeviceGrid build_device_grid(const t_grid_def& grid_def, size_t grid_widt // vtr::NdMatrix grid_priorities; // int num_layers = (int)grid_def.layers.size(); // vib_grid.resize(std::array{(size_t)num_layers, grid_width, grid_height}); - + // //Track the current priority for each grid location // // Note that we initialize it to the lowest (i.e. most negative) possible value, so // // any user-specified priority will override the default empty grid @@ -1155,59 +1156,6 @@ static void CheckGrid(const DeviceGrid& grid) { } } -float calculate_device_utilization(const DeviceGrid& grid, const std::map& instance_counts) { - //Record the resources of the grid - std::map grid_resources; - for (int layer_num = 0; layer_num < grid.get_num_layers(); ++layer_num) { - for (int x = 0; x < (int)grid.width(); ++x) { - for (int y = 0; y < (int)grid.height(); ++y) { - int width_offset = grid.get_width_offset({x, y, layer_num}); - int height_offset = grid.get_height_offset({x, y, layer_num}); - if (width_offset == 0 && height_offset == 0) { - const auto& type = grid.get_physical_type({x, y, layer_num}); - ++grid_resources[type]; - } - } - } - } - - //Determine the area of grid in tile units - float grid_area = 0.; - for (auto& kv : grid_resources) { - t_physical_tile_type_ptr type = kv.first; - size_t count = kv.second; - - float type_area = type->width * type->height; - - grid_area += type_area * count; - } - - //Determine the area of instances in tile units - float instance_area = 0.; - for (auto& kv : instance_counts) { - if (is_empty_type(kv.first)) { - continue; - } - - t_physical_tile_type_ptr type = pick_physical_type(kv.first); - - size_t count = kv.second; - - float type_area = type->width * type->height; - - //Instances of multi-capaicty blocks take up less space - if (type->capacity != 0) { - type_area /= type->capacity; - } - - instance_area += type_area * count; - } - - float utilization = instance_area / grid_area; - - return utilization; -} - size_t count_grid_tiles(const DeviceGrid& grid) { return grid.get_num_layers() * grid.width() * grid.height(); } diff --git a/vpr/src/base/SetupGrid.h b/vpr/src/base/SetupGrid.h index 53b4cdbf71d..5760ba8a7d4 100644 --- a/vpr/src/base/SetupGrid.h +++ b/vpr/src/base/SetupGrid.h @@ -22,16 +22,10 @@ DeviceGrid create_device_grid(const std::string& layout_name, float target_device_utilization = 0.0); ///@brief Find the device close in size to the specified dimensions - -DeviceGrid create_device_grid(const std::string& layout_name, const std::vector& grid_layouts, size_t min_width, size_t min_height); - -/** - * @brief Calculate the device utilization - * - * Calculate the device utilization (i.e. fraction of used grid tiles) - * foor the specified grid and resource requirements - */ -float calculate_device_utilization(const DeviceGrid& grid, const std::map& instance_counts); +DeviceGrid create_device_grid(const std::string& layout_name, + const std::vector& grid_layouts, + size_t min_width, + size_t min_height); /** * @brief Returns the effective size of the device diff --git a/vpr/src/base/SetupVPR.cpp b/vpr/src/base/SetupVPR.cpp index 53c6831b676..ddc5624498f 100644 --- a/vpr/src/base/SetupVPR.cpp +++ b/vpr/src/base/SetupVPR.cpp @@ -1,6 +1,7 @@ #include #include +#include "physical_types_util.h" #include "vtr_assert.h" #include "vtr_util.h" #include "vtr_log.h" @@ -24,6 +25,8 @@ #include "ShowSetup.h" static void SetupNetlistOpts(const t_options& Options, t_netlist_opts& NetlistOpts); +static void SetupAPOpts(const t_options& options, + t_ap_opts& apOpts); static void SetupPackerOpts(const t_options& Options, t_packer_opts* PackerOpts); static void SetupPlacerOpts(const t_options& Options, @@ -43,15 +46,14 @@ static void SetupSwitches(const t_arch& Arch, static void SetupAnalysisOpts(const t_options& Options, t_analysis_opts& analysis_opts); static void SetupPowerOpts(const t_options& Options, t_power_opts* power_opts, t_arch* Arch); -static void SetupVibInf(const std::vector& PhysicalTileTypes, - const std::vector& Switches, - const std::vector& Segments, +static void SetupVibInf(const std::vector& PhysicalTileTypes, + const std::vector& Switches, + const std::vector& Segments, std::vector& vib_infs); static void ProcessFromOrToTokens(const std::vector Tokens, const std::vector& PhysicalTileTypes, const std::vector segments, std::vector& froms); static void parse_pin_name(const char* src_string, int* start_pin_index, int* end_pin_index, char* pb_type_name, char* port_name); - /** * @brief Identify which switch must be used for *track* to *IPIN* connections based on architecture file specification. * @param Arch Architecture file specification @@ -141,6 +143,7 @@ void SetupVPR(const t_options* options, fileNameOpts->read_vpr_constraints_file = options->read_vpr_constraints_file; fileNameOpts->write_vpr_constraints_file = options->write_vpr_constraints_file; fileNameOpts->write_constraints_file = options->write_constraints_file; + fileNameOpts->read_flat_place_file = options->read_flat_place_file; fileNameOpts->write_flat_place_file = options->write_flat_place_file; fileNameOpts->write_block_usage = options->write_block_usage; @@ -238,6 +241,7 @@ void SetupVPR(const t_options* options, SetupRoutingArch(*arch, routingArch); SetupTiming(*options, timingenabled, timing); SetupPackerOpts(*options, packerOpts); + SetupAPOpts(*options, *apOpts); routingArch->write_rr_graph_filename = options->write_rr_graph_file; routingArch->read_rr_graph_filename = options->read_rr_graph_file; @@ -368,7 +372,7 @@ static void SetupSwitches(const t_arch& Arch, auto& device_ctx = g_vpr_ctx.mutable_device(); int switches_to_copy = (int)arch_switches.size(); - int num_arch_switches = (int)arch_switches.size();; + int num_arch_switches = (int)arch_switches.size(); find_ipin_cblock_switch_index(Arch, RoutingArch->wire_to_arch_ipin_switch, RoutingArch->wire_to_arch_ipin_switch_between_dice); @@ -561,7 +565,23 @@ static void SetupAnnealSched(const t_options& Options, } /** - * @brief Sets up the s_packer_opts structure based on users inputs and + * @brief Sets up the t_ap_opts structure based on users inputs and + * on the architecture specified. + * + * Error checking, such as checking for conflicting params is assumed + * to be done beforehand + */ +void SetupAPOpts(const t_options& options, + t_ap_opts& apOpts) { + apOpts.analytical_solver_type = options.ap_analytical_solver.value(); + apOpts.partial_legalizer_type = options.ap_partial_legalizer.value(); + apOpts.full_legalizer_type = options.ap_full_legalizer.value(); + apOpts.detailed_placer_type = options.ap_detailed_placer.value(); + apOpts.log_verbosity = options.ap_verbosity.value(); +} + +/** + * @brief Sets up the t_packer_opts structure based on users inputs and * on the architecture specified. * * Error checking, such as checking for conflicting params is assumed @@ -578,7 +598,7 @@ void SetupPackerOpts(const t_options& Options, } //TODO: document? - PackerOpts->global_clocks = true; /* DEFAULT */ + PackerOpts->global_clocks = true; /* DEFAULT */ PackerOpts->allow_unrelated_clustering = Options.allow_unrelated_clustering; PackerOpts->connection_driven = Options.connection_driven_clustering; @@ -597,10 +617,6 @@ void SetupPackerOpts(const t_options& Options, PackerOpts->feasible_block_array_size = Options.pack_feasible_block_array_size; PackerOpts->use_attraction_groups = Options.use_attraction_groups; - //TODO: document? - PackerOpts->inter_cluster_net_delay = 1.0; /* DEFAULT */ - PackerOpts->auto_compute_inter_cluster_net_delay = true; - PackerOpts->device_layout = Options.device_layout; PackerOpts->timing_update_type = Options.timing_update_type; @@ -699,6 +715,7 @@ static void SetupPlacerOpts(const t_options& Options, t_placer_opts* PlacerOpts) PlacerOpts->place_constraint_subtile = Options.place_constraint_subtile; PlacerOpts->floorplan_num_horizontal_partitions = Options.floorplan_num_horizontal_partitions; PlacerOpts->floorplan_num_vertical_partitions = Options.floorplan_num_vertical_partitions; + PlacerOpts->place_quench_only = Options.place_quench_only; PlacerOpts->seed = Options.Seed; @@ -773,8 +790,6 @@ static void SetupNocOpts(const t_options& Options, t_noc_opts* NocOpts) { } NocOpts->noc_sat_routing_log_search_progress = Options.noc_sat_routing_log_search_progress; NocOpts->noc_placement_file_name = Options.noc_placement_file_name; - - } static void SetupServerOpts(const t_options& Options, t_server_opts* ServerOpts) { @@ -1017,9 +1032,9 @@ static void do_reachability_analysis(t_physical_tile_type* physical_tile, } } -static void SetupVibInf(const std::vector& PhysicalTileTypes, - const std::vector& switches, - const std::vector& Segments, +static void SetupVibInf(const std::vector& PhysicalTileTypes, + const std::vector& switches, + const std::vector& Segments, std::vector& vib_infs) { VTR_ASSERT(!vib_infs.empty()); for (auto& vib_inf : vib_infs) { @@ -1063,10 +1078,9 @@ static void SetupVibInf(const std::vector& PhysicalTileTyp auto from_tokens = second_stage.from_tokens; for (const auto& from_token : from_tokens) { ProcessFromOrToTokens(from_token, PhysicalTileTypes, Segments, second_stage.froms); - } + } } vib_inf.set_second_stages(second_stages); - } } @@ -1080,8 +1094,7 @@ static void ProcessFromOrToTokens(const std::vector Tokens, const s from_inf.type_name = token[0]; from_inf.from_type = MUX; froms.push_back(from_inf); - } - else if (token.size() == 2) { + } else if (token.size() == 2) { std::string from_type_name = token[0]; e_multistage_mux_from_or_to_type from_type; for (int i_phy_type = 0; i_phy_type < (int)PhysicalTileTypes.size(); i_phy_type++) { @@ -1094,7 +1107,7 @@ static void ProcessFromOrToTokens(const std::vector Tokens, const s pb_type_name = new char[strlen(Token_char)]; port_name = new char[strlen(Token_char)]; parse_pin_name(Token_char, &start_pin_index, &end_pin_index, pb_type_name, port_name); - + std::vector all_sub_tile_to_tile_pin_indices; for (auto& sub_tile : PhysicalTileTypes[i_phy_type].sub_tiles) { int sub_tile_capacity = sub_tile.capacity.total(); @@ -1114,7 +1127,7 @@ static void ProcessFromOrToTokens(const std::vector Tokens, const s } for (int pin_num = start; pin_num <= end; ++pin_num) { VTR_ASSERT(pin_num < (int)sub_tile.sub_tile_to_tile_pin_indices.size() / sub_tile_capacity); - for (int capacity = 0; capacity < sub_tile_capacity; ++ capacity) { + for (int capacity = 0; capacity < sub_tile_capacity; ++capacity) { int sub_tile_pin_index = pin_num + capacity * sub_tile.num_phy_pins / sub_tile_capacity; int physical_pin_index = sub_tile.sub_tile_to_tile_pin_indices[sub_tile_pin_index]; all_sub_tile_to_tile_pin_indices.push_back(physical_pin_index); @@ -1140,14 +1153,14 @@ static void ProcessFromOrToTokens(const std::vector Tokens, const s from_inf.phy_pin_index = all_sub_tile_to_tile_pin_indices[i]; froms.push_back(from_inf); } - + // for (auto& sub_tile : PhysicalTileTypes[i_phy_type].sub_tiles) { // //int sub_tile_index = sub_tile.index; // int sub_tile_capacity = sub_tile.capacity.total(); // int i_port = 0; // for (; i_port < (int)sub_tile.ports.size(); ++i_port) { - + // if (!strcmp(sub_tile.ports[i_port].name, port_name)) { // if (start_pin_index == end_pin_index && start_pin_index < 0) { // start_pin_index = 0; @@ -1177,7 +1190,6 @@ static void ProcessFromOrToTokens(const std::vector Tokens, const s // } // } // } - } } for (int i_seg_type = 0; i_seg_type < (int)segments.size(); i_seg_type++) { @@ -1197,14 +1209,13 @@ static void ProcessFromOrToTokens(const std::vector Tokens, const s from_inf.seg_index = seg_index; froms.push_back(from_inf); } - + break; } } VTR_ASSERT(from_type == PB || from_type == SEGMENT); - - } - else { + + } else { std::string msg = vtr::string_fmt("Failed to parse vib mux from information '%s'", Token.c_str()); VTR_LOGF_ERROR(__FILE__, __LINE__, msg.c_str()); } @@ -1225,9 +1236,8 @@ static void parse_pin_name(const char* src_string, int* start_pin_index, int* en /* Format "pb_type_name.port_name" */ *start_pin_index = *end_pin_index = -1; - strcpy(source_string, src_string); - + for (ichar = 0; ichar < (int)(strlen(source_string)); ichar++) { if (source_string[ichar] == '.') source_string[ichar] = ' '; @@ -1269,7 +1279,7 @@ static void parse_pin_name(const char* src_string, int* start_pin_index, int* en "The end_pin_index and start_pin_index can be the same.\n", src_string); exit(1); - } + } } if (*end_pin_index < 0 || *start_pin_index < 0) { VTR_LOG_ERROR( diff --git a/vpr/src/base/SetupVibGrid.cpp b/vpr/src/base/SetupVibGrid.cpp index 98bd676f058..7f657dd5254 100644 --- a/vpr/src/base/SetupVibGrid.cpp +++ b/vpr/src/base/SetupVibGrid.cpp @@ -35,7 +35,7 @@ VibDeviceGrid create_vib_device_grid(std::string layout_name, const std::vector< //We do not support auto layout now // VPR_FATAL_ERROR(VPR_ERROR_ARCH, "We do not support auto layout now\n"); - + } else { //Use the specified device @@ -78,7 +78,7 @@ static VibDeviceGrid build_vib_device_grid(const t_vib_grid_def& grid_def, size_ vtr::NdMatrix grid_priorities; int num_layers = (int)grid_def.layers.size(); vib_grid.resize(std::array{(size_t)num_layers, grid_width, grid_height}); - + //Track the current priority for each grid location // Note that we initialize it to the lowest (i.e. most negative) possible value, so // any user-specified priority will override the default empty grid @@ -200,7 +200,7 @@ static VibDeviceGrid build_vib_device_grid(const t_vib_grid_def& grid_def, size_ //The minimum increment is the block dimension //VTR_ASSERT(type->width > 0); - if (incrx < 1/*size_t(type->width)*/) { + if (incrx < 1 /*size_t(type->width)*/) { VPR_FATAL_ERROR(VPR_ERROR_ARCH, "Grid location specification incrx for block type '%s' must be at least" " block width (%d) to avoid overlapping instances (was %s = %d)", @@ -208,7 +208,7 @@ static VibDeviceGrid build_vib_device_grid(const t_vib_grid_def& grid_def, size_ } //VTR_ASSERT(type->height > 0); - if (incry < 1/*size_t(type->height)*/) { + if (incry < 1 /*size_t(type->height)*/) { VPR_FATAL_ERROR(VPR_ERROR_ARCH, "Grid location specification incry for block type '%s' must be at least" " block height (%d) to avoid overlapping instances (was %s = %d)", @@ -361,7 +361,7 @@ static void set_vib_grid_block_type(int priority, if (grid_tile != nullptr //&& grid_tile.type != device_ctx.EMPTY_PHYSICAL_TILE_TYPE - ) { + ) { //We are overriding a non-empty block, we need to be careful //to ensure we remove any blocks which will be invalidated when we //overwrite part of their locations diff --git a/vpr/src/base/ShowSetup.cpp b/vpr/src/base/ShowSetup.cpp index b89c21ca4e2..712fa5619c1 100644 --- a/vpr/src/base/ShowSetup.cpp +++ b/vpr/src/base/ShowSetup.cpp @@ -1,17 +1,15 @@ -#include -#include - -#include "vtr_assert.h" -#include "vtr_log.h" -#include "vpr_types.h" -#include "vpr_error.h" +#include "ShowSetup.h" +#include "ap_flow_enums.h" #include "globals.h" -#include "echo_files.h" -#include "read_options.h" -#include "read_xml_arch_file.h" -#include "ShowSetup.h" +#include "physical_types_util.h" +#include "vpr_error.h" +#include "vpr_types.h" +#include "vtr_assert.h" +#include "vtr_log.h" +#include +#include /******** Function Prototypes ********/ static void ShowPackerOpts(const t_packer_opts& PackerOpts); @@ -254,6 +252,9 @@ static void ShowRouterOpts(const t_router_opts& RouterOpts) { VTR_LOG("RouterOpts.router_algorithm: "); switch (RouterOpts.router_algorithm) { + case NESTED: + VTR_LOG("NESTED\n"); + break; case PARALLEL: VTR_LOG("PARALLEL\n"); break; @@ -597,8 +598,58 @@ static void ShowPlacerOpts(const t_placer_opts& PlacerOpts) { } static void ShowAnalyticalPlacerOpts(const t_ap_opts& APOpts) { - (void)APOpts; - // Currently nothing to show, but will happen eventually. + VTR_LOG("AnalyticalPlacerOpts.analytical_solver_type: "); + switch (APOpts.analytical_solver_type) { + case e_ap_analytical_solver::QP_Hybrid: + VTR_LOG("qp-hybrid\n"); + break; + case e_ap_analytical_solver::LP_B2B: + VTR_LOG("lp-b2b\n"); + break; + default: + VPR_FATAL_ERROR(VPR_ERROR_UNKNOWN, "Unknown analytical_solver_type\n"); + } + + VTR_LOG("AnalyticalPlacerOpts.partial_legalizer_type: "); + switch (APOpts.partial_legalizer_type) { + case e_ap_partial_legalizer::BiPartitioning: + VTR_LOG("bipartitioning\n"); + break; + case e_ap_partial_legalizer::FlowBased: + VTR_LOG("flow-based\n"); + break; + default: + VPR_FATAL_ERROR(VPR_ERROR_UNKNOWN, "Unknown partial_legalizer_type\n"); + } + + VTR_LOG("AnalyticalPlacerOpts.full_legalizer_type: "); + switch (APOpts.full_legalizer_type) { + case e_ap_full_legalizer::Naive: + VTR_LOG("naive\n"); + break; + case e_ap_full_legalizer::APPack: + VTR_LOG("appack\n"); + break; + case e_ap_full_legalizer::Basic_Min_Disturbance: + VTR_LOG("basic-min-disturbance\n"); + break; + default: + VPR_FATAL_ERROR(VPR_ERROR_UNKNOWN, "Unknown full_legalizer_type\n"); + } + + VTR_LOG("AnalyticalPlacerOpts.detailed_placer_type: "); + switch (APOpts.detailed_placer_type) { + case e_ap_detailed_placer::Identity: + VTR_LOG("none\n"); + break; + case e_ap_detailed_placer::Annealer: + VTR_LOG("annealer\n"); + break; + default: + VPR_FATAL_ERROR(VPR_ERROR_UNKNOWN, "Unknown detailed_placer_type\n"); + } + + VTR_LOG("AnalyticalPlacerOpts.log_verbosity: %d\n", APOpts.log_verbosity); } static void ShowNetlistOpts(const t_netlist_opts& NetlistOpts) { @@ -706,7 +757,6 @@ static void ShowPackerOpts(const t_packer_opts& PackerOpts) { } VTR_LOG("PackerOpts.connection_driven: %s", (PackerOpts.connection_driven ? "true\n" : "false\n")); VTR_LOG("PackerOpts.global_clocks: %s", (PackerOpts.global_clocks ? "true\n" : "false\n")); - VTR_LOG("PackerOpts.inter_cluster_net_delay: %f\n", PackerOpts.inter_cluster_net_delay); VTR_LOG("PackerOpts.timing_driven: %s", (PackerOpts.timing_driven ? "true\n" : "false\n")); VTR_LOG("PackerOpts.target_external_pin_util: %s", vtr::join(PackerOpts.target_external_pin_util, " ").c_str()); VTR_LOG("\n"); diff --git a/vpr/src/base/atom_lookup.cpp b/vpr/src/base/atom_lookup.cpp index eb597ff8abd..c487e5e6549 100644 --- a/vpr/src/base/atom_lookup.cpp +++ b/vpr/src/base/atom_lookup.cpp @@ -4,51 +4,6 @@ #include "vtr_optional.h" #include "atom_lookup.h" -/* - * PB - */ -const t_pb* AtomLookup::atom_pb(const AtomBlockId blk_id) const { - auto iter = atom_to_pb_.find(blk_id); - if (iter == atom_to_pb_.end()) { - //Not found - return nullptr; - } - return iter->second; -} - -AtomBlockId AtomLookup::pb_atom(const t_pb* pb) const { - auto iter = atom_to_pb_.find(pb); - if (iter == atom_to_pb_.inverse_end()) { - //Not found - return AtomBlockId::INVALID(); - } - return iter->second; -} - -const t_pb_graph_node* AtomLookup::atom_pb_graph_node(const AtomBlockId blk_id) const { - const t_pb* pb = atom_pb(blk_id); - if (pb) { - //Found - return pb->pb_graph_node; - } - return nullptr; -} - -void AtomLookup::set_atom_pb(const AtomBlockId blk_id, const t_pb* pb) { - //If either of blk_id or pb are not valid, - //remove any mapping - - if (!blk_id && pb) { - //Remove - atom_to_pb_.erase(pb); - } else if (blk_id && !pb) { - //Remove - atom_to_pb_.erase(blk_id); - } else if (blk_id && pb) { - //If both are valid store the mapping - atom_to_pb_.update(blk_id, pb); - } -} /* * PB Pins @@ -112,8 +67,8 @@ void AtomLookup::add_atom_clb_net(const AtomNetId atom_net, const ClusterNetId c clb_net_to_atom_net_[clb_net] = atom_net; } -void AtomLookup::remove_clb_net(const ClusterNetId clb_net){ - if(!clb_net_to_atom_net_.count(clb_net)) +void AtomLookup::remove_clb_net(const ClusterNetId clb_net) { + if (!clb_net_to_atom_net_.count(clb_net)) return; auto atom_net = clb_net_to_atom_net_[clb_net]; @@ -124,11 +79,11 @@ void AtomLookup::remove_clb_net(const ClusterNetId clb_net){ /* Remove mapping for given atom net */ void AtomLookup::remove_atom_net(const AtomNetId atom_net) { - if(!atom_net_to_clb_nets_.count(atom_net)) + if (!atom_net_to_clb_nets_.count(atom_net)) return; auto cluster_nets = atom_net_to_clb_nets_[atom_net]; - for(auto c: cluster_nets){ + for (auto c : cluster_nets) { clb_net_to_atom_net_.erase(c); } atom_net_to_clb_nets_.erase(atom_net); diff --git a/vpr/src/base/atom_lookup.h b/vpr/src/base/atom_lookup.h index fdf17cddd46..8a218fae207 100644 --- a/vpr/src/base/atom_lookup.h +++ b/vpr/src/base/atom_lookup.h @@ -14,6 +14,7 @@ #include "tatum/TimingGraphFwd.hpp" #include "vtr_optional.h" +#include "atom_pb_bimap.h" /** * @brief The AtomLookup class describes the mapping between components in the AtomNetlist @@ -31,23 +32,45 @@ class AtomLookup { */ /** - * @brief Returns the leaf pb associated with the atom blk_id - * @note this is the lowest level pb which corresponds directly to the atom block + * @brief Sets the atom to pb bimap access lock to value. + * If set to true, access to the bimap is prohibited and will result in failing assertions. + * + * @param value Value to set to lock to */ - const t_pb* atom_pb(const AtomBlockId blk_id) const; - - ///@brief Returns the atom block id associated with pb - AtomBlockId pb_atom(const t_pb* pb) const; - - ///@brief Conveneince wrapper around atom_pb to access the associated graph node - const t_pb_graph_node* atom_pb_graph_node(const AtomBlockId blk_id) const; + inline void set_atom_pb_bimap_lock(bool value) { + VTR_ASSERT_SAFE_MSG(lock_atom_pb_bimap_ != value, "Double locking or unlocking the atom pb bimap lock"); + lock_atom_pb_bimap_ = value; + } + + /// @brief Gets the current atom to pb bimap lock value. + inline bool atom_pb_bimap_islocked() const { return lock_atom_pb_bimap_; } + + // All accesses, mutable or immutable, to the atom to pb bimap + // will result in failing assertions if the lock is set to true. + // This is done to make sure there is only a single source of + // data in places that are supposed to use a local data structure + // instead of the global context. + + /// @brief Returns a mutable reference to the atom to pb bimap, provided that access to it is unlocked. It will result in a crash otherwise. + /// @return Mutable reference to the atom pb bimap. + inline AtomPBBimap& mutable_atom_pb_bimap() { + VTR_ASSERT(!lock_atom_pb_bimap_); + return atom_to_pb_bimap_; + } + + /// @brief Returns an immutable reference to the atom to pb bimap, provided that access to it is unlocked. It will result in a crash otherwise. + /// @return Immutable reference to the atom pb bimap. + inline const AtomPBBimap& atom_pb_bimap() const { + VTR_ASSERT(!lock_atom_pb_bimap_); + return atom_to_pb_bimap_; + } /** - * @brief Sets the bidirectional mapping between an atom and pb - * - * If either blk_id or pb are not valid any, existing mapping is removed + * @brief Set atom to pb bimap + * + * @param atom_to_pb Reference to AtomPBBimab to be copied from */ - void set_atom_pb(const AtomBlockId blk_id, const t_pb* pb); + void set_atom_to_pb_bimap(const AtomPBBimap& atom_to_pb) { atom_to_pb_bimap_ = atom_to_pb; } /* * PB Pins @@ -112,7 +135,12 @@ class AtomLookup { private: //Types private: - vtr::bimap atom_to_pb_; + /** + * @brief Allows or disallows access to the AtomPBBimap data. + * Useful to make sure global context is not accessed in places you don't want it to. + */ + bool lock_atom_pb_bimap_ = false; + AtomPBBimap atom_to_pb_bimap_; vtr::vector_map atom_pin_to_pb_graph_pin_; diff --git a/vpr/src/base/blk_loc_registry.cpp b/vpr/src/base/blk_loc_registry.cpp index 70f809cdcd9..2e5b1f2c5bb 100644 --- a/vpr/src/base/blk_loc_registry.cpp +++ b/vpr/src/base/blk_loc_registry.cpp @@ -1,18 +1,66 @@ #include "blk_loc_registry.h" +#include "device_grid.h" #include "move_transactions.h" #include "globals.h" +#include "physical_types_util.h" +#include "vpr_context.h" +#include "vpr_utils.h" BlkLocRegistry::BlkLocRegistry() : expected_transaction_(e_expected_transaction::APPLY) {} +void BlkLocRegistry::init() { + const ClusteredNetlist& clb_nlist = g_vpr_ctx.clustering().clb_nlist; + const DeviceGrid& device_grid = g_vpr_ctx.device().grid; + auto& block_locs = mutable_block_locs(); + auto& grid_blocks = mutable_grid_blocks(); + + /* Initialize the lookup of CLB block positions */ + block_locs.clear(); + block_locs.resize(clb_nlist.blocks().size()); + + /* Initialize the reverse lookup of CLB block positions */ + grid_blocks.init_grid_blocks(device_grid); + + /* Initialize the grid blocks to empty. + * Initialize all the blocks to unplaced. + */ + clear_all_grid_locs(); +} + +void BlkLocRegistry::alloc_and_load_movable_blocks() { + const ClusteredNetlist& clb_nlist = g_vpr_ctx.clustering().clb_nlist; + const auto& logical_block_types = g_vpr_ctx.device().logical_block_types; + const auto& all_block_locs = block_locs(); + auto& movable_blocks = mutable_movable_blocks(); + auto& movable_blocks_per_type = mutable_movable_blocks_per_type(); + + // TODO: Are these clears necessary? + movable_blocks.clear(); + movable_blocks_per_type.clear(); + + movable_blocks_per_type.resize(logical_block_types.size()); + + // Iterate over all clustered blocks and store block ids of movable ones. + for (ClusterBlockId blk_id : clb_nlist.blocks()) { + const t_block_loc& loc = all_block_locs[blk_id]; + if (!loc.is_fixed) { + movable_blocks.push_back(blk_id); + + const t_logical_block_type_ptr block_type = clb_nlist.block_type(blk_id); + movable_blocks_per_type[block_type->index].push_back(blk_id); + } + } +} + const vtr::vector_map& BlkLocRegistry::block_locs() const { - return block_locs_; + return block_locs_; } vtr::vector_map& BlkLocRegistry::mutable_block_locs() { - return block_locs_; + return block_locs_; } const GridBlock& BlkLocRegistry::grid_blocks() const { @@ -44,14 +92,6 @@ int BlkLocRegistry::net_pin_to_tile_pin_index(const ClusterNetId net_id, int net return this->tile_pin_index(pin_id); } -const PlaceMacros& BlkLocRegistry::place_macros() const { - return place_macros_; -} - -PlaceMacros& BlkLocRegistry::mutable_place_macros() { - return place_macros_; -} - void BlkLocRegistry::set_block_location(ClusterBlockId blk_id, const t_pl_loc& location) { const auto& device_ctx = g_vpr_ctx.device(); const auto& cluster_ctx = g_vpr_ctx.clustering(); @@ -201,9 +241,9 @@ void BlkLocRegistry::apply_move_blocks(const t_pl_blocks_to_be_moved& blocks_aff block_locs_[blk].loc = new_loc; // get physical tile type of the old location - t_physical_tile_type_ptr old_type = device_ctx.grid.get_physical_type({old_loc.x,old_loc.y,old_loc.layer}); + t_physical_tile_type_ptr old_type = device_ctx.grid.get_physical_type({old_loc.x, old_loc.y, old_loc.layer}); // get physical tile type of the new location - t_physical_tile_type_ptr new_type = device_ctx.grid.get_physical_type({new_loc.x,new_loc.y, new_loc.layer}); + t_physical_tile_type_ptr new_type = device_ctx.grid.get_physical_type({new_loc.x, new_loc.y, new_loc.layer}); // if physical tile type of old location does not equal physical tile type of new location, sync the new physical pins if (old_type != new_type) { diff --git a/vpr/src/base/blk_loc_registry.h b/vpr/src/base/blk_loc_registry.h index 9d3704f2c1c..145e15867c6 100644 --- a/vpr/src/base/blk_loc_registry.h +++ b/vpr/src/base/blk_loc_registry.h @@ -1,11 +1,10 @@ -#ifndef VTR_BLK_LOC_REGISTRY_H -#define VTR_BLK_LOC_REGISTRY_H + +#pragma once #include "clustered_netlist_fwd.h" #include "vtr_vector_map.h" #include "vpr_types.h" #include "grid_block.h" -#include "place_macro.h" struct t_block_loc; struct t_pl_blocks_to_be_moved; @@ -27,6 +26,15 @@ class BlkLocRegistry { BlkLocRegistry(BlkLocRegistry&&) = delete; BlkLocRegistry& operator=(BlkLocRegistry&&) = delete; + /// @brief Initialize the block loc registry's internal data. Must be called + /// before any other method is called. + void init(); + + /// @brief Iterates over all of the placed blocks and stores block IDs of + /// moveable ones. Must be called after the fixed blocks have been + /// marked and before using the movable_blocks. + void alloc_and_load_movable_blocks(); + private: ///@brief Clustered block placement locations vtr::vector_map block_locs_; @@ -37,14 +45,13 @@ class BlkLocRegistry { ///@brief Clustered pin placement mapping with physical pin vtr::vector_map physical_pins_; - /** - * @brief Contains information about placement macros. - * A placement macro is a set of clustered blocks that must be placed - * in a way that is compliant with relative locations specified by the macro. - */ - PlaceMacros place_macros_; + /// @brief Stores ClusterBlockId of all movable clustered blocks + /// (blocks that are not locked down to a single location) + std::vector movable_blocks_; public: + ///@brief Stores ClusterBlockId of all movable clustered blocks of each block type + std::vector> movable_blocks_per_type_; const vtr::vector_map& block_locs() const; vtr::vector_map& mutable_block_locs(); @@ -60,11 +67,19 @@ class BlkLocRegistry { ///@brief Returns the physical pin of the tile, related to the given ClusterNedId, and the net pin index. int net_pin_to_tile_pin_index(const ClusterNetId net_id, int net_pin_index) const; - ///@brief Returns a constant reference to placement macros. - const PlaceMacros& place_macros() const; + /// @brief Returns a constant reference to the vector of ClusterBlockIds of all movable clustered blocks. + const std::vector& movable_blocks() const { return movable_blocks_; } + + /// @brief Returns a mutable reference to the vector of ClusterBlockIds of all movable clustered blocks. + std::vector& mutable_movable_blocks() { return movable_blocks_; } - ///@brief Returns a mutable reference to placement macros. - PlaceMacros& mutable_place_macros(); + /// @brief Returns a constant reference to a vector of vectors, where each inner vector contains ClusterBlockIds + /// of movable clustered blocks for a specific block type + const std::vector>& movable_blocks_per_type() const { return movable_blocks_per_type_; } + + /// @brief Returns a mutable reference to a vector of vectors, where each inner vector contains ClusterBlockIds + /// of movable clustered blocks for a specific block type. + std::vector>& mutable_movable_blocks_per_type() { return movable_blocks_per_type_; } /** * @brief Performs error checking to see if location is legal for block type, @@ -149,5 +164,3 @@ class BlkLocRegistry { e_expected_transaction expected_transaction_; }; - -#endif //VTR_BLK_LOC_REGISTRY_H diff --git a/vpr/src/base/check_netlist.cpp b/vpr/src/base/check_netlist.cpp index cd800003a5f..a80e3d7d76c 100644 --- a/vpr/src/base/check_netlist.cpp +++ b/vpr/src/base/check_netlist.cpp @@ -7,6 +7,7 @@ #include #include +#include "physical_types_util.h" #include "vtr_assert.h" #include "vtr_log.h" @@ -16,7 +17,6 @@ #include "hash.h" #include "vpr_utils.h" #include "check_netlist.h" -#include "read_xml_arch_file.h" #define ERROR_THRESHOLD 100 diff --git a/vpr/src/base/clustered_netlist.cpp b/vpr/src/base/clustered_netlist.cpp index 180b60e35bd..2f2fce860a4 100644 --- a/vpr/src/base/clustered_netlist.cpp +++ b/vpr/src/base/clustered_netlist.cpp @@ -1,7 +1,7 @@ #include "clustered_netlist.h" - +#include "globals.h" +#include "physical_types_util.h" #include "vtr_assert.h" -#include "vpr_error.h" #include @@ -171,7 +171,7 @@ ClusterNetId ClusteredNetlist::create_net(const std::string& name) { void ClusteredNetlist::remove_block_impl(const ClusterBlockId blk_id) { //Remove & invalidate pointers - free_pb(block_pbs_[blk_id]); + free_pb(block_pbs_[blk_id], g_vpr_ctx.mutable_atom().mutable_lookup().mutable_atom_pb_bimap()); delete block_pbs_[blk_id]; block_pbs_.insert(blk_id, NULL); block_types_.insert(blk_id, NULL); diff --git a/vpr/src/base/clustered_netlist_utils.cpp b/vpr/src/base/clustered_netlist_utils.cpp index 8fbdff8b658..798c03ca250 100644 --- a/vpr/src/base/clustered_netlist_utils.cpp +++ b/vpr/src/base/clustered_netlist_utils.cpp @@ -45,8 +45,8 @@ void ClusterAtomsLookup::init_lookup() { cluster_atoms.resize(cluster_ctx.clb_nlist.blocks().size()); - for (auto atom_blk_id : atom_ctx.nlist.blocks()) { - ClusterBlockId clb_index = atom_ctx.lookup.atom_clb(atom_blk_id); + for (auto atom_blk_id : atom_ctx.netlist().blocks()) { + ClusterBlockId clb_index = atom_ctx.lookup().atom_clb(atom_blk_id); /* if this data structure is being built alongside the clustered netlist */ /* e.g. when ingesting and legalizing a flat placement solution, some atoms */ diff --git a/vpr/src/base/flat_placement_types.h b/vpr/src/base/flat_placement_types.h new file mode 100644 index 00000000000..afad1a98ab4 --- /dev/null +++ b/vpr/src/base/flat_placement_types.h @@ -0,0 +1,131 @@ +/** + * @file + * @author Alex Singer + * @date March 2025 + * @brief Declaration of flat placement types used throughout VPR. + */ + +#pragma once + +#include "atom_netlist.h" +#include "vtr_assert.h" +#include "vtr_vector.h" + +/** + * @brief A structure representing a flat placement location on the device. + * + * This is related to the t_pl_loc type; however this uses floating point + * coordinates, allowing for blocks to be placed in illegal positions. + */ +struct t_flat_pl_loc { + float x; /**< The x-coordinate of the location. */ + float y; /**< The y-coordinate of the location. */ + float layer; /**< The layer of the location. */ + + /** + * @brief Adds the coordinates of another t_flat_pl_loc to this one. + * + * @param other The other t_flat_pl_loc whose coordinates are to be added. + * @return A reference to this t_flat_pl_loc after addition. + */ + t_flat_pl_loc& operator+=(const t_flat_pl_loc& other) { + x += other.x; + y += other.y; + layer += other.layer; + return *this; + } + + /** + * @brief Divides the coordinates of this t_flat_pl_loc by a divisor. + * + * @param divisor The value by which to divide the coordinates. + * @return A reference to this t_flat_pl_loc after division. + */ + t_flat_pl_loc& operator/=(float divisor) { + x /= divisor; + y /= divisor; + layer /= divisor; + return *this; + } +}; + +/** + * @brief Flat placement storage class. + * + * This stores placement information for each atom in the netlist. It contains + * any information that may be used by the packer to better create clusters. + */ +class FlatPlacementInfo { + public: + /// @brief Identifier for an undefined position. + static constexpr float UNDEFINED_POS = -1.f; + /// @brief Identifier for an undefined sub tile. + static constexpr int UNDEFINED_SUB_TILE = -1; + /// @brief Identifier for an undefined site idx. + static constexpr int UNDEFINED_SITE_IDX = -1; + + // The following three floating point numbers describe the flat position of + // an atom block. These are floats instead of integers to allow for flat + // placements which are not quite legal (ok to be off-grid). This allows + // the flat placement to encode information about where atom blocks would + // want to go if they cannot be placed at the grid position they are at. + // (for example, a block placed at (0.9, 0.9) wants to be at tile (0, 0), + // but if thats not possible it would prefer (1, 1) over anything else. + + /// @brief The x-positions of each atom block. Is UNDEFINED_POS if undefined. + vtr::vector blk_x_pos; + /// @brief The y-positions of each atom block. Is UNDEFINED_POS if undefined. + vtr::vector blk_y_pos; + /// @brief The layer of each atom block. Is UNDEFINED_POS if undefined. + vtr::vector blk_layer; + + /// @brief The sub tile location of each atom block. Is UNDEFINED_SUB_TILE + /// if undefined. + vtr::vector blk_sub_tile; + /// @brief The flat site idx of each atom block. This is an optional index + /// into a linearized list of primitive locations within a cluster- + /// level block. Is UNDEFINED_SITE_IDX if undefined. + vtr::vector blk_site_idx; + + /// @brief A flag to signify if this object has been constructed with data + /// or not. This makes it easier to detect if a flat placement exists + /// or not. Is true when a placement has been loaded into this + /// object, false otherwise. + bool valid; + + /** + * @brief Get the flat placement location of the given atom block. + */ + inline t_flat_pl_loc get_pos(AtomBlockId blk_id) const { + VTR_ASSERT_SAFE_MSG(blk_id.is_valid(), "Block ID is invalid"); + VTR_ASSERT_SAFE_MSG(valid, "FlatPlacementInfo not initialized"); + return {blk_x_pos[blk_id], blk_y_pos[blk_id], blk_layer[blk_id]}; + } + + /** + * @brief Default constructor of this class. + * + * Initializes the data structure to invalid so it can be easily checked to + * be uninitialized. + */ + FlatPlacementInfo() + : valid(false) {} + + /** + * @brief Constructs the flat placement with undefined positions for each + * atom block in the atom netlist. + * + * The valid flag is set to true here, since this structure is now + * initialized with data and can be used. + * + * @param atom_netlist + * The netlist of atom blocks in the circuit. + */ + FlatPlacementInfo(const AtomNetlist& atom_netlist) + : blk_x_pos(atom_netlist.blocks().size(), UNDEFINED_POS) + , blk_y_pos(atom_netlist.blocks().size(), UNDEFINED_POS) + , blk_layer(atom_netlist.blocks().size(), UNDEFINED_POS) + , blk_sub_tile(atom_netlist.blocks().size(), UNDEFINED_SUB_TILE) + , blk_site_idx(atom_netlist.blocks().size(), UNDEFINED_SITE_IDX) + , valid(true) {} +}; diff --git a/vpr/src/base/flat_placement_utils.h b/vpr/src/base/flat_placement_utils.h new file mode 100644 index 00000000000..eef37489585 --- /dev/null +++ b/vpr/src/base/flat_placement_utils.h @@ -0,0 +1,20 @@ +/** + * @file + * @author Alex Singer + * @date March 2025 + * @brief Utility methods for working with flat placements. + */ + +#pragma once + +#include +#include "flat_placement_types.h" + +/** + * @brief Returns the manhattan distance (L1 distance) between two flat + * placement locations. + */ +inline float get_manhattan_distance(const t_flat_pl_loc& loc_a, + const t_flat_pl_loc& loc_b) { + return std::abs(loc_a.x - loc_b.x) + std::abs(loc_a.y - loc_b.y) + std::abs(loc_a.layer - loc_b.layer); +} diff --git a/vpr/src/base/gen/vpr_constraints_uxsdcxx.h b/vpr/src/base/gen/vpr_constraints_uxsdcxx.h index d12118cb066..ccb8adb9cd0 100644 --- a/vpr/src/base/gen/vpr_constraints_uxsdcxx.h +++ b/vpr/src/base/gen/vpr_constraints_uxsdcxx.h @@ -122,9 +122,9 @@ typedef const uint64_t __attribute__((aligned(1))) triehash_uu64; static_assert(alignof(triehash_uu32) == 1, "Unaligned 32-bit access not found."); static_assert(alignof(triehash_uu64) == 1, "Unaligned 64-bit access not found."); #if __BYTE_ORDER__ == __ORDER_LITTLE_ENDIAN__ -# define onechar(c, s, l) (((uint64_t)(c)) << (s)) +#define onechar(c, s, l) (((uint64_t)(c)) << (s)) #else -# define onechar(c, s, l) (((uint64_t)(c)) << (l - 8 - s)) +#define onechar(c, s, l) (((uint64_t)(c)) << (l - 8 - s)) #endif /* Tokens for attribute and node names. */ @@ -1027,6 +1027,11 @@ inline void load_global_route_constraints(const pugi::xml_node& root, T& out, Co if (state != 0) dfa_error("end of input", gstate_t_global_route_constraints[state], gtok_lookup_t_global_route_constraints, 1, report_error); } +constexpr int NUM_T_VPR_CONSTRAINTS_STATES = 1; +constexpr const int NUM_T_VPR_CONSTRAINTS_INPUTS = 2; +constexpr int gstate_t_vpr_constraints[NUM_T_VPR_CONSTRAINTS_STATES][NUM_T_VPR_CONSTRAINTS_INPUTS] = { + {0, 0}, +}; template inline void load_vpr_constraints(const pugi::xml_node& root, T& out, Context& context, const std::function* report_error, ptrdiff_t* offset_debug) { (void)root; @@ -1207,7 +1212,7 @@ inline void attr_error(std::bitset astate, const char* const* lookup, const s } inline void get_line_number(const char* filename, std::ptrdiff_t target_offset, int* line, int* col) { - std::unique_ptr f(fopen(filename, "rb"), fclose); + std::unique_ptr f(fopen(filename, "rb"), fclose); if (!f) { throw std::runtime_error(std::string("Failed to open file") + filename); diff --git a/vpr/src/base/grid_block.cpp b/vpr/src/base/grid_block.cpp index 9053830473e..4a6e35b0aba 100644 --- a/vpr/src/base/grid_block.cpp +++ b/vpr/src/base/grid_block.cpp @@ -1,7 +1,28 @@ #include "grid_block.h" +#include "device_grid.h" #include "globals.h" +#include "physical_types.h" + +void GridBlock::init_grid_blocks(const DeviceGrid& device_grid) { + size_t grid_width = device_grid.width(); + size_t grid_height = device_grid.height(); + size_t num_layers = device_grid.get_num_layers(); + + /* Structure should have the same dimensions as the grid. */ + grid_blocks_.resize({num_layers, grid_width, grid_height}); + + for (size_t layer_num = 0; layer_num < num_layers; layer_num++) { + for (size_t x = 0; x < grid_width; x++) { + for (size_t y = 0; y < grid_height; y++) { + const t_physical_tile_loc tile_loc({(int)x, (int)y, (int)layer_num}); + auto type = device_grid.get_physical_type(tile_loc); + initialized_grid_block_at_location(tile_loc, type->capacity); + } + } + } +} void GridBlock::zero_initialize() { auto& device_ctx = g_vpr_ctx.device(); @@ -55,5 +76,3 @@ int GridBlock::decrement_usage(const t_physical_tile_loc& loc) { return updated_usage; } - - diff --git a/vpr/src/base/grid_block.h b/vpr/src/base/grid_block.h index 12e934f0af9..d66cbc9fa26 100644 --- a/vpr/src/base/grid_block.h +++ b/vpr/src/base/grid_block.h @@ -38,6 +38,14 @@ class GridBlock { grid_blocks_.resize({layers, width, height}); } + /** + * @brief Initialize `grid_blocks`, the inverse structure of `block_locs`. + * + * The container at each grid block location should have a length equal to the + * subtile capacity of that block. Unused subtiles would be marked ClusterBlockId::INVALID(). + */ + void init_grid_blocks(const DeviceGrid& device_grid); + inline void initialized_grid_block_at_location(const t_physical_tile_loc& loc, int num_sub_tiles) { grid_blocks_[loc.layer_num][loc.x][loc.y].blocks.resize(num_sub_tiles, ClusterBlockId::INVALID()); } diff --git a/vpr/src/base/load_flat_place.cpp b/vpr/src/base/load_flat_place.cpp index f34d1f94680..14de1c6da1f 100644 --- a/vpr/src/base/load_flat_place.cpp +++ b/vpr/src/base/load_flat_place.cpp @@ -8,11 +8,40 @@ #include "load_flat_place.h" +#include +#include #include +#include "atom_lookup.h" +#include "atom_netlist.h" #include "clustered_netlist.h" +#include "flat_placement_types.h" #include "globals.h" #include "vpr_context.h" +#include "vpr_error.h" #include "vpr_types.h" +#include "vtr_assert.h" +#include "vtr_log.h" +#include "vtr_vector_map.h" +#include "vtr_version.h" + +/** + * @brief Prints the header for the flat placement file. This includes helpful + * information on how to read the file and when it was generated. + * + * @param fp + * File pointer to the file the cluster is printed to. + */ +static void print_flat_placement_file_header(FILE* fp) { + fprintf(fp, "# Flat Placement File\n"); + fprintf(fp, "# Auto-generated by VPR %s\n", + vtr::VERSION); + fprintf(fp, "# Created: %s\n", + vtr::BUILD_TIMESTAMP); + fprintf(fp, "#\n"); + fprintf(fp, "# This file prints the following information for each atom in the netlist:\n"); + fprintf(fp, "# # \n"); + fprintf(fp, "\n"); +} /** * @brief Prints flat placement file entries for the atoms in one placed @@ -30,7 +59,7 @@ */ static void print_flat_cluster(FILE* fp, ClusterBlockId blk_id, - const vtr::vector_map &block_locs, + const vtr::vector_map& block_locs, const vtr::vector>& atoms_lookup) { // Atom context used to get the atom_pb for each atom in the cluster. // NOTE: This is only used for getting the flat site index. @@ -42,12 +71,13 @@ static void print_flat_cluster(FILE* fp, // Print a line for each atom. for (AtomBlockId atom : atoms_lookup[blk_id]) { // Get the atom pb graph node. - t_pb_graph_node* atom_pbgn = atom_ctx.lookup.atom_pb(atom)->pb_graph_node; + t_pb_graph_node* atom_pbgn = atom_ctx.lookup().atom_pb_bimap().atom_pb(atom)->pb_graph_node; // Print the flat placement information for this atom. - fprintf(fp, "%s %d %d %d %d #%zu %s\n", - atom_ctx.nlist.block_name(atom).c_str(), - blk_loc.x, blk_loc.y, blk_loc.sub_tile, + fprintf(fp, "%s %d %d %d %d %d #%zu %s\n", + atom_ctx.netlist().block_name(atom).c_str(), + blk_loc.x, blk_loc.y, blk_loc.layer, + blk_loc.sub_tile, atom_pbgn->flat_site_index, static_cast(blk_id), atom_pbgn->pb_type->name); @@ -56,7 +86,7 @@ static void print_flat_cluster(FILE* fp, void write_flat_placement(const char* flat_place_file_path, const ClusteredNetlist& cluster_netlist, - const vtr::vector_map &block_locs, + const vtr::vector_map& block_locs, const vtr::vector>& atoms_lookup) { // Writes the flat placement to the given flat_place_file_path. @@ -67,6 +97,9 @@ void write_flat_placement(const char* flat_place_file_path, // Create a file in write mode for the flat placement. FILE* fp = fopen(flat_place_file_path, "w"); + // Add a header to the flat placement file. + print_flat_placement_file_header(fp); + // For each cluster, write out the atoms in the cluster at this cluster's // location. for (ClusterBlockId iblk : cluster_netlist.blocks()) { @@ -77,6 +110,86 @@ void write_flat_placement(const char* flat_place_file_path, fclose(fp); } +FlatPlacementInfo read_flat_placement(const std::string& read_flat_place_file_path, + const AtomNetlist& atom_netlist) { + // Try to open the file, crash if we cannot open the file. + std::ifstream flat_place_file(read_flat_place_file_path); + if (!flat_place_file.is_open()) { + VPR_ERROR(VPR_ERROR_OTHER, "Unable to open flat placement file: %s\n", + read_flat_place_file_path.c_str()); + } + + // Create a FlatPlacementInfo object to hold the flat placement. + FlatPlacementInfo flat_placement_info(atom_netlist); + + // Read each line of the flat placement file. + unsigned line_num = 0; + std::string line; + while (std::getline(flat_place_file, line)) { + // Split the line into tokens (using spaces, tabs, etc. as delimiters). + std::vector tokens = vtr::split(line); + // Skip empty lines + if (tokens.empty()) + continue; + // Skip lines that are only comments. + if (tokens[0][0] == '#') + continue; + // Skip lines with too few arguments. + // Required arguments: + // - Atom name + // - Atom x-pos + // - Atom y-pos + // - Atom layer + // - Atom sub-tile + if (tokens.size() < 5) { + VTR_LOG_WARN("Flat placement file, line %d has too few arguments. " + "Requires at least: \n", + line_num); + continue; + } + + // Get the atom name, which should be the first argument. + AtomBlockId atom_blk_id = atom_netlist.find_block(tokens[0]); + if (!atom_blk_id.is_valid()) { + VTR_LOG_WARN("Flat placement file, line %d atom name does not match " + "any atoms in the atom netlist.\n", + line_num); + continue; + } + + // Check if this atom already has a flat placement + // Using the x_pos and y_pos as identifiers. + if (flat_placement_info.blk_x_pos[atom_blk_id] != FlatPlacementInfo::UNDEFINED_POS + || flat_placement_info.blk_y_pos[atom_blk_id] != FlatPlacementInfo::UNDEFINED_POS) { + VTR_LOG_WARN("Flat placement file, line %d, atom %s has multiple " + "placement definitions in the flat placement file.\n", + line_num, atom_netlist.block_name(atom_blk_id).c_str()); + continue; + } + + // Get the (x, y, layer) position of the atom. These functions have + // error checking built in. We parse these as floats to allow for + // reading in more global atom positions. + flat_placement_info.blk_x_pos[atom_blk_id] = vtr::atof(tokens[1]); + flat_placement_info.blk_y_pos[atom_blk_id] = vtr::atof(tokens[2]); + flat_placement_info.blk_layer[atom_blk_id] = vtr::atof(tokens[3]); + + // Parse the sub-tile as an integer. + flat_placement_info.blk_sub_tile[atom_blk_id] = vtr::atoi(tokens[4]); + + // If a site index is given, parse the site index as an integer. + if (tokens.size() >= 6 && tokens[5][0] != '#') + flat_placement_info.blk_site_idx[atom_blk_id] = vtr::atoi(tokens[5]); + + // Ignore any further tokens. + + line_num++; + } + + // Return the flat placement info loaded from the file. + return flat_placement_info; +} + /* ingests and legalizes a flat placement file */ bool load_flat_placement(t_vpr_setup& vpr_setup, const t_arch& arch) { VTR_LOG("load_flat_placement(); when implemented, this function:"); @@ -88,3 +201,121 @@ bool load_flat_placement(t_vpr_setup& vpr_setup, const t_arch& arch) { return false; } +void log_flat_placement_reconstruction_info( + const FlatPlacementInfo& flat_placement_info, + const vtr::vector_map& block_locs, + const vtr::vector>& atoms_lookup, + const AtomLookup& cluster_of_atom_lookup, + const AtomNetlist& atom_netlist, + const ClusteredNetlist& clustered_netlist) { + // Go through each cluster and see how many clusters have atoms that + // do not belong (cluster is imperfect). + unsigned num_imperfect_clusters = 0; + for (ClusterBlockId clb_blk_id : clustered_netlist.blocks()) { + // Get the centroid of the cluster + const auto& clb_atoms = atoms_lookup[clb_blk_id]; + float centroid_x = 0.f; + float centroid_y = 0.f; + float centroid_layer = 0.f; + float centroid_sub_tile = 0.f; + for (AtomBlockId atom_blk_id : clb_atoms) { + // TODO: Currently only handle the case when all of the position + // data is provided. This can be extended, + VTR_ASSERT(flat_placement_info.blk_x_pos[atom_blk_id] != FlatPlacementInfo::UNDEFINED_POS); + VTR_ASSERT(flat_placement_info.blk_y_pos[atom_blk_id] != FlatPlacementInfo::UNDEFINED_POS); + VTR_ASSERT(flat_placement_info.blk_layer[atom_blk_id] != FlatPlacementInfo::UNDEFINED_POS); + VTR_ASSERT(flat_placement_info.blk_sub_tile[atom_blk_id] != FlatPlacementInfo::UNDEFINED_SUB_TILE); + + centroid_x += flat_placement_info.blk_x_pos[atom_blk_id]; + centroid_y += flat_placement_info.blk_y_pos[atom_blk_id]; + centroid_layer += flat_placement_info.blk_layer[atom_blk_id]; + centroid_sub_tile += flat_placement_info.blk_sub_tile[atom_blk_id]; + } + centroid_x /= static_cast(clb_atoms.size()); + centroid_y /= static_cast(clb_atoms.size()); + centroid_layer /= static_cast(clb_atoms.size()); + centroid_sub_tile /= static_cast(clb_atoms.size()); + // Check if every atom in the cluster is within 0.5 units of the + // centroid. + for (AtomBlockId atom_blk_id : clb_atoms) { + // If the atom's flat placement more than half a block in any + // direction from the flat placement centroid, then it does not + // want to be in this cluster. + // FIXME: This should take into account large blocks somehow, just + // being 0.5 tiles away may not be sufficient. + if (std::abs(centroid_x - flat_placement_info.blk_x_pos[atom_blk_id]) > 0.5f || std::abs(centroid_y - flat_placement_info.blk_y_pos[atom_blk_id]) > 0.5f || std::abs(centroid_layer - flat_placement_info.blk_layer[atom_blk_id]) > 0.5f || std::abs(centroid_sub_tile - flat_placement_info.blk_sub_tile[atom_blk_id]) > 0.5f) { + num_imperfect_clusters++; + break; + } + } + } + // Go through each atom and compute how much it has displaced and count + // how many have been displaced beyond some threshold. + constexpr float disp_threashold = 0.5f; + float total_disp = 0.f; + float max_disp = 0.f; + unsigned num_atoms_missplaced = 0; + for (AtomBlockId atom_blk_id : atom_netlist.blocks()) { + // TODO: Currently only handle the case when all of the position + // data is provided. This can be extended, + VTR_ASSERT(flat_placement_info.blk_x_pos[atom_blk_id] != FlatPlacementInfo::UNDEFINED_POS); + VTR_ASSERT(flat_placement_info.blk_y_pos[atom_blk_id] != FlatPlacementInfo::UNDEFINED_POS); + VTR_ASSERT(flat_placement_info.blk_layer[atom_blk_id] != FlatPlacementInfo::UNDEFINED_POS); + VTR_ASSERT(flat_placement_info.blk_sub_tile[atom_blk_id] != FlatPlacementInfo::UNDEFINED_SUB_TILE); + + // Get the (x, y, layer) position of the block. + int blk_x = flat_placement_info.blk_x_pos[atom_blk_id]; + int blk_y = flat_placement_info.blk_y_pos[atom_blk_id]; + int blk_layer = flat_placement_info.blk_layer[atom_blk_id]; + + // Get the (x, y, layer) position of the cluster that contains this block. + ClusterBlockId atom_clb_id = cluster_of_atom_lookup.atom_clb(atom_blk_id); + const t_block_loc& clb_loc = block_locs[atom_clb_id]; + + // Compute the distance between these two positions. + // FIXME: This will overreport large blocks. This should really be + // the distance outside of the tile you want to be placed in. + float dx = blk_x - clb_loc.loc.x; + float dy = blk_y - clb_loc.loc.y; + float dlayer = blk_layer - clb_loc.loc.layer; + // Using the Manhattan distance (L1 norm) + float dist = std::abs(dx) + std::abs(dy) + std::abs(dlayer); + + // Collect the max displacement. + max_disp = std::max(max_disp, dist); + + // Accumulate into the total displacement. + total_disp += dist; + + // Check if this block has been displaced beyond the threshold. + if (dist >= disp_threashold) { + num_atoms_missplaced++; + } + + // TODO: Make this debug option of higher verbosity. Helpful for + // debugging flat placement reconstruction. + /* + * VTR_LOG("%s %d %d %d %d\n", + * g_vpr_ctx.atom().netlist().block_name(atom_blk_id).c_str(), + * clb_loc.loc.x, + * clb_loc.loc.y, + * clb_loc.loc.layer, + * clb_loc.loc.sub_tile); + */ + } + + // Log the flat placement reconstruction info. + size_t num_atoms = atom_netlist.blocks().size(); + size_t num_clusters = clustered_netlist.blocks().size(); + VTR_LOG("Flat Placement Reconstruction Info:\n"); + VTR_LOG("\tPercent of clusters with reconstruction errors: %f\n", + static_cast(num_imperfect_clusters) / static_cast(num_clusters)); + VTR_LOG("\tTotal displacement of initial placement from flat placement: %f\n", + total_disp); + VTR_LOG("\tAverage atom displacement of initial placement from flat placement: %f\n", + total_disp / static_cast(num_atoms)); + VTR_LOG("\tMax atom displacement of initial placement from flat placement: %f\n", + max_disp); + VTR_LOG("\tPercent of atoms misplaced from the flat placement: %f\n", + static_cast(num_atoms_missplaced) / static_cast(num_atoms)); +} diff --git a/vpr/src/base/load_flat_place.h b/vpr/src/base/load_flat_place.h index c70314bb7fc..3c25780fc57 100644 --- a/vpr/src/base/load_flat_place.h +++ b/vpr/src/base/load_flat_place.h @@ -10,14 +10,19 @@ #pragma once +#include #include #include "vtr_vector_map.h" #include "vtr_vector.h" // Forward declarations class AtomBlockId; +class AtomLookup; +class AtomNetlist; class ClusterBlockId; class ClusteredNetlist; +class FlatPlacementInfo; +class Prepacker; struct t_arch; struct t_block_loc; struct t_vpr_setup; @@ -37,11 +42,48 @@ struct t_vpr_setup; */ void write_flat_placement(const char* flat_place_file_path, const ClusteredNetlist& cluster_netlist, - const vtr::vector_map &block_locs, + const vtr::vector_map& block_locs, const vtr::vector>& atoms_lookup); +/** + * @brief Reads a flat placement file generated from a previous run of VTR or + * externally generated. + * + * @param read_flat_place_file_path + * Path to the file to read the flat placement from. + * @param atom_netlist + * The netlist of atom blocks in the circuit. + */ +FlatPlacementInfo read_flat_placement(const std::string& read_flat_place_file_path, + const AtomNetlist& atom_netlist); + /** * @brief A function that loads and legalizes a flat placement file */ bool load_flat_placement(t_vpr_setup& vpr_setup, const t_arch& arch); +/** + * @brief Logs information on the quality of the clustering and placement + * reconstruction of the given flat placement. + * + * @param flat_placement_info + * The flat placement to log, + * @param block_locs + * The location of each cluster in the netlist. + * @param atoms_lookup + * A lookup between each cluster and the atoms it contains. + * @param cluster_of_atom_lookup + * A lookup between each atom and the cluster that contains it. + * @param atom_netlist + * The netlist of atoms the flat placement was over. + * @param clustered_netlist + * The clustered netlist that the flat placement was used to + * generate. + */ +void log_flat_placement_reconstruction_info( + const FlatPlacementInfo& flat_placement_info, + const vtr::vector_map& block_locs, + const vtr::vector>& atoms_lookup, + const AtomLookup& cluster_of_atom_lookup, + const AtomNetlist& atom_netlist, + const ClusteredNetlist& clustered_netlist); diff --git a/vpr/src/base/netlist_walker.cpp b/vpr/src/base/netlist_walker.cpp index 270a7b6745a..3411210f3cb 100644 --- a/vpr/src/base/netlist_walker.cpp +++ b/vpr/src/base/netlist_walker.cpp @@ -6,7 +6,7 @@ void NetlistWalker::walk() { auto& atom_ctx = g_vpr_ctx.atom(); auto& cluster_ctx = g_vpr_ctx.clustering(); - visitor_.visit_top(atom_ctx.nlist.netlist_name().c_str()); + visitor_.visit_top(atom_ctx.netlist().netlist_name().c_str()); for (auto blk_id : cluster_ctx.clb_nlist.blocks()) { const auto* pb = cluster_ctx.clb_nlist.block_pb(blk_id); diff --git a/vpr/src/base/netlist_writer.cpp b/vpr/src/base/netlist_writer.cpp index d8efdab5b42..2cc43788007 100644 --- a/vpr/src/base/netlist_writer.cpp +++ b/vpr/src/base/netlist_writer.cpp @@ -827,15 +827,15 @@ class NetlistWriterVisitor : public NetlistVisitor { auto& atom_ctx = g_vpr_ctx.atom(); //Initialize the pin to tnode look-up - for (AtomPinId pin : atom_ctx.nlist.pins()) { - AtomBlockId blk = atom_ctx.nlist.pin_block(pin); - ClusterBlockId clb_idx = atom_ctx.lookup.atom_clb(blk); + for (AtomPinId pin : atom_ctx.netlist().pins()) { + AtomBlockId blk = atom_ctx.netlist().pin_block(pin); + ClusterBlockId clb_idx = atom_ctx.lookup().atom_clb(blk); - const t_pb_graph_pin* gpin = atom_ctx.lookup.atom_pin_pb_graph_pin(pin); + const t_pb_graph_pin* gpin = atom_ctx.lookup().atom_pin_pb_graph_pin(pin); VTR_ASSERT(gpin); int pb_pin_idx = gpin->pin_count_in_cluster; - tatum::NodeId tnode_id = atom_ctx.lookup.atom_pin_tnode(pin); + tatum::NodeId tnode_id = atom_ctx.lookup().atom_pin_tnode(pin); auto key = std::make_pair(clb_idx, pb_pin_idx); auto value = std::make_pair(key, tnode_id); @@ -859,11 +859,11 @@ class NetlistWriterVisitor : public NetlistVisitor { void visit_atom_impl(const t_pb* atom) override { auto& atom_ctx = g_vpr_ctx.atom(); - auto atom_pb = atom_ctx.lookup.pb_atom(atom); + auto atom_pb = atom_ctx.lookup().atom_pb_bimap().pb_atom(atom); if (atom_pb == AtomBlockId::INVALID()) { return; } - const t_model* model = atom_ctx.nlist.block_model(atom_pb); + const t_model* model = atom_ctx.netlist().block_model(atom_pb); if (model->name == std::string(MODEL_INPUT)) { inputs_.emplace_back(make_io(atom, PortType::INPUT)); @@ -1787,12 +1787,12 @@ class NetlistWriterVisitor : public NetlistVisitor { } auto& atom_ctx = g_vpr_ctx.atom(); - AtomBlockId blk_id = atom_ctx.lookup.pb_atom(atom); - for (auto param : atom_ctx.nlist.block_params(blk_id)) { + AtomBlockId blk_id = atom_ctx.lookup().atom_pb_bimap().pb_atom(atom); + for (auto param : atom_ctx.netlist().block_params(blk_id)) { params[param.first] = param.second; } - for (auto attr : atom_ctx.nlist.block_attrs(blk_id)) { + for (auto attr : atom_ctx.netlist().block_attrs(blk_id)) { attrs[attr.first] = attr.second; } @@ -1809,8 +1809,8 @@ class NetlistWriterVisitor : public NetlistVisitor { tatum::NodeId find_tnode(const t_pb* atom, int cluster_pin_idx) { auto& atom_ctx = g_vpr_ctx.atom(); - AtomBlockId blk_id = atom_ctx.lookup.pb_atom(atom); - ClusterBlockId clb_index = atom_ctx.lookup.atom_clb(blk_id); + AtomBlockId blk_id = atom_ctx.lookup().atom_pb_bimap().pb_atom(atom); + ClusterBlockId clb_index = atom_ctx.lookup().atom_clb(blk_id); auto key = std::make_pair(clb_index, cluster_pin_idx); auto iter = pin_id_to_tnode_lookup_.find(key); @@ -1840,7 +1840,7 @@ class NetlistWriterVisitor : public NetlistVisitor { const t_pb* atom) { //LUT primitive auto& atom_ctx = g_vpr_ctx.atom(); - const t_model* model = atom_ctx.nlist.block_model(atom_ctx.lookup.pb_atom(atom)); + const t_model* model = atom_ctx.netlist().block_model(atom_ctx.lookup().atom_pb_bimap().pb_atom(atom)); VTR_ASSERT(model->name == std::string(MODEL_NAMES)); #ifdef DEBUG_LUT_MASK @@ -1851,7 +1851,7 @@ class NetlistWriterVisitor : public NetlistVisitor { std::vector permute = determine_lut_permutation(num_inputs, atom); //Retrieve the truth table - const auto& truth_table = atom_ctx.nlist.block_truth_table(atom_ctx.lookup.pb_atom(atom)); + const auto& truth_table = atom_ctx.netlist().block_truth_table(atom_ctx.lookup().atom_pb_bimap().pb_atom(atom)); //Apply the permutation auto permuted_truth_table = permute_truth_table(truth_table, num_inputs, permute); @@ -1896,7 +1896,7 @@ class NetlistWriterVisitor : public NetlistVisitor { // //We walk through the logical inputs to this atom (i.e. in the original truth table/netlist) //and find the corresponding input in the implementation atom (i.e. in the current netlist) - auto ports = atom_ctx.nlist.block_input_ports(atom_ctx.lookup.pb_atom(atom_pb)); + auto ports = atom_ctx.netlist().block_input_ports(atom_ctx.lookup().atom_pb_bimap().pb_atom(atom_pb)); if (ports.size() == 1) { const t_pb_graph_node* gnode = atom_pb->pb_graph_node; VTR_ASSERT(gnode->num_input_ports == 1); @@ -1913,16 +1913,16 @@ class NetlistWriterVisitor : public NetlistVisitor { if (impl_input_net_id) { //If there is a valid net connected in the implementation - AtomNetId logical_net_id = atom_ctx.nlist.port_net(port_id, orig_index); + AtomNetId logical_net_id = atom_ctx.netlist().port_net(port_id, orig_index); // Fatal error should be flagged when the net marked in implementation // does not match the net marked in input netlist if (impl_input_net_id != logical_net_id) { VPR_FATAL_ERROR(VPR_ERROR_IMPL_NETLIST_WRITER, "Unmatch:\n\tlogical net is '%s' at pin '%lu'\n\timplmented net is '%s' at pin '%s'\n", - atom_ctx.nlist.net_name(logical_net_id).c_str(), + atom_ctx.netlist().net_name(logical_net_id).c_str(), size_t(orig_index), - atom_ctx.nlist.net_name(impl_input_net_id).c_str(), + atom_ctx.netlist().net_name(impl_input_net_id).c_str(), gpin->to_string().c_str()); } @@ -2144,11 +2144,11 @@ class MergedNetlistWriterVisitor : public NetlistWriterVisitor { void visit_atom_impl(const t_pb* atom) override { auto& atom_ctx = g_vpr_ctx.atom(); - auto atom_pb = atom_ctx.lookup.pb_atom(atom); + auto atom_pb = atom_ctx.lookup().atom_pb_bimap().pb_atom(atom); if (atom_pb == AtomBlockId::INVALID()) { return; } - const t_model* model = atom_ctx.nlist.block_model(atom_pb); + const t_model* model = atom_ctx.netlist().block_model(atom_pb); if (model->name == std::string(MODEL_INPUT)) { auto merged_io_name = make_io(atom, PortType::INPUT); diff --git a/vpr/src/base/partition_region.cpp b/vpr/src/base/partition_region.cpp index 5014976eec4..068f181b7c2 100644 --- a/vpr/src/base/partition_region.cpp +++ b/vpr/src/base/partition_region.cpp @@ -106,9 +106,9 @@ const PartitionRegion& get_device_partition_region() { VTR_ASSERT_SAFE(device_pr.get_regions().size() == 1); const auto [xmin, ymin, xmax, ymax] = device_pr.get_regions()[0].get_rect().coordinates(); - VTR_ASSERT_SAFE(xmin == 0 && ymin == 0 && xmax == width -1 && ymax == height - 1); + VTR_ASSERT_SAFE(xmin == 0 && ymin == 0 && xmax == width - 1 && ymax == height - 1); const auto [layer_low, layer_high] = device_pr.get_regions()[0].get_layer_range(); VTR_ASSERT_SAFE(layer_low == 0 && layer_high == n_layers - 1); return device_pr; -} \ No newline at end of file +} diff --git a/vpr/src/base/partition_region.h b/vpr/src/base/partition_region.h index 9e46a1a849b..2e9949fceea 100644 --- a/vpr/src/base/partition_region.h +++ b/vpr/src/base/partition_region.h @@ -108,5 +108,4 @@ struct hash { }; } // namespace std - #endif /* PARTITION_REGIONS_H */ diff --git a/vpr/src/base/place_and_route.cpp b/vpr/src/base/place_and_route.cpp index 12c4187709e..3700e0abdb3 100644 --- a/vpr/src/base/place_and_route.cpp +++ b/vpr/src/base/place_and_route.cpp @@ -1,14 +1,11 @@ -#include #include -#include -#include #include #include #include -#include "vtr_util.h" -#include "vtr_memory.h" +#include "flat_placement_types.h" +#include "place_macro.h" #include "vtr_assert.h" #include "vtr_log.h" @@ -16,7 +13,6 @@ #include "vpr_utils.h" #include "vpr_error.h" #include "globals.h" -#include "atom_netlist.h" #include "place_and_route.h" #include "place.h" #include "read_place.h" @@ -24,21 +20,11 @@ #include "route.h" #include "route_export.h" #include "draw.h" -#include "stats.h" -#include "check_route.h" #include "rr_graph.h" -#include "net_delay.h" -#include "timing_place.h" #include "read_xml_arch_file.h" -#include "echo_files.h" #include "route_common.h" -#include "place_macro.h" -#include "power.h" -#include "place_util.h" #include "RoutingDelayCalculator.h" -#include "timing_info.h" -#include "tatum/echo_writer.hpp" /******************* Subroutines local to this module ************************/ @@ -193,6 +179,7 @@ int binary_search_place_and_route(const Netlist<>& placement_net_list, det_routing_arch, segment_inf, arch->directs, + FlatPlacementInfo(), // Pass empty flat placement info. /*is_flat=*/false); } success = route(router_net_list, @@ -331,6 +318,7 @@ int binary_search_place_and_route(const Netlist<>& placement_net_list, try_place(placement_net_list, placer_opts, router_opts, analysis_opts, noc_opts, arch->Chans, det_routing_arch, segment_inf, arch->directs, + FlatPlacementInfo(), // Pass empty flat placement info. /*is_flat=*/false); } @@ -419,6 +407,36 @@ int binary_search_place_and_route(const Netlist<>& placement_net_list, return (final); } +t_chan_width setup_chan_width(const t_router_opts& router_opts, + t_chan_width_dist chan_width_dist) { + /*we give plenty of tracks, this increases routability for the */ + /*lookup table generation */ + + t_graph_type graph_directionality; + int width_fac; + + if (router_opts.fixed_channel_width == NO_FIXED_CHANNEL_WIDTH) { + auto& device_ctx = g_vpr_ctx.device(); + + auto type = find_most_common_tile_type(device_ctx.grid); + + width_fac = 4 * type->num_pins; + /*this is 2x the value that binary search starts */ + /*this should be enough to allow most pins to */ + /*connect to tracks in the architecture */ + } else { + width_fac = router_opts.fixed_channel_width; + } + + if (router_opts.route_type == GLOBAL) { + graph_directionality = GRAPH_BIDIR; + } else { + graph_directionality = GRAPH_UNIDIR; + } + + return init_chan(width_fac, chan_width_dist, graph_directionality); +} + /** * @brief Assigns widths to channels (in tracks). * diff --git a/vpr/src/base/place_and_route.h b/vpr/src/base/place_and_route.h index 6f191c0ff9e..538996548f2 100644 --- a/vpr/src/base/place_and_route.h +++ b/vpr/src/base/place_and_route.h @@ -2,11 +2,9 @@ #define VPR_PLACE_AND_ROUTE_H #define INFINITE -1 -#define NOT_FOUND 0 #define WNEED 1 #define WL 2 -#define PROC_TIME 3 #include "vpr_types.h" #include "timing_info.h" @@ -18,7 +16,6 @@ struct t_fmap_cell { int fc; ///& placement_net_list, const std::shared_ptr& delay_calc, bool is_flat); +t_chan_width setup_chan_width(const t_router_opts& router_opts, + t_chan_width_dist chan_width_dist); + t_chan_width init_chan(int cfactor, const t_chan_width_dist& chan_width_dist, t_graph_type graph_directionality); diff --git a/vpr/src/base/read_interchange_netlist.cpp b/vpr/src/base/read_interchange_netlist.cpp index c29cea8326d..ee59c3a76d2 100644 --- a/vpr/src/base/read_interchange_netlist.cpp +++ b/vpr/src/base/read_interchange_netlist.cpp @@ -13,33 +13,33 @@ #ifdef VTR_ENABLE_CAPNPROTO -# include -# include -# include -# include -# include -# include -# include -# include -# include -# include - -# include "LogicalNetlist.capnp.h" -# include "capnp/serialize.h" -# include "capnp/serialize-packed.h" - -# include "vtr_assert.h" -# include "vtr_hash.h" -# include "vtr_util.h" -# include "vtr_log.h" -# include "vtr_logic.h" -# include "vtr_time.h" -# include "vtr_digest.h" - -# include "vpr_types.h" -# include "vpr_error.h" -# include "globals.h" -# include "arch_types.h" +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include "LogicalNetlist.capnp.h" +#include "capnp/serialize.h" +#include "capnp/serialize-packed.h" + +#include "vtr_assert.h" +#include "vtr_hash.h" +#include "vtr_util.h" +#include "vtr_log.h" +#include "vtr_logic.h" +#include "vtr_time.h" +#include "vtr_digest.h" + +#include "vpr_types.h" +#include "vpr_error.h" +#include "globals.h" +#include "arch_types.h" struct NetlistReader { public: diff --git a/vpr/src/base/read_netlist.cpp b/vpr/src/base/read_netlist.cpp index 2f0d1ff8716..9c648607cae 100644 --- a/vpr/src/base/read_netlist.cpp +++ b/vpr/src/base/read_netlist.cpp @@ -11,6 +11,7 @@ #include #include +#include "physical_types_util.h" #include "pugixml.hpp" #include "pugixml_loc.hpp" #include "pugixml_util.hpp" @@ -19,7 +20,6 @@ #include "vtr_util.h" #include "vtr_log.h" #include "vtr_digest.h" -#include "vtr_memory.h" #include "vtr_token.h" #include "vpr_types.h" @@ -29,7 +29,6 @@ #include "hash.h" #include "globals.h" #include "atom_netlist.h" -#include "read_xml_util.h" #include "read_netlist.h" #include "pb_type_graph.h" @@ -151,11 +150,11 @@ ClusteredNetlist read_netlist(const char* net_file, //Note that we currently don't require that the atom_netlist_id exists, //to remain compatible with old .net files std::string atom_nl_id = atom_netlist_id.value(); - if (atom_nl_id != atom_ctx.nlist.netlist_id()) { + if (atom_nl_id != atom_ctx.netlist().netlist_id()) { auto msg = vtr::string_fmt( "Netlist was generated from a different atom netlist file" " (loaded atom netlist ID: %s, packed netlist atom netlist ID: %s)", - atom_nl_id.c_str(), atom_ctx.nlist.netlist_id().c_str()); + atom_nl_id.c_str(), atom_ctx.netlist().netlist_id().c_str()); if (verify_file_digests) { vpr_throw(VPR_ERROR_NET_F, netlist_file_name, loc_data.line(top), msg.c_str()); } else { @@ -177,8 +176,8 @@ ClusteredNetlist read_netlist(const char* net_file, /* Parse all CLB blocks and all nets*/ //Reset atom/pb mapping (it is reloaded from the packed netlist file) - for (auto blk_id : atom_ctx.nlist.blocks()) - atom_ctx.lookup.set_atom_pb(blk_id, nullptr); + for (auto blk_id : atom_ctx.netlist().blocks()) + atom_ctx.mutable_lookup().mutable_atom_pb_bimap().set_atom_pb(blk_id, nullptr); //Count the number of blocks for allocation bcount = pugiutil::count_children(top, "block", loc_data, pugiutil::ReqOpt::OPTIONAL); @@ -194,14 +193,14 @@ ClusteredNetlist read_netlist(const char* net_file, VTR_ASSERT(bcount == i); VTR_ASSERT(clb_nlist.blocks().size() == i); VTR_ASSERT(num_primitives >= 0); - VTR_ASSERT(static_cast(num_primitives) == atom_ctx.nlist.blocks().size()); + VTR_ASSERT(static_cast(num_primitives) == atom_ctx.netlist().blocks().size()); /* Error check */ - for (auto blk_id : atom_ctx.nlist.blocks()) { - if (atom_ctx.lookup.atom_pb(blk_id) == nullptr) { + for (auto blk_id : atom_ctx.netlist().blocks()) { + if (atom_ctx.lookup().atom_pb_bimap().atom_pb(blk_id) == nullptr) { VPR_FATAL_ERROR(VPR_ERROR_NET_F, ".blif file and .net file do not match, .net file missing atom %s.\n", - atom_ctx.nlist.block_name(blk_id).c_str()); + atom_ctx.netlist().block_name(blk_id).c_str()); } } /* TODO: Add additional check to make sure net connections match */ @@ -217,30 +216,30 @@ ClusteredNetlist read_netlist(const char* net_file, * check_top_IO_matches_IO_blocks(circuit_inputs, circuit_outputs, circuit_clocks, blist, bcount); */ /* load mapping between external nets and all nets */ - for (auto net_id : atom_ctx.nlist.nets()) { - atom_ctx.lookup.remove_atom_net(net_id); + for (auto net_id : atom_ctx.netlist().nets()) { + atom_ctx.mutable_lookup().remove_atom_net(net_id); } //Save the mapping between clb and atom nets for (auto clb_net_id : clb_nlist.nets()) { - AtomNetId net_id = atom_ctx.nlist.find_net(clb_nlist.net_name(clb_net_id)); + AtomNetId net_id = atom_ctx.netlist().find_net(clb_nlist.net_name(clb_net_id)); VTR_ASSERT(net_id); - atom_ctx.lookup.add_atom_clb_net(net_id, clb_net_id); + atom_ctx.mutable_lookup().add_atom_clb_net(net_id, clb_net_id); } // Mark ignored and global atom nets /* We have to make set the following variables after the mapping between cluster nets and atom nets * is created */ - const AtomNetlist atom_nlist = g_vpr_ctx.atom().nlist; + const AtomNetlist atom_nlist = g_vpr_ctx.atom().netlist(); for (auto clb_net : clb_nlist.nets()) { - AtomNetId atom_net = atom_ctx.lookup.atom_net(clb_net); + AtomNetId atom_net = atom_ctx.lookup().atom_net(clb_net); VTR_ASSERT(atom_net != AtomNetId::INVALID()); if (clb_nlist.net_is_global(clb_net)) { - atom_ctx.nlist.set_net_is_global(atom_net, true); + atom_ctx.mutable_netlist().set_net_is_global(atom_net, true); } if (clb_nlist.net_is_ignored(clb_net)) { - atom_ctx.nlist.set_net_is_ignored(atom_net, true); + atom_ctx.mutable_netlist().set_net_is_ignored(atom_net, true); } } @@ -320,7 +319,7 @@ static void processComplexBlock(pugi::xml_node clb_block, } //Parse all pbs and CB internal nets - atom_ctx.lookup.set_atom_pb(AtomBlockId::INVALID(), clb_nlist->block_pb(index)); + atom_ctx.mutable_lookup().mutable_atom_pb_bimap().set_atom_pb(AtomBlockId::INVALID(), clb_nlist->block_pb(index)); clb_nlist->block_pb(index)->pb_graph_node = clb_nlist->block_type(index)->pb_graph_head; clb_nlist->block_pb(index)->pb_route = alloc_pb_route(clb_nlist->block_pb(index)->pb_graph_node); @@ -466,7 +465,7 @@ static void processPb(pugi::xml_node Parent, const ClusterBlockId index, t_pb* p if (pb_type->num_modes == 0) { /* A primitive type */ - AtomBlockId blk_id = atom_ctx.nlist.find_block(pb->name); + AtomBlockId blk_id = atom_ctx.netlist().find_block(pb->name); if (!blk_id) { VPR_FATAL_ERROR(VPR_ERROR_NET_F, ".net file and .blif file do not match, encountered unknown primitive %s in .net file.\n", @@ -475,11 +474,11 @@ static void processPb(pugi::xml_node Parent, const ClusterBlockId index, t_pb* p //Update atom netlist mapping VTR_ASSERT(blk_id); - atom_ctx.lookup.set_atom_pb(blk_id, pb); - atom_ctx.lookup.set_atom_clb(blk_id, index); + atom_ctx.mutable_lookup().mutable_atom_pb_bimap().set_atom_pb(blk_id, pb); + atom_ctx.mutable_lookup().set_atom_clb(blk_id, index); - auto atom_attrs = atom_ctx.nlist.block_attrs(blk_id); - auto atom_params = atom_ctx.nlist.block_params(blk_id); + auto atom_attrs = atom_ctx.netlist().block_attrs(blk_id); + auto atom_params = atom_ctx.netlist().block_params(blk_id); processAttrsParams(attrs, "attribute", atom_attrs, loc_data); processAttrsParams(params, "parameter", atom_params, loc_data); @@ -543,7 +542,7 @@ static void processPb(pugi::xml_node Parent, const ClusterBlockId index, t_pb* p pb->child_pbs[i][pb_index].name = vtr::strdup(name.value()); /* Parse all pbs and CB internal nets*/ - atom_ctx.lookup.set_atom_pb(AtomBlockId::INVALID(), &pb->child_pbs[i][pb_index]); + atom_ctx.mutable_lookup().mutable_atom_pb_bimap().set_atom_pb(AtomBlockId::INVALID(), &pb->child_pbs[i][pb_index]); auto mode = child.attribute("mode"); pb->child_pbs[i][pb_index].mode = 0; @@ -565,7 +564,7 @@ static void processPb(pugi::xml_node Parent, const ClusterBlockId index, t_pb* p } else { /* physical block has no used primitives but it may have used routing */ pb->child_pbs[i][pb_index].name = nullptr; - atom_ctx.lookup.set_atom_pb(AtomBlockId::INVALID(), &pb->child_pbs[i][pb_index]); + atom_ctx.mutable_lookup().mutable_atom_pb_bimap().set_atom_pb(AtomBlockId::INVALID(), &pb->child_pbs[i][pb_index]); auto lookahead1 = pugiutil::get_first_child(child, "outputs", loc_data, pugiutil::OPTIONAL); if (lookahead1) { @@ -705,7 +704,7 @@ static void processPorts(pugi::xml_node Parent, t_pb* pb, t_pb_routes& pb_route, if (strcmp(pins[i].c_str(), "open") != 0) { //For connected pins look-up the inter-block net index associated with it - AtomNetId net_id = atom_ctx.nlist.find_net(pins[i].c_str()); + AtomNetId net_id = atom_ctx.netlist().find_net(pins[i].c_str()); if (!net_id) { VPR_FATAL_ERROR(VPR_ERROR_NET_F, ".blif and .net do not match, unknown net %s found in .net file.\n.", @@ -785,7 +784,7 @@ static void processPorts(pugi::xml_node Parent, t_pb* pb, t_pb_routes& pb_route, const t_pb_graph_pin* pb_gpin = &pb->pb_graph_node->output_pins[out_port][i]; int rr_node_index = pb_gpin->pin_count_in_cluster; if (strcmp(pins[i].c_str(), "open") != 0) { - AtomNetId net_id = atom_ctx.nlist.find_net(pins[i].c_str()); + AtomNetId net_id = atom_ctx.netlist().find_net(pins[i].c_str()); if (!net_id) { VPR_FATAL_ERROR(VPR_ERROR_NET_F, ".blif and .net do not match, unknown net %s found in .net file.\n", @@ -939,8 +938,8 @@ static void load_external_nets_and_cb(ClusteredNetlist& clb_nlist) { if (pb->pb_route.count(pb_graph_pin->pin_count_in_cluster)) { AtomNetId atom_net_id = pb->pb_route[pb_graph_pin->pin_count_in_cluster].atom_net_id; if (atom_net_id) { - add_net_to_hash(ext_nhash, atom_ctx.nlist.net_name(atom_net_id).c_str(), &ext_ncount); - clb_net_id = clb_nlist.create_net(atom_ctx.nlist.net_name(atom_net_id)); + add_net_to_hash(ext_nhash, atom_ctx.netlist().net_name(atom_net_id).c_str(), &ext_ncount); + clb_net_id = clb_nlist.create_net(atom_ctx.netlist().net_name(atom_net_id)); clb_nlist.create_pin(input_port_id, (BitIndex)k, clb_net_id, PinType::SINK, ipin); } } @@ -958,11 +957,11 @@ static void load_external_nets_and_cb(ClusteredNetlist& clb_nlist) { if (pb->pb_route.count(pb_graph_pin->pin_count_in_cluster)) { AtomNetId atom_net_id = pb->pb_route[pb_graph_pin->pin_count_in_cluster].atom_net_id; if (atom_net_id) { - add_net_to_hash(ext_nhash, atom_ctx.nlist.net_name(atom_net_id).c_str(), &ext_ncount); - clb_net_id = clb_nlist.create_net(atom_ctx.nlist.net_name(atom_net_id)); + add_net_to_hash(ext_nhash, atom_ctx.netlist().net_name(atom_net_id).c_str(), &ext_ncount); + clb_net_id = clb_nlist.create_net(atom_ctx.netlist().net_name(atom_net_id)); - AtomPinId atom_net_driver = atom_ctx.nlist.net_driver(atom_net_id); - bool driver_is_constant = atom_ctx.nlist.pin_is_constant(atom_net_driver); + AtomPinId atom_net_driver = atom_ctx.netlist().net_driver(atom_net_id); + bool driver_is_constant = atom_ctx.netlist().pin_is_constant(atom_net_driver); clb_nlist.create_pin(output_port_id, (BitIndex)k, clb_net_id, PinType::DRIVER, ipin, driver_is_constant); @@ -983,8 +982,8 @@ static void load_external_nets_and_cb(ClusteredNetlist& clb_nlist) { if (pb->pb_route.count(pb_graph_pin->pin_count_in_cluster)) { AtomNetId atom_net_id = pb->pb_route[pb_graph_pin->pin_count_in_cluster].atom_net_id; if (atom_net_id) { - add_net_to_hash(ext_nhash, atom_ctx.nlist.net_name(atom_net_id).c_str(), &ext_ncount); - clb_net_id = clb_nlist.create_net(atom_ctx.nlist.net_name(atom_net_id)); + add_net_to_hash(ext_nhash, atom_ctx.netlist().net_name(atom_net_id).c_str(), &ext_ncount); + clb_net_id = clb_nlist.create_net(atom_ctx.netlist().net_name(atom_net_id)); clb_nlist.create_pin(clock_port_id, (BitIndex)k, clb_net_id, PinType::SINK, ipin); } } @@ -1122,8 +1121,8 @@ static size_t mark_constant_generators_rec(const t_pb* pb, const t_pb_routes& pb if (!pb_route.count(cluster_pin_idx)) continue; if (pb_route[cluster_pin_idx].atom_net_id) { AtomNetId net_id = pb_route[pb->pb_graph_node->output_pins[i][j].pin_count_in_cluster].atom_net_id; - AtomPinId driver_pin_id = atom_ctx.nlist.net_driver(net_id); - VTR_ASSERT(atom_ctx.nlist.pin_is_constant(driver_pin_id)); + AtomPinId driver_pin_id = atom_ctx.netlist().net_driver(net_id); + VTR_ASSERT(atom_ctx.netlist().pin_is_constant(driver_pin_id)); } } } @@ -1180,18 +1179,18 @@ static void load_atom_index_for_pb_pin(t_pb_routes& pb_route, int ipin) { static void load_atom_pin_mapping(const ClusteredNetlist& clb_nlist) { auto& atom_ctx = g_vpr_ctx.atom(); - for (const AtomBlockId blk : atom_ctx.nlist.blocks()) { - const t_pb* pb = atom_ctx.lookup.atom_pb(blk); + for (const AtomBlockId blk : atom_ctx.netlist().blocks()) { + const t_pb* pb = atom_ctx.lookup().atom_pb_bimap().atom_pb(blk); VTR_ASSERT_MSG(pb, "Atom block must have a matching PB"); const t_pb_graph_node* gnode = pb->pb_graph_node; - VTR_ASSERT_MSG(gnode->pb_type->model == atom_ctx.nlist.block_model(blk), + VTR_ASSERT_MSG(gnode->pb_type->model == atom_ctx.netlist().block_model(blk), "Atom block PB must match BLIF model"); for (int iport = 0; iport < gnode->num_input_ports; ++iport) { if (gnode->num_input_pins[iport] <= 0) continue; - const AtomPortId port = atom_ctx.nlist.find_atom_port(blk, gnode->input_pins[iport][0].port->model_port); + const AtomPortId port = atom_ctx.netlist().find_atom_port(blk, gnode->input_pins[iport][0].port->model_port); if (!port) continue; for (int ipin = 0; ipin < gnode->num_input_pins[iport]; ++ipin) { @@ -1205,7 +1204,7 @@ static void load_atom_pin_mapping(const ClusteredNetlist& clb_nlist) { for (int iport = 0; iport < gnode->num_output_ports; ++iport) { if (gnode->num_output_pins[iport] <= 0) continue; - const AtomPortId port = atom_ctx.nlist.find_atom_port(blk, gnode->output_pins[iport][0].port->model_port); + const AtomPortId port = atom_ctx.netlist().find_atom_port(blk, gnode->output_pins[iport][0].port->model_port); if (!port) continue; for (int ipin = 0; ipin < gnode->num_output_pins[iport]; ++ipin) { @@ -1219,7 +1218,7 @@ static void load_atom_pin_mapping(const ClusteredNetlist& clb_nlist) { for (int iport = 0; iport < gnode->num_clock_ports; ++iport) { if (gnode->num_clock_pins[iport] <= 0) continue; - const AtomPortId port = atom_ctx.nlist.find_atom_port(blk, gnode->clock_pins[iport][0].port->model_port); + const AtomPortId port = atom_ctx.netlist().find_atom_port(blk, gnode->clock_pins[iport][0].port->model_port); if (!port) continue; for (int ipin = 0; ipin < gnode->num_clock_pins[iport]; ++ipin) { @@ -1235,9 +1234,9 @@ static void load_atom_pin_mapping(const ClusteredNetlist& clb_nlist) { void set_atom_pin_mapping(const ClusteredNetlist& clb_nlist, const AtomBlockId atom_blk, const AtomPortId atom_port, const t_pb_graph_pin* gpin) { auto& atom_ctx = g_vpr_ctx.mutable_atom(); - VTR_ASSERT(atom_ctx.nlist.port_block(atom_port) == atom_blk); + VTR_ASSERT(atom_ctx.netlist().port_block(atom_port) == atom_blk); - ClusterBlockId clb_index = atom_ctx.lookup.atom_clb(atom_blk); + ClusterBlockId clb_index = atom_ctx.lookup().atom_clb(atom_blk); VTR_ASSERT(clb_index != ClusterBlockId::INVALID()); const t_pb* clb_pb = clb_nlist.block_pb(clb_index); @@ -1251,17 +1250,17 @@ void set_atom_pin_mapping(const ClusteredNetlist& clb_nlist, const AtomBlockId a return; } - const t_pb* atom_pb = atom_ctx.lookup.atom_pb(atom_blk); + const t_pb* atom_pb = atom_ctx.lookup().atom_pb_bimap().atom_pb(atom_blk); //This finds the index within the atom port to which the current gpin //is mapped. Note that this accounts for any applied pin rotations //(e.g. on LUT inputs) BitIndex atom_pin_bit_index = atom_pb->atom_pin_bit_index(gpin); - AtomPinId atom_pin = atom_ctx.nlist.port_pin(atom_port, atom_pin_bit_index); + AtomPinId atom_pin = atom_ctx.netlist().port_pin(atom_port, atom_pin_bit_index); - VTR_ASSERT(pb_route->atom_net_id == atom_ctx.nlist.pin_net(atom_pin)); + VTR_ASSERT(pb_route->atom_net_id == atom_ctx.netlist().pin_net(atom_pin)); //Save the mapping - atom_ctx.lookup.set_atom_pin_pb_graph_pin(atom_pin, gpin); + atom_ctx.mutable_lookup().set_atom_pin_pb_graph_pin(atom_pin, gpin); } diff --git a/vpr/src/base/read_options.cpp b/vpr/src/base/read_options.cpp index 200b549bfd0..c80b62d2153 100644 --- a/vpr/src/base/read_options.cpp +++ b/vpr/src/base/read_options.cpp @@ -5,9 +5,10 @@ #include "argparse.hpp" +#include "ap_flow_enums.h" #include "vtr_log.h" -#include "vtr_util.h" #include "vtr_path.h" +#include "vtr_util.h" #include using argparse::ConvertedValue; @@ -132,6 +133,151 @@ struct ParseCircuitFormat { return {"auto", "blif", "eblif", "fpga-interchange"}; } }; + +struct ParseAPAnalyticalSolver { + ConvertedValue from_str(const std::string& str) { + ConvertedValue conv_value; + if (str == "qp-hybrid") + conv_value.set_value(e_ap_analytical_solver::QP_Hybrid); + else if (str == "lp-b2b") + conv_value.set_value(e_ap_analytical_solver::LP_B2B); + else { + std::stringstream msg; + msg << "Invalid conversion from '" << str << "' to e_ap_analytical_solver (expected one of: " << argparse::join(default_choices(), ", ") << ")"; + conv_value.set_error(msg.str()); + } + return conv_value; + } + + ConvertedValue to_str(e_ap_analytical_solver val) { + ConvertedValue conv_value; + switch (val) { + case e_ap_analytical_solver::QP_Hybrid: + conv_value.set_value("qp-hybrid"); + break; + case e_ap_analytical_solver::LP_B2B: + conv_value.set_value("lp-b2b"); + break; + default: + VTR_ASSERT(false); + } + return conv_value; + } + + std::vector default_choices() { + return {"qp-hybrid", "lp-b2b"}; + } +}; + +struct ParseAPPartialLegalizer { + ConvertedValue from_str(const std::string& str) { + ConvertedValue conv_value; + if (str == "bipartitioning") + conv_value.set_value(e_ap_partial_legalizer::BiPartitioning); + else if (str == "flow-based") + conv_value.set_value(e_ap_partial_legalizer::FlowBased); + else { + std::stringstream msg; + msg << "Invalid conversion from '" << str << "' to e_ap_partial_legalizer (expected one of: " << argparse::join(default_choices(), ", ") << ")"; + conv_value.set_error(msg.str()); + } + return conv_value; + } + + ConvertedValue to_str(e_ap_partial_legalizer val) { + ConvertedValue conv_value; + switch (val) { + case e_ap_partial_legalizer::BiPartitioning: + conv_value.set_value("bipartitioning"); + break; + case e_ap_partial_legalizer::FlowBased: + conv_value.set_value("flow-based"); + break; + default: + VTR_ASSERT(false); + } + return conv_value; + } + + std::vector default_choices() { + return {"bipartitioning", "flow-based"}; + } +}; + +struct ParseAPFullLegalizer { + ConvertedValue from_str(const std::string& str) { + ConvertedValue conv_value; + if (str == "naive") + conv_value.set_value(e_ap_full_legalizer::Naive); + else if (str == "appack") + conv_value.set_value(e_ap_full_legalizer::APPack); + else if (str == "basic-min-disturbance") + conv_value.set_value(e_ap_full_legalizer::Basic_Min_Disturbance); + else { + std::stringstream msg; + msg << "Invalid conversion from '" << str << "' to e_ap_full_legalizer (expected one of: " << argparse::join(default_choices(), ", ") << ")"; + conv_value.set_error(msg.str()); + } + return conv_value; + } + + ConvertedValue to_str(e_ap_full_legalizer val) { + ConvertedValue conv_value; + switch (val) { + case e_ap_full_legalizer::Naive: + conv_value.set_value("naive"); + break; + case e_ap_full_legalizer::APPack: + conv_value.set_value("appack"); + break; + case e_ap_full_legalizer::Basic_Min_Disturbance: + conv_value.set_value("basic-min-disturbance"); + default: + VTR_ASSERT(false); + } + return conv_value; + } + + std::vector default_choices() { + return {"naive", "appack", "basic-min-disturbance"}; + } +}; + +struct ParseAPDetailedPlacer { + ConvertedValue from_str(const std::string& str) { + ConvertedValue conv_value; + if (str == "none") + conv_value.set_value(e_ap_detailed_placer::Identity); + else if (str == "annealer") + conv_value.set_value(e_ap_detailed_placer::Annealer); + else { + std::stringstream msg; + msg << "Invalid conversion from '" << str << "' to e_ap_detailed_placer (expected one of: " << argparse::join(default_choices(), ", ") << ")"; + conv_value.set_error(msg.str()); + } + return conv_value; + } + + ConvertedValue to_str(e_ap_detailed_placer val) { + ConvertedValue conv_value; + switch (val) { + case e_ap_detailed_placer::Identity: + conv_value.set_value("none"); + break; + case e_ap_detailed_placer::Annealer: + conv_value.set_value("annealer"); + break; + default: + VTR_ASSERT(false); + } + return conv_value; + } + + std::vector default_choices() { + return {"none", "annealer"}; + } +}; + struct ParseRoutePredictor { ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; @@ -171,7 +317,9 @@ struct ParseRoutePredictor { struct ParseRouterAlgorithm { ConvertedValue from_str(const std::string& str) { ConvertedValue conv_value; - if (str == "parallel") + if (str == "nested") + conv_value.set_value(NESTED); + else if (str == "parallel") conv_value.set_value(PARALLEL); else if (str == "parallel_decomp") conv_value.set_value(PARALLEL_DECOMP); @@ -187,8 +335,12 @@ struct ParseRouterAlgorithm { ConvertedValue to_str(e_router_algorithm val) { ConvertedValue conv_value; - if (val == PARALLEL) + if (val == NESTED) + conv_value.set_value("nested"); + else if (val == PARALLEL) conv_value.set_value("parallel"); + else if (val == PARALLEL_DECOMP) + conv_value.set_value("parallel_decomp"); else { VTR_ASSERT(val == TIMING_DRIVEN); conv_value.set_value("timing_driven"); @@ -1652,6 +1804,11 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio .default_value("fix_clusters.out") .show_in(argparse::ShowIn::HELP_ONLY); + file_grp.add_argument(args.read_flat_place_file, "--read_flat_place") + .help( + "Reads VPR's (or reconstructed external) placement solution in flat placement file format; this file lists cluster and intra-cluster placement coordinates for each atom and can be used to reconstruct a clustering and placement solution.") + .show_in(argparse::ShowIn::HELP_ONLY); + file_grp.add_argument(args.write_flat_place_file, "--write_flat_place") .help( "VPR's (or reconstructed external) placement solution in flat placement file format; this file lists cluster and intra-cluster placement coordinates for each atom and can be used to reconstruct a clustering and placement solution.") @@ -1739,6 +1896,49 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio .default_value("1") .show_in(argparse::ShowIn::HELP_ONLY); + auto& ap_grp = parser.add_argument_group("analytical placement options"); + + ap_grp.add_argument(args.ap_analytical_solver, "--ap_analytical_solver") + .help( + "Controls which Analytical Solver the Global Placer will use in the AP Flow.\n" + " * qp-hybrid: olves for a placement that minimizes the quadratic HPWL of the flat placement using a hybrid clique/star net model.\n" + " * lp-b2b: Solves for a placement that minimizes the linear HPWL of theflat placement using the Bound2Bound net model.") + .default_value("lp-b2b") + .show_in(argparse::ShowIn::HELP_ONLY); + + ap_grp.add_argument(args.ap_partial_legalizer, "--ap_partial_legalizer") + .help( + "Controls which Partial Legalizer the Global Placer will use in the AP Flow.\n" + " * bipartitioning: Creates minimum windows around over-dense regions of the device bi-partitions the atoms in these windows such that the region is no longer over-dense and the atoms are in tiles that they can be placed into.\n" + " * flow-based: Flows atoms from regions that are overfilled to regions that are underfilled.") + .default_value("bipartitioning") + .show_in(argparse::ShowIn::HELP_ONLY); + + ap_grp.add_argument(args.ap_full_legalizer, "--ap_full_legalizer") + .help( + "Controls which Full Legalizer to use in the AP Flow.\n" + " * naive: Use a Naive Full Legalizer which will try to create clusters exactly where their atoms are placed.\n" + " * appack: Use APPack, which takes the Packer in VPR and uses the flat atom placement to create better clusters.\n" + " * basic-min-disturbance: Use the Basic Min. Disturbance Full Legalizer which tries to reconstruct a clustered placement that is as close to the incoming flat placement as possible.") + .default_value("appack") + .show_in(argparse::ShowIn::HELP_ONLY); + + ap_grp.add_argument(args.ap_detailed_placer, "--ap_detailed_placer") + .help( + "Controls which Detailed Placer to use in the AP Flow.\n" + " * none: Do not perform any detailed placement. i.e. the output of the full legalizer will be produced by the AP flow without modification.\n" + " * annealer: Use the Annealer from the Placement stage as a Detailed Placer. This will use the same Placer Options from the Place stage to configure the annealer.") + .default_value("annealer") + .show_in(argparse::ShowIn::HELP_ONLY); + + ap_grp.add_argument(args.ap_verbosity, "--ap_verbosity") + .help( + "Controls how verbose the AP flow's log messages will be. Higher " + "values produce more output (useful for debugging the AP " + "algorithms).") + .default_value("1") + .show_in(argparse::ShowIn::HELP_ONLY); + auto& pack_grp = parser.add_argument_group("packing options"); pack_grp.add_argument(args.connection_driven_clustering, "--connection_driven_clustering") @@ -2043,7 +2243,6 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio .default_value({"100"}) .show_in(argparse::ShowIn::HELP_ONLY); - place_grp.add_argument(args.place_high_fanout_net, "--place_high_fanout_net") .help( "Sets the assumed high fanout net during placement. " @@ -2067,7 +2266,7 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio place_grp.add_argument(args.RL_agent_placement, "--RL_agent_placement") .help( - "Uses a Reinforcement Learning (RL) agent in choosing the appropiate move type in placement." + "Uses a Reinforcement Learning (RL) agent in choosing the appropriate move type in placement." "It activates the RL agent placement instead of using fixed probability for each move type.") .default_value("on") .show_in(argparse::ShowIn::HELP_ONLY); @@ -2082,7 +2281,7 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio place_grp.add_argument(args.place_checkpointing, "--place_checkpointing") .help( "Enable Placement checkpoints. This means saving the placement and restore it if it's better than later placements." - "Only effective if agnet's 2nd state is activated.") + "Only effective if agent's 2nd state is activated.") .default_value("on") .show_in(argparse::ShowIn::HELP_ONLY); @@ -2096,7 +2295,7 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio place_grp.add_argument(args.place_agent_gamma, "--place_agent_gamma") .help( "Controls how quickly the agent's memory decays. " - "Values between [0., 1.] specify the fraction of weight in the exponentially weighted reward average applied to moves which occured greater than moves_per_temp moves ago." + "Values between [0., 1.] specify the fraction of weight in the exponentially weighted reward average applied to moves which occurred greater than moves_per_temp moves ago." "Values < 0 cause the unweighted reward sample average to be used (all samples are weighted equally)") .default_value("0.05") .show_in(argparse::ShowIn::HELP_ONLY); @@ -2159,13 +2358,12 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio .default_value("0") .show_in(argparse::ShowIn::HELP_ONLY); - /* - * place_grp.add_argument(args.place_timing_cost_func, "--place_timing_cost_func") - * .help( - * "which timing cost function to use") - * .default_value("0") - * .show_in(argparse::ShowIn::HELP_ONLY); - */ + place_grp.add_argument(args.place_quench_only, "--place_quench_only") + .help( + "Skip the placement annealing phase and go straight to the placement quench.") + .default_value("off") + .show_in(argparse::ShowIn::HELP_ONLY); + place_grp.add_argument(args.place_agent_algorithm, "--place_agent_algorithm") .help("Controls which placement RL agent is used") .default_value("softmax") @@ -2219,13 +2417,13 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio .show_in(argparse::ShowIn::HELP_ONLY); place_timing_grp.add_argument(args.inner_loop_recompute_divider, "--inner_loop_recompute_divider") - .help("Controls how many timing analysies are perform per temperature during placement") + .help("Controls how many timing analyses are performed per temperature during placement") .default_value("0") .show_in(argparse::ShowIn::HELP_ONLY); place_timing_grp.add_argument(args.quench_recompute_divider, "--quench_recompute_divider") .help( - "Controls how many timing analysies are perform during the final placement quench (t=0)." + "Controls how many timing analyses are performed during the final placement quench (t=0)." " If unspecified, uses the value from --inner_loop_recompute_divider") .default_value("0") .show_in(argparse::ShowIn::HELP_ONLY); @@ -2295,7 +2493,7 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio .show_in(argparse::ShowIn::HELP_ONLY); place_timing_grp.add_argument(args.post_place_timing_report_file, "--post_place_timing_report") - .help("Name of the post-placement timing report file (not generated if unspecfied)") + .help("Name of the post-placement timing report file (not generated if unspecified)") .default_value("") .show_in(argparse::ShowIn::HELP_ONLY); @@ -2405,9 +2603,10 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio "Specifies the router algorithm to use.\n" " * timing driven: focuses on routability and circuit speed [default]\n" " * parallel: timing_driven with nets in different regions of the chip routed in parallel\n" - " * parallel_decomp: timing_driven with additional parallelism obtained by decomposing high-fanout nets, possibly reducing quality\n") + " * parallel_decomp: timing_driven with additional parallelism obtained by decomposing high-fanout nets, possibly reducing quality\n" + " * nested: parallel with parallelized path search\n") .default_value("timing_driven") - .choices({"parallel", "parallel_decomp", "timing_driven"}) + .choices({"nested", "parallel", "parallel_decomp", "timing_driven"}) .show_in(argparse::ShowIn::HELP_ONLY); route_grp.add_argument(args.min_incremental_reroute_fanout, "--min_incremental_reroute_fanout") @@ -2460,23 +2659,22 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio route_grp.add_argument(args.router_opt_choke_points, "--router_opt_choke_points") .help( "" - "Some FPGA architectures with limited fan-out options within a cluster (e.g. fracturable LUTs with shared pins) do" - " not converge well in routing unless these fan-out choke points are discovered and optimized for during net routing." + "Some FPGA architectures with limited fan-out options within a cluster (e.g. fracturable LUTs with shared pins) do" + " not converge well in routing unless these fan-out choke points are discovered and optimized for during net routing." " This option helps router convergence for such architectures.") .default_value("on") .show_in(argparse::ShowIn::HELP_ONLY); - route_grp.add_argument(args.route_verbosity, "--route_verbosity") .help("Controls the verbosity of routing's output. Higher values produce more output (useful for debugging routing problems)") .default_value("1") .show_in(argparse::ShowIn::HELP_ONLY); route_grp.add_argument(args.custom_3d_sb_fanin_fanout, "--custom_3d_sb_fanin_fanout") - .help( - "Specifies the number of tracks that can drive a 3D switch block connection" - "and the number of tracks that can be driven by a 3D switch block connection") - .default_value("1") - .show_in(argparse::ShowIn::HELP_ONLY); + .help( + "Specifies the number of tracks that can drive a 3D switch block connection" + "and the number of tracks that can be driven by a 3D switch block connection") + .default_value("1") + .show_in(argparse::ShowIn::HELP_ONLY); auto& route_timing_grp = parser.add_argument_group("timing-driven routing options"); @@ -2893,13 +3091,13 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio .default_value("0.25") .show_in(argparse::ShowIn::HELP_ONLY); - noc_grp.add_argument(args.noc_centroid_weight, "--noc_centroid_weight") + noc_grp.add_argument(args.noc_centroid_weight, "--noc_centroid_weight") .help( "Sets the minimum fraction of swaps attempted by the placer that are NoC blocks." "This value is an integer ranging from 0-100. 0 means NoC blocks will be moved at the same rate as other blocks. 100 means all swaps attempted by the placer are NoC router blocks.") .default_value("0") .show_in(argparse::ShowIn::HELP_ONLY); - + noc_grp.add_argument(args.noc_swap_percentage, "--noc_swap_percentage") .help( "Sets the minimum fraction of swaps attempted by the placer that are NoC blocks. " @@ -2952,7 +3150,7 @@ argparse::ArgumentParser create_arg_parser(const std::string& prog_name, t_optio server_grp.add_argument(args.is_server_mode_enabled, "--server") .help("Run in server mode." - "Accept client application connection and respond to requests." ) + "Accept client application connection and respond to requests.") .action(argparse::Action::STORE_TRUE) .default_value("off"); diff --git a/vpr/src/base/read_options.h b/vpr/src/base/read_options.h index 2a9a5a1ce00..07a6477696c 100644 --- a/vpr/src/base/read_options.h +++ b/vpr/src/base/read_options.h @@ -4,6 +4,7 @@ #include "vpr_types.h" #include "constant_nets.h" +#include "ap_flow_enums.h" #include "argparse_value.hpp" #include "argparse.hpp" @@ -33,6 +34,7 @@ struct t_options { argparse::ArgValue read_vpr_constraints_file; argparse::ArgValue write_vpr_constraints_file; argparse::ArgValue write_constraints_file; + argparse::ArgValue read_flat_place_file; argparse::ArgValue write_flat_place_file; argparse::ArgValue write_placement_delay_lookup; @@ -94,6 +96,13 @@ struct t_options { argparse::ArgValue sweep_constant_primary_outputs; argparse::ArgValue netlist_verbosity; + /* Analytical Placement options */ + argparse::ArgValue ap_analytical_solver; + argparse::ArgValue ap_partial_legalizer; + argparse::ArgValue ap_full_legalizer; + argparse::ArgValue ap_detailed_placer; + argparse::ArgValue ap_verbosity; + /* Clustering options */ argparse::ArgValue connection_driven_clustering; argparse::ArgValue allow_unrelated_clustering; @@ -148,6 +157,7 @@ struct t_options { argparse::ArgValue place_constraint_subtile; argparse::ArgValue floorplan_num_horizontal_partitions; argparse::ArgValue floorplan_num_vertical_partitions; + argparse::ArgValue place_quench_only; argparse::ArgValue placer_debug_block; argparse::ArgValue placer_debug_net; diff --git a/vpr/src/base/read_place.cpp b/vpr/src/base/read_place.cpp index 5813a780a26..5b07ef33f28 100644 --- a/vpr/src/base/read_place.cpp +++ b/vpr/src/base/read_place.cpp @@ -97,7 +97,7 @@ static void read_place_header(std::ifstream& placement_file, std::streampos file_pos = placement_file.tellg(); while (std::getline(placement_file, line) && (!seen_netlist_id || !seen_grid_dimensions)) { //Parse line-by-line - ++lineno; + ++lineno; std::vector tokens = vtr::split(line); @@ -107,9 +107,7 @@ static void read_place_header(std::ifstream& placement_file, } else if (tokens[0][0] == '#') { continue; //Skip commented lines - } else if (tokens.size() == 4 && - tokens[0] == "Netlist_File:" && - tokens[2] == "Netlist_ID:") { + } else if (tokens.size() == 4 && tokens[0] == "Netlist_File:" && tokens[2] == "Netlist_ID:") { //Check that the netlist used to generate this placement matches the one loaded // //NOTE: this is an optional check which causes no errors if this line is missing. @@ -142,12 +140,12 @@ static void read_place_header(std::ifstream& placement_file, seen_netlist_id = true; - } else if (tokens.size() == 7 && - tokens[0] == "Array" && - tokens[1] == "size:" && - tokens[3] == "x" && - tokens[5] == "logic" && - tokens[6] == "blocks") { + } else if (tokens.size() == 7 + && tokens[0] == "Array" + && tokens[1] == "size:" + && tokens[3] == "x" + && tokens[5] == "logic" + && tokens[6] == "blocks") { //Load the device grid dimensions size_t place_file_width = vtr::atou(tokens[2]); @@ -184,8 +182,8 @@ static void read_place_header(std::ifstream& placement_file, "--verify_file_digests command line option is off."); } - if ((tokens.size() == 4 || (tokens.size() > 4 && tokens[4][0] == '#')) || - (tokens.size() == 5 || (tokens.size() > 5 && tokens[5][0] == '#'))) { + if ((tokens.size() == 4 || (tokens.size() > 4 && tokens[4][0] == '#')) + || (tokens.size() == 5 || (tokens.size() > 5 && tokens[5][0] == '#'))) { placement_file.seekg(file_pos); break; } @@ -236,8 +234,8 @@ static std::string read_place_body(std::ifstream& placement_file, } else if (tokens[0][0] == '#') { continue; //Skip commented lines - } else if ((tokens.size() == 4 || (tokens.size() > 4 && tokens[4][0] == '#')) || - (tokens.size() == 5 || (tokens.size() > 5 && tokens[5][0] == '#'))) { + } else if ((tokens.size() == 4 || (tokens.size() > 4 && tokens[4][0] == '#')) + || (tokens.size() == 5 || (tokens.size() > 5 && tokens[5][0] == '#'))) { //Load the block location // // If the place file corresponds to a 3D architecture, it should contain 5 tokens of actual data, with an optional 6th (commented) token indicating VPR's internal block number. @@ -275,22 +273,22 @@ static std::string read_place_body(std::ifstream& placement_file, //If block name is not found in cluster netlist check if it is in atom netlist if (blk_id == ClusterBlockId::INVALID()) { - AtomBlockId atom_blk_id = atom_ctx.nlist.find_block(block_name); + AtomBlockId atom_blk_id = atom_ctx.netlist().find_block(block_name); if (atom_blk_id == AtomBlockId::INVALID()) { VTR_LOG_WARN("Block %s has an invalid name and it is going to be skipped.\n", c_block_name); continue; } else { - blk_id = atom_ctx.lookup.atom_clb(atom_blk_id); //getting the ClusterBlockId of the cluster that the atom is in + blk_id = atom_ctx.lookup().atom_clb(atom_blk_id); //getting the ClusterBlockId of the cluster that the atom is in } } //Check if block is listed multiple times with conflicting locations in constraints file if (seen_blocks[blk_id] > 0) { - if (block_x != block_locs[blk_id].loc.x || - block_y != block_locs[blk_id].loc.y || - sub_tile_index != block_locs[blk_id].loc.sub_tile || - block_layer != block_locs[blk_id].loc.layer) { + if (block_x != block_locs[blk_id].loc.x + || block_y != block_locs[blk_id].loc.y + || sub_tile_index != block_locs[blk_id].loc.sub_tile + || block_layer != block_locs[blk_id].loc.layer) { std::string cluster_name = cluster_ctx.clb_nlist.block_name(blk_id); VPR_THROW(VPR_ERROR_PLACE, "The location of cluster %s (#%d) is specified %d times in the constraints file with conflicting locations. \n" @@ -310,8 +308,8 @@ static std::string read_place_body(std::ifstream& placement_file, const t_pl_loc& constraint_loc = block_locs[blk_id].loc; if (loc != constraint_loc) { VPR_THROW(VPR_ERROR_PLACE, - "The new location assigned to cluster #%d is (%d,%d,%d,%d), which is inconsistent with the location specified in the constraint file (%d,%d,%d,%d).", - blk_id, loc.x, loc.y, loc.layer, loc.sub_tile, constraint_loc.x, constraint_loc.y, constraint_loc.layer, constraint_loc.sub_tile); + "The new location assigned to cluster #%d is (%d,%d,%d,%d), which is inconsistent with the location specified in the constraint file (%d,%d,%d,%d).", + blk_id, loc.x, loc.y, loc.layer, loc.sub_tile, constraint_loc.x, constraint_loc.y, constraint_loc.layer, constraint_loc.sub_tile); } } blk_loc_registry.set_block_location(blk_id, loc); diff --git a/vpr/src/base/read_place.h b/vpr/src/base/read_place.h index 088f17dd546..9fa6eee6328 100644 --- a/vpr/src/base/read_place.h +++ b/vpr/src/base/read_place.h @@ -5,7 +5,6 @@ #include - class PlacerState; class ClusterBlockId; struct t_block_loc; diff --git a/vpr/src/base/read_route.cpp b/vpr/src/base/read_route.cpp index b88a7d76949..ead89961aa1 100644 --- a/vpr/src/base/read_route.cpp +++ b/vpr/src/base/read_route.cpp @@ -21,30 +21,23 @@ #include #include -#include "atom_netlist.h" -#include "atom_netlist_utils.h" -#include "rr_graph.h" +#include "physical_types_util.h" #include "vtr_assert.h" #include "vtr_digest.h" #include "vtr_util.h" -#include "tatum/echo_writer.hpp" #include "vtr_log.h" #include "check_route.h" #include "route_common.h" #include "vpr_types.h" #include "globals.h" -#include "vpr_api.h" #include "read_place.h" #include "vpr_types.h" #include "vpr_utils.h" #include "vpr_error.h" -#include "place_and_route.h" -#include "timing_place.h" -#include "route_export.h" -#include "echo_files.h" #include "route_common.h" #include "route_tree.h" #include "read_route.h" +#include "d_ary_heap.h" #include "old_traceback.h" @@ -104,7 +97,7 @@ bool read_route(const char* route_file, const t_router_opts& router_opts, bool v /*Allocate necessary routing structures*/ alloc_and_load_rr_node_route_structs(); - const Netlist<>& router_net_list = (flat_router) ? (const Netlist<>&)g_vpr_ctx.atom().nlist : (const Netlist<>&)g_vpr_ctx.clustering().clb_nlist; + const Netlist<>& router_net_list = (flat_router) ? (const Netlist<>&)g_vpr_ctx.atom().netlist() : (const Netlist<>&)g_vpr_ctx.clustering().clb_nlist; init_route_structs(router_net_list, router_opts.bb_factor, router_opts.has_choke_point, @@ -212,7 +205,6 @@ static void process_nets(const Netlist<>& net_list, std::ifstream& fp, ClusterNe process_nodes(net_list, fp, inet, filename, lineno); } input_tokens.clear(); - return; } static void process_nodes(const Netlist<>& net_list, std::ifstream& fp, ClusterNetId inet, const char* filename, int& lineno) { diff --git a/vpr/src/base/region.cpp b/vpr/src/base/region.cpp index 7cc6280626b..ff4040e8ff7 100644 --- a/vpr/src/base/region.cpp +++ b/vpr/src/base/region.cpp @@ -44,8 +44,7 @@ void Region::set_sub_tile(int sub_tile) { bool Region::empty() const { const auto [layer_low, layer_high] = layer_range_; - return (rect_.xmax() < rect_.xmin() || rect_.ymax() < rect_.ymin() || - layer_high < layer_low); + return (rect_.xmax() < rect_.xmin() || rect_.ymax() < rect_.ymin() || layer_high < layer_low); } bool Region::is_loc_in_reg(t_pl_loc loc) const { @@ -83,7 +82,7 @@ Region intersection(const Region& r1, const Region& r2) { auto [r2_layer_low, r2_layer_high] = r2.get_layer_range(); auto [intersect_layer_begin, intersect_layer_end] = std::make_pair(std::max(r1_layer_low, r2_layer_low), - std::min(r1_layer_high, r2_layer_high)); + std::min(r1_layer_high, r2_layer_high)); // check that the give layer range start from a lower layer and end at a higher or the same layer // negative layer means that the given Region object is an empty region diff --git a/vpr/src/base/region.h b/vpr/src/base/region.h index 18a179af19a..08959f1bdc6 100644 --- a/vpr/src/base/region.h +++ b/vpr/src/base/region.h @@ -77,9 +77,7 @@ class Region { bool is_loc_in_reg(t_pl_loc loc) const; bool operator==(const Region& reg) const { - return (reg.rect_ == rect_ && - reg.layer_range_ == layer_range_ && - reg.get_sub_tile() == sub_tile_); + return (reg.rect_ == rect_ && reg.layer_range_ == layer_range_ && reg.get_sub_tile() == sub_tile_); } private: @@ -99,7 +97,7 @@ class Region { */ std::pair layer_range_; - int sub_tile_; ///< users will optionally select a subtile + int sub_tile_; ///< users will optionally select a subtile }; /** diff --git a/vpr/src/base/setup_noc.cpp b/vpr/src/base/setup_noc.cpp index ff05687c414..75313969312 100644 --- a/vpr/src/base/setup_noc.cpp +++ b/vpr/src/base/setup_noc.cpp @@ -38,7 +38,7 @@ void setup_noc(const t_arch& arch) { VPR_FATAL_ERROR(VPR_ERROR_OTHER, "The Provided NoC topology information in the architecture file " "uses less number of routers than what is available in the FPGA device."); - } else if (noc_router_tiles.empty()) { // case where no physical router tiles were found + } else if (noc_router_tiles.empty()) { // case where no physical router tiles were found VPR_FATAL_ERROR(VPR_ERROR_OTHER, "No physical NoC routers were found on the FPGA device. " "Either the provided name for the physical router tile was incorrect or the FPGA device has no routers."); @@ -169,7 +169,7 @@ void create_noc_routers(const t_noc_inf& noc_info, error_case_physical_router_index_1 = closest_physical_router; error_case_physical_router_index_2 = curr_physical_router_index; - // case where the current logical router is closest to the physical router tile + // case where the current logical router is closest to the physical router tile } else if (curr_calculated_distance < shortest_distance) { // update the shortest distance and then the closest router shortest_distance = curr_calculated_distance; diff --git a/vpr/src/base/setup_noc.h b/vpr/src/base/setup_noc.h index 4052ec4d940..9b728e0f0ab 100644 --- a/vpr/src/base/setup_noc.h +++ b/vpr/src/base/setup_noc.h @@ -39,7 +39,7 @@ // a data structure to store the position information of a noc router in the FPGA device struct t_noc_router_tile_position { - t_noc_router_tile_position(int x, int y, int layer_num, float centroid_x, float centroid_y) + t_noc_router_tile_position(int x, int y, int layer_num, float centroid_x, float centroid_y) noexcept : grid_width_position(x) , grid_height_position(y) , layer_position(layer_num) @@ -131,4 +131,4 @@ void create_noc_routers(const t_noc_inf& noc_info, */ void create_noc_links(const t_noc_inf& noc_info, NocStorage* noc_model); -#endif \ No newline at end of file +#endif diff --git a/vpr/src/base/stats.cpp b/vpr/src/base/stats.cpp index bda53d16a56..51df0c27790 100644 --- a/vpr/src/base/stats.cpp +++ b/vpr/src/base/stats.cpp @@ -1,6 +1,7 @@ #include #include +#include "physical_types_util.h" #include "route_tree.h" #include "vtr_assert.h" #include "vtr_log.h" @@ -15,15 +16,6 @@ #include "segment_stats.h" #include "channel_stats.h" #include "stats.h" -#include "net_delay.h" -#include "read_xml_arch_file.h" -#include "echo_files.h" - -#include "timing_info.h" -#include "RoutingDelayCalculator.h" - -#include "timing_util.h" -#include "tatum/TimingReporter.hpp" /********************** Subroutines local to this module *********************/ @@ -344,7 +336,7 @@ void print_wirelen_prob_dist(bool is_flat) { norm_fac = 0.; for (auto net_id : cluster_ctx.clb_nlist.nets()) { - auto par_net_id = get_cluster_net_parent_id(g_vpr_ctx.atom().lookup, net_id, is_flat); + auto par_net_id = get_cluster_net_parent_id(g_vpr_ctx.atom().lookup(), net_id, is_flat); if (!cluster_ctx.clb_nlist.net_is_ignored(net_id) && cluster_ctx.clb_nlist.net_sinks(net_id).size() != 0) { get_num_bends_and_length(par_net_id, &bends, &length, &segments, &is_absorbed); @@ -446,13 +438,137 @@ int count_netlist_clocks() { std::set clock_names; //Loop through each clock pin and record the names in clock_names - for (auto blk_id : atom_ctx.nlist.blocks()) { - for (auto pin_id : atom_ctx.nlist.block_clock_pins(blk_id)) { - auto net_id = atom_ctx.nlist.pin_net(pin_id); - clock_names.insert(atom_ctx.nlist.net_name(net_id)); + for (auto blk_id : atom_ctx.netlist().blocks()) { + for (auto pin_id : atom_ctx.netlist().block_clock_pins(blk_id)) { + auto net_id = atom_ctx.netlist().pin_net(pin_id); + clock_names.insert(atom_ctx.netlist().net_name(net_id)); } } //Since std::set does not include duplicates, the number of clocks is the size of the set return static_cast(clock_names.size()); } + +float calculate_device_utilization(const DeviceGrid& grid, const std::map& instance_counts) { + //Record the resources of the grid + std::map grid_resources; + for (int layer_num = 0; layer_num < grid.get_num_layers(); ++layer_num) { + for (int x = 0; x < (int)grid.width(); ++x) { + for (int y = 0; y < (int)grid.height(); ++y) { + int width_offset = grid.get_width_offset({x, y, layer_num}); + int height_offset = grid.get_height_offset({x, y, layer_num}); + if (width_offset == 0 && height_offset == 0) { + const auto& type = grid.get_physical_type({x, y, layer_num}); + ++grid_resources[type]; + } + } + } + } + + //Determine the area of grid in tile units + float grid_area = 0.; + for (auto& kv : grid_resources) { + t_physical_tile_type_ptr type = kv.first; + size_t count = kv.second; + + float type_area = type->width * type->height; + + grid_area += type_area * count; + } + + //Determine the area of instances in tile units + float instance_area = 0.; + for (auto& kv : instance_counts) { + if (is_empty_type(kv.first)) { + continue; + } + + t_physical_tile_type_ptr type = pick_physical_type(kv.first); + + size_t count = kv.second; + + float type_area = type->width * type->height; + + //Instances of multi-capaicty blocks take up less space + if (type->capacity != 0) { + type_area /= type->capacity; + } + + instance_area += type_area * count; + } + + float utilization = instance_area / grid_area; + + return utilization; +} + +void print_resource_usage() { + auto& device_ctx = g_vpr_ctx.device(); + const auto& clb_netlist = g_vpr_ctx.clustering().clb_nlist; + std::map num_type_instances; + for (auto blk_id : clb_netlist.blocks()) { + num_type_instances[clb_netlist.block_type(blk_id)]++; + } + + VTR_LOG("\n"); + VTR_LOG("Resource usage...\n"); + for (const auto& type : device_ctx.logical_block_types) { + if (is_empty_type(&type)) continue; + size_t num_instances = num_type_instances.count(&type) > 0 ? num_type_instances.at(&type) : 0; + VTR_LOG("\tNetlist\n\t\t%d\tblocks of type: %s\n", + num_instances, type.name.c_str()); + + VTR_LOG("\tArchitecture\n"); + for (const auto equivalent_tile : type.equivalent_tiles) { + //get the number of equivalent tile across all layers + num_instances = device_ctx.grid.num_instances(equivalent_tile, -1); + + VTR_LOG("\t\t%d\tblocks of type: %s\n", + num_instances, equivalent_tile->name.c_str()); + } + } + VTR_LOG("\n"); +} + +void print_device_utilization(const float target_device_utilization) { + auto& device_ctx = g_vpr_ctx.device(); + const auto& clb_netlist = g_vpr_ctx.clustering().clb_nlist; + std::map num_type_instances; + for (auto blk_id : clb_netlist.blocks()) { + num_type_instances[clb_netlist.block_type(blk_id)]++; + } + + float device_utilization = calculate_device_utilization(device_ctx.grid, num_type_instances); + VTR_LOG("Device Utilization: %.2f (target %.2f)\n", device_utilization, target_device_utilization); + for (const auto& type : device_ctx.physical_tile_types) { + if (is_empty_type(&type)) { + continue; + } + + if (device_ctx.grid.num_instances(&type, -1) != 0) { + VTR_LOG("\tPhysical Tile %s:\n", type.name.c_str()); + + auto equivalent_sites = get_equivalent_sites_set(&type); + + for (auto logical_block : equivalent_sites) { + float util = 0.; + size_t num_inst = device_ctx.grid.num_instances(&type, -1); + if (num_inst != 0) { + size_t num_netlist_instances = num_type_instances.count(logical_block) > 0 ? num_type_instances.at(logical_block) : 0; + util = float(num_netlist_instances) / num_inst; + } + VTR_LOG("\tBlock Utilization: %.2f Logical Block: %s\n", util, logical_block->name.c_str()); + } + } + } + VTR_LOG("\n"); + + if (!device_ctx.grid.limiting_resources().empty()) { + std::vector limiting_block_names; + for (auto blk_type : device_ctx.grid.limiting_resources()) { + limiting_block_names.emplace_back(blk_type->name); + } + VTR_LOG("FPGA size limited by block type(s): %s\n", vtr::join(limiting_block_names, " ").c_str()); + VTR_LOG("\n"); + } +} diff --git a/vpr/src/base/stats.h b/vpr/src/base/stats.h index d02cae8f9a0..a96deed2cde 100644 --- a/vpr/src/base/stats.h +++ b/vpr/src/base/stats.h @@ -3,6 +3,7 @@ #include #include #include "vpr_types.h" +#include "netlist.h" void routing_stats(const Netlist<>& net_list, bool full_stats, @@ -23,6 +24,25 @@ void get_num_bends_and_length(ParentNetId inet, int* bends, int* length, int* se int count_netlist_clocks(); +/** + * @brief Calculate the device utilization + * + * Calculate the device utilization (i.e. fraction of used grid tiles) + * for the specified grid and resource requirements + */ +float calculate_device_utilization(const DeviceGrid& grid, const std::map& instance_counts); + +/** + * @brief Prints the number of resources in the netlist and the number of available resources in the architecture. + */ +void print_resource_usage(); + +/** + * @brief Prints the device utilization + * @param target_device_utilization The target device utilization set by the user + */ +void print_device_utilization(const float target_device_utilization); + /** * @brief template functions must be defined in header, or explicitely * instantiated in definition file (defeats the point of template) diff --git a/vpr/src/base/user_route_constraints.cpp b/vpr/src/base/user_route_constraints.cpp index 67cabe6b20e..b6d3f1b0384 100644 --- a/vpr/src/base/user_route_constraints.cpp +++ b/vpr/src/base/user_route_constraints.cpp @@ -72,4 +72,4 @@ const std::string UserRouteConstraints::get_routing_network_name_by_net_name(std int UserRouteConstraints::get_num_route_constraints(void) const { return route_constraints_.size(); -} \ No newline at end of file +} diff --git a/vpr/src/base/user_route_constraints.h b/vpr/src/base/user_route_constraints.h index ec925d7887d..62ba08cda1d 100644 --- a/vpr/src/base/user_route_constraints.h +++ b/vpr/src/base/user_route_constraints.h @@ -153,4 +153,4 @@ class UserRouteConstraints { */ std::unordered_map route_constraints_; }; -#endif /* USER_ROUTE_CONSTRAINTS_H */ \ No newline at end of file +#endif /* USER_ROUTE_CONSTRAINTS_H */ diff --git a/vpr/src/base/vpr_api.cpp b/vpr/src/base/vpr_api.cpp index 1560b03e90f..66e525f6c65 100644 --- a/vpr/src/base/vpr_api.cpp +++ b/vpr/src/base/vpr_api.cpp @@ -14,12 +14,16 @@ #include #include #include +#include +#include +#include "flat_placement_types.h" #include "cluster_util.h" +#include "physical_types.h" +#include "place_macro.h" #include "verify_placement.h" #include "vpr_context.h" #include "vtr_assert.h" -#include "vtr_math.h" #include "vtr_log.h" #include "vtr_version.h" #include "vtr_time.h" @@ -30,7 +34,6 @@ #include "atom_netlist.h" #include "read_netlist.h" #include "check_netlist.h" -#include "read_blif.h" #include "draw.h" #include "place_and_route.h" #include "pack.h" @@ -67,7 +70,6 @@ #include "atom_netlist_utils.h" #include "output_clustering.h" #include "vpr_constraints_reader.h" -#include "place_constraints.h" #include "place_util.h" #include "timing_fail_error.h" #include "analytical_placement_flow.h" @@ -93,9 +95,9 @@ #include "load_flat_place.h" #ifdef VPR_USE_TBB -# define TBB_PREVIEW_GLOBAL_CONTROL 1 /* Needed for compatibility with old TBB versions */ -# include -# include +#define TBB_PREVIEW_GLOBAL_CONTROL 1 /* Needed for compatibility with old TBB versions */ +#include +#include #endif #ifndef NO_SERVER @@ -109,12 +111,6 @@ static void free_complex_block_types(); static void free_device(const t_det_routing_arch& routing_arch); static void free_circuit(); -static void get_intercluster_switch_fanin_estimates(const t_vpr_setup& vpr_setup, - const t_arch& arch, - const int wire_segment_length, - int* opin_switch_fanin, - int* wire_switch_fanin, - int* ipin_switch_fanin); /* Local subroutines end */ ///@brief Display general VPR information @@ -320,13 +316,13 @@ void vpr_init_with_options(const t_options* options, t_vpr_setup* vpr_setup, t_a /* Read blif file and sweep unused components */ auto& atom_ctx = g_vpr_ctx.mutable_atom(); - atom_ctx.nlist = read_and_process_circuit(options->circuit_format, *vpr_setup, *arch); + atom_ctx.mutable_netlist() = read_and_process_circuit(options->circuit_format, *vpr_setup, *arch); if (vpr_setup->PowerOpts.do_power) { //Load the net activity file for power estimation vtr::ScopedStartFinishTimer t("Load Activity File"); auto& power_ctx = g_vpr_ctx.mutable_power(); - power_ctx.atom_net_power = read_activity(atom_ctx.nlist, vpr_setup->FileNameOpts.ActFile.c_str()); + power_ctx.atom_net_power = read_activity(atom_ctx.netlist(), vpr_setup->FileNameOpts.ActFile.c_str()); } //Initialize timing graph and constraints @@ -334,17 +330,17 @@ void vpr_init_with_options(const t_options* options, t_vpr_setup* vpr_setup, t_a auto& timing_ctx = g_vpr_ctx.mutable_timing(); { vtr::ScopedStartFinishTimer t("Build Timing Graph"); - timing_ctx.graph = TimingGraphBuilder(atom_ctx.nlist, atom_ctx.lookup).timing_graph(options->allow_dangling_combinational_nodes); + timing_ctx.graph = TimingGraphBuilder(atom_ctx.netlist(), atom_ctx.mutable_lookup()).timing_graph(options->allow_dangling_combinational_nodes); VTR_LOG(" Timing Graph Nodes: %zu\n", timing_ctx.graph->nodes().size()); VTR_LOG(" Timing Graph Edges: %zu\n", timing_ctx.graph->edges().size()); VTR_LOG(" Timing Graph Levels: %zu\n", timing_ctx.graph->levels().size()); } { - print_netlist_clock_info(atom_ctx.nlist); + print_netlist_clock_info(atom_ctx.netlist()); } { vtr::ScopedStartFinishTimer t("Load Timing Constraints"); - timing_ctx.constraints = read_sdc(vpr_setup->Timing, atom_ctx.nlist, atom_ctx.lookup, *timing_ctx.graph); + timing_ctx.constraints = read_sdc(vpr_setup->Timing, atom_ctx.netlist(), atom_ctx.lookup(), *timing_ctx.graph); } { set_terminate_if_timing_fails(options->terminate_if_timing_fails); @@ -389,9 +385,16 @@ bool vpr_flow(t_vpr_setup& vpr_setup, t_arch& arch) { } } - // For the time being, we decided to create the flat graph after placement is done. Thus, the is_flat parameter for this function - //, since it is called before routing, should be false. - vpr_create_device(vpr_setup, arch, false); + vpr_create_device(vpr_setup, arch); + // If packing is not skipped, cluster netlist contain valid information, so + // we can print the resource usage and device utilization + if (vpr_setup.PackerOpts.doPacking != STAGE_SKIP) { + float target_device_utilization = vpr_setup.PackerOpts.target_device_utilization; + // Print the number of resources in netlist and number of resources available in architecture + print_resource_usage(); + // Print the device utilization + print_device_utilization(target_device_utilization); + } // TODO: Placer still assumes that cluster net list is used - graphics can not work with flat routing yet vpr_init_graphics(vpr_setup, arch, false); @@ -409,8 +412,23 @@ bool vpr_flow(t_vpr_setup& vpr_setup, t_arch& arch) { { // Analytical Place if (vpr_setup.APOpts.doAP == STAGE_DO) { + // Passing flat placement input if provided and not loaded yet. + if (!vpr_setup.FileNameOpts.read_flat_place_file.empty() && !g_vpr_ctx.atom().flat_placement_info().valid) { + g_vpr_ctx.mutable_atom().mutable_flat_placement_info() = read_flat_placement(vpr_setup.FileNameOpts.read_flat_place_file, + g_vpr_ctx.atom().netlist()); + } + // TODO: Make this return a bool if the placement was successful or not. run_analytical_placement_flow(vpr_setup); + + // Write out a flat placement file at the end of Analytical Placement + // flow if the option is specified. + if (!vpr_setup.FileNameOpts.write_flat_place_file.empty()) { + write_flat_placement(vpr_setup.FileNameOpts.write_flat_place_file.c_str(), + g_vpr_ctx.clustering().clb_nlist, + g_vpr_ctx.placement().block_locs(), + g_vpr_ctx.clustering().atoms_lookup); + } } // Print the placement generated by AP to a .place file. auto& filename_opts = vpr_setup.FileNameOpts; @@ -424,7 +442,7 @@ bool vpr_flow(t_vpr_setup& vpr_setup, t_arch& arch) { } bool is_flat = vpr_setup.RouterOpts.flat_routing; - const Netlist<>& router_net_list = is_flat ? (const Netlist<>&)g_vpr_ctx.atom().nlist : (const Netlist<>&)g_vpr_ctx.clustering().clb_nlist; + const Netlist<>& router_net_list = is_flat ? (const Netlist<>&)g_vpr_ctx.atom().netlist() : (const Netlist<>&)g_vpr_ctx.clustering().clb_nlist; RouteStatus route_status; { //Route route_status = vpr_route_flow(router_net_list, vpr_setup, arch, is_flat); @@ -439,7 +457,7 @@ bool vpr_flow(t_vpr_setup& vpr_setup, t_arch& arch) { return route_status.success(); } -void vpr_create_device(t_vpr_setup& vpr_setup, const t_arch& arch, bool is_flat) { +void vpr_create_device(t_vpr_setup& vpr_setup, const t_arch& arch) { vtr::ScopedStartFinishTimer timer("Create Device"); vpr_create_device_grid(vpr_setup, arch); @@ -448,7 +466,9 @@ void vpr_create_device(t_vpr_setup& vpr_setup, const t_arch& arch, bool is_flat) vpr_setup_noc(vpr_setup, arch); if (vpr_setup.PlacerOpts.place_chan_width != NO_FIXED_CHANNEL_WIDTH) { - vpr_create_rr_graph(vpr_setup, arch, vpr_setup.PlacerOpts.place_chan_width, is_flat); + // The RR graph built by this function should contain only the intra-cluster resources. + // If the flat router is used, additional resources are added when routing begins. + vpr_create_rr_graph(vpr_setup, arch, vpr_setup.PlacerOpts.place_chan_width, false); } } @@ -491,59 +511,6 @@ void vpr_create_device_grid(const t_vpr_setup& vpr_setup, const t_arch& Arch) { */ size_t num_grid_tiles = count_grid_tiles(device_ctx.grid); VTR_LOG("FPGA sized to %zu x %zu: %zu grid tiles (%s)\n", device_ctx.grid.width(), device_ctx.grid.height(), num_grid_tiles, device_ctx.grid.name().c_str()); - - VTR_LOG("\n"); - VTR_LOG("Resource usage...\n"); - for (const auto& type : device_ctx.logical_block_types) { - if (is_empty_type(&type)) continue; - - VTR_LOG("\tNetlist\n\t\t%d\tblocks of type: %s\n", - num_type_instances[&type], type.name.c_str()); - - VTR_LOG("\tArchitecture\n"); - for (const auto equivalent_tile : type.equivalent_tiles) { - auto num_instances = 0; - //get the number of equivalent tile across all layers - num_instances = (int)device_ctx.grid.num_instances(equivalent_tile, -1); - - VTR_LOG("\t\t%d\tblocks of type: %s\n", - num_instances, equivalent_tile->name.c_str()); - } - } - VTR_LOG("\n"); - - float device_utilization = calculate_device_utilization(device_ctx.grid, num_type_instances); - VTR_LOG("Device Utilization: %.2f (target %.2f)\n", device_utilization, target_device_utilization); - for (const auto& type : device_ctx.physical_tile_types) { - if (is_empty_type(&type)) { - continue; - } - - if (device_ctx.grid.num_instances(&type, -1) != 0) { - VTR_LOG("\tPhysical Tile %s:\n", type.name.c_str()); - - auto equivalent_sites = get_equivalent_sites_set(&type); - - for (auto logical_block : equivalent_sites) { - float util = 0.; - size_t num_inst = device_ctx.grid.num_instances(&type, -1); - if (num_inst != 0) { - util = float(num_type_instances[logical_block]) / num_inst; - } - VTR_LOG("\tBlock Utilization: %.2f Logical Block: %s\n", util, logical_block->name.c_str()); - } - } - } - VTR_LOG("\n"); - - if (!device_ctx.grid.limiting_resources().empty()) { - std::vector limiting_block_names; - for (auto blk_type : device_ctx.grid.limiting_resources()) { - limiting_block_names.emplace_back(blk_type->name); - } - VTR_LOG("FPGA size limited by block type(s): %s\n", vtr::join(limiting_block_names, " ").c_str()); - VTR_LOG("\n"); - } } void vpr_setup_clock_networks(t_vpr_setup& vpr_setup, const t_arch& Arch) { @@ -644,60 +611,27 @@ bool vpr_pack_flow(t_vpr_setup& vpr_setup, const t_arch& arch) { bool vpr_pack(t_vpr_setup& vpr_setup, const t_arch& arch) { vtr::ScopedStartFinishTimer timer("Packing"); - /* If needed, estimate inter-cluster delay. Assume the average routing hop goes out of - * a block through an opin switch to a length-4 wire, then through a wire switch to another - * length-4 wire, then through a wire-to-ipin-switch into another block. */ - int wire_segment_length = 4; - - float inter_cluster_delay = UNDEFINED; - if (vpr_setup.PackerOpts.timing_driven - && vpr_setup.PackerOpts.auto_compute_inter_cluster_net_delay) { - /* We want to determine a reasonable fan-in to the opin, wire, and ipin switches, based - * on which the intercluster delays can be estimated. The fan-in of a switch influences its - * delay. - * - * The fan-in of the switch depends on the architecture (unidirectional/bidirectional), as - * well as Fc_in/out and Fs */ - int opin_switch_fanin, wire_switch_fanin, ipin_switch_fanin; - get_intercluster_switch_fanin_estimates(vpr_setup, arch, wire_segment_length, &opin_switch_fanin, - &wire_switch_fanin, &ipin_switch_fanin); - - float Tdel_opin_switch, R_opin_switch, Cout_opin_switch; - float opin_switch_del = get_arch_switch_info(arch.Segments[0].arch_opin_switch, opin_switch_fanin, - Tdel_opin_switch, R_opin_switch, Cout_opin_switch); - - float Tdel_wire_switch, R_wire_switch, Cout_wire_switch; - float wire_switch_del = get_arch_switch_info(arch.Segments[0].arch_wire_switch, wire_switch_fanin, - Tdel_wire_switch, R_wire_switch, Cout_wire_switch); - - float Tdel_wtoi_switch, R_wtoi_switch, Cout_wtoi_switch; - float wtoi_switch_del = get_arch_switch_info(vpr_setup.RoutingArch.wire_to_arch_ipin_switch, ipin_switch_fanin, - Tdel_wtoi_switch, R_wtoi_switch, Cout_wtoi_switch); - - float Rmetal = arch.Segments[0].Rmetal; - float Cmetal = arch.Segments[0].Cmetal; - - /* The delay of a wire with its driving switch is the switch delay plus the - * product of the equivalent resistance and capacitance experienced by the wire. */ - - float first_wire_seg_delay = opin_switch_del - + (R_opin_switch + Rmetal * (float)wire_segment_length / 2) - * (Cout_opin_switch + Cmetal * (float)wire_segment_length); - float second_wire_seg_delay = wire_switch_del - + (R_wire_switch + Rmetal * (float)wire_segment_length / 2) - * (Cout_wire_switch + Cmetal * (float)wire_segment_length); - inter_cluster_delay = 4 - * (first_wire_seg_delay + second_wire_seg_delay - + wtoi_switch_del); /* multiply by 4 to get a more conservative estimate */ + // Read in the flat placement if a flat placement file is provided and it + // has not been loaded already. + if (!vpr_setup.FileNameOpts.read_flat_place_file.empty() && !g_vpr_ctx.atom().flat_placement_info().valid) { + g_vpr_ctx.mutable_atom().mutable_flat_placement_info() = read_flat_placement(vpr_setup.FileNameOpts.read_flat_place_file, + g_vpr_ctx.atom().netlist()); } - return try_pack(&vpr_setup.PackerOpts, &vpr_setup.AnalysisOpts, - &arch, vpr_setup.user_models, - vpr_setup.library_models, inter_cluster_delay, - vpr_setup.PackerRRGraph); + // Run the prepacker, packing the atoms into molecules. + // The Prepacker object performs prepacking and stores the pack molecules. + // As long as the molecules are used, this object must persist. + const Prepacker prepacker(g_vpr_ctx.atom().netlist(), + g_vpr_ctx.device().logical_block_types); + + return try_pack(vpr_setup.PackerOpts, vpr_setup.AnalysisOpts, + arch, vpr_setup.RoutingArch, + vpr_setup.PackerRRGraph, + prepacker, + g_vpr_ctx.atom().flat_placement_info()); } -void vpr_load_packing(t_vpr_setup& vpr_setup, const t_arch& arch) { +void vpr_load_packing(const t_vpr_setup& vpr_setup, const t_arch& arch) { vtr::ScopedStartFinishTimer timer("Load packing"); VTR_ASSERT_MSG(!vpr_setup.FileNameOpts.NetFile.empty(), @@ -718,8 +652,8 @@ void vpr_load_packing(t_vpr_setup& vpr_setup, const t_arch& arch) { /* Load the mapping between clusters and their atoms */ init_clb_atoms_lookup(cluster_ctx.atoms_lookup, atom_ctx, cluster_ctx.clb_nlist); - process_constant_nets(g_vpr_ctx.mutable_atom().nlist, - atom_ctx.lookup, + process_constant_nets(g_vpr_ctx.mutable_atom().mutable_netlist(), + atom_ctx.lookup(), cluster_ctx.clb_nlist, vpr_setup.constant_net_method, vpr_setup.PackerOpts.pack_verbosity); @@ -729,8 +663,9 @@ void vpr_load_packing(t_vpr_setup& vpr_setup, const t_arch& arch) { report_packing_pin_usage(ofs, g_vpr_ctx); } - // Load cluster_constraints data structure. - load_cluster_constraints(); + // Ater the clustered netlist has been loaded, update the floorplanning + // constraints with the new information. + g_vpr_ctx.mutable_floorplanning().update_floorplanning_context_post_pack(); /* Sanity check the resulting netlist */ check_netlist(vpr_setup.PackerOpts.pack_verbosity); @@ -789,7 +724,9 @@ bool vpr_load_flat_placement(t_vpr_setup& vpr_setup, const t_arch& arch) { return true; } -bool vpr_place_flow(const Netlist<>& net_list, t_vpr_setup& vpr_setup, const t_arch& arch) { +bool vpr_place_flow(const Netlist<>& net_list, + t_vpr_setup& vpr_setup, + const t_arch& arch) { VTR_LOG("\n"); const auto& placer_opts = vpr_setup.PlacerOpts; const auto& filename_opts = vpr_setup.FileNameOpts; @@ -804,7 +741,7 @@ bool vpr_place_flow(const Netlist<>& net_list, t_vpr_setup& vpr_setup, const t_a VTR_ASSERT(placer_opts.doPlacement == STAGE_LOAD); //Load a previous placement - vpr_load_placement(vpr_setup, arch); + vpr_load_placement(vpr_setup, arch.directs); } post_place_sync(); @@ -829,7 +766,9 @@ bool vpr_place_flow(const Netlist<>& net_list, t_vpr_setup& vpr_setup, const t_a return true; } -void vpr_place(const Netlist<>& net_list, t_vpr_setup& vpr_setup, const t_arch& arch) { +void vpr_place(const Netlist<>& net_list, + t_vpr_setup& vpr_setup, + const t_arch& arch) { bool is_flat = false; if (vpr_setup.PlacerOpts.place_algorithm.is_timing_driven()) { // Prime lookahead cache to avoid adding lookahead computation cost to @@ -844,6 +783,13 @@ void vpr_place(const Netlist<>& net_list, t_vpr_setup& vpr_setup, const t_arch& is_flat); } + // Read in the flat placement if a flat placement file is provided and it + // has not been loaded already. + if (!vpr_setup.FileNameOpts.read_flat_place_file.empty() && !g_vpr_ctx.atom().flat_placement_info().valid) { + g_vpr_ctx.mutable_atom().mutable_flat_placement_info() = read_flat_placement(vpr_setup.FileNameOpts.read_flat_place_file, + g_vpr_ctx.atom().netlist()); + } + try_place(net_list, vpr_setup.PlacerOpts, vpr_setup.RouterOpts, @@ -853,6 +799,7 @@ void vpr_place(const Netlist<>& net_list, t_vpr_setup& vpr_setup, const t_arch& &vpr_setup.RoutingArch, vpr_setup.Segments, arch.directs, + g_vpr_ctx.atom().flat_placement_info(), is_flat); auto& filename_opts = vpr_setup.FileNameOpts; @@ -866,7 +813,8 @@ void vpr_place(const Netlist<>& net_list, t_vpr_setup& vpr_setup, const t_arch& block_locs); } -void vpr_load_placement(t_vpr_setup& vpr_setup, const t_arch& arch) { +void vpr_load_placement(t_vpr_setup& vpr_setup, + const std::vector directs) { vtr::ScopedStartFinishTimer timer("Load Placement"); const auto& device_ctx = g_vpr_ctx.device(); @@ -874,8 +822,15 @@ void vpr_load_placement(t_vpr_setup& vpr_setup, const t_arch& arch) { auto& blk_loc_registry = place_ctx.mutable_blk_loc_registry(); const auto& filename_opts = vpr_setup.FileNameOpts; - //Initialize placement data structures, which will be filled when loading placement - init_placement_context(blk_loc_registry, arch.directs); + //Initialize the block location registry, which will be filled when loading placement + blk_loc_registry.init(); + + // Alloc and load the placement macros. + place_ctx.place_macros = std::make_unique(directs, + device_ctx.physical_tile_types, + g_vpr_ctx.clustering().clb_nlist, + g_vpr_ctx.atom().netlist(), + g_vpr_ctx.atom().lookup()); //Load an existing placement from a file place_ctx.placement_id = read_place(filename_opts.NetFile.c_str(), filename_opts.PlaceFile.c_str(), @@ -927,7 +882,7 @@ RouteStatus vpr_route_flow(const Netlist<>& net_list, std::shared_ptr routing_delay_calc = nullptr; if (vpr_setup.Timing.timing_analysis_enabled) { auto& atom_ctx = g_vpr_ctx.atom(); - routing_delay_calc = std::make_shared(atom_ctx.nlist, atom_ctx.lookup, net_delay, is_flat); + routing_delay_calc = std::make_shared(atom_ctx.netlist(), atom_ctx.lookup(), net_delay, is_flat); timing_info = make_setup_hold_timing_info(routing_delay_calc, router_opts.timing_update_type); #ifndef NO_SERVER if (g_vpr_ctx.server().gate_io.is_running()) { @@ -1118,7 +1073,7 @@ RouteStatus vpr_load_routing(t_vpr_setup& vpr_setup, //Load the routing from a file bool is_legal = read_route(filename_opts.RouteFile.c_str(), vpr_setup.RouterOpts, filename_opts.verify_file_digests, is_flat); - const Netlist<>& router_net_list = is_flat ? (const Netlist<>&)g_vpr_ctx.atom().nlist : (const Netlist<>&)g_vpr_ctx.clustering().clb_nlist; + const Netlist<>& router_net_list = is_flat ? (const Netlist<>&)g_vpr_ctx.atom().netlist() : (const Netlist<>&)g_vpr_ctx.clustering().clb_nlist; if (vpr_setup.Timing.timing_analysis_enabled) { //Update timing info load_net_delay_from_routing(router_net_list, @@ -1199,100 +1154,6 @@ void vpr_close_graphics(const t_vpr_setup& /*vpr_setup*/) { free_draw_structs(); } -/** - * Since the parameters of a switch may change as a function of its fanin, - * to get an estimation of inter-cluster delays we need a reasonable estimation - * of the fan-ins of switches that connect clusters together. These switches are - * 1) opin to wire switch - * 2) wire to wire switch - * 3) wire to ipin switch - * We can estimate the fan-in of these switches based on the Fc_in/Fc_out of - * a logic block, and the switch block Fs value - */ -static void get_intercluster_switch_fanin_estimates(const t_vpr_setup& vpr_setup, - const t_arch& arch, - const int wire_segment_length, - int* opin_switch_fanin, - int* wire_switch_fanin, - int* ipin_switch_fanin) { - e_directionality directionality; - int Fs; - float Fc_in, Fc_out; - int W = 100; //W is unknown pre-packing, so *if* we need W here, we will assume a value of 100 - - directionality = vpr_setup.RoutingArch.directionality; - Fs = vpr_setup.RoutingArch.Fs; - Fc_in = 0, Fc_out = 0; - - //Build a dummy 10x10 device to determine the 'best' block type to use - auto grid = create_device_grid(vpr_setup.device_layout, arch.grid_layouts, 10, 10); - - auto type = find_most_common_tile_type(grid); - /* get Fc_in/out for most common block (e.g. logic blocks) */ - VTR_ASSERT(!type->fc_specs.empty()); - - //Estimate the maximum Fc_in/Fc_out - - for (const t_fc_specification& fc_spec : type->fc_specs) { - float Fc = fc_spec.fc_value; - - if (fc_spec.fc_value_type == e_fc_value_type::ABSOLUTE) { - //Convert to estimated fractional - Fc /= W; - } - VTR_ASSERT_MSG(Fc >= 0 && Fc <= 1., "Fc should be fractional"); - - for (int ipin : fc_spec.pins) { - e_pin_type pin_type = get_pin_type_from_pin_physical_num(type, ipin); - - if (pin_type == DRIVER) { - Fc_out = std::max(Fc, Fc_out); - } else { - VTR_ASSERT(pin_type == RECEIVER); - Fc_in = std::max(Fc, Fc_in); - } - } - } - - /* Estimates of switch fan-in are done as follows: - * 1) opin to wire switch: - * 2 CLBs connect to a channel, each with #opins/4 pins. Each pin has Fc_out*W - * switches, and then we assume the switches are distributed evenly over the W wires. - * In the unidirectional case, all these switches are then crammed down to W/wire_segment_length wires. - * - * Unidirectional: 2 * #opins_per_side * Fc_out * wire_segment_length - * Bidirectional: 2 * #opins_per_side * Fc_out - * - * 2) wire to wire switch - * A wire segment in a switchblock connects to Fs other wires. Assuming these connections are evenly - * distributed, each target wire receives Fs connections as well. In the unidirectional case, - * source wires can only connect to W/wire_segment_length wires. - * - * Unidirectional: Fs * wire_segment_length - * Bidirectional: Fs - * - * 3) wire to ipin switch - * An input pin of a CLB simply receives Fc_in connections. - * - * Unidirectional: Fc_in - * Bidirectional: Fc_in - */ - - /* Fan-in to opin/ipin/wire switches depends on whether the architecture is unidirectional/bidirectional */ - (*opin_switch_fanin) = 2 * type->num_drivers / 4 * Fc_out; - (*wire_switch_fanin) = Fs; - (*ipin_switch_fanin) = Fc_in; - if (directionality == UNI_DIRECTIONAL) { - /* adjustments to opin-to-wire and wire-to-wire switch fan-ins */ - (*opin_switch_fanin) *= wire_segment_length; - (*wire_switch_fanin) *= wire_segment_length; - } else if (directionality == BI_DIRECTIONAL) { - /* no adjustments need to be made here */ - } else { - VPR_FATAL_ERROR(VPR_ERROR_PACK, "Unrecognized directionality: %d\n", (int)directionality); - } -} - ///@brief Free architecture data structures void free_device(const t_det_routing_arch& /*routing_arch*/) { auto& device_ctx = g_vpr_ctx.mutable_device(); @@ -1328,8 +1189,8 @@ void free_circuit() { static void free_atoms() { auto& atom_ctx = g_vpr_ctx.mutable_atom(); - atom_ctx.nlist = AtomNetlist(); - atom_ctx.lookup = AtomLookup(); + atom_ctx.mutable_netlist() = AtomNetlist(); + atom_ctx.mutable_lookup() = AtomLookup(); } static void free_placement() { @@ -1505,12 +1366,12 @@ bool vpr_analysis_flow(const Netlist<>& net_list, if (is_flat) { sync_netlists_to_routing_flat(); } else { - sync_netlists_to_routing(net_list, - g_vpr_ctx.device(), - g_vpr_ctx.mutable_atom(), - g_vpr_ctx.mutable_clustering(), - g_vpr_ctx.placement(), - vpr_setup.PackerOpts.pack_verbosity > 2); + sync_netlists_to_routing(net_list, + g_vpr_ctx.device(), + g_vpr_ctx.mutable_atom(), + g_vpr_ctx.mutable_clustering(), + g_vpr_ctx.placement(), + vpr_setup.PackerOpts.pack_verbosity > 2); } } else { VTR_LOG_WARN("Sychronization between packing and routing results is not applied due to users select to skip it\n"); @@ -1518,8 +1379,8 @@ bool vpr_analysis_flow(const Netlist<>& net_list, std::string post_routing_packing_output_file_name = vpr_setup.PackerOpts.output_file + ".post_routing"; write_packing_results_to_xml(vpr_setup.PackerOpts.global_clocks, - Arch.architecture_id, - post_routing_packing_output_file_name.c_str()); + Arch.architecture_id, + post_routing_packing_output_file_name.c_str()); } else { VTR_LOG_WARN("Synchronization between packing and routing results is not applied due to illegal circuit implementation\n"); } @@ -1565,7 +1426,7 @@ void vpr_analysis(const Netlist<>& net_list, load_net_delay_from_routing(net_list, net_delay); //Do final timing analysis - auto analysis_delay_calc = std::make_shared(atom_ctx.nlist, atom_ctx.lookup, net_delay, vpr_setup.RouterOpts.flat_routing); + auto analysis_delay_calc = std::make_shared(atom_ctx.netlist(), atom_ctx.lookup(), net_delay, vpr_setup.RouterOpts.flat_routing); auto timing_info = make_setup_hold_timing_info(analysis_delay_calc, vpr_setup.AnalysisOpts.timing_update_type); timing_info->update(); @@ -1584,13 +1445,13 @@ void vpr_analysis(const Netlist<>& net_list, //Write the post-synthesis netlist if (vpr_setup.AnalysisOpts.gen_post_synthesis_netlist) { - netlist_writer(atom_ctx.nlist.netlist_name(), analysis_delay_calc, + netlist_writer(atom_ctx.netlist().netlist_name(), analysis_delay_calc, vpr_setup.AnalysisOpts); } //Write the post-implementation merged netlist if (vpr_setup.AnalysisOpts.gen_post_implementation_merged_netlist) { - merged_netlist_writer(atom_ctx.nlist.netlist_name(), analysis_delay_calc, vpr_setup.AnalysisOpts); + merged_netlist_writer(atom_ctx.netlist().netlist_name(), analysis_delay_calc, vpr_setup.AnalysisOpts); } //Do power analysis diff --git a/vpr/src/base/vpr_api.h b/vpr/src/base/vpr_api.h index 93cf2d12cc1..7eda169ba5e 100644 --- a/vpr/src/base/vpr_api.h +++ b/vpr/src/base/vpr_api.h @@ -63,7 +63,7 @@ bool vpr_pack_flow(t_vpr_setup& vpr_setup, const t_arch& arch); bool vpr_pack(t_vpr_setup& vpr_setup, const t_arch& arch); ///@brief Loads a previous packing -void vpr_load_packing(t_vpr_setup& vpr_setup, const t_arch& arch); +void vpr_load_packing(const t_vpr_setup& vpr_setup, const t_arch& arch); ///@brief Reconstructs a packing and placement solution from a flat placement file bool vpr_load_flat_placement(t_vpr_setup& vpr_setup, const t_arch& arch); @@ -71,13 +71,18 @@ bool vpr_load_flat_placement(t_vpr_setup& vpr_setup, const t_arch& arch); /* Placement */ ///@brief Perform, load or skip the placement stage -bool vpr_place_flow(const Netlist<>& net_list, t_vpr_setup& vpr_setup, const t_arch& arch); +bool vpr_place_flow(const Netlist<>& net_list, + t_vpr_setup& vpr_setup, + const t_arch& arch); ///@brief Perform placement -void vpr_place(const Netlist<>& net_list, t_vpr_setup& vpr_setup, const t_arch& arch); +void vpr_place(const Netlist<>& net_list, + t_vpr_setup& vpr_setup, + const t_arch& arch); ///@brief Loads a previous placement -void vpr_load_placement(t_vpr_setup& vpr_setup, const t_arch& arch); +void vpr_load_placement(t_vpr_setup& vpr_setup, + const std::vector directs); /* Routing */ @@ -133,7 +138,7 @@ void vpr_analysis(const Netlist<>& net_list, /* Device creating */ ///@brief Create the device (grid + rr graph) -void vpr_create_device(t_vpr_setup& vpr_setup, const t_arch& Arch, bool is_flat); +void vpr_create_device(t_vpr_setup& vpr_setup, const t_arch& Arch); ///@brief Create the device grid void vpr_create_device_grid(const t_vpr_setup& vpr_setup, const t_arch& Arch); diff --git a/vpr/src/base/vpr_constraints_serializer.h b/vpr/src/base/vpr_constraints_serializer.h index 9cfd47829c2..409a7702661 100644 --- a/vpr/src/base/vpr_constraints_serializer.h +++ b/vpr/src/base/vpr_constraints_serializer.h @@ -129,7 +129,7 @@ class VprConstraintsSerializer final : public uxsd::VprConstraintsBase +#include "compressed_grid.h" +#include "globals.h" +#include "physical_types.h" +#include "place_constraints.h" +#include "place_macro.h" +#include "vpr_types.h" +#include "vtr_memory.h" + +/** + * @brief determine the type of the bounding box used by the placer to predict + * the wirelength. + * + * @param place_bb_mode The bounding box mode passed by the CLI + * @param rr_graph The routing resource graph + */ +static bool is_cube_bb(const e_place_bounding_box_mode place_bb_mode, + const RRGraphView& rr_graph); + +void FloorplanningContext::update_floorplanning_context_post_pack() { + // Initialize the cluster_constraints using the constraints loaded from the + // user and clustering generated from packing. + load_cluster_constraints(); +} + +void FloorplanningContext::update_floorplanning_context_pre_place(const PlaceMacros& place_macros) { + // Go through cluster blocks to calculate the tightest placement + // floorplan constraint for each constrained block. + propagate_place_constraints(place_macros); + + // Compute and store compressed floorplanning constraints. + alloc_and_load_compressed_cluster_constraints(); +} + +void FloorplanningContext::clean_floorplanning_context_post_place() { + // The cluster constraints are loaded in propagate_place_constraints and are + // not used outside of placement. + vtr::release_memory(cluster_constraints); + + // The compressed cluster constraints are loaded in alloc_and_load_compressed + // cluster_constraints and are not used outside of placement. + vtr::release_memory(compressed_cluster_constraints); +} + +void PlacementContext::init_placement_context(const t_placer_opts& placer_opts, + const std::vector& directs) { + const AtomContext& atom_ctx = g_vpr_ctx.atom(); + const ClusteringContext& cluster_ctx = g_vpr_ctx.clustering(); + const DeviceContext& device_ctx = g_vpr_ctx.device(); + + cube_bb = is_cube_bb(placer_opts.place_bounding_box_mode, device_ctx.rr_graph); + + compressed_block_grids = create_compressed_block_grids(); + + // Alloc and load the placement macros. + place_macros = std::make_unique(directs, + device_ctx.physical_tile_types, + cluster_ctx.clb_nlist, + atom_ctx.netlist(), + atom_ctx.lookup()); +} + +static bool is_cube_bb(const e_place_bounding_box_mode place_bb_mode, + const RRGraphView& rr_graph) { + bool cube_bb; + const int number_layers = g_vpr_ctx.device().grid.get_num_layers(); + + if (place_bb_mode == e_place_bounding_box_mode::AUTO_BB) { + // If the auto_bb is used, we analyze the RR graph to see whether is there any inter-layer connection that is not + // originated from OPIN. If there is any, cube BB is chosen, otherwise, per-layer bb is chosen. + if (number_layers > 1 && inter_layer_connections_limited_to_opin(rr_graph)) { + cube_bb = false; + } else { + cube_bb = true; + } + } else if (place_bb_mode == e_place_bounding_box_mode::CUBE_BB) { + // The user has specifically asked for CUBE_BB + cube_bb = true; + } else { + // The user has specifically asked for PER_LAYER_BB + VTR_ASSERT_SAFE(place_bb_mode == e_place_bounding_box_mode::PER_LAYER_BB); + cube_bb = false; + } + + return cube_bb; +} + +void PlacementContext::clean_placement_context_post_place() { + // The compressed block grids are currently only used during placement. + vtr::release_memory(compressed_block_grids); +} diff --git a/vpr/src/base/vpr_context.h b/vpr/src/base/vpr_context.h index 1472aa054af..9b1744601a5 100644 --- a/vpr/src/base/vpr_context.h +++ b/vpr/src/base/vpr_context.h @@ -5,19 +5,20 @@ #include #include -#include "prepack.h" +#include "flat_placement_types.h" +#include "physical_types.h" +#include "place_macro.h" +#include "user_place_constraints.h" +#include "user_route_constraints.h" #include "vpr_types.h" -#include "vtr_ndmatrix.h" #include "vtr_optional.h" #include "vtr_vector.h" #include "vtr_vector_map.h" #include "atom_netlist.h" #include "clustered_netlist.h" #include "rr_graph_view.h" -#include "rr_graph_storage.h" #include "rr_graph_builder.h" #include "rr_node.h" -#include "rr_rc_data.h" #include "tatum/TimingGraph.hpp" #include "tatum/TimingConstraints.hpp" #include "power.h" @@ -27,10 +28,7 @@ #include "clock_connection_builders.h" #include "route_tree.h" #include "router_lookahead.h" -#include "place_macro.h" #include "compressed_grid.h" -#include "metadata_storage.h" -#include "vpr_constraints.h" #include "noc_storage.h" #include "noc_traffic_flows.h" #include "noc_routing.h" @@ -69,16 +67,50 @@ struct Context { * * This should contain only data structures related to user specified netlist * being implemented by VPR onto the target device. + * + * This class provides two categories of getter functions that give mutable or + * immutable reference to the global state. If you need read-only access, use + * the normal getter functions and if you need write access to the context use + * the mutable functions. */ struct AtomContext : public Context { /******************************************************************** * Atom Netlist ********************************************************************/ + private: /// @brief Atom netlist - AtomNetlist nlist; - + AtomNetlist nlist_; /// @brief Mappings to/from the Atom Netlist to physically described .blif models - AtomLookup lookup; + AtomLookup lookup_; + /// @brief Placement information on each atom known (from a file or another + /// algorithm) before packing and the cluster-level placement. + FlatPlacementInfo flat_placement_info_; + + public: + /** + * @brief Immutable reference to the AtomNetlist + */ + inline const AtomNetlist& netlist() const { return nlist_; } + /** + * @brief Mutable reference to the AtomNetlist + */ + inline AtomNetlist& mutable_netlist() { return nlist_; } + /** + * @brief Immutable reference to the AtomLookup + */ + inline const AtomLookup& lookup() const { return lookup_; } + /** + * @brief Mutable reference to the AtomLookup + */ + inline AtomLookup& mutable_lookup() { return lookup_; } + /** + * @brief Immutable reference to the FlatPlacementInfo + */ + inline const FlatPlacementInfo& flat_placement_info() const { return flat_placement_info_; } + /** + * @brief Mutable reference to the FlatPlacementInfo + */ + inline FlatPlacementInfo& mutable_flat_placement_info() { return flat_placement_info_; } }; /** @@ -344,15 +376,62 @@ struct PlacementContext : public Context { BlkLocRegistry blk_loc_registry_; public: + /** + * @brief Initialize the variables stored within the placement context. This + * must be called before performing placement, but must be called + * after the clusters are loaded. + * + * @param placer_opts + * The options passed into the placer. + * @param directs + * A list of the direct connections in the architecture. + */ + void init_placement_context(const t_placer_opts& placer_opts, + const std::vector& directs); - const vtr::vector_map& block_locs() const { VTR_ASSERT_SAFE(loc_vars_are_accessible_); return blk_loc_registry_.block_locs(); } - vtr::vector_map& mutable_block_locs() { VTR_ASSERT_SAFE(loc_vars_are_accessible_); return blk_loc_registry_.mutable_block_locs(); } - const GridBlock& grid_blocks() const { VTR_ASSERT_SAFE(loc_vars_are_accessible_); return blk_loc_registry_.grid_blocks(); } - GridBlock& mutable_grid_blocks() { VTR_ASSERT_SAFE(loc_vars_are_accessible_); return blk_loc_registry_.mutable_grid_blocks(); } - vtr::vector_map& mutable_physical_pins() { VTR_ASSERT_SAFE(loc_vars_are_accessible_); return blk_loc_registry_.mutable_physical_pins(); } - const vtr::vector_map& physical_pins() const { VTR_ASSERT_SAFE(loc_vars_are_accessible_); return blk_loc_registry_.physical_pins(); } - BlkLocRegistry& mutable_blk_loc_registry() { VTR_ASSERT_SAFE(loc_vars_are_accessible_); return blk_loc_registry_; } - const BlkLocRegistry& blk_loc_registry() const { VTR_ASSERT_SAFE(loc_vars_are_accessible_); return blk_loc_registry_; } + /** + * @brief Clean variables from the placement context which are not used + * outside of placement. + * + * There are some variables that are stored in the placement context and are + * only used in placement; while there are some that are used outside of + * placement. This method frees up the memory of the variables used only + * within placement. + */ + void clean_placement_context_post_place(); + + const vtr::vector_map& block_locs() const { + VTR_ASSERT_SAFE(loc_vars_are_accessible_); + return blk_loc_registry_.block_locs(); + } + vtr::vector_map& mutable_block_locs() { + VTR_ASSERT_SAFE(loc_vars_are_accessible_); + return blk_loc_registry_.mutable_block_locs(); + } + const GridBlock& grid_blocks() const { + VTR_ASSERT_SAFE(loc_vars_are_accessible_); + return blk_loc_registry_.grid_blocks(); + } + GridBlock& mutable_grid_blocks() { + VTR_ASSERT_SAFE(loc_vars_are_accessible_); + return blk_loc_registry_.mutable_grid_blocks(); + } + vtr::vector_map& mutable_physical_pins() { + VTR_ASSERT_SAFE(loc_vars_are_accessible_); + return blk_loc_registry_.mutable_physical_pins(); + } + const vtr::vector_map& physical_pins() const { + VTR_ASSERT_SAFE(loc_vars_are_accessible_); + return blk_loc_registry_.physical_pins(); + } + BlkLocRegistry& mutable_blk_loc_registry() { + VTR_ASSERT_SAFE(loc_vars_are_accessible_); + return blk_loc_registry_; + } + const BlkLocRegistry& blk_loc_registry() const { + VTR_ASSERT_SAFE(loc_vars_are_accessible_); + return blk_loc_registry_; + } /** * @brief Makes blk_loc_registry_ inaccessible through the getter methods. @@ -361,7 +440,10 @@ struct PlacementContext : public Context { * guarantee that the placement stage code does not access block location variables * stored in the global state. */ - void lock_loc_vars() { VTR_ASSERT_SAFE(loc_vars_are_accessible_); loc_vars_are_accessible_ = false; } + void lock_loc_vars() { + VTR_ASSERT_SAFE(loc_vars_are_accessible_); + loc_vars_are_accessible_ = false; + } /** * @brief Makes blk_loc_registry_ accessible through the getter methods. @@ -369,13 +451,20 @@ struct PlacementContext : public Context { * This method should be called at the end of the placement stage to * make the block location information accessible for subsequent stages. */ - void unlock_loc_vars() { VTR_ASSERT_SAFE(!loc_vars_are_accessible_); loc_vars_are_accessible_ = true; } - - ///@brief Stores ClusterBlockId of all movable clustered blocks (blocks that are not locked down to a single location) - std::vector movable_blocks; + void unlock_loc_vars() { + VTR_ASSERT_SAFE(!loc_vars_are_accessible_); + loc_vars_are_accessible_ = true; + } - ///@brief Stores ClusterBlockId of all movable clustered of each block type - std::vector> movable_blocks_per_type; + /** + * @brief Collection of all the placement macros in the netlist. A placement + * macro is a set of clustered blocks that must be placed in a way + * that is compliant with relative locations specified by the macro. + * Macros are used during placement and are not modified after they + * are created. + * This is created at the start of placement. + */ + std::unique_ptr place_macros; /** * @brief Compressed grid space for each block type @@ -472,7 +561,7 @@ struct RoutingContext : public Context { * @brief User specified routing constraints */ UserRouteConstraints constraints; - + /** Is flat routing enabled? */ bool is_flat; }; @@ -484,6 +573,42 @@ struct RoutingContext : public Context { * to certain regions on the chip. */ struct FloorplanningContext : public Context { + /** + * @brief Update the floorplanning constraints after a clustering has been + * created. + * + * After clustering, the constraints of contained atoms are used to compute + * the constraints of clusters. + * + * This must be called before using the cluster_constraints. + */ + void update_floorplanning_context_post_pack(); + + /** + * @brief Update the floorplanning constraints before placement. + * + * Placement groups clusters together into macros which must be placed + * together. This imposes more constraints onto the clusters which needs to + * be updated. + * + * This must be called before placement, but after the placement context is + * initialized. + * + * @param place_macros + * Macros of clusters which must be placed together. Initialized in the + * placement context. + */ + void update_floorplanning_context_pre_place(const PlaceMacros& place_macros); + + /** + * @brief Clean the floorplanning constraints after placement. + * + * After placement, many of the variables in this class will no longer be + * used (since the placement is no longer changing, the constraints are no + * longer needed). This method will free up the memory used by this class. + */ + void clean_floorplanning_context_post_place(); + /** * @brief Stores groups of constrained atoms, areas where the atoms are constrained to * @@ -524,8 +649,6 @@ struct FloorplanningContext : public Context { * */ std::vector> compressed_cluster_constraints; - - std::vector overfull_partition_regions; }; /** @@ -553,7 +676,7 @@ struct NocContext : public Context { * * Contains all of the traffic flows that describe which pairs of logical routers are * communicating and also some metrics and constraints on the data transfer between the two routers. - * + * * * This is created from a user supplied .flows file. */ diff --git a/vpr/src/base/vpr_signal_handler.cpp b/vpr/src/base/vpr_signal_handler.cpp index 66cb879ff79..9be73206bd1 100644 --- a/vpr/src/base/vpr_signal_handler.cpp +++ b/vpr/src/base/vpr_signal_handler.cpp @@ -21,7 +21,7 @@ #include #ifdef VPR_USE_SIGACTION -# include +#include #endif void vpr_signal_handler(int signal); diff --git a/vpr/src/base/vpr_tatum_error.cpp b/vpr/src/base/vpr_tatum_error.cpp index ea106def804..ed75818444c 100644 --- a/vpr/src/base/vpr_tatum_error.cpp +++ b/vpr/src/base/vpr_tatum_error.cpp @@ -16,19 +16,19 @@ std::string format_tatum_error(const tatum::Error& error) { } if (error.node) { - AtomPinId pin = atom_ctx.lookup.tnode_atom_pin(error.node); + AtomPinId pin = atom_ctx.lookup().tnode_atom_pin(error.node); if (pin) { - msg += "Netlist Pin: '" + atom_ctx.nlist.pin_name(pin) + "', "; + msg += "Netlist Pin: '" + atom_ctx.netlist().pin_name(pin) + "', "; - const t_pb_graph_pin* gpin = atom_ctx.lookup.atom_pin_pb_graph_pin(pin); + const t_pb_graph_pin* gpin = atom_ctx.lookup().atom_pin_pb_graph_pin(pin); if (gpin) { msg += "Graph node pin: '" + gpin->to_string() + "', "; } - AtomBlockId blk = atom_ctx.nlist.pin_block(pin); + AtomBlockId blk = atom_ctx.netlist().pin_block(pin); if (blk) { - msg += "Netlist Block: '" + atom_ctx.nlist.block_name(blk) + "', "; - ClusterBlockId clb_idx = atom_ctx.lookup.atom_clb(blk); + msg += "Netlist Block: '" + atom_ctx.netlist().block_name(blk) + "', "; + ClusterBlockId clb_idx = atom_ctx.lookup().atom_clb(blk); if (clb_idx) { const t_pb* pb = cluster_ctx.clb_nlist.block_pb(clb_idx); if (pb) { @@ -49,18 +49,18 @@ std::string format_tatum_error(const tatum::Error& error) { tatum::NodeId src_node = timing_ctx.graph->edge_src_node(error.edge); tatum::NodeId sink_node = timing_ctx.graph->edge_sink_node(error.edge); - AtomPinId src_pin = atom_ctx.lookup.tnode_atom_pin(src_node); - AtomPinId sink_pin = atom_ctx.lookup.tnode_atom_pin(sink_node); + AtomPinId src_pin = atom_ctx.lookup().tnode_atom_pin(src_node); + AtomPinId sink_pin = atom_ctx.lookup().tnode_atom_pin(sink_node); if (src_pin && sink_pin) { msg += "Between netlist pins "; - msg += "'" + atom_ctx.nlist.pin_name(src_pin) + "' -> '" + atom_ctx.nlist.pin_name(sink_pin) + "'"; + msg += "'" + atom_ctx.netlist().pin_name(src_pin) + "' -> '" + atom_ctx.netlist().pin_name(sink_pin) + "'"; - AtomNetId src_net = atom_ctx.nlist.pin_net(src_pin); - AtomNetId sink_net = atom_ctx.nlist.pin_net(sink_pin); + AtomNetId src_net = atom_ctx.netlist().pin_net(src_pin); + AtomNetId sink_net = atom_ctx.netlist().pin_net(sink_pin); if (src_net && src_net == sink_net) { - msg += " via net '" + atom_ctx.nlist.net_name(src_net) + "'"; + msg += " via net '" + atom_ctx.netlist().net_name(src_net) + "'"; } msg += ", "; diff --git a/vpr/src/base/vpr_types.cpp b/vpr/src/base/vpr_types.cpp index f1401bd5f51..22a644a2d07 100644 --- a/vpr/src/base/vpr_types.cpp +++ b/vpr/src/base/vpr_types.cpp @@ -1,4 +1,5 @@ #include +#include #include "vpr_types.h" #include "globals.h" @@ -451,4 +452,3 @@ BitIndex t_pb::atom_pin_bit_index(const t_pb_graph_pin* gpin) const { void t_pb::set_atom_pin_bit_index(const t_pb_graph_pin* gpin, BitIndex atom_pin_bit_idx) { pin_rotations_[gpin] = atom_pin_bit_idx; } - diff --git a/vpr/src/base/vpr_types.h b/vpr/src/base/vpr_types.h index 3a19b6fb06c..37480201d85 100644 --- a/vpr/src/base/vpr_types.h +++ b/vpr/src/base/vpr_types.h @@ -29,6 +29,7 @@ #include #include #include +#include "ap_flow_enums.h" #include "arch_types.h" #include "atom_netlist_fwd.h" #include "clustered_netlist_fwd.h" @@ -92,15 +93,6 @@ constexpr bool VTR_ENABLE_DEBUG_LOGGING_CONST_EXPR = true; constexpr bool VTR_ENABLE_DEBUG_LOGGING_CONST_EXPR = false; #endif -#define MAX_SHORT 32767 - -/* Values large enough to be way out of range for any data, but small enough - * to allow a small number to be added to them without going out of range. */ -#define HUGE_POSITIVE_FLOAT 1.e30 - -/* Used to avoid floating-point errors when comparing values close to 0 */ -#define EPSILON 1.e-15 - /* * Files */ @@ -113,7 +105,7 @@ constexpr bool VTR_ENABLE_DEBUG_LOGGING_CONST_EXPR = false; #define NOT_VALID (-10000) /* Marks gains that aren't valid */ /* Ensure no gain can ever be this negative! */ #ifndef UNDEFINED -# define UNDEFINED (-1) +#define UNDEFINED (-1) #endif ///@brief Router lookahead types. @@ -251,10 +243,8 @@ class t_pack_high_fanout_thresholds { /* these are defined later, but need to declare here because it is used */ class t_rr_node; -class t_pack_molecule; struct t_pb_stats; struct t_pb_route; -struct t_chain_info; typedef vtr::flat_map2 t_pb_routes; @@ -366,74 +356,6 @@ struct t_pb_route { const t_pb_graph_pin* pb_graph_pin = nullptr; ///block_id - * chain_info : if this is a molecule representing a chained pack pattern, this data structure will - * hold the data shared between all molecules forming a chain together. - * num_blocks : maximum number of atom blocks that can fit in this molecule - * root : index of the pack_pattern->root_block in the atom_blocks_ids. root_block_id = atom_block_ids[root] - * base_gain : intrinsic "goodness" score for molecule independent of rest of netlist - * next : next molecule in the linked list - */ -class t_pack_molecule { - public: - /* general molecule info */ - float base_gain; - enum e_pack_pattern_molecule_type type; - - /* large molecules info */ - t_pack_patterns* pack_pattern; - int root; - int num_blocks; - std::vector atom_block_ids; - std::shared_ptr chain_info; - - t_pack_molecule* next; - // a molecule is chain is it is a forced pack and its pack pattern is chain - bool is_chain() const { return type == MOLECULE_FORCED_PACK && pack_pattern->is_chain; } -}; - -/** - * @brief Holds information to be shared between molecules that represent the same chained pack pattern. - * - * For example, molecules that are representing a long carry chain that spans multiple logic blocks. - * - * Data members: - * is_long_chain : is this a long that is divided on multiple clusters (divided on multiple molecules). - * chain_id : is used to access the chain_root_pins vector in the t_pack_patterns of the molecule. To get - * the starting point of this chain in the cluster. This id is useful when we have multiple - * (architectural) carry chains in a logic block, for example. It lets us see which of the chains - * is being used for this long (netlist) chain, so we continue to use that chain in the packing - * of other molecules of this long chain. - * first_packed_molecule : first molecule to be packed out of the molecules forming this chain. This is the molecule - * setting the value of the chain_id. - */ -struct t_chain_info { - bool is_long_chain = false; - int chain_id = -1; - t_pack_molecule* first_packed_molecule = nullptr; -}; - /****************************************************************** * Timing data types *******************************************************************/ @@ -755,6 +677,7 @@ struct t_file_name_opts { std::string read_vpr_constraints_file; std::string write_vpr_constraints_file; std::string write_constraints_file; + std::string read_flat_place_file; std::string write_flat_place_file; std::string write_block_usage; bool verify_file_digests; @@ -794,9 +717,7 @@ struct t_packer_opts { enum e_cluster_seed cluster_seed_type; float alpha; float beta; - float inter_cluster_net_delay; float target_device_utilization; - bool auto_compute_inter_cluster_net_delay; e_unrelated_clustering allow_unrelated_clustering; bool connection_driven; int pack_verbosity; @@ -991,11 +912,6 @@ enum class e_move_type; * @param timing_tradeoff * When in CRITICALITY_TIMING_PLACE mode, what is the * tradeoff between timing and wiring costs. - * @param place_cost_exp - * Wiring cost is divided by the average channel width over - * a net's bounding box taken to this exponent. - * Only impacts devices with different channel widths in - * different directions or regions. (Default: 1) * @param place_chan_width * The channel width assumed if only one placement is performed. * @param pad_loc_type @@ -1043,7 +959,7 @@ enum class e_move_type; struct t_placer_opts { t_place_algorithm place_algorithm; t_place_algorithm place_quench_algorithm; - t_annealing_sched anneal_sched; /// sb; + + /** + * @brief [0..length]: true for every logic block along the segment at + * which there is a connection box. + */ std::unique_ptr cb; + + /** + * @brief Index of the switch type that connects other wires to this segment. + * Note that this index is in relation to the switches from the architecture + * file, not the expanded list of switches that is built at the end of build_rr_graph. + */ short arch_wire_switch = 0; + + /** + * @brief Index of the switch type that connects output pins (OPINs) *to* this segment. + * Note that this index is in relation to the switches from the architecture + * file, not the expanded list of switches that is built at the end of build_rr_graph. + */ short arch_opin_switch = 0; - short arch_opin_between_dice_switch = 0; + + /** + * @brief Index of the switch type that connects output pins (OPINs) *to* this segment + * from *another dice*. Note that this index is in relation to the switches from the + * architecture file, not the expanded list of switches that is built at the end of + * build_rr_graph. + */ + short arch_inter_die_switch = 0; + + /** + * @brief Resistance of a routing track, per unit logic block length. + */ float Rmetal = 0; + + /** + * @brief Capacitance of a routing track, per unit logic block length. + */ float Cmetal = 0; + bool twisted = false; + + /** + * @brief Direction of the segment. + */ enum Direction direction = Direction::NONE; + + /** + * @brief Index of the first logic block in the group. + */ int group_start = 0; + + /** + * @brief Size of the group. + */ int group_size = 0; + + /** + * @brief Index of the first logic block in the segment. + */ int seg_start = 0; + + /** + * @brief Index of the last logic block in the segment. + */ int seg_end = 0; + + /** + * @brief index of the segment type used for this track. + * Note that this index will store the index of the segment + * relative to its **parallel** segment types, not all segments + * as stored in device_ctx. Look in rr_graph.cpp: build_rr_graph + * for details but here is an example: say our segment_inf_vec in + * device_ctx is as follows: [seg_a_x, seg_b_x, seg_a_y, seg_b_y] + * when building the rr_graph, static segment_inf_vectors will be + * created for each direction, thus you will have the following + * 2 vectors: X_vec =[seg_a_x,seg_b_x] and Y_vec = [seg_a_y,seg_b_y]. + * As a result, e.g. seg_b_y::index == 1 (index in Y_vec) + * and != 3 (index in device_ctx segment_inf_vec). + */ int index = 0; + + /** + * @brief index is relative to the segment_inf vec as stored in device_ctx. + * Note that the above vector is **unifies** both x-parallel and + * y-parallel segments and is loaded up originally in read_xml_arch_file.cpp + */ int abs_index = 0; - float Cmetal_per_m = 0; ///arch_wire_switch; } short arch_opin_switch() const { return seg_detail_->arch_opin_switch; } - short arch_opin_between_dice_switch() const { return seg_detail_->arch_opin_between_dice_switch; } + short arch_inter_die_switch() const { return seg_detail_->arch_inter_die_switch; } Direction direction() const { return seg_detail_->direction; } @@ -1659,7 +1653,7 @@ typedef t_routing_status t_atom_net_routing_status; /** Edge between two RRNodes */ struct t_node_edge { - t_node_edge(RRNodeId fnode, RRNodeId tnode) + t_node_edge(RRNodeId fnode, RRNodeId tnode) noexcept : from_node(fnode) , to_node(tnode) {} @@ -1672,10 +1666,18 @@ struct t_node_edge { } }; -///@brief Non-configurably connected nodes and edges in the RR graph +/** + * @brief Groups of non-configurably connected nodes and edges in the RR graph. + * @note Each group is represented by a node set and an edge set, stored at the same index. + * + * For example, in an architecture with L-shaped wires formed by an x- and y-directed segment + * connected by an electrical short, each L-shaped wire corresponds to a new group. The group's + * index provides access to its node set (containing two RRNodeIds) and edge set (containing two + * directed edge in opposite directions). + */ struct t_non_configurable_rr_sets { - std::set> node_sets; - std::set> edge_sets; + std::vector> node_sets; + std::vector> edge_sets; }; ///@brief Power estimation options @@ -1687,11 +1689,11 @@ struct t_power_opts { * @param max= Maximum channel width between x_max and y_max. * @param x_min= Minimum channel width of horizontal channels. Initialized when init_chan() is invoked in rr_graph2.cpp * @param y_min= Same as above but for vertical channels. - * @param x_max= Maximum channel width of horiozntal channels. Initialized when init_chan() is invoked in rr_graph2.cpp + * @param x_max= Maximum channel width of horizontal channels. Initialized when init_chan() is invoked in rr_graph2.cpp * @param y_max= Same as above but for vertical channels. * @param x_list= Stores the channel width of all horizontal channels and thus goes from [0..grid.height()] * (imagine a 2D Cartesian grid with horizontal lines starting at every grid point on a line parallel to the y-axis) - * @param y_list= Stores the channel width of all verical channels and thus goes from [0..grid.width()] + * @param y_list= Stores the channel width of all vertical channels and thus goes from [0..grid.width()] * (imagine a 2D Cartesian grid with vertical lines starting at every grid point on a line parallel to the x-axis) */ diff --git a/vpr/src/draw/buttons.cpp b/vpr/src/draw/buttons.cpp index ae48bdbc7b9..db64d45c5de 100644 --- a/vpr/src/draw/buttons.cpp +++ b/vpr/src/draw/buttons.cpp @@ -10,16 +10,16 @@ * Last updated: Aug 2019 */ -# include "draw_global.h" -# include "draw.h" -# include "draw_toggle_functions.h" -# include "buttons.h" -# include "intra_logic_block.h" -# include "clustered_netlist.h" +#include "draw_global.h" +#include "draw.h" +#include "draw_toggle_functions.h" +#include "buttons.h" +#include "intra_logic_block.h" +#include "clustered_netlist.h" -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" //location of spin buttons, combo boxes, and labels on grid gint box_width = 1; diff --git a/vpr/src/draw/buttons.h b/vpr/src/draw/buttons.h index 853ad4cb50b..ce7d2910130 100644 --- a/vpr/src/draw/buttons.h +++ b/vpr/src/draw/buttons.h @@ -3,11 +3,11 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" +#include "draw_global.h" -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" void delete_button(const char* button_name); GtkWidget* find_button(const char* button_name); diff --git a/vpr/src/draw/draw.cpp b/vpr/src/draw/draw.cpp index 46bdd750ca9..5dc0c09523e 100644 --- a/vpr/src/draw/draw.cpp +++ b/vpr/src/draw/draw.cpp @@ -55,20 +55,20 @@ //To process key presses we need the X11 keysym definitions, //which are unavailable when building with MINGW -# if defined(X11) && !defined(__MINGW32__) -# include -# endif - -# include "rr_graph.h" -# include "route_utilization.h" -# include "place_macro.h" -# include "buttons.h" -# include "draw_rr.h" +#if defined(X11) && !defined(__MINGW32__) +#include +#endif + +#include "rr_graph.h" +#include "route_utilization.h" +#include "place_macro.h" +#include "buttons.h" +#include "draw_rr.h" /****************************** Define Macros *******************************/ -# define DEFAULT_RR_NODE_COLOR ezgl::BLACK -# define OLD_BLK_LOC_COLOR blk_GOLD -# define NEW_BLK_LOC_COLOR blk_GREEN +#define DEFAULT_RR_NODE_COLOR ezgl::BLACK +#define OLD_BLK_LOC_COLOR blk_GOLD +#define NEW_BLK_LOC_COLOR blk_GREEN //#define TIME_DRAWSCREEN /* Enable if want to track runtime for drawscreen() */ void act_on_key_press(ezgl::application* /*app*/, GdkEventKey* /*event*/, char* key_name); @@ -569,7 +569,7 @@ void init_draw_coords(float clb_width, const BlkLocRegistry& blk_loc_registry) { draw_state->draw_rr_node[inode].node_highlighted = false; } } - draw_coords->tile_width = clb_width; + draw_coords->set_tile_width(clb_width); draw_coords->pin_size = 0.3; for (const auto& type : device_ctx.physical_tile_types) { auto num_pins = type.num_pins; @@ -795,9 +795,9 @@ void act_on_mouse_move(ezgl::application* app, GdkEventButton* /* event */, doub ezgl::point2d atom_pin_draw_coord(AtomPinId pin) { auto& atom_ctx = g_vpr_ctx.atom(); - AtomBlockId blk = atom_ctx.nlist.pin_block(pin); - ClusterBlockId clb_index = atom_ctx.lookup.atom_clb(blk); - const t_pb_graph_node* pg_gnode = atom_ctx.lookup.atom_pb_graph_node(blk); + AtomBlockId blk = atom_ctx.netlist().pin_block(pin); + ClusterBlockId clb_index = atom_ctx.lookup().atom_clb(blk); + const t_pb_graph_node* pg_gnode = atom_ctx.lookup().atom_pb_bimap().atom_pb_graph_node(blk); t_draw_coords* draw_coords = get_draw_coords_vars(); ezgl::rectangle pb_bbox = draw_coords->get_absolute_pb_bbox(clb_index, @@ -1326,7 +1326,7 @@ static void run_graphics_commands(const std::string& commands) { ezgl::point2d tnode_draw_coord(tatum::NodeId node) { auto& atom_ctx = g_vpr_ctx.atom(); - AtomPinId pin = atom_ctx.lookup.tnode_atom_pin(node); + AtomPinId pin = atom_ctx.lookup().tnode_atom_pin(node); return atom_pin_draw_coord(pin); } @@ -1422,7 +1422,7 @@ size_t get_max_fanout() { max_fanout = std::max(max_fanout, clb_nlist.net_sinks(net_id).size()); auto& atom_ctx = g_vpr_ctx.atom(); - auto& atom_nlist = atom_ctx.nlist; + auto& atom_nlist = atom_ctx.netlist(); size_t max_fanout2 = 0; for (AtomNetId net_id : atom_nlist.nets()) max_fanout2 = std::max(max_fanout2, atom_nlist.net_sinks(net_id).size()); diff --git a/vpr/src/draw/draw.h b/vpr/src/draw/draw.h index 355b2891931..adfb6fd47be 100644 --- a/vpr/src/draw/draw.h +++ b/vpr/src/draw/draw.h @@ -26,16 +26,16 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" - -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" -# include "draw_color.h" -# include "search_bar.h" -# include "draw_debug.h" -# include "manual_moves.h" -# include "vtr_ndoffsetmatrix.h" +#include "draw_global.h" + +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" +#include "draw_color.h" +#include "search_bar.h" +#include "draw_debug.h" +#include "manual_moves.h" +#include "vtr_ndoffsetmatrix.h" extern ezgl::application::settings settings; extern ezgl::application application; diff --git a/vpr/src/draw/draw_basic.cpp b/vpr/src/draw/draw_basic.cpp index 482fbf178e3..2981dd308aa 100644 --- a/vpr/src/draw/draw_basic.cpp +++ b/vpr/src/draw/draw_basic.cpp @@ -7,9 +7,9 @@ #include #include +#include "physical_types_util.h" #include "vtr_assert.h" #include "vtr_ndoffsetmatrix.h" -#include "vtr_log.h" #include "vtr_color_map.h" #include "vpr_utils.h" @@ -22,7 +22,6 @@ #include "draw_rr_edges.h" #include "draw_basic.h" #include "draw_triangle.h" -#include "read_xml_arch_file.h" #include "draw_global.h" #include "move_utils.h" #include "route_export.h" @@ -32,19 +31,19 @@ //To process key presses we need the X11 keysym definitions, //which are unavailable when building with MINGW -# if defined(X11) && !defined(__MINGW32__) -# include -# endif +#if defined(X11) && !defined(__MINGW32__) +#include +#endif -# include "rr_graph.h" -# include "route_utilization.h" -# include "place_macro.h" -# include "buttons.h" +#include "rr_graph.h" +#include "route_utilization.h" +#include "place_macro.h" +#include "buttons.h" /****************************** Define Macros *******************************/ -# define DEFAULT_RR_NODE_COLOR ezgl::BLACK -# define OLD_BLK_LOC_COLOR blk_GOLD -# define NEW_BLK_LOC_COLOR blk_GREEN +#define DEFAULT_RR_NODE_COLOR ezgl::BLACK +#define OLD_BLK_LOC_COLOR blk_GOLD +#define NEW_BLK_LOC_COLOR blk_GREEN constexpr float EMPTY_BLOCK_LIGHTEN_FACTOR = 0.20; @@ -239,7 +238,7 @@ void drawnets(ezgl::renderer* g) { ClusterBlockId b2 = cluster_ctx.clb_nlist.pin_block(pin_id); //the layer of the pin block (net sinks) - sink_block_layer_num =block_locs[b2].loc.layer; + sink_block_layer_num = block_locs[b2].loc.layer; t_draw_layer_display element_visibility = get_element_visibility_and_transparency(driver_block_layer_num, sink_block_layer_num); @@ -384,7 +383,7 @@ void draw_routing_costs(ezgl::renderer* g) { auto& device_ctx = g_vpr_ctx.device(); auto& route_ctx = g_vpr_ctx.routing(); g->set_line_width(0); - + VTR_ASSERT(!route_ctx.rr_node_route_inf.empty()); float min_cost = std::numeric_limits::infinity(); @@ -777,7 +776,9 @@ void draw_placement_macros(ezgl::renderer* g) { t_draw_coords* draw_coords = get_draw_coords_vars(); const auto& block_locs = draw_state->get_graphics_blk_loc_registry_ref().block_locs(); - const auto& place_macros = draw_state->get_graphics_blk_loc_registry_ref().place_macros(); + + VTR_ASSERT(g_vpr_ctx.placement().place_macros); + const PlaceMacros& place_macros = *g_vpr_ctx.placement().place_macros; for (const t_pl_macro& pl_macro : place_macros.macros()) { @@ -1086,7 +1087,7 @@ void draw_crit_path(ezgl::renderer* g) { /** * @brief Draw critical path elements. - * + * * This function draws critical path elements based on the provided timing paths * and indexes map. It is primarily used in server mode, where items are drawn upon request. */ @@ -1094,19 +1095,19 @@ void draw_crit_path_elements(const std::vector& paths, const t_draw_state* draw_state = get_draw_state_vars(); const ezgl::color contour_color{0, 0, 0, 40}; - auto draw_flyline_timing_edge_helper_fn = [](ezgl::renderer* renderer, const ezgl::color& color, ezgl::line_dash line_style, int line_width, float delay, - const tatum::NodeId& prev_node, const tatum::NodeId& node, bool skip_draw_delays=false) { + auto draw_flyline_timing_edge_helper_fn = [](ezgl::renderer* renderer, const ezgl::color& color, ezgl::line_dash line_style, int line_width, float delay, + const tatum::NodeId& prev_node, const tatum::NodeId& node, bool skip_draw_delays = false) { renderer->set_color(color); renderer->set_line_dash(line_style); renderer->set_line_width(line_width); draw_flyline_timing_edge(tnode_draw_coord(prev_node), - tnode_draw_coord(node), delay, renderer, skip_draw_delays); + tnode_draw_coord(node), delay, renderer, skip_draw_delays); renderer->set_line_dash(ezgl::line_dash::none); - renderer->set_line_width(0); + renderer->set_line_width(0); }; - for (const auto& [path_index, element_indexes]: indexes) { + for (const auto& [path_index, element_indexes] : indexes) { if (path_index < paths.size()) { const tatum::TimingPath& path = paths[path_index]; @@ -1116,7 +1117,7 @@ void draw_crit_path_elements(const std::vector& paths, const int element_counter = 0; for (const tatum::TimingPathElem& elem : path.data_arrival_path().elements()) { bool draw_current_element = element_indexes.empty() || element_indexes.find(element_counter) != element_indexes.end(); - + // draw element tatum::NodeId node = elem.node(); float arr_time = elem.tag().time(); @@ -1131,9 +1132,9 @@ void draw_crit_path_elements(const std::vector& paths, const float delay = arr_time - prev_arr_time; if ((draw_state->show_crit_path == DRAW_CRIT_PATH_FLYLINES) || (draw_state->show_crit_path == DRAW_CRIT_PATH_FLYLINES_DELAYS)) { if (draw_current_element) { - draw_flyline_timing_edge_helper_fn(g, color, ezgl::line_dash::none, /*line_width*/4, delay, prev_node, node); + draw_flyline_timing_edge_helper_fn(g, color, ezgl::line_dash::none, /*line_width*/ 4, delay, prev_node, node); } else if (draw_crit_path_contour) { - draw_flyline_timing_edge_helper_fn(g, contour_color, ezgl::line_dash::none, /*line_width*/1, delay, prev_node, node, /*skip_draw_delays*/true); + draw_flyline_timing_edge_helper_fn(g, contour_color, ezgl::line_dash::none, /*line_width*/ 1, delay, prev_node, node, /*skip_draw_delays*/ true); } } else { VTR_ASSERT(draw_state->show_crit_path != DRAW_NO_CRIT_PATH); @@ -1142,13 +1143,13 @@ void draw_crit_path_elements(const std::vector& paths, const //Draw the routed version of the timing edge draw_routed_timing_edge_connection(prev_node, node, color, g); - draw_flyline_timing_edge_helper_fn(g, color, ezgl::line_dash::asymmetric_5_3, /*line_width*/3, delay, prev_node, node); + draw_flyline_timing_edge_helper_fn(g, color, ezgl::line_dash::asymmetric_5_3, /*line_width*/ 3, delay, prev_node, node); } else if (draw_crit_path_contour) { - draw_flyline_timing_edge_helper_fn(g, color, ezgl::line_dash::asymmetric_5_3, /*line_width*/3, delay, prev_node, node, /*skip_draw_delays*/true); + draw_flyline_timing_edge_helper_fn(g, color, ezgl::line_dash::asymmetric_5_3, /*line_width*/ 3, delay, prev_node, node, /*skip_draw_delays*/ true); } } } - + prev_node = node; prev_arr_time = arr_time; // end draw element @@ -1164,9 +1165,9 @@ int get_timing_path_node_layer_num(tatum::NodeId node) { const auto& block_locs = draw_state->get_graphics_blk_loc_registry_ref().block_locs(); const auto& atom_ctx = g_vpr_ctx.atom(); - AtomPinId atom_pin = atom_ctx.lookup.tnode_atom_pin(node); - AtomBlockId atom_block = atom_ctx.nlist.pin_block(atom_pin); - ClusterBlockId clb_block = atom_ctx.lookup.atom_clb(atom_block); + AtomPinId atom_pin = atom_ctx.lookup().tnode_atom_pin(node); + AtomBlockId atom_block = atom_ctx.netlist().pin_block(atom_pin); + ClusterBlockId clb_block = atom_ctx.lookup().atom_clb(atom_block); return block_locs[clb_block].loc.layer; } @@ -1184,7 +1185,7 @@ bool is_flyline_valid_to_draw(int src_layer, int sink_layer) { } //Draws critical path shown as flylines. -void draw_flyline_timing_edge(ezgl::point2d start, ezgl::point2d end, float incr_delay, ezgl::renderer* g, bool skip_draw_delays/*=false*/) { +void draw_flyline_timing_edge(ezgl::point2d start, ezgl::point2d end, float incr_delay, ezgl::renderer* g, bool skip_draw_delays /*=false*/) { g->draw_line(start, end); draw_triangle_along_line(g, start, end, 0.95, 40 * DEFAULT_ARROW_SIZE); draw_triangle_along_line(g, start, end, 0.05, 40 * DEFAULT_ARROW_SIZE); @@ -1193,7 +1194,7 @@ void draw_flyline_timing_edge(ezgl::point2d start, ezgl::point2d end, float incr == DRAW_CRIT_PATH_FLYLINES_DELAYS || get_draw_state_vars()->show_crit_path == DRAW_CRIT_PATH_ROUTING_DELAYS) - && !skip_draw_delays; + && !skip_draw_delays; if (draw_delays) { //Determine the strict bounding box based on the lines start/end float min_x = std::min(start.x, end.x); @@ -1264,8 +1265,8 @@ void draw_routed_timing_edge_connection(tatum::NodeId src_tnode, auto& cluster_ctx = g_vpr_ctx.clustering(); auto& timing_ctx = g_vpr_ctx.timing(); - AtomPinId atom_src_pin = atom_ctx.lookup.tnode_atom_pin(src_tnode); - AtomPinId atom_sink_pin = atom_ctx.lookup.tnode_atom_pin(sink_tnode); + AtomPinId atom_src_pin = atom_ctx.lookup().tnode_atom_pin(src_tnode); + AtomPinId atom_sink_pin = atom_ctx.lookup().tnode_atom_pin(sink_tnode); std::vector points; points.push_back(atom_pin_draw_coord(atom_src_pin)); @@ -1283,16 +1284,16 @@ void draw_routed_timing_edge_connection(tatum::NodeId src_tnode, //TODO: most of this code is highly similar to code in PostClusterDelayCalculator, refactor // into a common method for walking the clustered netlist, this would also (potentially) // allow us to grab the component delays - AtomBlockId atom_src_block = atom_ctx.nlist.pin_block(atom_src_pin); - AtomBlockId atom_sink_block = atom_ctx.nlist.pin_block(atom_sink_pin); + AtomBlockId atom_src_block = atom_ctx.netlist().pin_block(atom_src_pin); + AtomBlockId atom_sink_block = atom_ctx.netlist().pin_block(atom_sink_pin); - ClusterBlockId clb_src_block = atom_ctx.lookup.atom_clb(atom_src_block); + ClusterBlockId clb_src_block = atom_ctx.lookup().atom_clb(atom_src_block); VTR_ASSERT(clb_src_block != ClusterBlockId::INVALID()); - ClusterBlockId clb_sink_block = atom_ctx.lookup.atom_clb( + ClusterBlockId clb_sink_block = atom_ctx.lookup().atom_clb( atom_sink_block); VTR_ASSERT(clb_sink_block != ClusterBlockId::INVALID()); - const t_pb_graph_pin* sink_gpin = atom_ctx.lookup.atom_pin_pb_graph_pin( + const t_pb_graph_pin* sink_gpin = atom_ctx.lookup().atom_pin_pb_graph_pin( atom_sink_pin); VTR_ASSERT(sink_gpin); diff --git a/vpr/src/draw/draw_basic.h b/vpr/src/draw/draw_basic.h index d939b43b8ec..65d42836948 100644 --- a/vpr/src/draw/draw_basic.h +++ b/vpr/src/draw/draw_basic.h @@ -34,11 +34,11 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" +#include "draw_global.h" -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" /* Draws the blocks placed on the proper clbs. Occupied blocks are darker colours * * while empty ones are lighter colours and have a dashed border. * @@ -130,7 +130,7 @@ void draw_crit_path_elements(const std::vector& paths, const bool is_flyline_valid_to_draw(int src_layer, int sink_layer); /* Draws critical path shown as flylines. Takes in start and end coordinates, time delay, & renderer.*/ -void draw_flyline_timing_edge(ezgl::point2d start, ezgl::point2d end, float incr_delay, ezgl::renderer* g, bool skip_draw_delays=false); +void draw_flyline_timing_edge(ezgl::point2d start, ezgl::point2d end, float incr_delay, ezgl::renderer* g, bool skip_draw_delays = false); /* Collects all the drawing locations associated with the timing edge between start and end. * Only traces interconnect edges in detail, and treats all others as flylines. diff --git a/vpr/src/draw/draw_color.h b/vpr/src/draw/draw_color.h index f9258dadbef..4dcc5e5dbe8 100644 --- a/vpr/src/draw/draw_color.h +++ b/vpr/src/draw/draw_color.h @@ -10,9 +10,9 @@ #ifndef NO_GRAPHICS -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" static constexpr ezgl::color blk_BISQUE(0xFF, 0xE4, 0xC4); static constexpr ezgl::color blk_LIGHTGREY(0xD3, 0xD3, 0xD3); diff --git a/vpr/src/draw/draw_debug.cpp b/vpr/src/draw/draw_debug.cpp index aeee39b1501..89566863c5e 100644 --- a/vpr/src/draw/draw_debug.cpp +++ b/vpr/src/draw/draw_debug.cpp @@ -46,16 +46,16 @@ void draw_debug_window() { GtkWidget* mainGrid = gtk_grid_new(); gtk_widget_set_margin_top(mainGrid, 30); gtk_widget_set_margin_bottom(mainGrid, 30); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(mainGrid, 30); -# else +#else gtk_widget_set_margin_left(mainGrid, 30); -# endif -# if GTK_CHECK_VERSION(3, 12, 0) +#endif +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(mainGrid, 20); -# else +#else gtk_widget_set_margin_right(mainGrid, 20); -# endif +#endif //create all labels GtkWidget* placerOpts = gtk_label_new(NULL); @@ -71,39 +71,39 @@ void draw_debug_window() { gtk_widget_set_margin_top(bplist, 30); GtkWidget* movesLabel = gtk_label_new("Number of moves to proceed"); gtk_widget_set_halign(movesLabel, GTK_ALIGN_END); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(movesLabel, 8); -# else +#else gtk_widget_set_margin_right(movesLabel, 8); -# endif +#endif GtkWidget* tempsLabel = gtk_label_new("Temperatures to proceed:"); gtk_widget_set_halign(tempsLabel, GTK_ALIGN_END); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(tempsLabel, 8); -# else +#else gtk_widget_set_margin_right(tempsLabel, 8); -# endif +#endif GtkWidget* blockLabel = gtk_label_new("Stop at from_block"); gtk_widget_set_halign(blockLabel, GTK_ALIGN_END); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(blockLabel, 8); -# else +#else gtk_widget_set_margin_right(blockLabel, 8); -# endif +#endif GtkWidget* iterLabel = gtk_label_new("Stop at router iteration"); gtk_widget_set_halign(iterLabel, GTK_ALIGN_END); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(iterLabel, 8); -# else +#else gtk_widget_set_margin_right(iterLabel, 8); -# endif +#endif GtkWidget* netLabel = gtk_label_new("Stop at route_net_id"); gtk_widget_set_halign(netLabel, GTK_ALIGN_END); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(netLabel, 8); -# else +#else gtk_widget_set_margin_right(netLabel, 8); -# endif +#endif GtkWidget* star = gtk_label_new("*for handling multiple breakpoints at once using an expression can be more accurate"); gtk_widget_set_margin_top(star, 15); @@ -111,51 +111,51 @@ void draw_debug_window() { GtkWidget* setM = gtk_button_new_with_label("Set"); gtk_widget_set_halign(setM, GTK_ALIGN_START); gtk_widget_set_margin_bottom(setM, 10); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(setM, 10); -# else +#else gtk_widget_set_margin_left(setM, 10); -# endif +#endif GtkWidget* setT = gtk_button_new_with_label("Set"); gtk_widget_set_halign(setT, GTK_ALIGN_START); gtk_widget_set_margin_bottom(setT, 10); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(setT, 10); -# else +#else gtk_widget_set_margin_left(setT, 10); -# endif +#endif GtkWidget* setB = gtk_button_new_with_label("Set"); gtk_widget_set_halign(setB, GTK_ALIGN_START); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(setB, 10); -# else +#else gtk_widget_set_margin_left(setB, 10); -# endif +#endif GtkWidget* setI = gtk_button_new_with_label("Set"); gtk_widget_set_halign(setI, GTK_ALIGN_START); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(setI, 10); -# else +#else gtk_widget_set_margin_left(setI, 10); -# endif +#endif GtkWidget* setN = gtk_button_new_with_label("Set"); gtk_widget_set_halign(setN, GTK_ALIGN_START); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(setN, 10); -# else +#else gtk_widget_set_margin_left(setN, 10); -# endif +#endif GtkWidget* advanced = gtk_button_new_with_label("Advanced"); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(advanced, 60); -# else +#else gtk_widget_set_margin_left(advanced, 60); -# endif -# if GTK_CHECK_VERSION(3, 12, 0) +#endif +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(advanced, 10); -# else +#else gtk_widget_set_margin_right(advanced, 10); -# endif +#endif gtk_widget_set_margin_top(advanced, 20); //create all entries @@ -273,39 +273,39 @@ void advanced_button_callback() { gtk_widget_set_halign(expander, GTK_ALIGN_START); //set margins -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(instructions, 30); -# else +#else gtk_widget_set_margin_left(instructions, 30); -# endif -# if GTK_CHECK_VERSION(3, 12, 0) +#endif +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(instructions, 30); -# else +#else gtk_widget_set_margin_right(instructions, 30); -# endif +#endif gtk_widget_set_margin_top(instructions, 30); gtk_widget_set_margin_bottom(instructions, 30); gtk_widget_set_margin_bottom(expression_here, 5); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(entry, 30); -# else +#else gtk_widget_set_margin_left(entry, 30); -# endif -# if GTK_CHECK_VERSION(3, 12, 0) +#endif +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(set, 30); -# else +#else gtk_widget_set_margin_right(set, 30); -# endif -# if GTK_CHECK_VERSION(3, 12, 0) +#endif +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(set, 40); -# else +#else gtk_widget_set_margin_left(set, 40); -# endif -# if GTK_CHECK_VERSION(3, 12, 0) +#endif +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(expander, 10); -# else +#else gtk_widget_set_margin_left(expander, 10); -# endif +#endif gtk_widget_set_margin_top(expander, 20); //grid settings @@ -350,11 +350,11 @@ void refresh_bpList() { if (draw_state->list_of_breakpoints[i].active) gtk_toggle_button_set_active((GtkToggleButton*)checkbox, TRUE); gtk_grid_attach((GtkGrid*)draw_debug_glob_vars.bpGrid, checkbox, 1, i, 1, 1); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(checkbox, 290 - draw_debug_glob_vars.bp_labels[i].size()); -# else +#else gtk_widget_set_margin_left(checkbox, 290 - draw_debug_glob_vars.bp_labels[i].size()); -# endif +#endif gtk_widget_set_halign(checkbox, GTK_ALIGN_END); gtk_widget_set_valign(checkbox, GTK_ALIGN_CENTER); @@ -368,11 +368,11 @@ void refresh_bpList() { gtk_grid_attach((GtkGrid*)draw_debug_glob_vars.bpGrid, deleteButton, 2, i, 1, 1); gtk_widget_set_halign(deleteButton, GTK_ALIGN_END); gtk_widget_set_valign(deleteButton, GTK_ALIGN_CENTER); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(deleteButton, 10); -# else +#else gtk_widget_set_margin_left(deleteButton, 10); -# endif +#endif gtk_widget_show_all(draw_debug_glob_vars.bpGrid); } @@ -393,11 +393,11 @@ void add_to_bpList(std::string bpDescription) { gtk_widget_set_name(checkbox, c.c_str()); gtk_toggle_button_set_active((GtkToggleButton*)checkbox, TRUE); gtk_grid_attach((GtkGrid*)draw_debug_glob_vars.bpGrid, checkbox, 1, draw_debug_glob_vars.bpList_row, 1, 1); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(checkbox, 290 - bpDescription.size()); -# else +#else gtk_widget_set_margin_left(checkbox, 290 - bpDescription.size()); -# endif +#endif gtk_widget_set_halign(checkbox, GTK_ALIGN_END); gtk_widget_set_valign(checkbox, GTK_ALIGN_CENTER); @@ -411,11 +411,11 @@ void add_to_bpList(std::string bpDescription) { gtk_grid_attach((GtkGrid*)draw_debug_glob_vars.bpGrid, deleteButton, 2, draw_debug_glob_vars.bpList_row, 1, 1); gtk_widget_set_halign(deleteButton, GTK_ALIGN_END); gtk_widget_set_valign(deleteButton, GTK_ALIGN_CENTER); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(deleteButton, 10); -# else +#else gtk_widget_set_margin_left(deleteButton, 10); -# endif +#endif gtk_widget_show_all(draw_debug_glob_vars.bpGrid); } @@ -539,16 +539,16 @@ void invalid_breakpoint_entry_window(std::string error) { //label settings GtkWidget* label = gtk_label_new(error.c_str()); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(label, 30); -# else +#else gtk_widget_set_margin_left(label, 30); -# endif -# if GTK_CHECK_VERSION(3, 12, 0) +#endif +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(label, 30); -# else +#else gtk_widget_set_margin_right(label, 30); -# endif +#endif gtk_widget_set_margin_top(label, 30); gtk_widget_set_margin_bottom(label, 30); gtk_grid_attach((GtkGrid*)grid, label, 0, 0, 1, 1); @@ -556,16 +556,16 @@ void invalid_breakpoint_entry_window(std::string error) { //button settings GtkWidget* button = gtk_button_new_with_label("OK"); gtk_widget_set_margin_bottom(button, 30); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(button, 30); -# else +#else gtk_widget_set_margin_right(button, 30); -# endif -# if GTK_CHECK_VERSION(3, 12, 0) +#endif +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(button, 30); -# else +#else gtk_widget_set_margin_left(button, 30); -# endif +#endif gtk_grid_attach((GtkGrid*)grid, button, 0, 1, 1, 1); g_signal_connect(button, "clicked", G_CALLBACK(ok_close_window), window); @@ -586,47 +586,47 @@ void breakpoint_info_window(std::string bpDescription, BreakpointState draw_brea //label settings GtkWidget* label = gtk_label_new(bpDescription.c_str()); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(label, 30); -# else +#else gtk_widget_set_margin_left(label, 30); -# endif -# if GTK_CHECK_VERSION(3, 12, 0) +#endif +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(label, 30); -# else +#else gtk_widget_set_margin_right(label, 30); -# endif +#endif gtk_widget_set_margin_top(label, 30); gtk_widget_set_margin_bottom(label, 30); gtk_grid_attach((GtkGrid*)grid, label, 0, 0, 1, 1); GtkWidget* curr_info = gtk_label_new(NULL); gtk_label_set_markup((GtkLabel*)curr_info, "Current Information"); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(curr_info, 30); -# else +#else gtk_widget_set_margin_left(curr_info, 30); -# endif -# if GTK_CHECK_VERSION(3, 12, 0) +#endif +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(curr_info, 30); -# else +#else gtk_widget_set_margin_right(curr_info, 30); -# endif +#endif gtk_widget_set_margin_bottom(curr_info, 15); gtk_grid_attach((GtkGrid*)grid, curr_info, 0, 1, 1, 1); //info grid GtkWidget* info_grid = gtk_grid_new(); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(info_grid, 30); -# else +#else gtk_widget_set_margin_left(info_grid, 30); -# endif -# if GTK_CHECK_VERSION(3, 12, 0) +#endif +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_end(info_grid, 30); -# else +#else gtk_widget_set_margin_right(info_grid, 30); -# endif +#endif gtk_widget_set_margin_bottom(info_grid, 20); //images @@ -634,67 +634,67 @@ void breakpoint_info_window(std::string bpDescription, BreakpointState draw_brea GtkWidget* t = gtk_image_new_from_file("src/draw/t.png"); GtkWidget* r = gtk_image_new_from_file("src/draw/r.png"); GtkWidget* n = gtk_image_new_from_file("src/draw/n.png"); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(n, 18); -# else +#else gtk_widget_set_margin_left(n, 18); -# endif +#endif GtkWidget* i = gtk_image_new_from_file("src/draw/i.png"); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(i, 16); -# else +#else gtk_widget_set_margin_left(i, 16); -# endif +#endif GtkWidget* b = gtk_image_new_from_file("src/draw/b.png"); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(b, 18); -# else +#else gtk_widget_set_margin_left(b, 18); -# endif +#endif //info grid labels std::string move_num = "move_num: " + std::to_string(draw_breakpoint_state.move_num); GtkWidget* move_info = gtk_label_new(move_num.c_str()); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(move_info, 5); -# else +#else gtk_widget_set_margin_left(move_info, 5); -# endif +#endif gtk_widget_set_halign(move_info, GTK_ALIGN_START); std::string temp_count = "temp_count: " + std::to_string(draw_breakpoint_state.temp_count); GtkWidget* temp_info = gtk_label_new(temp_count.c_str()); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(temp_info, 5); -# else +#else gtk_widget_set_margin_left(temp_info, 5); -# endif +#endif gtk_widget_set_halign(temp_info, GTK_ALIGN_START); std::string in_blocks_affected = "in_blocks_affected: " + std::to_string(get_bp_state_globals()->get_glob_breakpoint_state()->block_affected); GtkWidget* ba_info = gtk_label_new(in_blocks_affected.c_str()); gtk_widget_set_halign(ba_info, GTK_ALIGN_START); std::string block_id = "from_block: " + std::to_string(draw_breakpoint_state.from_block); GtkWidget* block_info = gtk_label_new(block_id.c_str()); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(block_info, 5); -# else +#else gtk_widget_set_margin_left(block_info, 5); -# endif +#endif gtk_widget_set_halign(block_info, GTK_ALIGN_START); std::string router_iter = "router_iter: " + std::to_string(draw_breakpoint_state.router_iter); GtkWidget* ri_info = gtk_label_new(router_iter.c_str()); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(ri_info, 5); -# else +#else gtk_widget_set_margin_left(ri_info, 5); -# endif +#endif gtk_widget_set_halign(ri_info, GTK_ALIGN_START); std::string net_id = "rouet_net_id: " + std::to_string(draw_breakpoint_state.route_net_id); GtkWidget* net_info = gtk_label_new(net_id.c_str()); -# if GTK_CHECK_VERSION(3, 12, 0) +#if GTK_CHECK_VERSION(3, 12, 0) gtk_widget_set_margin_start(net_info, 5); -# else +#else gtk_widget_set_margin_left(net_info, 5); -# endif +#endif gtk_widget_set_halign(net_info, GTK_ALIGN_START); //attach to grid diff --git a/vpr/src/draw/draw_debug.h b/vpr/src/draw/draw_debug.h index c88f146cdb8..f79d7740d7b 100644 --- a/vpr/src/draw/draw_debug.h +++ b/vpr/src/draw/draw_debug.h @@ -9,19 +9,19 @@ #ifndef NO_GRAPHICS -# include "breakpoint.h" -# include "draw_global.h" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" +#include "breakpoint.h" +#include "draw_global.h" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" -# include -# include -# include -# include -# include -# include -# include -# include +#include +#include +#include +#include +#include +#include +#include +#include /** debugger functions **/ void draw_debug_window(); diff --git a/vpr/src/draw/draw_floorplanning.cpp b/vpr/src/draw/draw_floorplanning.cpp index 9e56cfda5ac..e22c6446f7a 100644 --- a/vpr/src/draw/draw_floorplanning.cpp +++ b/vpr/src/draw/draw_floorplanning.cpp @@ -18,13 +18,16 @@ //To process key presses we need the X11 keysym definitions, //which are unavailable when building with MINGW -# if defined(X11) && !defined(__MINGW32__) -# include -# endif +#if defined(X11) && !defined(__MINGW32__) +#include +#endif -static void draw_internal_pb(const ClusterBlockId clb_index, t_pb* current_pb, - const t_pb* pb_to_draw, const ezgl::rectangle& parent_bbox, - const t_logical_block_type_ptr type, ezgl::color color, +static void draw_internal_pb(const ClusterBlockId clb_index, + t_pb* current_pb, + const t_pb* pb_to_draw, + const ezgl::rectangle& parent_bbox, + const t_logical_block_type_ptr type, + ezgl::color color, ezgl::renderer* g); const std::vector kelly_max_contrast_colors_no_black = { @@ -52,8 +55,8 @@ const std::vector kelly_max_contrast_colors_no_black = { ezgl::color(43, 61, 38) //olive green }; -# define DEFAULT_HIGHLIGHT_ALPHA 30 -# define CLICKED_HIGHLIGHT_ALPHA 100 +#define DEFAULT_HIGHLIGHT_ALPHA 30 +#define CLICKED_HIGHLIGHT_ALPHA 100 //Keeps track of how translucent each partition should be drawn on screen. static std::vector highlight_alpha; @@ -149,10 +152,10 @@ void draw_constrained_atoms(ezgl::renderer* g) { auto atoms = constraints.get_part_atoms((PartitionId)partitionID); for (const AtomBlockId atom_id : atoms) { - if (atom_ctx.lookup.atom_pb(atom_id) != nullptr) { - const t_pb* pb = atom_ctx.lookup.atom_pb(atom_id); + if (atom_ctx.lookup().atom_pb_bimap().atom_pb(atom_id) != nullptr) { + const t_pb* pb = atom_ctx.lookup().atom_pb_bimap().atom_pb(atom_id); auto color = kelly_max_contrast_colors_no_black[partitionID % (kelly_max_contrast_colors_no_black.size())]; - ClusterBlockId clb_index = atom_ctx.lookup.atom_clb(atom_id); + ClusterBlockId clb_index = atom_ctx.lookup().atom_clb(atom_id); auto type = cluster_ctx.clb_nlist.block_type(clb_index); draw_internal_pb(clb_index, cluster_ctx.clb_nlist.block_pb(clb_index), pb, ezgl::rectangle({0, 0}, 0, 0), type, color, g); @@ -167,7 +170,8 @@ static void draw_internal_pb(const ClusterBlockId clb_index, const t_pb* pb_to_draw, const ezgl::rectangle& parent_bbox, const t_logical_block_type_ptr type, - ezgl::color color, ezgl::renderer* g) { + ezgl::color color, + ezgl::renderer* g) { t_draw_coords* draw_coords = get_draw_coords_vars(); t_draw_state* draw_state = get_draw_state_vars(); @@ -306,7 +310,7 @@ static GtkTreeModel* create_and_fill_model() { -1); for (AtomBlockId const_atom : atoms) { - std::string atom_name = (atom_ctx.lookup.atom_pb(const_atom))->name; + std::string atom_name = (atom_ctx.lookup().atom_pb_bimap().atom_pb(const_atom))->name; gtk_tree_store_append(store, &child_iter, &iter); gtk_tree_store_set(store, &child_iter, COL_NAME, atom_name.c_str(), diff --git a/vpr/src/draw/draw_floorplanning.h b/vpr/src/draw/draw_floorplanning.h index f45b398e4d8..feae6ec127c 100644 --- a/vpr/src/draw/draw_floorplanning.h +++ b/vpr/src/draw/draw_floorplanning.h @@ -6,11 +6,11 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" +#include "draw_global.h" -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" ///@brief Iterates through all partitions described in the constraints file and highlights their respective partitions void highlight_all_regions(ezgl::renderer* g); diff --git a/vpr/src/draw/draw_global.cpp b/vpr/src/draw/draw_global.cpp index 391b659bbcf..9fc5e0b5834 100644 --- a/vpr/src/draw/draw_global.cpp +++ b/vpr/src/draw/draw_global.cpp @@ -12,8 +12,8 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" -# include "draw_types.h" +#include "draw_global.h" +#include "draw_types.h" /*************************** Variables Definition ***************************/ diff --git a/vpr/src/draw/draw_global.h b/vpr/src/draw/draw_global.h index f1eec3967f5..e53b628cc73 100644 --- a/vpr/src/draw/draw_global.h +++ b/vpr/src/draw/draw_global.h @@ -15,13 +15,13 @@ #ifndef NO_GRAPHICS -# include "draw_types.h" +#include "draw_types.h" constexpr float DEFAULT_ARROW_SIZE = 0.3; // a very small area, in (screen pixels)^2 // used for level of detail culling -# define MIN_VISIBLE_AREA 3.0 +#define MIN_VISIBLE_AREA 3.0 t_draw_coords* get_draw_coords_vars(); diff --git a/vpr/src/draw/draw_mux.cpp b/vpr/src/draw/draw_mux.cpp index e83fde50296..7d03e2f468b 100644 --- a/vpr/src/draw/draw_mux.cpp +++ b/vpr/src/draw/draw_mux.cpp @@ -14,9 +14,9 @@ //To process key presses we need the X11 keysym definitions, //which are unavailable when building with MINGW -# if defined(X11) && !defined(__MINGW32__) -# include -# endif +#if defined(X11) && !defined(__MINGW32__) +#include +#endif //#define TIME_DRAWSCREEN /* Enable if want to track runtime for drawscreen() */ diff --git a/vpr/src/draw/draw_mux.h b/vpr/src/draw/draw_mux.h index dbfcf2b4d30..cb62427f339 100644 --- a/vpr/src/draw/draw_mux.h +++ b/vpr/src/draw/draw_mux.h @@ -31,11 +31,11 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" +#include "draw_global.h" -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" /** * @brief Draws a mux with width = height * 0.4 and scale (slope of the muxes sides) = 0.6, labelled with its size. diff --git a/vpr/src/draw/draw_noc.cpp b/vpr/src/draw/draw_noc.cpp index d112cb7cae5..a988d7b4d65 100644 --- a/vpr/src/draw/draw_noc.cpp +++ b/vpr/src/draw/draw_noc.cpp @@ -1,12 +1,12 @@ #ifndef NO_GRAPHICS -# include "draw_noc.h" -# include "globals.h" -# include "noc_storage.h" -# include "vpr_error.h" -# include "vtr_math.h" -# include "draw_basic.h" +#include "draw_basic.h" +#include "draw_noc.h" +#include "globals.h" +#include "noc_storage.h" +#include "physical_types_util.h" +#include "vtr_math.h" void draw_noc(ezgl::renderer* g) { t_draw_state* draw_state = get_draw_state_vars(); @@ -475,4 +475,4 @@ void shift_noc_link(noc_link_draw_coords& link_coords, NocLinkShift link_shift_d } } -#endif \ No newline at end of file +#endif diff --git a/vpr/src/draw/draw_noc.h b/vpr/src/draw/draw_noc.h index f3de82a3e3b..e24ae6b1db2 100644 --- a/vpr/src/draw/draw_noc.h +++ b/vpr/src/draw/draw_noc.h @@ -29,7 +29,7 @@ #ifndef NO_GRAPHICS -# include "draw.h" +#include "draw.h" // defines the area of the marker that represents connection points between links // area is equivalent to the %x of the area of the router @@ -243,4 +243,4 @@ void shift_noc_link(noc_link_draw_coords& link_coords, NocLinkShift link_shift_d #endif -#endif \ No newline at end of file +#endif diff --git a/vpr/src/draw/draw_rr.cpp b/vpr/src/draw/draw_rr.cpp index 62534bb0cc3..a47c76d3032 100644 --- a/vpr/src/draw/draw_rr.cpp +++ b/vpr/src/draw/draw_rr.cpp @@ -28,12 +28,12 @@ //To process key presses we need the X11 keysym definitions, //which are unavailable when building with MINGW -# if defined(X11) && !defined(__MINGW32__) -# include -# endif +#if defined(X11) && !defined(__MINGW32__) +#include +#endif /****************************** Define Macros *******************************/ -# define DEFAULT_RR_NODE_COLOR ezgl::BLACK +#define DEFAULT_RR_NODE_COLOR ezgl::BLACK //The arrow head position for turning/straight-thru connections in a switch box constexpr float SB_EDGE_TURN_ARROW_POSITION = 0.2; diff --git a/vpr/src/draw/draw_rr.h b/vpr/src/draw/draw_rr.h index 7ed40ffd61b..9c19f6bc951 100644 --- a/vpr/src/draw/draw_rr.h +++ b/vpr/src/draw/draw_rr.h @@ -32,20 +32,20 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" - -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" -# include "draw_color.h" -# include "search_bar.h" -# include "draw_debug.h" -# include "manual_moves.h" - -# include "rr_graph.h" -# include "route_utilization.h" -# include "place_macro.h" -# include "buttons.h" +#include "draw_global.h" + +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" +#include "draw_color.h" +#include "search_bar.h" +#include "draw_debug.h" +#include "manual_moves.h" + +#include "rr_graph.h" +#include "route_utilization.h" +#include "place_macro.h" +#include "buttons.h" /* Draws the routing resources that exist in the FPGA, if the user wants * * them drawn. */ diff --git a/vpr/src/draw/draw_rr_edges.cpp b/vpr/src/draw/draw_rr_edges.cpp index 0815be661a6..a637a9fd017 100644 --- a/vpr/src/draw/draw_rr_edges.cpp +++ b/vpr/src/draw/draw_rr_edges.cpp @@ -1,20 +1,17 @@ /*draw_rr_edges.cpp contains all functions that draw lines between RR nodes.*/ #include +#include "physical_types_util.h" #include "vtr_assert.h" -#include "vtr_color_map.h" #include "vpr_utils.h" #include "vpr_error.h" #include "globals.h" -#include "draw_color.h" -#include "draw.h" #include "draw_rr.h" #include "draw_rr_edges.h" #include "draw_triangle.h" #include "draw_searchbar.h" -#include "read_xml_arch_file.h" #include "draw_global.h" #include "draw_basic.h" @@ -22,9 +19,9 @@ //To process key presses we need the X11 keysym definitions, //which are unavailable when building with MINGW -# if defined(X11) && !defined(__MINGW32__) -# include -# endif +#if defined(X11) && !defined(__MINGW32__) +#include +#endif void draw_chany_to_chany_edge(RRNodeId from_node, RRNodeId to_node, short switch_type, ezgl::renderer* g) { t_draw_state* draw_state = get_draw_state_vars(); diff --git a/vpr/src/draw/draw_rr_edges.h b/vpr/src/draw/draw_rr_edges.h index 9068b31b4fe..8ea7a383dcb 100644 --- a/vpr/src/draw/draw_rr_edges.h +++ b/vpr/src/draw/draw_rr_edges.h @@ -32,20 +32,20 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" - -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" -# include "draw_color.h" -# include "search_bar.h" -# include "draw_debug.h" -# include "manual_moves.h" - -# include "rr_graph.h" -# include "route_utilization.h" -# include "place_macro.h" -# include "buttons.h" +#include "draw_global.h" + +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" +#include "draw_color.h" +#include "search_bar.h" +#include "draw_debug.h" +#include "manual_moves.h" + +#include "rr_graph.h" +#include "route_utilization.h" +#include "place_macro.h" +#include "buttons.h" void draw_chany_to_chany_edge(RRNodeId from_node, RRNodeId to_node, short switch_type, ezgl::renderer* g); void draw_chanx_to_chanx_edge(RRNodeId from_node, RRNodeId to_node, short switch_type, ezgl::renderer* g); diff --git a/vpr/src/draw/draw_searchbar.cpp b/vpr/src/draw/draw_searchbar.cpp index a90583f42ce..270435fe04f 100644 --- a/vpr/src/draw/draw_searchbar.cpp +++ b/vpr/src/draw/draw_searchbar.cpp @@ -1,18 +1,16 @@ /*draw_searchbar.cpp contains all functions related to searchbar actions.*/ #include -#include #include "netlist_fwd.h" +#include "physical_types_util.h" #include "vpr_utils.h" #include "globals.h" -#include "draw_color.h" #include "draw.h" #include "draw_rr.h" #include "draw_basic.h" #include "draw_searchbar.h" -#include "read_xml_arch_file.h" #include "draw_global.h" #include "intra_logic_block.h" @@ -20,13 +18,13 @@ //To process key presses we need the X11 keysym definitions, //which are unavailable when building with MINGW -# if defined(X11) && !defined(__MINGW32__) -# include -# endif +#if defined(X11) && !defined(__MINGW32__) +#include +#endif /****************************** Define Macros *******************************/ -# define DEFAULT_RR_NODE_COLOR ezgl::BLACK +#define DEFAULT_RR_NODE_COLOR ezgl::BLACK /* This function computes and returns the boundary coordinates of a channel * wire segment. This can be used for drawing a wire or determining if a @@ -144,7 +142,7 @@ void highlight_nets(char* message, RRNodeId hit_node, bool is_flat) { t_draw_state* draw_state = get_draw_state_vars(); for (auto net_id : cluster_ctx.clb_nlist.nets()) { - ParentNetId parent_id = get_cluster_net_parent_id(g_vpr_ctx.atom().lookup, net_id, is_flat); + ParentNetId parent_id = get_cluster_net_parent_id(g_vpr_ctx.atom().lookup(), net_id, is_flat); if (!route_ctx.route_trees[parent_id]) continue; diff --git a/vpr/src/draw/draw_searchbar.h b/vpr/src/draw/draw_searchbar.h index e4dade9bb58..25a135be153 100644 --- a/vpr/src/draw/draw_searchbar.h +++ b/vpr/src/draw/draw_searchbar.h @@ -33,20 +33,20 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" - -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" -# include "draw_color.h" -# include "search_bar.h" -# include "draw_debug.h" -# include "manual_moves.h" - -# include "rr_graph.h" -# include "route_utilization.h" -# include "place_macro.h" -# include "buttons.h" +#include "draw_global.h" + +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" +#include "draw_color.h" +#include "search_bar.h" +#include "draw_debug.h" +#include "manual_moves.h" + +#include "rr_graph.h" +#include "route_utilization.h" +#include "place_macro.h" +#include "buttons.h" /* This function computes and returns the boundary coordinates of a channel * wire segment. This can be used for drawing a wire or determining if a diff --git a/vpr/src/draw/draw_toggle_functions.cpp b/vpr/src/draw/draw_toggle_functions.cpp index 968808c2906..ddd518cf4a5 100644 --- a/vpr/src/draw/draw_toggle_functions.cpp +++ b/vpr/src/draw/draw_toggle_functions.cpp @@ -18,9 +18,9 @@ //To process key presses we need the X11 keysym definitions, //which are unavailable when building with MINGW -# if defined(X11) && !defined(__MINGW32__) -# include -# endif +#if defined(X11) && !defined(__MINGW32__) +#include +#endif //The arrow head position for turning/straight-thru connections in a switch box constexpr float SB_EDGE_TURN_ARROW_POSITION = 0.2; diff --git a/vpr/src/draw/draw_toggle_functions.h b/vpr/src/draw/draw_toggle_functions.h index 7b8330396b7..e6c9114900e 100644 --- a/vpr/src/draw/draw_toggle_functions.h +++ b/vpr/src/draw/draw_toggle_functions.h @@ -38,20 +38,20 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" - -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" -# include "draw_color.h" -# include "search_bar.h" -# include "draw_debug.h" -# include "manual_moves.h" - -# include "rr_graph.h" -# include "route_utilization.h" -# include "place_macro.h" -# include "buttons.h" +#include "draw_global.h" + +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" +#include "draw_color.h" +#include "search_bar.h" +#include "draw_debug.h" +#include "manual_moves.h" + +#include "rr_graph.h" +#include "route_utilization.h" +#include "place_macro.h" +#include "buttons.h" /* Callback function for main.ui created toggle_nets button in ui_setup.cpp. Controls whether or not nets are visualized. * Toggles value of draw_state->show_nets.*/ diff --git a/vpr/src/draw/draw_triangle.cpp b/vpr/src/draw/draw_triangle.cpp index 82b5bd45376..83ccd1af7f1 100644 --- a/vpr/src/draw/draw_triangle.cpp +++ b/vpr/src/draw/draw_triangle.cpp @@ -7,14 +7,13 @@ #include "draw_triangle.h" #include "draw_global.h" - #ifndef NO_GRAPHICS //To process key presses we need the X11 keysym definitions, //which are unavailable when building with MINGW -# if defined(X11) && !defined(__MINGW32__) -# include -# endif +#if defined(X11) && !defined(__MINGW32__) +#include +#endif /** * Retrieves the current zoom level based on the visible world and screen dimensions. diff --git a/vpr/src/draw/draw_triangle.h b/vpr/src/draw/draw_triangle.h index 0ddf12218ec..9c0116f0c5a 100644 --- a/vpr/src/draw/draw_triangle.h +++ b/vpr/src/draw/draw_triangle.h @@ -33,20 +33,20 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" +#include "draw_global.h" -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" -# include "draw_color.h" -# include "search_bar.h" -# include "draw_debug.h" -# include "manual_moves.h" +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" +#include "draw_color.h" +#include "search_bar.h" +#include "draw_debug.h" +#include "manual_moves.h" -# include "rr_graph.h" -# include "route_utilization.h" -# include "place_macro.h" -# include "buttons.h" +#include "rr_graph.h" +#include "route_utilization.h" +#include "place_macro.h" +#include "buttons.h" /** * Retrieves the current zoom level based on the visible world and screen dimensions. diff --git a/vpr/src/draw/draw_types.cpp b/vpr/src/draw/draw_types.cpp index b3909c5fd7c..03940843648 100644 --- a/vpr/src/draw/draw_types.cpp +++ b/vpr/src/draw/draw_types.cpp @@ -1,12 +1,11 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" -# include "draw_types.h" -# include "draw_toggle_functions.h" -# include "globals.h" -# include "vpr_utils.h" -# include "draw.h" -# include +#include "draw.h" +#include "draw_global.h" +#include "draw_types.h" +#include "globals.h" +#include "physical_types_util.h" +#include "vpr_utils.h" /******************************************* * begin t_draw_state function definitions * diff --git a/vpr/src/draw/draw_types.h b/vpr/src/draw/draw_types.h index 1f6689e63f4..8d96ceaf732 100644 --- a/vpr/src/draw/draw_types.h +++ b/vpr/src/draw/draw_types.h @@ -20,20 +20,20 @@ #ifndef NO_GRAPHICS -# include -# include -# include "clustered_netlist.h" -# include "timing_info_fwd.h" -# include "vtr_util.h" -# include "vpr_types.h" -# include "vtr_color_map.h" -# include "vtr_vector.h" -# include "breakpoint.h" -# include "manual_moves.h" - -# include "ezgl/point.hpp" -# include "ezgl/rectangle.hpp" -# include "ezgl/color.hpp" +#include +#include +#include "clustered_netlist.h" +#include "timing_info_fwd.h" +#include "vtr_util.h" +#include "vpr_types.h" +#include "vtr_color_map.h" +#include "vtr_vector.h" +#include "breakpoint.h" +#include "manual_moves.h" + +#include "ezgl/point.hpp" +#include "ezgl/rectangle.hpp" +#include "ezgl/color.hpp" enum e_draw_crit_path { DRAW_NO_CRIT_PATH, @@ -410,6 +410,11 @@ struct t_draw_coords { ///@brief constructor t_draw_coords(); + ///@brief Sets the tile width + inline void set_tile_width(float new_tile_width) { + tile_width = new_tile_width; + } + ///@brief returns tile width float get_tile_width(); diff --git a/vpr/src/draw/gtkcomboboxhelper.cpp b/vpr/src/draw/gtkcomboboxhelper.cpp index 6da464289ca..4892fb0afc3 100644 --- a/vpr/src/draw/gtkcomboboxhelper.cpp +++ b/vpr/src/draw/gtkcomboboxhelper.cpp @@ -58,4 +58,4 @@ gint get_item_index_by_text(gpointer combo_box, const gchar* target_item) { return result_index; } -#endif // NO_GRAPHICS \ No newline at end of file +#endif // NO_GRAPHICS diff --git a/vpr/src/draw/hsl.cpp b/vpr/src/draw/hsl.cpp index b5f8403e724..4a909e77252 100644 --- a/vpr/src/draw/hsl.cpp +++ b/vpr/src/draw/hsl.cpp @@ -1,8 +1,8 @@ #ifndef NO_GRAPHICS -# include +#include -# include "hsl.h" +#include "hsl.h" float hue2rgb(float v1, float v2, float vH); diff --git a/vpr/src/draw/hsl.h b/vpr/src/draw/hsl.h index e7d6d4508d2..589266bc6e4 100644 --- a/vpr/src/draw/hsl.h +++ b/vpr/src/draw/hsl.h @@ -9,7 +9,7 @@ #ifndef NO_GRAPHICS -# include "ezgl/color.hpp" +#include "ezgl/color.hpp" struct hsl { double h; // hue a fraction between 0 and 1 diff --git a/vpr/src/draw/intra_logic_block.cpp b/vpr/src/draw/intra_logic_block.cpp index c1ee67c7590..375eee6f151 100644 --- a/vpr/src/draw/intra_logic_block.cpp +++ b/vpr/src/draw/intra_logic_block.cpp @@ -20,21 +20,21 @@ #ifndef NO_GRAPHICS -# include -# include -# include - -# include "vtr_assert.h" -# include "vtr_memory.h" - -# include "intra_logic_block.h" -# include "globals.h" -# include "atom_netlist.h" -# include "vpr_utils.h" -# include "draw_global.h" -# include "draw.h" -# include "draw_triangle.h" -# include "draw_color.h" +#include +#include +#include + +#include "vtr_assert.h" +#include "vtr_memory.h" + +#include "intra_logic_block.h" +#include "globals.h" +#include "atom_netlist.h" +#include "vpr_utils.h" +#include "draw_global.h" +#include "draw.h" +#include "draw_triangle.h" +#include "draw_color.h" /************************* Subroutines local to this file. *******************************/ @@ -45,12 +45,12 @@ std::vector collect_pb_atoms(const t_pb* pb); void collect_pb_atoms_recurr(const t_pb* pb, std::vector& atoms); t_pb* highlight_sub_block_helper(const ClusterBlockId clb_index, t_pb* pb, const ezgl::point2d& local_pt, int max_depth); -# ifndef NO_GRAPHICS +#ifndef NO_GRAPHICS static void draw_internal_pb(const ClusterBlockId clb_index, t_pb* pb, const ezgl::rectangle& parent_bbox, const t_logical_block_type_ptr type, ezgl::renderer* g); void draw_atoms_fanin_fanout_flylines(const std::vector& atoms, ezgl::renderer* g); void draw_selected_pb_flylines(ezgl::renderer* g); void draw_one_logical_connection(const AtomPinId src_pin, const AtomPinId sink_pin, ezgl::renderer* g); -# endif /* NO_GRAPHICS */ +#endif /* NO_GRAPHICS */ /************************* Subroutine definitions begin *********************************/ @@ -141,7 +141,7 @@ void draw_internal_init_blk() { //draw_state->max_sub_blk_lvl -= 1; } -# ifndef NO_GRAPHICS +#ifndef NO_GRAPHICS void draw_internal_draw_subblk(ezgl::renderer* g) { t_draw_state* draw_state = get_draw_state_vars(); if (!draw_state->show_blk_internal) { @@ -192,7 +192,7 @@ void draw_internal_draw_subblk(ezgl::renderer* g) { //(inputs: blue, outputs: red, internal: orange) draw_selected_pb_flylines(g); } -# endif /* NO_GRAPHICS */ +#endif /* NO_GRAPHICS */ /* This function traverses through the pb_graph of a certain physical block type and * finds the maximum sub-block levels for that type. @@ -326,7 +326,7 @@ draw_internal_calc_coords(int type_descrip_index, t_pb_graph_node* pb_graph_node *blk_height = child_height; } -# ifndef NO_GRAPHICS +#ifndef NO_GRAPHICS /* Helper subroutine to draw all sub-blocks. This function traverses through the pb_graph * which a netlist block can map to, and draws each sub-block inside its parent block. With * each click on the "Blk Internal" button, a new level is shown. @@ -471,7 +471,7 @@ void draw_selected_pb_flylines(ezgl::renderer* g) { void draw_atoms_fanin_fanout_flylines(const std::vector& atoms, ezgl::renderer* g) { std::set atoms_set(atoms.begin(), atoms.end()); - auto& atom_nl = g_vpr_ctx.atom().nlist; + auto& atom_nl = g_vpr_ctx.atom().netlist(); g->set_line_dash(ezgl::line_dash::none); g->set_line_width(2); @@ -517,7 +517,7 @@ void draw_atoms_fanin_fanout_flylines(const std::vector& atoms, ezg } } } -# endif /* NO_GRAPHICS */ +#endif /* NO_GRAPHICS */ std::vector collect_pb_atoms(const t_pb* pb) { std::vector atoms; @@ -530,13 +530,13 @@ void collect_pb_atoms_recurr(const t_pb* pb, std::vector& atoms) { if (pb->is_primitive()) { //Base case - AtomBlockId blk = atom_ctx.lookup.pb_atom(pb); + AtomBlockId blk = atom_ctx.lookup().atom_pb_bimap().pb_atom(pb); if (blk) { atoms.push_back(blk); } } else { //Recurse - VTR_ASSERT_DEBUG(atom_ctx.lookup.pb_atom(pb) == AtomBlockId::INVALID()); + VTR_ASSERT_DEBUG(atom_ctx.lookup().atom_pb_bimap().pb_atom(pb) == AtomBlockId::INVALID()); for (int itype = 0; itype < pb->get_num_child_types(); ++itype) { for (int ichild = 0; ichild < pb->get_num_children_of_type(itype); ++ichild) { @@ -546,7 +546,7 @@ void collect_pb_atoms_recurr(const t_pb* pb, std::vector& atoms) { } } -# ifndef NO_GRAPHICS +#ifndef NO_GRAPHICS void draw_logical_connections(ezgl::renderer* g) { const t_selected_sub_block_info& sel_subblk_info = get_selected_sub_block_info(); t_draw_state* draw_state = get_draw_state_vars(); @@ -560,14 +560,14 @@ void draw_logical_connections(ezgl::renderer* g) { int transparency_factor; // iterate over all the atom nets - for (auto net_id : atom_ctx.nlist.nets()) { - if ((int)atom_ctx.nlist.net_pins(net_id).size() - 1 > draw_state->draw_net_max_fanout) { + for (auto net_id : atom_ctx.netlist().nets()) { + if ((int)atom_ctx.netlist().net_pins(net_id).size() - 1 > draw_state->draw_net_max_fanout) { continue; } - AtomPinId driver_pin_id = atom_ctx.nlist.net_driver(net_id); - AtomBlockId src_blk_id = atom_ctx.nlist.pin_block(driver_pin_id); - ClusterBlockId src_clb = atom_ctx.lookup.atom_clb(src_blk_id); + AtomPinId driver_pin_id = atom_ctx.netlist().net_driver(net_id); + AtomBlockId src_blk_id = atom_ctx.netlist().pin_block(driver_pin_id); + ClusterBlockId src_clb = atom_ctx.lookup().atom_clb(src_blk_id); int src_layer_num = block_locs[src_clb].loc.layer; //To only show primitive nets that are connected to currently active layers on the screen @@ -575,15 +575,15 @@ void draw_logical_connections(ezgl::renderer* g) { continue; /* Don't Draw */ } - const t_pb_graph_node* src_pb_gnode = atom_ctx.lookup.atom_pb_graph_node(src_blk_id); + const t_pb_graph_node* src_pb_gnode = atom_ctx.lookup().atom_pb_bimap().atom_pb_graph_node(src_blk_id); bool src_is_selected = sel_subblk_info.is_in_selected_subtree(src_pb_gnode, src_clb); bool src_is_src_of_selected = sel_subblk_info.is_source_of_selected(src_pb_gnode, src_clb); // iterate over the sinks - for (auto sink_pin_id : atom_ctx.nlist.net_sinks(net_id)) { - AtomBlockId sink_blk_id = atom_ctx.nlist.pin_block(sink_pin_id); - const t_pb_graph_node* sink_pb_gnode = atom_ctx.lookup.atom_pb_graph_node(sink_blk_id); - ClusterBlockId sink_clb = atom_ctx.lookup.atom_clb(sink_blk_id); + for (auto sink_pin_id : atom_ctx.netlist().net_sinks(net_id)) { + AtomBlockId sink_blk_id = atom_ctx.netlist().pin_block(sink_pin_id); + const t_pb_graph_node* sink_pb_gnode = atom_ctx.lookup().atom_pb_bimap().atom_pb_graph_node(sink_blk_id); + ClusterBlockId sink_clb = atom_ctx.lookup().atom_clb(sink_blk_id); int sink_layer_num = block_locs[sink_clb].loc.layer; t_draw_layer_display element_visibility = get_element_visibility_and_transparency(src_layer_num, sink_layer_num); @@ -610,7 +610,7 @@ void draw_logical_connections(ezgl::renderer* g) { } } } -# endif /* NO_GRAPHICS */ +#endif /* NO_GRAPHICS */ /** * Helper function for draw_one_logical_connection(...). @@ -627,21 +627,21 @@ void draw_logical_connections(ezgl::renderer* g) { void find_pin_index_at_model_scope(const AtomPinId pin_id, const AtomBlockId blk_id, int* pin_index, int* total_pins) { auto& atom_ctx = g_vpr_ctx.atom(); - AtomPortId port_id = atom_ctx.nlist.pin_port(pin_id); - const t_model_ports* model_port = atom_ctx.nlist.port_model(port_id); + AtomPortId port_id = atom_ctx.netlist().pin_port(pin_id); + const t_model_ports* model_port = atom_ctx.netlist().port_model(port_id); //Total up the port widths // Note that we do this on the model since the atom netlist doesn't include unused ports int pin_cnt = 0; *pin_index = -1; //initialize - const t_model* model = atom_ctx.nlist.block_model(blk_id); + const t_model* model = atom_ctx.netlist().block_model(blk_id); for (const t_model_ports* port : {model->inputs, model->outputs}) { while (port) { if (port == model_port) { //This is the port the pin is associated with, record it's index //Get the pin index in the port - int atom_port_index = atom_ctx.nlist.pin_port_bit(pin_id); + int atom_port_index = atom_ctx.netlist().pin_port_bit(pin_id); //The index of this pin in the model is the pins counted so-far //(i.e. across previous ports) plus the index in the port @@ -660,7 +660,7 @@ void find_pin_index_at_model_scope(const AtomPinId pin_id, const AtomBlockId blk *total_pins = pin_cnt; } -# ifndef NO_GRAPHICS +#ifndef NO_GRAPHICS /** * Draws ONE logical connection from src_pin in src_lblk to sink_pin in sink_lblk. * The *_abs_bbox parameters are for mild optimization, as the absolute bbox can be calculated @@ -674,7 +674,7 @@ void draw_one_logical_connection(const AtomPinId src_pin, const AtomPinId sink_p g->draw_line(src_point, sink_point); const auto& atom_ctx = g_vpr_ctx.atom(); - if (atom_ctx.lookup.atom_clb(atom_ctx.nlist.pin_block(src_pin)) == atom_ctx.lookup.atom_clb(atom_ctx.nlist.pin_block(sink_pin))) { + if (atom_ctx.lookup().atom_clb(atom_ctx.netlist().pin_block(src_pin)) == atom_ctx.lookup().atom_clb(atom_ctx.netlist().pin_block(sink_pin))) { // if they are in the same clb, put one arrow in the center float center_x = (src_point.x + sink_point.x) / 2; float center_y = (src_point.y + sink_point.y) / 2; @@ -689,7 +689,7 @@ void draw_one_logical_connection(const AtomPinId src_pin, const AtomPinId sink_p draw_triangle_along_line(g, src_point, sink_point, 0.95); } } -# endif /* NO_GRAPHICS */ +#endif /* NO_GRAPHICS */ int highlight_sub_block(const ezgl::point2d& point_in_clb, ClusterBlockId clb_index, t_pb* pb) { t_draw_state* draw_state = get_draw_state_vars(); @@ -805,32 +805,32 @@ void t_selected_sub_block_info::set(t_pb* new_selected_sub_block, const ClusterB if (has_selection()) { add_all_children(selected_pb, containing_block_index, in_selected_subtree); - for (auto blk_id : atom_ctx.nlist.blocks()) { - const ClusterBlockId clb = atom_ctx.lookup.atom_clb(blk_id); - const t_pb_graph_node* pb_graph_node = atom_ctx.lookup.atom_pb_graph_node(blk_id); + for (auto blk_id : atom_ctx.netlist().blocks()) { + const ClusterBlockId clb = atom_ctx.lookup().atom_clb(blk_id); + const t_pb_graph_node* pb_graph_node = atom_ctx.lookup().atom_pb_bimap().atom_pb_graph_node(blk_id); // find the atom block that corrisponds to this pb. if (is_in_selected_subtree(pb_graph_node, clb)) { //Collect the sources of all nets driving this node - for (auto pin_id : atom_ctx.nlist.block_input_pins(blk_id)) { - AtomNetId net_id = atom_ctx.nlist.pin_net(pin_id); - AtomPinId driver_pin_id = atom_ctx.nlist.net_driver(net_id); + for (auto pin_id : atom_ctx.netlist().block_input_pins(blk_id)) { + AtomNetId net_id = atom_ctx.netlist().pin_net(pin_id); + AtomPinId driver_pin_id = atom_ctx.netlist().net_driver(net_id); - AtomBlockId src_blk = atom_ctx.nlist.pin_block(driver_pin_id); + AtomBlockId src_blk = atom_ctx.netlist().pin_block(driver_pin_id); - const ClusterBlockId src_clb = atom_ctx.lookup.atom_clb(src_blk); - const t_pb_graph_node* src_pb_graph_node = atom_ctx.lookup.atom_pb_graph_node(src_blk); + const ClusterBlockId src_clb = atom_ctx.lookup().atom_clb(src_blk); + const t_pb_graph_node* src_pb_graph_node = atom_ctx.lookup().atom_pb_bimap().atom_pb_graph_node(src_blk); sources.insert(gnode_clb_pair(src_pb_graph_node, src_clb)); } //Collect the sinks of all nets driven by this node - for (auto pin_id : atom_ctx.nlist.block_output_pins(blk_id)) { - AtomNetId net_id = atom_ctx.nlist.pin_net(pin_id); - for (auto sink_pin_id : atom_ctx.nlist.net_sinks(net_id)) { - AtomBlockId sink_blk = atom_ctx.nlist.pin_block(sink_pin_id); + for (auto pin_id : atom_ctx.netlist().block_output_pins(blk_id)) { + AtomNetId net_id = atom_ctx.netlist().pin_net(pin_id); + for (auto sink_pin_id : atom_ctx.netlist().net_sinks(net_id)) { + AtomBlockId sink_blk = atom_ctx.netlist().pin_block(sink_pin_id); - const ClusterBlockId sink_clb = atom_ctx.lookup.atom_clb(sink_blk); - const t_pb_graph_node* sink_pb_graph_node = atom_ctx.lookup.atom_pb_graph_node(sink_blk); + const ClusterBlockId sink_clb = atom_ctx.lookup().atom_clb(sink_blk); + const t_pb_graph_node* sink_pb_graph_node = atom_ctx.lookup().atom_pb_bimap().atom_pb_graph_node(sink_blk); sinks.insert(gnode_clb_pair(sink_pb_graph_node, sink_clb)); } @@ -881,8 +881,8 @@ t_selected_sub_block_info::clb_pin_tuple::clb_pin_tuple(ClusterBlockId clb_index t_selected_sub_block_info::clb_pin_tuple::clb_pin_tuple(const AtomPinId atom_pin) { auto& atom_ctx = g_vpr_ctx.atom(); - clb_index = atom_ctx.lookup.atom_clb(atom_ctx.nlist.pin_block(atom_pin)); - pb_gnode = atom_ctx.lookup.atom_pb_graph_node(atom_ctx.nlist.pin_block(atom_pin)); + clb_index = atom_ctx.lookup().atom_clb(atom_ctx.netlist().pin_block(atom_pin)); + pb_gnode = atom_ctx.lookup().atom_pb_bimap().atom_pb_graph_node(atom_ctx.netlist().pin_block(atom_pin)); } bool t_selected_sub_block_info::clb_pin_tuple::operator==(const clb_pin_tuple& rhs) const { @@ -905,7 +905,7 @@ bool t_selected_sub_block_info::gnode_clb_pair::operator==(const gnode_clb_pair& /** * @brief Recursively looks through pb graph to find block w. given name - * + * * @param name name of block being searched for * @param pb current node to be examined * @return t_pb* t_pb ptr of block w. name "name". Returns nullptr if nothing found diff --git a/vpr/src/draw/intra_logic_block.h b/vpr/src/draw/intra_logic_block.h index 320a3c9f406..7ad0bf9bba5 100644 --- a/vpr/src/draw/intra_logic_block.h +++ b/vpr/src/draw/intra_logic_block.h @@ -20,17 +20,17 @@ #ifndef NO_GRAPHICS -# include "vpr_types.h" -# include "draw_types.h" -# include "atom_netlist_fwd.h" -# include +#include "vpr_types.h" +#include "draw_types.h" +#include "atom_netlist_fwd.h" +#include -# include "ezgl/point.hpp" +#include "ezgl/point.hpp" -# ifndef NO_GRAPHICS -# include "ezgl/graphics.hpp" -# include "ezgl/application.hpp" -# endif /* NO_GRAPHICS */ +#ifndef NO_GRAPHICS +#include "ezgl/graphics.hpp" +#include "ezgl/application.hpp" +#endif /* NO_GRAPHICS */ struct t_selected_sub_block_info { struct clb_pin_tuple { @@ -112,9 +112,9 @@ void draw_internal_init_blk(); /* Top-level drawing routine for internal sub-blocks. The function traverses through all * grid tiles and calls helper function to draw inside each block. */ -# ifndef NO_GRAPHICS +#ifndef NO_GRAPHICS void draw_internal_draw_subblk(ezgl::renderer* g); -# endif /* NO_GRAPHICS */ +#endif /* NO_GRAPHICS */ /* Determines which part of a block to highlight, and stores it, * so that the other subblock drawing functions will obey it. diff --git a/vpr/src/draw/manual_moves.cpp b/vpr/src/draw/manual_moves.cpp index 6a98d6ee419..972b2a36bd7 100644 --- a/vpr/src/draw/manual_moves.cpp +++ b/vpr/src/draw/manual_moves.cpp @@ -17,6 +17,7 @@ #include "draw.h" #include "draw_searchbar.h" #include "buttons.h" +#include "physical_types_util.h" #ifndef NO_GRAPHICS diff --git a/vpr/src/draw/manual_moves.h b/vpr/src/draw/manual_moves.h index 45739549c85..e5c152275d4 100644 --- a/vpr/src/draw/manual_moves.h +++ b/vpr/src/draw/manual_moves.h @@ -13,17 +13,17 @@ /** This file contains all functions for manual moves **/ #ifndef NO_GRAPHICS -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" -# include "manual_move_generator.h" - -# include "move_utils.h" -# include -# include -# include -# include -# include -# include +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" +#include "manual_move_generator.h" + +#include "move_utils.h" +#include +#include +#include +#include +#include +#include /** * @brief ManualMovesInfo struct diff --git a/vpr/src/draw/save_graphics.cpp b/vpr/src/draw/save_graphics.cpp index 84b1599f30f..657c6626b42 100644 --- a/vpr/src/draw/save_graphics.cpp +++ b/vpr/src/draw/save_graphics.cpp @@ -1,14 +1,10 @@ #ifndef NO_GRAPHICS -# include -# include - -# include "globals.h" -# include "draw.h" -# include "draw_global.h" -# include "save_graphics.h" -# include "vtr_path.h" -# include "search_bar.h" +#include + +#include "draw.h" +#include "save_graphics.h" +#include "search_bar.h" extern ezgl::rectangle initial_world; diff --git a/vpr/src/draw/save_graphics.h b/vpr/src/draw/save_graphics.h index 0ea5b183de0..f9a6ec2fbb1 100644 --- a/vpr/src/draw/save_graphics.h +++ b/vpr/src/draw/save_graphics.h @@ -9,11 +9,11 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" +#include "draw_global.h" -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" void save_graphics(std::string extension, std::string file_name); void save_graphics_dialog_box(GtkWidget* /*widget*/, ezgl::application* /*app*/); diff --git a/vpr/src/draw/search_bar.cpp b/vpr/src/draw/search_bar.cpp index ada698cf136..9a93be2274d 100644 --- a/vpr/src/draw/search_bar.cpp +++ b/vpr/src/draw/search_bar.cpp @@ -15,42 +15,42 @@ #include "physical_types.h" #ifndef NO_GRAPHICS -# include -# include - -# include "vtr_assert.h" -# include "vtr_ndoffsetmatrix.h" -# include "vtr_memory.h" -# include "vtr_log.h" -# include "vtr_color_map.h" - -# include "vpr_utils.h" -# include "vpr_error.h" - -# include "globals.h" -# include "draw_color.h" -# include "draw.h" -# include "draw_basic.h" -# include "draw_rr.h" -# include "draw_searchbar.h" -# include "read_xml_arch_file.h" -# include "draw_global.h" -# include "intra_logic_block.h" -# include "atom_netlist.h" -# include "tatum/report/TimingPathCollector.hpp" -# include "hsl.h" -# include "route_export.h" -# include "search_bar.h" +#include +#include + +#include "vtr_assert.h" +#include "vtr_ndoffsetmatrix.h" +#include "vtr_memory.h" +#include "vtr_log.h" +#include "vtr_color_map.h" + +#include "vpr_utils.h" +#include "vpr_error.h" + +#include "globals.h" +#include "draw_color.h" +#include "draw.h" +#include "draw_basic.h" +#include "draw_rr.h" +#include "draw_searchbar.h" +#include "read_xml_arch_file.h" +#include "draw_global.h" +#include "intra_logic_block.h" +#include "atom_netlist.h" +#include "tatum/report/TimingPathCollector.hpp" +#include "hsl.h" +#include "route_export.h" +#include "search_bar.h" //To process key presses we need the X11 keysym definitions, //which are unavailable when building with MINGW -# if defined(X11) && !defined(__MINGW32__) -# include -# endif +#if defined(X11) && !defined(__MINGW32__) +#include +#endif -# include "rr_graph.h" -# include "route_utilization.h" -# include "place_macro.h" +#include "rr_graph.h" +#include "route_utilization.h" +#include "place_macro.h" extern std::string rr_highlight_message; @@ -112,9 +112,9 @@ void search_and_highlight(GtkWidget* /*widget*/, ezgl::application* app) { std::string block_name; ss >> block_name; - AtomBlockId atom_blk_id = atom_ctx.nlist.find_block(block_name); + AtomBlockId atom_blk_id = atom_ctx.netlist().find_block(block_name); if (atom_blk_id != AtomBlockId::INVALID()) { - ClusterBlockId cluster_block_id = atom_ctx.lookup.atom_clb(atom_blk_id); + ClusterBlockId cluster_block_id = atom_ctx.lookup().atom_clb(atom_blk_id); if (!highlight_atom_block(atom_blk_id, cluster_block_id, app)) { highlight_cluster_block(cluster_block_id); } @@ -151,15 +151,15 @@ void search_and_highlight(GtkWidget* /*widget*/, ezgl::application* app) { //So we only need to search this one std::string net_name; ss >> net_name; - AtomNetId atom_net_id = atom_ctx.nlist.find_net(net_name); + AtomNetId atom_net_id = atom_ctx.netlist().find_net(net_name); if (atom_net_id == AtomNetId::INVALID()) { warning_dialog_box("Invalid Net Name"); return; //name not exist } - const auto clb_nets = atom_ctx.lookup.clb_nets(atom_net_id); - for(auto clb_net_id: clb_nets.value()){ + const auto clb_nets = atom_ctx.lookup().clb_nets(atom_net_id); + for (auto clb_net_id : clb_nets.value()) { highlight_nets(clb_net_id); } } @@ -319,7 +319,7 @@ bool highlight_atom_block(AtomBlockId atom_blk, ClusterBlockId cl_blk, ezgl::app t_pb* pb = cl_ctx.clb_nlist.block_pb(cl_blk); //Getting the pb* for the atom block - auto atom_block_pb = find_atom_block_in_pb(atom_ctx.nlist.block_name(atom_blk), pb); + auto atom_block_pb = find_atom_block_in_pb(atom_ctx.netlist().block_name(atom_blk), pb); if (!atom_block_pb) return false; //If no block found, returning false //Ensuring that block is drawn at current zoom lvl, returning false if not diff --git a/vpr/src/draw/search_bar.h b/vpr/src/draw/search_bar.h index 8108bfa8c4a..5aafd49435d 100644 --- a/vpr/src/draw/search_bar.h +++ b/vpr/src/draw/search_bar.h @@ -12,12 +12,12 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" +#include "draw_global.h" -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" -# include "draw_color.h" +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" +#include "draw_color.h" void search_and_highlight(GtkWidget* /*widget*/, ezgl::application* app); bool highlight_rr_nodes(RRNodeId hit_node); @@ -53,4 +53,4 @@ GdkEvent simulate_keypress(char key, GdkWindow* window); std::string get_search_type(ezgl::application* app); #endif /* NO_GRAPHICS */ -#endif /* SEARCH_BAR_H */ \ No newline at end of file +#endif /* SEARCH_BAR_H */ diff --git a/vpr/src/draw/ui_setup.cpp b/vpr/src/draw/ui_setup.cpp index 61e05c9be3e..d62d8210e63 100644 --- a/vpr/src/draw/ui_setup.cpp +++ b/vpr/src/draw/ui_setup.cpp @@ -4,24 +4,24 @@ * @author Sebastian Lievano * @date July 4th, 2022 * @brief Manages setup for main.ui created buttons - * + * * This file contains the various setup functions for all of the ui functions. * As of June 2022, gtk ui items are to be created through Glade/main.ui file (see Docs) * Each function here initializes a different set of ui buttons, connecting their callback functions */ -# include "draw_global.h" -# include "draw.h" -# include "draw_toggle_functions.h" -# include "buttons.h" -# include "intra_logic_block.h" -# include "clustered_netlist.h" -# include "ui_setup.h" -# include "save_graphics.h" - -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" +#include "draw_global.h" +#include "draw.h" +#include "draw_toggle_functions.h" +#include "buttons.h" +#include "intra_logic_block.h" +#include "clustered_netlist.h" +#include "ui_setup.h" +#include "save_graphics.h" + +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" void basic_button_setup(ezgl::application* app) { //button to enter window_mode, created in main.ui GtkButton* window = (GtkButton*)app->get_object("Window"); @@ -45,7 +45,7 @@ void basic_button_setup(ezgl::application* app) { /* * @brief sets up net related buttons and connects their signals - * + * * Sets up the toggle nets combo box, net alpha spin button, and max fanout * spin button which are created in main.ui file. Found in Net Settings dropdown * @param app ezgl::application ptr @@ -70,11 +70,11 @@ void net_button_setup(ezgl::application* app) { /* * @brief sets up block related buttons, connects their signals - * + * * Connects signals and sets init. values for blk internals spin button, * blk pin util combo box,placement macros combo box, and noc combo bx created in * main.ui. Found in Block Settings dropdown - * @param app + * @param app */ void block_button_setup(ezgl::application* app) { t_draw_state* draw_state = get_draw_state_vars(); @@ -105,10 +105,10 @@ void block_button_setup(ezgl::application* app) { /** * @brief configures and connects signals/functions for routing buttons - * + * * Connects signals/sets default values for toggleRRButton, ToggleCongestion, - * ToggleCongestionCost, ToggleRoutingBBox, RoutingExpansionCost, ToggleRoutingUtil - * buttons. + * ToggleCongestionCost, ToggleRoutingBBox, RoutingExpansionCost, ToggleRoutingUtil + * buttons. */ void routing_button_setup(ezgl::application* app) { auto& route_ctx = g_vpr_ctx.routing(); @@ -216,7 +216,7 @@ void search_setup(ezgl::application* app) { /* * @brief connects critical path button to its cbk fn - * + * * @param app ezgl application */ void crit_path_button_setup(ezgl::application* app) { @@ -242,7 +242,7 @@ void hide_crit_path_routing(ezgl::application* app, bool hide) { } /* * @brief Hides the widget with the given name - * + * * @param widgetName string of widget name in main.ui * @param app ezgl app */ @@ -261,7 +261,7 @@ void show_widget(std::string widgetName, ezgl::application* app) { /** * @brief loads atom and cluster lvl names into gtk list store item used for completion - * + * * @param app ezgl application used for ui */ void load_block_names(ezgl::application* app) { @@ -276,17 +276,17 @@ void load_block_names(ezgl::application* app) { 0, (cluster_ctx.clb_nlist.block_name(id)).c_str(), -1); i++; } - for (AtomBlockId id : atom_ctx.nlist.blocks()) { + for (AtomBlockId id : atom_ctx.netlist().blocks()) { gtk_list_store_append(blockStorage, &iter); gtk_list_store_set(blockStorage, &iter, - 0, (atom_ctx.nlist.block_name(id)).c_str(), -1); + 0, (atom_ctx.netlist().block_name(id)).c_str(), -1); i++; } } /* * @brief loads atom net names into gtk list store item used for completion - * + * * @param app ezgl application used for ui */ void load_net_names(ezgl::application* app) { @@ -295,10 +295,10 @@ void load_net_names(ezgl::application* app) { GtkTreeIter iter; //Loading net names int i = 0; - for (AtomNetId id : atom_ctx.nlist.nets()) { + for (AtomNetId id : atom_ctx.netlist().nets()) { gtk_list_store_append(netStorage, &iter); gtk_list_store_set(netStorage, &iter, - 0, (atom_ctx.nlist.net_name(id)).c_str(), -1); + 0, (atom_ctx.netlist().net_name(id)).c_str(), -1); i++; } } diff --git a/vpr/src/draw/ui_setup.h b/vpr/src/draw/ui_setup.h index 40f2045ed91..3b543adb493 100644 --- a/vpr/src/draw/ui_setup.h +++ b/vpr/src/draw/ui_setup.h @@ -14,11 +14,11 @@ #ifndef NO_GRAPHICS -# include "draw_global.h" +#include "draw_global.h" -# include "ezgl/point.hpp" -# include "ezgl/application.hpp" -# include "ezgl/graphics.hpp" +#include "ezgl/point.hpp" +#include "ezgl/application.hpp" +#include "ezgl/graphics.hpp" /** * @brief configures basic buttons @@ -101,4 +101,4 @@ void show_widget(std::string widgetName, ezgl::application* app); #endif /* NO_GRAPHICS */ -#endif /* UISETUP_H */ \ No newline at end of file +#endif /* UISETUP_H */ diff --git a/vpr/src/noc/bfs_routing.cpp b/vpr/src/noc/bfs_routing.cpp index a72ec6b29e4..ef7d5c00d0e 100644 --- a/vpr/src/noc/bfs_routing.cpp +++ b/vpr/src/noc/bfs_routing.cpp @@ -129,4 +129,4 @@ void BFSRouting::generate_route(NocRouterId start_router_id, // now get the parent of the router we moved to curr_intermediate_router_parent_link = router_parent_link.find(curr_intermediate_router); } -} \ No newline at end of file +} diff --git a/vpr/src/noc/bfs_routing.h b/vpr/src/noc/bfs_routing.h index ca28a8ca3fd..7d881bed8b1 100644 --- a/vpr/src/noc/bfs_routing.h +++ b/vpr/src/noc/bfs_routing.h @@ -87,4 +87,4 @@ class BFSRouting : public NocRouting { const std::unordered_map& router_parent_link); }; -#endif \ No newline at end of file +#endif diff --git a/vpr/src/noc/channel_dependency_graph.cpp b/vpr/src/noc/channel_dependency_graph.cpp index 31bd4052485..f85de80c0ac 100644 --- a/vpr/src/noc/channel_dependency_graph.cpp +++ b/vpr/src/noc/channel_dependency_graph.cpp @@ -125,4 +125,4 @@ bool ChannelDependencyGraph::has_cycles() { // if no vertex in the graph points to at least one of its ancestors, the graph does not have any cycles return false; -} \ No newline at end of file +} diff --git a/vpr/src/noc/negative_first_routing.cpp b/vpr/src/noc/negative_first_routing.cpp index e45df009122..30098587dff 100644 --- a/vpr/src/noc/negative_first_routing.cpp +++ b/vpr/src/noc/negative_first_routing.cpp @@ -74,9 +74,9 @@ const std::vector& NegativeFirstRouting::get_legal_ bool NegativeFirstRouting::is_turn_legal(const std::array, 3>& noc_routers, const NocStorage& noc_model) const { - const auto[x1, y1, z1] = noc_routers[0].get().get_router_physical_location(); - const auto[x2, y2, z2] = noc_routers[1].get().get_router_physical_location(); - const auto[x3, y3, z3] = noc_routers[2].get().get_router_physical_location(); + const auto [x1, y1, z1] = noc_routers[0].get().get_router_physical_location(); + const auto [x2, y2, z2] = noc_routers[1].get().get_router_physical_location(); + const auto [x3, y3, z3] = noc_routers[2].get().get_router_physical_location(); // check if the given routers can be traversed one after another VTR_ASSERT(vtr::exactly_k_conditions(2, x1 == x2, y1 == y2, z1 == z2)); @@ -89,8 +89,7 @@ bool NegativeFirstRouting::is_turn_legal(const std::array x1 && y3 < y2) || (y2 > y1 && x3 < x2) || (z2 > z1 && x3 < x2) || - (x2 > x1 && z3 < z2) || (z2 > z1 && y3 < y2) || (y2 > y1 && z3 < z2)) { + if ((x2 > x1 && y3 < y2) || (y2 > y1 && x3 < x2) || (z2 > z1 && x3 < x2) || (x2 > x1 && z3 < z2) || (z2 > z1 && y3 < y2) || (y2 > y1 && z3 < z2)) { return false; } } else { diff --git a/vpr/src/noc/noc_data_types.h b/vpr/src/noc/noc_data_types.h index 6317db4ae09..85752445245 100644 --- a/vpr/src/noc/noc_data_types.h +++ b/vpr/src/noc/noc_data_types.h @@ -28,4 +28,4 @@ typedef vtr::StrongId NocTrafficFlowId; struct noc_group_id_tag; typedef vtr::StrongId NocGroupId; -#endif \ No newline at end of file +#endif diff --git a/vpr/src/noc/noc_link.cpp b/vpr/src/noc/noc_link.cpp index f272023dbfc..8175964dc21 100644 --- a/vpr/src/noc/noc_link.cpp +++ b/vpr/src/noc/noc_link.cpp @@ -1,13 +1,12 @@ #include "noc_link.h" // constructor -NocLink::NocLink(NocLinkId link_id, NocRouterId source, NocRouterId sink, - double bw, double lat) +NocLink::NocLink(NocLinkId link_id, NocRouterId source, NocRouterId sink, double bw, double lat) : id(link_id) , source_router(source) , sink_router(sink) , bandwidth(bw) - , latency(lat) { } + , latency(lat) {} // getters NocRouterId NocLink::get_source_router() const { @@ -46,4 +45,3 @@ NocLinkId NocLink::get_link_id() const { NocLink::operator NocLinkId() const { return get_link_id(); } - diff --git a/vpr/src/noc/noc_link.h b/vpr/src/noc/noc_link.h index 3f56e763726..ba7ba01fbba 100644 --- a/vpr/src/noc/noc_link.h +++ b/vpr/src/noc/noc_link.h @@ -50,11 +50,10 @@ class NocLink { NocRouterId sink_router; /*!< The router which uses this link as an incoming edge*/ double bandwidth; /*!< Represents the maximum bits per second that can be transmitted over the link without causing congestion*/ - double latency; /*!< The zero-load latency of this link in seconds.*/ + double latency; /*!< The zero-load latency of this link in seconds.*/ public: - NocLink(NocLinkId link_id, NocRouterId source_router, NocRouterId sink_router, - double bw, double lat); + NocLink(NocLinkId link_id, NocRouterId source_router, NocRouterId sink_router, double bw, double lat); // getters @@ -122,4 +121,4 @@ class NocLink { operator NocLinkId() const; }; -#endif \ No newline at end of file +#endif diff --git a/vpr/src/noc/noc_router.cpp b/vpr/src/noc/noc_router.cpp index 4af296c02ef..d2b18ec6b40 100644 --- a/vpr/src/noc/noc_router.cpp +++ b/vpr/src/noc/noc_router.cpp @@ -2,13 +2,15 @@ // constructor NocRouter::NocRouter(int id, - int grid_position_x, int grid_position_y, int layer_position, + int grid_position_x, + int grid_position_y, + int layer_position, double latency) : router_user_id(id) , router_grid_position_x(grid_position_x) , router_grid_position_y(grid_position_y) , router_layer_position(layer_position) - , router_latency(latency){ + , router_latency(latency) { // initialize variables router_block_ref = ClusterBlockId(0); } @@ -45,4 +47,4 @@ ClusterBlockId NocRouter::get_router_block_ref() const { // setters void NocRouter::set_router_block_ref(ClusterBlockId router_block_ref_id) { router_block_ref = router_block_ref_id; -} \ No newline at end of file +} diff --git a/vpr/src/noc/noc_router.h b/vpr/src/noc/noc_router.h index 968ff5206b7..e7a2b04ab0e 100644 --- a/vpr/src/noc/noc_router.h +++ b/vpr/src/noc/noc_router.h @@ -62,7 +62,9 @@ class NocRouter { public: NocRouter(int id, - int grid_position_x, int grid_position_y, int layer_position, + int grid_position_x, + int grid_position_y, + int layer_position, double latency); // getters @@ -117,4 +119,4 @@ class NocRouter { void set_router_block_ref(ClusterBlockId router_block_ref_id); }; -#endif \ No newline at end of file +#endif diff --git a/vpr/src/noc/noc_routing_algorithm_creator.cpp b/vpr/src/noc/noc_routing_algorithm_creator.cpp index e52be823ae3..15cbbf5bbfb 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.cpp +++ b/vpr/src/noc/noc_routing_algorithm_creator.cpp @@ -8,7 +8,6 @@ #include "odd_even_routing.h" #include "vpr_error.h" - std::unique_ptr NocRoutingAlgorithmCreator::create_routing_algorithm(const std::string& routing_algorithm_name, const NocStorage& noc_model) { std::unique_ptr noc_routing_algorithm; @@ -30,4 +29,4 @@ std::unique_ptr NocRoutingAlgorithmCreator::create_routing_algorithm } return noc_routing_algorithm; -} \ No newline at end of file +} diff --git a/vpr/src/noc/noc_routing_algorithm_creator.h b/vpr/src/noc/noc_routing_algorithm_creator.h index 8cb9b777949..4c33d13f590 100644 --- a/vpr/src/noc/noc_routing_algorithm_creator.h +++ b/vpr/src/noc/noc_routing_algorithm_creator.h @@ -8,9 +8,10 @@ * * Overview * ======== - * There are a number of different available NoC routing algorithms. This class is a factory object for the NocRouting abstract class. This class constructs - * the appropriate routing algorithm based on the user specification in the - * command line. The user identifies a + * There are a number of different available NoC routing algorithms. + * This class is a factory object for the NocRouting abstract class. + * This class constructs the appropriate routing algorithm based on + * the user specification in the command line. The user identifies a * specific routing algorithm in the command line by providing a string * (which is the name of routing algorithm). * Then the corresponding routing algorithm is created here based on the diff --git a/vpr/src/noc/noc_storage.cpp b/vpr/src/noc/noc_storage.cpp index 58e79d46b03..4cf62e8b173 100644 --- a/vpr/src/noc/noc_storage.cpp +++ b/vpr/src/noc/noc_storage.cpp @@ -3,7 +3,6 @@ #include "vtr_assert.h" #include "vpr_error.h" - #include NocStorage::NocStorage() { @@ -106,7 +105,9 @@ bool NocStorage::is_noc_3d() const { // setters for the NoC void NocStorage::add_router(int id, - int grid_position_x, int grid_position_y, int layer_position, + int grid_position_x, + int grid_position_y, + int layer_position, double latency) { VTR_ASSERT_MSG(!built_noc, "NoC already built, cannot modify further."); @@ -183,9 +184,9 @@ bool NocStorage::remove_link(NocRouterId src_router_id, NocRouterId sink_router_ if (it == source_router_outgoing_links.end()) { VTR_LOG_WARN("No link could be found among outgoing links of source router with id(%d) " - "that that connects to the sink router with id (%d).\n", - (size_t)src_router_id, - (size_t)sink_router_id); + "that that connects to the sink router with id (%d).\n", + (size_t)src_router_id, + (size_t)sink_router_id); } source_router_outgoing_links.erase(it, source_router_outgoing_links.end()); @@ -196,9 +197,9 @@ bool NocStorage::remove_link(NocRouterId src_router_id, NocRouterId sink_router_ if (it == sink_router_incoming_links.end()) { VTR_LOG_WARN("No link could be found among incoming links of sink router with id(%d) " - "that that connects to the source router with id (%d).\n", - (size_t)sink_router_id, - (size_t)src_router_id); + "that that connects to the source router with id (%d).\n", + (size_t)sink_router_id, + (size_t)src_router_id); } sink_router_incoming_links.erase(it, sink_router_incoming_links.end()); @@ -256,6 +257,7 @@ void NocStorage::clear_noc() { link_storage.clear(); router_outgoing_links_list.clear(); router_incoming_links_list.clear(); + router_id_conversion_table.clear(); grid_location_to_router_id.clear(); built_noc = false; diff --git a/vpr/src/noc/noc_storage.h b/vpr/src/noc/noc_storage.h index 89dcf87bbac..deabfd27853 100644 --- a/vpr/src/noc/noc_storage.h +++ b/vpr/src/noc/noc_storage.h @@ -317,10 +317,9 @@ class NocStorage { * requested NoC links * @return A const */ - template class Container, typename... Ts> + template class Container, typename... Ts> const std::vector>& get_noc_links(const Container& noc_link_ids) const; - /** * @brief Given source and sink router identifiers, this function * finds a link connecting these routers and returns its identifier. @@ -385,7 +384,9 @@ class NocStorage { * when it is routed through this router. */ void add_router(int id, - int grid_position_x, int grid_position_y, int layer_position, + int grid_position_x, + int grid_position_y, + int layer_position, double latency); /** @@ -560,8 +561,7 @@ class NocStorage { void echo_noc(char* file_name) const; }; - -template class Container, typename... Ts> +template class Container, typename... Ts> const std::vector>& NocStorage::get_noc_links(const Container& noc_link_ids) const { returnable_noc_link_const_refs_.clear(); @@ -574,4 +574,3 @@ const std::vector>& NocStorage::get_noc_li } #endif - diff --git a/vpr/src/noc/noc_traffic_flows.cpp b/vpr/src/noc/noc_traffic_flows.cpp index 310eacd2f16..b0d7cfe1b46 100644 --- a/vpr/src/noc/noc_traffic_flows.cpp +++ b/vpr/src/noc/noc_traffic_flows.cpp @@ -49,7 +49,6 @@ const vtr::vector& NocTrafficFlows::get_al return noc_traffic_flows; } - // setters for the traffic flows void NocTrafficFlows::create_noc_traffic_flow(const std::string& source_router_module_name, @@ -189,4 +188,3 @@ void NocTrafficFlows::echo_noc_traffic_flows(char* file_name) { vtr::fclose(fp); } - diff --git a/vpr/src/noc/noc_traffic_flows.h b/vpr/src/noc/noc_traffic_flows.h index 53aa62709a3..a05a2e37696 100644 --- a/vpr/src/noc/noc_traffic_flows.h +++ b/vpr/src/noc/noc_traffic_flows.h @@ -68,7 +68,13 @@ struct t_noc_traffic_flow { int traffic_flow_priority; /** Constructor initializes all variables*/ - t_noc_traffic_flow(std::string source_router_name, std::string sink_router_name, ClusterBlockId source_router_id, ClusterBlockId sink_router_id, double flow_bandwidth, double max_flow_latency, int flow_priority) + t_noc_traffic_flow(std::string source_router_name, + std::string sink_router_name, + ClusterBlockId source_router_id, + ClusterBlockId sink_router_id, + double flow_bandwidth, + double max_flow_latency, + int flow_priority) noexcept : source_router_module_name(std::move(source_router_name)) , sink_router_module_name(std::move(sink_router_name)) , source_router_cluster_id(source_router_id) @@ -295,7 +301,6 @@ class NocTrafficFlows { */ void echo_noc_traffic_flows(char* file_name); - /** * @brief Defines the latency constraint of a traffic flow * when not provided by the user. @@ -310,4 +315,4 @@ class NocTrafficFlows { static constexpr double DEFAULT_MAX_TRAFFIC_FLOW_LATENCY = 1.; }; -#endif \ No newline at end of file +#endif diff --git a/vpr/src/noc/north_last_routing.cpp b/vpr/src/noc/north_last_routing.cpp index fd3c1183201..82b1bd4b7f5 100644 --- a/vpr/src/noc/north_last_routing.cpp +++ b/vpr/src/noc/north_last_routing.cpp @@ -72,7 +72,6 @@ bool NorthLastRouting::is_turn_legal(const std::array z1 && x3 < x2) || (z2 > z1 && x3 > x2) || (z2 > z1 && y3 < y2) || - (y2 > y1 && z3 < z2) || (y2 > y1 && x3 < x2) || (y2 > y1 && x3 > x2)) { + if ((z2 > z1 && x3 < x2) || (z2 > z1 && x3 > x2) || (z2 > z1 && y3 < y2) || (y2 > y1 && z3 < z2) || (y2 > y1 && x3 < x2) || (y2 > y1 && x3 > x2)) { return false; } } else { @@ -90,6 +88,5 @@ bool NorthLastRouting::is_turn_legal(const std::array& OddEvenRouting::get_legal_direct if (noc_model.is_noc_3d()) { determine_legal_directions_3d(compressed_src_loc, compressed_curr_loc, compressed_dst_loc, prev_dir); - } else { // 2D NoC + } else { // 2D NoC determine_legal_directions_2d(compressed_src_loc, compressed_curr_loc, compressed_dst_loc, prev_dir); } @@ -120,7 +120,6 @@ bool OddEvenRouting::is_turn_legal(const std::array z1 && y3 < y2) || (z2 < z1 && y3 < y2)) { @@ -131,7 +130,7 @@ bool OddEvenRouting::is_turn_legal(const std::array 0) { diff --git a/vpr/src/noc/odd_even_routing.h b/vpr/src/noc/odd_even_routing.h index ce84c74c264..da61c5f3e9b 100644 --- a/vpr/src/noc/odd_even_routing.h +++ b/vpr/src/noc/odd_even_routing.h @@ -25,7 +25,6 @@ class OddEvenRouting : public TurnModelRouting { ~OddEvenRouting() override; private: - const std::vector& get_legal_directions(NocRouterId src_router_id, NocRouterId curr_router_id, NocRouterId dst_router_id, diff --git a/vpr/src/noc/read_xml_noc_traffic_flows_file.cpp b/vpr/src/noc/read_xml_noc_traffic_flows_file.cpp index 3f12c02ed2d..6b3df6c7ad9 100644 --- a/vpr/src/noc/read_xml_noc_traffic_flows_file.cpp +++ b/vpr/src/noc/read_xml_noc_traffic_flows_file.cpp @@ -1,5 +1,6 @@ #include "read_xml_noc_traffic_flows_file.h" +#include "physical_types_util.h" void read_xml_noc_traffic_flows_file(const char* noc_flows_file) { // start by checking that the provided file is a ".flows" file @@ -274,7 +275,7 @@ bool check_that_all_router_blocks_have_an_associated_traffic_flow(NocContext& no /* * Go through the router subtiles and get the router logical block types the subtiles support. Then determine how many of each router logical block types there are in the clustered netlist. The accumulated sum of all these clusters is the total number of router blocks in the design. */ - for (const auto & noc_router_subtile : *noc_router_subtiles) { + for (const auto& noc_router_subtile : *noc_router_subtiles) { for (auto router_logical_block : noc_router_subtile.equivalent_sites) { // get the number of logical blocks in the design of the current logical block type number_of_router_blocks_in_design += clustered_netlist_stats.num_blocks_type[router_logical_block->index]; @@ -316,4 +317,4 @@ std::vector get_cluster_blocks_compatible_with_noc_router_tiles( } return cluster_blocks_compatible_with_noc_router_tiles; -} \ No newline at end of file +} diff --git a/vpr/src/noc/read_xml_noc_traffic_flows_file.h b/vpr/src/noc/read_xml_noc_traffic_flows_file.h index 2d8b348ad71..b53a0e432be 100644 --- a/vpr/src/noc/read_xml_noc_traffic_flows_file.h +++ b/vpr/src/noc/read_xml_noc_traffic_flows_file.h @@ -257,4 +257,4 @@ bool check_that_all_router_blocks_have_an_associated_traffic_flow(NocContext& no */ std::vector get_cluster_blocks_compatible_with_noc_router_tiles(const ClusteringContext& cluster_ctx, t_physical_tile_type_ptr noc_router_tile_type); -#endif \ No newline at end of file +#endif diff --git a/vpr/src/noc/sat_routing.cpp b/vpr/src/noc/sat_routing.cpp index 354620c6cfa..0ccf1426720 100644 --- a/vpr/src/noc/sat_routing.cpp +++ b/vpr/src/noc/sat_routing.cpp @@ -1,6 +1,5 @@ #ifdef ENABLE_NOC_SAT_ROUTING - #include "sat_routing.h" #include "turn_model_routing.h" @@ -22,7 +21,6 @@ namespace orsat = operations_research::sat; */ typedef std::unordered_map, orsat::BoolVar> t_flow_link_var_map; - /** * @brief Creates a boolean variable for each (traffic flow, link) pair. * It also create integer variables for latency-constrained traffic flows. @@ -38,7 +36,7 @@ typedef std::unordered_map, orsat::BoolVa */ static void create_flow_link_vars(orsat::CpModelBuilder& cp_model, t_flow_link_var_map& flow_link_vars, - std::map& latency_overrun_vars); + std::map& latency_overrun_vars); /** * @brief Translates a latency constraint for a traffic flow to the maximum number @@ -84,7 +82,7 @@ static std::vector get_flow_link_vars(const t_flow_link_var_map& */ static void constrain_latency_overrun_vars(orsat::CpModelBuilder& cp_model, t_flow_link_var_map& flow_link_vars, - std::map& latency_overrun_vars); + std::map& latency_overrun_vars); /** * @brief Forbids specific turns that traffic flows can take. @@ -118,7 +116,7 @@ static void forbid_illegal_turns(t_flow_link_var_map& flow_link_vars, * @param bandwidth_resolution Specifies the resolution by which bandwidth * values are quantized. */ -static void create_congested_link_vars(vtr::vector& congested_link_vars, +static void create_congested_link_vars(vtr::vector& congested_link_vars, t_flow_link_var_map& flow_link_vars, orsat::CpModelBuilder& cp_model, int bandwidth_resolution); @@ -172,8 +170,8 @@ static void add_continuity_constraints(t_flow_link_var_map& flow_link_vars, */ static orsat::LinearExpr create_objective(orsat::CpModelBuilder& cp_model, t_flow_link_var_map& flow_link_vars, - std::map& latency_overrun_vars, - vtr::vector& congested_link_vars, + std::map& latency_overrun_vars, + vtr::vector& congested_link_vars, int bandwidth_resolution, int latency_overrun_weight, int congestion_weight, @@ -211,7 +209,6 @@ static vtr::vector> convert_vars_to_rou */ static std::vector sort_noc_links_in_chain_order(const std::vector& links); - static std::vector get_flow_link_vars(const t_flow_link_var_map& map, const std::vector& traffic_flow_ids, const std::vector& noc_link_ids) { @@ -233,7 +230,7 @@ static void forbid_illegal_turns(t_flow_link_var_map& flow_link_vars, const auto& noc_ctx = g_vpr_ctx.noc(); const auto& traffic_flow_storage = noc_ctx.noc_traffic_flows_storage; - auto noc_routing_alg = dynamic_cast (noc_ctx.noc_flows_router.get()); + auto noc_routing_alg = dynamic_cast(noc_ctx.noc_flows_router.get()); // ensure that the routing algorithm is a turn model algorithm VTR_ASSERT(noc_routing_alg != nullptr); @@ -256,7 +253,7 @@ static vtr::vector quantize_traffic_flow_bandwidths(int b //TODO: support heterogeneous bandwidth const auto& noc_links = noc_ctx.noc_model.get_noc_links(); const double link_bandwidth = noc_links.front().get_bandwidth(); - auto it = std::adjacent_find(noc_links.begin(), noc_links.end(), [](const NocLink& a, const NocLink& b){ + auto it = std::adjacent_find(noc_links.begin(), noc_links.end(), [](const NocLink& a, const NocLink& b) { return a.get_bandwidth() != b.get_bandwidth(); }); @@ -281,10 +278,10 @@ static vtr::vector quantize_traffic_flow_bandwidths(int b rescaled_traffic_flow_bandwidths[traffic_flow_id] = rescaled_bandwidth; } - return rescaled_traffic_flow_bandwidths; + return rescaled_traffic_flow_bandwidths; } -static void create_congested_link_vars(vtr::vector& congested_link_vars, +static void create_congested_link_vars(vtr::vector& congested_link_vars, t_flow_link_var_map& flow_link_vars, orsat::CpModelBuilder& cp_model, int bandwidth_resolution) { @@ -351,7 +348,6 @@ static void add_continuity_constraints(t_flow_link_var_map& flow_link_vars, continue; } - // for each intermediate router, at most one incoming link can be activated to route this traffic flow const auto& incoming_links = noc_ctx.noc_model.get_noc_router_incoming_links(noc_router_id); auto incoming_vars = get_flow_link_vars(flow_link_vars, {traffic_flow_id}, incoming_links); @@ -386,8 +382,8 @@ static std::vector sort_noc_links_in_chain_order(const std::vector src_map; - std::unordered_map is_dst; + std::unordered_map src_map; + std::unordered_map is_dst; for (const auto l : links) { NocRouterId src_router_id = noc_model.get_single_noc_link(l).get_source_router(); NocRouterId dst_router_id = noc_model.get_single_noc_link(l).get_sink_router(); @@ -426,8 +422,7 @@ static vtr::vector> convert_vars_to_rou const auto& noc_ctx = g_vpr_ctx.noc(); const auto& traffic_flow_storage = noc_ctx.noc_traffic_flows_storage; - VTR_ASSERT(response.status() == orsat::CpSolverStatus::FEASIBLE || - response.status() == orsat::CpSolverStatus::OPTIMAL); + VTR_ASSERT(response.status() == orsat::CpSolverStatus::FEASIBLE || response.status() == orsat::CpSolverStatus::OPTIMAL); vtr::vector> routes; routes.resize(traffic_flow_storage.get_number_of_traffic_flows()); @@ -449,7 +444,7 @@ static vtr::vector> convert_vars_to_rou static void create_flow_link_vars(orsat::CpModelBuilder& cp_model, t_flow_link_var_map& flow_link_vars, - std::map& latency_overrun_vars) { + std::map& latency_overrun_vars) { const auto& noc_ctx = g_vpr_ctx.noc(); const auto& noc_model = noc_ctx.noc_model; const auto& traffic_flow_storage = noc_ctx.noc_traffic_flows_storage; @@ -467,12 +462,14 @@ static void create_flow_link_vars(orsat::CpModelBuilder& cp_model, size_t max_n_cols = std::max_element(compressed_noc_grid.compressed_to_grid_x.begin(), compressed_noc_grid.compressed_to_grid_x.end(), [](const std::vector& a, const std::vector& b) { return a.size() < b.size(); - })->size(); + }) + ->size(); size_t max_n_rows = std::max_element(compressed_noc_grid.compressed_to_grid_y.begin(), compressed_noc_grid.compressed_to_grid_y.end(), [](const std::vector& a, const std::vector& b) { return a.size() < b.size(); - })->size(); + }) + ->size(); /* For specifying the domain, assume that the longest traffic flow route starts from * one corner and terminates at the opposite corner. Assuming minimal routing, such a @@ -523,7 +520,7 @@ static int comp_max_number_of_traversed_links(NocTrafficFlowId traffic_flow_id) } auto link_it = std::find_if(noc_links.begin(), noc_links.end(), [noc_link_latency](const NocLink& l) { - return (noc_link_latency != l.get_latency()); + return (noc_link_latency != l.get_latency()); }); if (link_it != noc_links.end()) { @@ -544,7 +541,7 @@ static int comp_max_number_of_traversed_links(NocTrafficFlowId traffic_flow_id) static void constrain_latency_overrun_vars(orsat::CpModelBuilder& cp_model, t_flow_link_var_map& flow_link_vars, - std::map& latency_overrun_vars) { + std::map& latency_overrun_vars) { const auto& noc_ctx = g_vpr_ctx.noc(); const auto& noc_model = noc_ctx.noc_model; @@ -569,8 +566,8 @@ static void constrain_latency_overrun_vars(orsat::CpModelBuilder& cp_model, static orsat::LinearExpr create_objective(orsat::CpModelBuilder& cp_model, t_flow_link_var_map& flow_link_vars, - std::map& latency_overrun_vars, - vtr::vector& congested_link_vars, + std::map& latency_overrun_vars, + vtr::vector& congested_link_vars, int bandwidth_resolution, int latency_overrun_weight, int congestion_weight, @@ -603,7 +600,6 @@ static orsat::LinearExpr create_objective(orsat::CpModelBuilder& cp_model, agg_bw_expr = 0; } - orsat::LinearExpr congested_link_sum = orsat::LinearExpr::Sum(congested_link_vars); congested_link_sum *= congestion_weight; @@ -611,7 +607,6 @@ static orsat::LinearExpr create_objective(orsat::CpModelBuilder& cp_model, return objective; } - vtr::vector> noc_sat_route(bool minimize_aggregate_bandwidth, const t_noc_opts& noc_opts, int seed) { @@ -666,8 +661,7 @@ vtr::vector> noc_sat_route(bool minimiz orsat::CpSolverResponse response = orsat::SolveCpModel(cp_model.Build(), &model); - if (response.status() == orsat::CpSolverStatus::FEASIBLE || - response.status() == orsat::CpSolverStatus::OPTIMAL) { + if (response.status() == orsat::CpSolverStatus::FEASIBLE || response.status() == orsat::CpSolverStatus::OPTIMAL) { auto routes = convert_vars_to_routes(flow_link_vars, response); return routes; } @@ -676,4 +670,4 @@ vtr::vector> noc_sat_route(bool minimiz return {}; } -#endif //ENABLE_NOC_SAT_ROUTING \ No newline at end of file +#endif //ENABLE_NOC_SAT_ROUTING diff --git a/vpr/src/noc/sat_routing.h b/vpr/src/noc/sat_routing.h index 945b5a0aabe..60f9a900fb0 100644 --- a/vpr/src/noc/sat_routing.h +++ b/vpr/src/noc/sat_routing.h @@ -66,6 +66,5 @@ struct hash> { }; } // namespace std - #endif -#endif \ No newline at end of file +#endif diff --git a/vpr/src/noc/turn_model_routing.cpp b/vpr/src/noc/turn_model_routing.cpp index d9b169a0896..398af104844 100644 --- a/vpr/src/noc/turn_model_routing.cpp +++ b/vpr/src/noc/turn_model_routing.cpp @@ -78,12 +78,12 @@ void TurnModelRouting::route_flow(NocRouterId src_router_id, flow_route.push_back(next_link); prev_dir = next_step_direction; } else { - VPR_FATAL_ERROR(VPR_ERROR_OTHER, "No route could be found from starting router with ID:'%d' " + VPR_FATAL_ERROR(VPR_ERROR_OTHER, + "No route could be found from starting router with ID:'%d' " "and the destination router with ID:'%d' using the XY-Routing algorithm.", src_router.get_router_user_id(), dst_router.get_router_user_id()); } - } } @@ -200,7 +200,7 @@ uint32_t TurnModelRouting::murmur3_32(const std::vector& key, uint32_t // A swap is *not* necessary here because the preceding loop already // places the low bytes in the low places according to whatever endianness // we use. Swaps only apply when the memory is copied in a chunk. -// h ^= murmur_32_scramble(0); + // h ^= murmur_32_scramble(0); /* Finalize. */ h ^= key.size() * 4; h ^= h >> 16; @@ -325,7 +325,6 @@ TurnModelRouting::Direction TurnModelRouting::select_next_direction(const std::v int hash_val_remainder = hash_val % manhattan_dist; - TurnModelRouting::Direction selected_direction = TurnModelRouting::Direction::INVALID; if (hash_val_remainder < delta_x) { diff --git a/vpr/src/noc/turn_model_routing.h b/vpr/src/noc/turn_model_routing.h index ce061a56eed..7263c3d813d 100644 --- a/vpr/src/noc/turn_model_routing.h +++ b/vpr/src/noc/turn_model_routing.h @@ -122,14 +122,14 @@ class TurnModelRouting : public NocRouting { * choose to travel. */ enum class Direction { - WEST, /*!< Moving towards the negative X-axis*/ - EAST, /*!< Moving towards the positive X-axis*/ - NORTH, /*!< Moving towards the positive Y-axis*/ - SOUTH, /*!< Moving towards the negative Y-axis*/ - UP, /*!< Moving towards the positive Z-axis*/ - DOWN, /*!< Moving towards the negative Z-axis*/ + WEST, /*!< Moving towards the negative X-axis*/ + EAST, /*!< Moving towards the positive X-axis*/ + NORTH, /*!< Moving towards the positive Y-axis*/ + SOUTH, /*!< Moving towards the negative Y-axis*/ + UP, /*!< Moving towards the positive Z-axis*/ + DOWN, /*!< Moving towards the negative Z-axis*/ N_DIRECTIONS, - INVALID /*!< Invalid direction*/ + INVALID /*!< Invalid direction*/ }; /** @@ -209,7 +209,6 @@ class TurnModelRouting : public NocRouting { std::unordered_set& visited_routers, const NocStorage& noc_model); - /** * @brief Computes MurmurHash3 for an array of 32-bit words initialized * with seed. As discussed in the comment at the top of this file, @@ -274,7 +273,6 @@ class TurnModelRouting : public NocRouting { private: std::vector inputs_to_murmur3_hasher{4}; - }; #endif //VTR_TURN_MODEL_ROUTING_H diff --git a/vpr/src/noc/west_first_routing.cpp b/vpr/src/noc/west_first_routing.cpp index 95853c7e0c0..c47bfbf1456 100644 --- a/vpr/src/noc/west_first_routing.cpp +++ b/vpr/src/noc/west_first_routing.cpp @@ -48,10 +48,10 @@ const std::vector& WestFirstRouting::get_legal_dire returned_legal_direction.push_back(TurnModelRouting::Direction::DOWN); } } - } else { // 2D NoC + } else { // 2D NoC if (dst_router_pos.x < curr_router_pos.x) { returned_legal_direction.push_back(TurnModelRouting::Direction::WEST); - } else { // to the east or the same column + } else { // to the east or the same column if (dst_router_pos.x > curr_router_pos.x) { // not the same column returned_legal_direction.push_back(TurnModelRouting::Direction::EAST); } @@ -82,13 +82,11 @@ bool WestFirstRouting::is_turn_legal(const std::array z1 && x3 < x2) || (z2 < z1 && x3 < x2) || (z2 > z1 && y3 < y2) || - (z2 < z1 && y3 < y2) || (y2 > y1 && x3 < x2) || (x2 > x1 && y3 > y2)) { + if ((z2 > z1 && x3 < x2) || (z2 < z1 && x3 < x2) || (z2 > z1 && y3 < y2) || (z2 < z1 && y3 < y2) || (y2 > y1 && x3 < x2) || (x2 > x1 && y3 > y2)) { return false; } - } else { // 2D NoC + } else { // 2D NoC /* In the west-first routing algorithm, once the traffic flow * moved in a vertical direction, it is no longer allowed to move * towards west. Therefore, if the first link was travelling in a @@ -99,6 +97,5 @@ bool WestFirstRouting::is_turn_legal(const std::array& XYRouting::get_legal_directions( * the current router has the same x-coordinate as the * destination. Then we start moving along the y-axis. * Finally, we move along the z-axis. - */ + */ if (dst_router_pos.x > curr_router_pos.x) { return east_direction; @@ -82,4 +82,4 @@ bool XYRouting::is_turn_legal(const std::array east_direction{TurnModelRouting::Direction::EAST}; - const std::vector west_direction {TurnModelRouting::Direction::WEST}; - const std::vector north_direction {TurnModelRouting::Direction::NORTH}; - const std::vector south_direction {TurnModelRouting::Direction::SOUTH}; - const std::vector up_direction {TurnModelRouting::Direction::UP}; - const std::vector down_direction {TurnModelRouting::Direction::DOWN}; - const std::vector no_direction {}; - + const std::vector west_direction{TurnModelRouting::Direction::WEST}; + const std::vector north_direction{TurnModelRouting::Direction::NORTH}; + const std::vector south_direction{TurnModelRouting::Direction::SOUTH}; + const std::vector up_direction{TurnModelRouting::Direction::UP}; + const std::vector down_direction{TurnModelRouting::Direction::DOWN}; + const std::vector no_direction{}; }; -#endif \ No newline at end of file +#endif diff --git a/vpr/src/pack/appack_context.h b/vpr/src/pack/appack_context.h new file mode 100644 index 00000000000..0461f26b320 --- /dev/null +++ b/vpr/src/pack/appack_context.h @@ -0,0 +1,160 @@ +/** + * @file + * @author Alex Siner + * @date March 2025 + * @brief Declaration of the APPack Context object which stores all the + * information used to configure APPack in the packer. + */ + +#pragma once + +#include +#include +#include "device_grid.h" +#include "flat_placement_types.h" +#include "physical_types.h" +#include "vpr_context.h" +#include "vpr_utils.h" + +/** + * @brief Configuration options for APPack. + * + * APPack is an upgrade to the AAPack algorithm which uses an atom-level placement + * to inform the packer into creating better clusters. These options configure + * how APPack interprets the flat placement information. + */ +struct t_appack_options { + // Constructor for the appack options. + t_appack_options(const FlatPlacementInfo& flat_placement_info, + const DeviceGrid& device_grid) { + // If the flat placement info is valid, we want to use APPack. + // TODO: Should probably check that all the information is valid here. + use_appack = flat_placement_info.valid; + + // Set the max candidate distance as being some fraction of the longest + // distance on the device (from the bottom corner to the top corner). + // We also use an offset for the minimum this distance can be to prevent + // small devices from finding candidates. + float max_candidate_distance_scale = 0.1f; + float max_candidate_distance_offset = 15.0f; + // Longest L1 distance on the device. + float longest_distance = device_grid.width() + device_grid.height(); + max_candidate_distance = std::max(max_candidate_distance_scale * longest_distance, + max_candidate_distance_offset); + + // Infer the logical block type in the architecture. This will be used + // for the max candidate distance optimization to use a more aggressive + // distance. + t_logical_block_type_ptr logic_block_type = infer_logic_block_type(device_grid); + if (logic_block_type != nullptr) + logic_block_type_index = logic_block_type->index; + } + + // Whether to use APPack or not. + // This is initialized in the constructor based on if the flat placement + // info is valid or not. + bool use_appack = false; + + // =========== Cluster location ======================================== // + // What is the location of the cluster being created relative to the + // molecules being packed into it. + enum class e_cl_loc_ty { + CENTROID, /**< The location of the cluster is the centroid of the molecules which have been packed into it. */ + SEED /**< The location of the cluster is the location of the first molecule packed into it. */ + }; + static constexpr e_cl_loc_ty cluster_location_ty = e_cl_loc_ty::CENTROID; + + // =========== Candidate gain attenuation ============================== // + // These terms are used to update the gain of a given candidate based on + // its distance (d) relative to the location of the cluster being constructed. + // gain_new = attenuation * gain_original + // We use the following gain attenuation function: + // attenuation = { 1 - (quad_fac * d)^2 if d < dist_th + // { 1 / sqrt(d - sqrt_offset) if d >= dist_th + // The numbers below were empirically found to work well. + + // Distance threshold which decides when to use quadratic decay or inverted + // sqrt decay. If the distance is less than this threshold, quadratic decay + // is used. Inverted sqrt is used otherwise. + static constexpr float dist_th = 1.75f; + // Attenuation value at the threshold. + static constexpr float attenuation_th = 0.35f; + + // Using the distance threshold and the attenuation value at that point, we + // can compute the other two terms. This is to keep the attenuation function + // smooth. + // Horizontal offset to the inverted sqrt decay. + static constexpr float sqrt_offset = dist_th - ((1.0f / attenuation_th) * (1.0f / attenuation_th)); + // Squared scaling factor for the quadratic decay term. + static constexpr float quad_fac_sqr = (1.0f - attenuation_th) / (dist_th * dist_th); + + // =========== Candidate selection distance ============================ // + // When selecting candidates, what distance from the cluster will we + // consider? Any candidate beyond this distance will not be proposed. + // This is set in the constructor. + // TODO: It may be a good idea to have max different distances for different + // types of molecules / clusters. For example, CLBs vs DSPs + float max_candidate_distance = std::numeric_limits::max(); + + // A scaling applied to the max candidate distance of all clusters that are + // not logic blocks. + static constexpr float max_candidate_distance_non_lb_scale = 3.5f; + + // TODO: This should be an option similar to the target pin utilization + // so we can specify the max distance per block type! + int logic_block_type_index = -1; + + // =========== Unrelated clustering ==================================== // + // After searching for candidates by connectivity and timing, the user may + // turn on unrelated clustering, which will allow molecules which are + // unrelated to the cluster being created to be attempted to be packed in. + // APPack uses flat placement information to decide which unrelated + // molecules to try. + + // APPack will search for unrelated molecules in the tile which contains + // the flat location of the cluster. It will then look farther out, tile + // by tile. This parameter is the maximum distance from the cluster's tile + // that APPack will search. Setting this to 0 would only allow APPack to + // search within the cluster's tile. Setting this to a higher number would + // allow APPack to search farther away; but may bring in molecules which + // do not "want" to be in the cluster. + static constexpr float max_unrelated_tile_distance = 5.0f; + + // Unrelated clustering occurs after all other candidate selection methods + // have failed. This parameter sets how many time we will attempt unrelated + // clustering between failures of unrelated clustering. If this is set to + // 1, and unrelated clustering failed for a cluster, it will not be attempted + // again for that cluster (note: if it succeeds, the number of attempts get + // reset). + // NOTE: A similar option exists in the candidate selector class. This was + // duplicated since it is very likely that APPack would need a + // different value for this option than the non-APPack flow. + static constexpr int max_unrelated_clustering_attempts = 10; + + // TODO: Investigate adding flat placement info to seed selection. +}; + +/** + * @brief State relating to APPack. + * + * This class is intended to contain information on using flat placement + * information in packing. + */ +struct APPackContext : public Context { + /** + * @brief Constructor for the APPack context. + */ + APPackContext(const FlatPlacementInfo& fplace_info, const DeviceGrid& device_grid) + : appack_options(fplace_info, device_grid) + , flat_placement_info(fplace_info) {} + + /** + * @brief Options used to configure APPack. + */ + t_appack_options appack_options; + + /** + * @brief The flat placement information passed into APPack. + */ + const FlatPlacementInfo& flat_placement_info; +}; diff --git a/vpr/src/pack/atom_pb_bimap.cpp b/vpr/src/pack/atom_pb_bimap.cpp new file mode 100644 index 00000000000..df837cfea6b --- /dev/null +++ b/vpr/src/pack/atom_pb_bimap.cpp @@ -0,0 +1,65 @@ +/** + * @file + * @author Amir Poolad + * @date March 2025 + * @brief The code for the AtomPBBimap class. + * + * This file implements the various functions of the AtomPBBimap class. + */ + +#include "atom_pb_bimap.h" +#include "atom_netlist.h" + +AtomPBBimap::AtomPBBimap(const vtr::bimap& atom_to_pb) { + atom_to_pb_ = atom_to_pb; +} + +const t_pb* AtomPBBimap::atom_pb(const AtomBlockId blk_id) const { + auto iter = atom_to_pb_.find(blk_id); + if (iter == atom_to_pb_.end()) { + //Not found + return nullptr; + } + return iter->second; +} + +AtomBlockId AtomPBBimap::pb_atom(const t_pb* pb) const { + auto iter = atom_to_pb_.find(pb); + if (iter == atom_to_pb_.inverse_end()) { + //Not found + return AtomBlockId::INVALID(); + } + return iter->second; +} + +const t_pb_graph_node* AtomPBBimap::atom_pb_graph_node(const AtomBlockId blk_id) const { + const t_pb* pb = atom_pb(blk_id); + if (pb) { + //Found + return pb->pb_graph_node; + } + return nullptr; +} + +void AtomPBBimap::set_atom_pb(const AtomBlockId blk_id, const t_pb* pb) { + //If either of blk_id or pb are not valid, + //remove any mapping + if (!blk_id && pb) { + //Remove + atom_to_pb_.erase(pb); + } else if (blk_id && !pb) { + //Remove + atom_to_pb_.erase(blk_id); + } else if (blk_id && pb) { + //If both are valid store the mapping + atom_to_pb_.update(blk_id, pb); + } +} + +void AtomPBBimap::reset_bimap() { + atom_to_pb_.clear(); +} + +bool AtomPBBimap::is_empty() const { + return atom_to_pb_.empty(); +} diff --git a/vpr/src/pack/atom_pb_bimap.h b/vpr/src/pack/atom_pb_bimap.h new file mode 100644 index 00000000000..d8623543910 --- /dev/null +++ b/vpr/src/pack/atom_pb_bimap.h @@ -0,0 +1,58 @@ +/** + * @file + * @author Amir Poolad + * @date March 2025 + * @brief The declaration of the AtomPBBimap class. + * + * This file declares a class called AtomPBBimap that + * contains a two way mapping between AtomBlockIds and pb types. + */ + +#pragma once + +#include "vpr_types.h" + +// Forward declaration +class t_pb_graph_node; + +/** + * @brief Class that holds a bimap between atoms and pb types. + * This means that you can get a pb from an atom and the + * other way around. + * + * Used in the global AtomLookup context and in ClusterLegalizer + */ +class AtomPBBimap { + public: + AtomPBBimap() = default; + AtomPBBimap(const vtr::bimap& atom_to_pb); + + /** + * @brief Returns the leaf pb associated with the atom blk_id + * @note this is the lowest level pb which corresponds directly to the atom block + */ + const t_pb* atom_pb(const AtomBlockId blk_id) const; + + ///@brief Returns the atom block id associated with pb + AtomBlockId pb_atom(const t_pb* pb) const; + + ///@brief Conveneince wrapper around atom_pb to access the associated graph node + const t_pb_graph_node* atom_pb_graph_node(const AtomBlockId blk_id) const; + + /** + * @brief Sets the bidirectional mapping between an atom and pb + * + * If either blk_id or pb are not valid any, existing mapping is removed + */ + void set_atom_pb(const AtomBlockId blk_id, const t_pb* pb); + + /// @brief Sets the pb for all blocks in the netlist to nullptr. + void reset_bimap(); + + /// @brief Returns if the bimap is empty + bool is_empty() const; + + private: + /// @brief Two way map between AtomBlockIds and t_pb + vtr::bimap atom_to_pb_; +}; diff --git a/vpr/src/pack/attraction_groups.cpp b/vpr/src/pack/attraction_groups.cpp index 8d151c6c6aa..caba76fecb9 100644 --- a/vpr/src/pack/attraction_groups.cpp +++ b/vpr/src/pack/attraction_groups.cpp @@ -7,7 +7,7 @@ AttractionInfo::AttractionInfo(bool attraction_groups_on) { int num_parts = floorplanning_ctx.constraints.get_num_partitions(); //Initialize every atom to have no attraction group id - int num_atoms = atom_ctx.nlist.blocks().size(); + int num_atoms = atom_ctx.netlist().blocks().size(); atom_attraction_group.resize(num_atoms); fill(atom_attraction_group.begin(), atom_attraction_group.end(), AttractGroupId::INVALID()); @@ -33,7 +33,7 @@ AttractionInfo::AttractionInfo(bool attraction_groups_on) { } } -void AttractionInfo::create_att_groups_for_overfull_regions() { +void AttractionInfo::create_att_groups_for_overfull_regions(const std::vector& overfull_partition_regions) { const auto& floorplanning_ctx = g_vpr_ctx.floorplanning(); auto& atom_ctx = g_vpr_ctx.atom(); int num_parts = floorplanning_ctx.constraints.get_num_partitions(); @@ -43,13 +43,11 @@ void AttractionInfo::create_att_groups_for_overfull_regions() { attraction_groups.clear(); //Initialize every atom to have no attraction group id - int num_atoms = atom_ctx.nlist.blocks().size(); + int num_atoms = atom_ctx.netlist().blocks().size(); atom_attraction_group.resize(num_atoms); fill(atom_attraction_group.begin(), atom_attraction_group.end(), AttractGroupId::INVALID()); - const std::vector& overfull_prs = floorplanning_ctx.overfull_partition_regions; - /* * Create an attraction group for each partition that overlaps with at least one overfull partition */ @@ -58,7 +56,7 @@ void AttractionInfo::create_att_groups_for_overfull_regions() { const Partition& part = floorplanning_ctx.constraints.get_partition(partid); - for (const PartitionRegion& overfull_pr : overfull_prs) { + for (const PartitionRegion& overfull_pr : overfull_partition_regions) { PartitionRegion intersect_pr = intersection(part.get_part_region(), overfull_pr); if (!intersect_pr.empty()) { AttractionGroup group_info; @@ -87,7 +85,7 @@ void AttractionInfo::create_att_groups_for_all_regions() { attraction_groups.clear(); //Initialize every atom to have no attraction group id - int num_atoms = atom_ctx.nlist.blocks().size(); + int num_atoms = atom_ctx.netlist().blocks().size(); atom_attraction_group.resize(num_atoms); fill(atom_attraction_group.begin(), atom_attraction_group.end(), AttractGroupId::INVALID()); diff --git a/vpr/src/pack/attraction_groups.h b/vpr/src/pack/attraction_groups.h index ae2409cf772..d0d79c721b6 100644 --- a/vpr/src/pack/attraction_groups.h +++ b/vpr/src/pack/attraction_groups.h @@ -12,6 +12,9 @@ #include "vtr_vector.h" #include "atom_netlist_fwd.h" +// Forward declarations +class PartitionRegion; + /** * @file * @brief This file defines the AttractionInfo class, which is used to store atoms in attraction groups, which are @@ -53,7 +56,7 @@ class AttractionInfo { * Create attraction groups for the partitions that contain overfull regions (i.e. * The region has more blocks of a certain type assigned to than are actually available). */ - void create_att_groups_for_overfull_regions(); + void create_att_groups_for_overfull_regions(const std::vector& overfull_partition_regions); /* * Create attraction groups for all partitions. diff --git a/vpr/src/pack/cluster_feasibility_filter.cpp b/vpr/src/pack/cluster_feasibility_filter.cpp index c8ecb987f00..dec597aa41e 100644 --- a/vpr/src/pack/cluster_feasibility_filter.cpp +++ b/vpr/src/pack/cluster_feasibility_filter.cpp @@ -333,16 +333,14 @@ static void expand_pb_graph_node_and_load_output_to_input_connections(t_pb_graph reference_pin->list_of_connectable_input_pin_ptrs[depth][i] = temp[i]; reference_pin->list_of_connectable_input_pin_ptrs[depth][reference_pin->num_connectable_primitive_input_pins[depth] - - 1] - = current_pb_graph_pin; + - 1] = current_pb_graph_pin; } else { reference_pin->list_of_connectable_input_pin_ptrs[depth] = new t_pb_graph_pin*[reference_pin->num_connectable_primitive_input_pins[depth]]; } reference_pin->list_of_connectable_input_pin_ptrs[depth][reference_pin->num_connectable_primitive_input_pins[depth] - - 1] - = current_pb_graph_pin; + - 1] = current_pb_graph_pin; } } } diff --git a/vpr/src/pack/cluster_legalizer.cpp b/vpr/src/pack/cluster_legalizer.cpp index 399b0e271cc..d1a8a72076a 100644 --- a/vpr/src/pack/cluster_legalizer.cpp +++ b/vpr/src/pack/cluster_legalizer.cpp @@ -17,6 +17,7 @@ #include #include "atom_lookup.h" #include "atom_netlist.h" +#include "cad_types.h" #include "cluster_placement.h" #include "cluster_router.h" #include "globals.h" @@ -37,43 +38,6 @@ #include "vtr_vector.h" #include "vtr_vector_map.h" -/** - * @brief Counts the total number of logic models that the architecture can - * implement. - * - * @param user_models A linked list of logic models. - * @return The total number of models in the linked list - */ -static size_t count_models(const t_model* user_models) { - if (user_models == nullptr) - return 0; - - size_t n_models = 0; - const t_model* cur_model = user_models; - while (cur_model != nullptr) { - n_models++; - cur_model = cur_model->next; - } - - return n_models; -} - -/* - * @brief Gets the max cluster size that any logical block can have. - * - * This is the maximum number of primitives any cluster can contain. - */ -static size_t calc_max_cluster_size(const std::vector& logical_block_types) { - size_t max_cluster_size = 0; - for (const t_logical_block_type& blk_type : logical_block_types) { - if (is_empty_type(&blk_type)) - continue; - int cur_cluster_size = get_max_primitives_in_pb_type(blk_type.pb_type); - max_cluster_size = std::max(max_cluster_size, cur_cluster_size); - } - return max_cluster_size; -} - /* * @brief Allocates the stats stored within the pb of a cluster. * @@ -97,24 +61,24 @@ static void alloc_and_load_pb_stats(t_pb* pb) { * @brief Check the atom blocks of a cluster pb. Used in the verify method. */ /* TODO: May want to check that all atom blocks are actually reached */ -static void check_cluster_atom_blocks(t_pb* pb, std::unordered_set& blocks_checked) { +static void check_cluster_atom_blocks(t_pb* pb, std::unordered_set& blocks_checked, const AtomPBBimap& atom_pb_lookup) { const AtomContext& atom_ctx = g_vpr_ctx.atom(); const t_pb_type* pb_type = pb->pb_graph_node->pb_type; if (pb_type->num_modes == 0) { /* primitive */ - AtomBlockId blk_id = atom_ctx.lookup.pb_atom(pb); + AtomBlockId blk_id = atom_pb_lookup.pb_atom(pb); if (blk_id) { if (blocks_checked.count(blk_id)) { VPR_FATAL_ERROR(VPR_ERROR_PACK, "pb %s contains atom block %s but atom block is already contained in another pb.\n", - pb->name, atom_ctx.nlist.block_name(blk_id).c_str()); + pb->name, atom_ctx.netlist().block_name(blk_id).c_str()); } blocks_checked.insert(blk_id); - if (pb != atom_ctx.lookup.atom_pb(blk_id)) { + if (pb != atom_pb_lookup.atom_pb(blk_id)) { VPR_FATAL_ERROR(VPR_ERROR_PACK, "pb %s contains atom block %s but atom block does not link to pb.\n", - pb->name, atom_ctx.nlist.block_name(blk_id).c_str()); + pb->name, atom_ctx.netlist().block_name(blk_id).c_str()); } } } else { @@ -125,7 +89,7 @@ static void check_cluster_atom_blocks(t_pb* pb, std::unordered_set& if (pb->child_pbs[i] != nullptr) { if (pb->child_pbs[i][j].name != nullptr) { has_child = true; - check_cluster_atom_blocks(&pb->child_pbs[i][j], blocks_checked); + check_cluster_atom_blocks(&pb->child_pbs[i][j], blocks_checked, atom_pb_lookup); } } } @@ -199,8 +163,8 @@ static bool check_cluster_floorplanning(AtomBlockId atom_blk_id, // the Cluster's new PartitionRegion. if (cluster_pr.empty()) { VTR_LOGV(log_verbosity > 3, - "\t\t\t Intersect: Atom block %d has floorplanning constraints\n", - atom_blk_id); + "\t\t\t Intersect: Atom block %d has floorplanning constraints\n", + atom_blk_id); cluster_pr = atom_pr; cluster_pr_needs_update = true; return true; @@ -214,8 +178,8 @@ static bool check_cluster_floorplanning(AtomBlockId atom_blk_id, // Cluster due to floorplanning constraints. if (cluster_pr.empty()) { VTR_LOGV(log_verbosity > 3, - "\t\t\t Intersect: Atom block %d failed floorplanning check for cluster\n", - atom_blk_id); + "\t\t\t Intersect: Atom block %d failed floorplanning check for cluster\n", + atom_blk_id); cluster_pr_needs_update = false; return false; } @@ -290,22 +254,24 @@ static bool check_cluster_noc_group(AtomBlockId atom_blk_id, * outside the cluster) and returns the status of the placement accordingly. */ static enum e_block_pack_status check_chain_root_placement_feasibility(const t_pb_graph_node* pb_graph_node, - const t_pack_molecule* molecule, - const AtomBlockId blk_id) { - const AtomContext& atom_ctx = g_vpr_ctx.atom(); + const t_chain_info& prepack_chain_info, + const t_clustering_chain_info& clustering_chain_info, + t_pack_patterns* mol_pack_patterns, + const AtomBlockId blk_id) { + const AtomNetlist& atom_netlist = g_vpr_ctx.atom().netlist(); enum e_block_pack_status block_pack_status = e_block_pack_status::BLK_PASSED; - bool is_long_chain = molecule->chain_info->is_long_chain; + bool is_long_chain = prepack_chain_info.is_long_chain; - const auto& chain_root_pins = molecule->pack_pattern->chain_root_pins; + const auto& chain_root_pins = mol_pack_patterns->chain_root_pins; t_model_ports* root_port = chain_root_pins[0][0]->port->model_port; AtomNetId chain_net_id; - auto port_id = atom_ctx.nlist.find_atom_port(blk_id, root_port); + auto port_id = atom_netlist.find_atom_port(blk_id, root_port); if (port_id) { - chain_net_id = atom_ctx.nlist.port_net(port_id, chain_root_pins[0][0]->pin_number); + chain_net_id = atom_netlist.port_net(port_id, chain_root_pins[0][0]->pin_number); } // if this block is part of a long chain or it is driven by a cluster @@ -315,7 +281,7 @@ static enum e_block_pack_status check_chain_root_placement_feasibility(const t_p // driven by a global gnd or vdd. Therefore even if this is not a long chain // but its input pin is driven by a net, the placement legality is checked. if (is_long_chain || chain_net_id) { - auto chain_id = molecule->chain_info->chain_id; + auto chain_id = clustering_chain_info.chain_id; // if this chain has a chain id assigned to it (implies is_long_chain too) if (chain_id != -1) { // the chosen primitive should be a valid starting point for the chain @@ -390,8 +356,8 @@ static bool primitive_memory_sibling_feasible(const AtomBlockId blk_id, const t_ //driving the output net //Get the ports from each primitive - auto blk_port_id = atom_ctx.nlist.find_atom_port(blk_id, port); - auto sib_port_id = atom_ctx.nlist.find_atom_port(sibling_blk_id, port); + auto blk_port_id = atom_ctx.netlist().find_atom_port(blk_id, port); + auto sib_port_id = atom_ctx.netlist().find_atom_port(sibling_blk_id, port); //Check that all nets (including unconnected nets) match for (int ipin = 0; ipin < port->size; ++ipin) { @@ -404,10 +370,10 @@ static bool primitive_memory_sibling_feasible(const AtomBlockId blk_id, const t_ //Note that if the port did not exist, the net is left //as invalid/disconneced if (blk_port_id) { - blk_net_id = atom_ctx.nlist.port_net(blk_port_id, ipin); + blk_net_id = atom_ctx.netlist().port_net(blk_port_id, ipin); } if (sib_port_id) { - sib_net_id = atom_ctx.nlist.port_net(sib_port_id, ipin); + sib_net_id = atom_ctx.netlist().port_net(sib_port_id, ipin); } //The sibling and block must have the same (possibly disconnected) @@ -426,14 +392,12 @@ static bool primitive_memory_sibling_feasible(const AtomBlockId blk_id, const t_ /* * @brief Check if the given atom is feasible in the given pb. */ -static bool primitive_feasible(const AtomBlockId blk_id, t_pb* cur_pb) { - const AtomContext& atom_ctx = g_vpr_ctx.atom(); - +static bool primitive_feasible(const AtomBlockId blk_id, t_pb* cur_pb, const AtomPBBimap& atom_to_pb) { const t_pb_type* cur_pb_type = cur_pb->pb_graph_node->pb_type; VTR_ASSERT(cur_pb_type->num_modes == 0); /* primitive */ - AtomBlockId cur_pb_blk_id = atom_ctx.lookup.pb_atom(cur_pb); + AtomBlockId cur_pb_blk_id = atom_to_pb.pb_atom(cur_pb); if (cur_pb_blk_id && cur_pb_blk_id != blk_id) { /* This pb already has a different logical block */ return false; @@ -444,7 +408,8 @@ static bool primitive_feasible(const AtomBlockId blk_id, t_pb* cur_pb) { * - all siblings must share all nets, including open nets, with the exception of data nets */ /* find sibling if one exists */ - AtomBlockId sibling_memory_blk_id = find_memory_sibling(cur_pb); + const t_pb* sibling_memory_pb = find_memory_sibling(cur_pb); + AtomBlockId sibling_memory_blk_id = atom_to_pb.pb_atom(sibling_memory_pb); if (sibling_memory_blk_id) { //There is a sibling, see if the current block is feasible with it @@ -467,17 +432,15 @@ try_place_atom_block_rec(const t_pb_graph_node* pb_graph_node, const AtomBlockId blk_id, t_pb* cb, t_pb** parent, - const int max_models, - const int max_cluster_size, const LegalizationClusterId cluster_id, vtr::vector_map& atom_cluster, - const t_intra_cluster_placement_stats* cluster_placement_stats_ptr, - const t_pack_molecule* molecule, + const PackMoleculeId molecule_id, t_lb_router_data* router_data, int verbosity, - const int feasible_block_array_size) { + const Prepacker& prepacker, + const vtr::vector_map& clustering_chain_info, + AtomPBBimap& atom_to_pb) { const AtomContext& atom_ctx = g_vpr_ctx.atom(); - AtomContext& mutable_atom_ctx = g_vpr_ctx.mutable_atom(); VTR_ASSERT_SAFE(cb != nullptr); e_block_pack_status block_pack_status = e_block_pack_status::BLK_PASSED; @@ -487,10 +450,11 @@ try_place_atom_block_rec(const t_pb_graph_node* pb_graph_node, if (pb_graph_node->parent_pb_graph_node != cb->pb_graph_node) { t_pb* my_parent = nullptr; block_pack_status = try_place_atom_block_rec(pb_graph_node->parent_pb_graph_node, blk_id, cb, - &my_parent, max_models, max_cluster_size, cluster_id, + &my_parent, cluster_id, atom_cluster, - cluster_placement_stats_ptr, molecule, router_data, - verbosity, feasible_block_array_size); + molecule_id, router_data, + verbosity, + prepacker, clustering_chain_info, atom_to_pb); parent_pb = my_parent; } else { parent_pb = cb; @@ -500,7 +464,7 @@ try_place_atom_block_rec(const t_pb_graph_node* pb_graph_node, VTR_ASSERT(parent_pb != nullptr); if (parent_pb->child_pbs == nullptr) { VTR_ASSERT(parent_pb->name == nullptr); - parent_pb->name = vtr::strdup(atom_ctx.nlist.block_name(blk_id).c_str()); + parent_pb->name = vtr::strdup(atom_ctx.netlist().block_name(blk_id).c_str()); parent_pb->mode = pb_graph_node->pb_type->parent_mode->index; set_reset_pb_modes(router_data, parent_pb, true); const t_mode* mode = &parent_pb->pb_graph_node->pb_type->modes[parent_pb->mode]; @@ -548,12 +512,12 @@ try_place_atom_block_rec(const t_pb_graph_node* pb_graph_node, bool is_primitive = (pb_type->num_modes == 0); if (is_primitive) { - VTR_ASSERT(!atom_ctx.lookup.pb_atom(pb) - && atom_ctx.lookup.atom_pb(blk_id) == nullptr + VTR_ASSERT(!atom_to_pb.pb_atom(pb) + && atom_to_pb.atom_pb(blk_id) == nullptr && atom_cluster[blk_id] == LegalizationClusterId::INVALID()); /* try pack to location */ VTR_ASSERT(pb->name == nullptr); - pb->name = vtr::strdup(atom_ctx.nlist.block_name(blk_id).c_str()); + pb->name = vtr::strdup(atom_ctx.netlist().block_name(blk_id).c_str()); //Update the atom netlist mappings atom_cluster[blk_id] = cluster_id; @@ -562,27 +526,34 @@ try_place_atom_block_rec(const t_pb_graph_node* pb_graph_node, // TODO: It would be a good idea to remove the use of this global // variables to prevent external users from modifying this by // mistake. - mutable_atom_ctx.lookup.set_atom_pb(blk_id, pb); + atom_to_pb.set_atom_pb(blk_id, pb); - add_atom_as_target(router_data, blk_id); - if (!primitive_feasible(blk_id, pb)) { + add_atom_as_target(router_data, blk_id, atom_to_pb); + if (!primitive_feasible(blk_id, pb, atom_to_pb)) { /* failed location feasibility check, revert pack */ block_pack_status = e_block_pack_status::BLK_FAILED_FEASIBLE; } // if this block passed and is part of a chained molecule - if (block_pack_status == e_block_pack_status::BLK_PASSED && molecule->is_chain()) { - auto molecule_root_block = molecule->atom_block_ids[molecule->root]; + const t_pack_molecule& molecule = prepacker.get_molecule(molecule_id); + if (block_pack_status == e_block_pack_status::BLK_PASSED && molecule.is_chain()) { + auto molecule_root_block = molecule.atom_block_ids[molecule.root]; // if this is the root block of the chain molecule check its placmeent feasibility if (blk_id == molecule_root_block) { - block_pack_status = check_chain_root_placement_feasibility(pb_graph_node, molecule, blk_id); + VTR_ASSERT(molecule.chain_id.is_valid()); + const t_chain_info& prepack_chain_info = prepacker.get_molecule_chain_info(molecule.chain_id); + block_pack_status = check_chain_root_placement_feasibility(pb_graph_node, + prepack_chain_info, + clustering_chain_info[molecule.chain_id], + molecule.pack_pattern, + blk_id); } } VTR_LOGV(verbosity > 4 && block_pack_status == e_block_pack_status::BLK_PASSED, "\t\t\tPlaced atom '%s' (%s) at %s\n", - atom_ctx.nlist.block_name(blk_id).c_str(), - atom_ctx.nlist.block_model(blk_id)->name, + atom_ctx.netlist().block_name(blk_id).c_str(), + atom_ctx.netlist().block_model(blk_id)->name, pb->hierarchical_type_name().c_str()); } @@ -628,13 +599,13 @@ static void reset_lookahead_pins_used(t_pb* cur_pb) { * @brief Checks if the sinks of the given net are reachable from the driver * pb gpin. */ -static int net_sinks_reachable_in_cluster(const t_pb_graph_pin* driver_pb_gpin, const int depth, const AtomNetId net_id) { +static int net_sinks_reachable_in_cluster(const t_pb_graph_pin* driver_pb_gpin, const int depth, const AtomNetId net_id, const AtomPBBimap& atom_to_pb) { const AtomContext& atom_ctx = g_vpr_ctx.atom(); //Record the sink pb graph pins we are looking for std::unordered_set sink_pb_gpins; - for (const AtomPinId pin_id : atom_ctx.nlist.net_sinks(net_id)) { - const t_pb_graph_pin* sink_pb_gpin = find_pb_graph_pin(atom_ctx.nlist, atom_ctx.lookup, pin_id); + for (const AtomPinId pin_id : atom_ctx.netlist().net_sinks(net_id)) { + const t_pb_graph_pin* sink_pb_gpin = find_pb_graph_pin(atom_ctx.netlist(), atom_to_pb, pin_id); VTR_ASSERT(sink_pb_gpin); sink_pb_gpins.insert(sink_pb_gpin); @@ -647,7 +618,7 @@ static int net_sinks_reachable_in_cluster(const t_pb_graph_pin* driver_pb_gpin, if (sink_pb_gpins.count(reachable_pb_gpin)) { ++num_reachable_sinks; - if (num_reachable_sinks == atom_ctx.nlist.net_sinks(net_id).size()) { + if (num_reachable_sinks == atom_ctx.netlist().net_sinks(net_id).size()) { return true; } } @@ -660,20 +631,20 @@ static int net_sinks_reachable_in_cluster(const t_pb_graph_pin* driver_pb_gpin, * @brief Returns the pb_graph_pin of the atom pin defined by the driver_pin_id in the driver_pb */ static t_pb_graph_pin* get_driver_pb_graph_pin(const t_pb* driver_pb, const AtomPinId driver_pin_id) { - const AtomContext& atom_ctx = g_vpr_ctx.atom(); + const AtomNetlist& atom_netlist = g_vpr_ctx.atom().netlist(); const auto driver_pb_type = driver_pb->pb_graph_node->pb_type; int output_port = 0; // find the port of the pin driving the net as well as the port model - auto driver_port_id = atom_ctx.nlist.pin_port(driver_pin_id); - auto driver_model_port = atom_ctx.nlist.port_model(driver_port_id); + auto driver_port_id = atom_netlist.pin_port(driver_pin_id); + auto driver_model_port = atom_netlist.port_model(driver_port_id); // find the port id of the port containing the driving pin in the driver_pb_type for (int i = 0; i < driver_pb_type->num_ports; i++) { auto& prim_port = driver_pb_type->ports[i]; if (prim_port.type == OUT_PORT) { if (prim_port.model_port == driver_model_port) { // get the output pb_graph_pin driving this input net - return &(driver_pb->pb_graph_node->output_pins[output_port][atom_ctx.nlist.pin_port_bit(driver_pin_id)]); + return &(driver_pb->pb_graph_node->output_pins[output_port][atom_netlist.pin_port_bit(driver_pin_id)]); } output_port++; } @@ -694,7 +665,8 @@ static t_pb_graph_pin* get_driver_pb_graph_pin(const t_pb* driver_pb, const Atom static void compute_and_mark_lookahead_pins_used_for_pin(const t_pb_graph_pin* pb_graph_pin, const t_pb* primitive_pb, const AtomNetId net_id, - const vtr::vector_map& atom_cluster) { + const vtr::vector_map& atom_cluster, + const AtomPBBimap& atom_to_pb) { const AtomContext& atom_ctx = g_vpr_ctx.atom(); // starting from the parent pb of the input primitive go up in the hierarchy till the root block @@ -703,17 +675,17 @@ static void compute_and_mark_lookahead_pins_used_for_pin(const t_pb_graph_pin* p const auto pin_class = pb_graph_pin->parent_pin_class[depth]; VTR_ASSERT(pin_class != OPEN); - const auto driver_blk_id = atom_ctx.nlist.net_driver_block(net_id); + const auto driver_blk_id = atom_ctx.netlist().net_driver_block(net_id); // if this primitive pin is an input pin if (pb_graph_pin->port->type == IN_PORT) { /* find location of net driver if exist in clb, NULL otherwise */ // find the driver of the input net connected to the pin being studied - const auto driver_pin_id = atom_ctx.nlist.net_driver(net_id); + const auto driver_pin_id = atom_ctx.netlist().net_driver(net_id); // find the id of the atom occupying the input primitive_pb - const auto prim_blk_id = atom_ctx.lookup.pb_atom(primitive_pb); + const auto prim_blk_id = atom_to_pb.pb_atom(primitive_pb); // find the pb block occupied by the driving atom - const auto driver_pb = atom_ctx.lookup.atom_pb(driver_blk_id); + const auto driver_pb = atom_to_pb.atom_pb(driver_blk_id); // pb_graph_pin driving net_id in the driver pb block t_pb_graph_pin* output_pb_graph_pin = nullptr; // if the driver block is in the same clb as the input primitive block @@ -761,7 +733,7 @@ static void compute_and_mark_lookahead_pins_used_for_pin(const t_pb_graph_pin* p */ bool net_exits_cluster = true; - int num_net_sinks = static_cast(atom_ctx.nlist.net_sinks(net_id).size()); + int num_net_sinks = static_cast(atom_ctx.netlist().net_sinks(net_id).size()); if (pb_graph_pin->num_connectable_primitive_input_pins[depth] >= num_net_sinks) { //It is possible the net is completely absorbed in the cluster, @@ -785,8 +757,8 @@ static void compute_and_mark_lookahead_pins_used_for_pin(const t_pb_graph_pin* p //Check if all the net sinks are, in fact, inside this cluster bool all_sinks_in_cur_cluster = true; LegalizationClusterId driver_cluster = atom_cluster[driver_blk_id]; - for (auto pin_id : atom_ctx.nlist.net_sinks(net_id)) { - auto sink_blk_id = atom_ctx.nlist.pin_block(pin_id); + for (auto pin_id : atom_ctx.netlist().net_sinks(net_id)) { + auto sink_blk_id = atom_ctx.netlist().pin_block(pin_id); if (atom_cluster[sink_blk_id] != driver_cluster) { all_sinks_in_cur_cluster = false; break; @@ -801,7 +773,7 @@ static void compute_and_mark_lookahead_pins_used_for_pin(const t_pb_graph_pin* p //the net does not exit the cluster /* TODO: I should cache the absorbed outputs, once net is absorbed, * net is forever absorbed, no point in rechecking every time */ - if (net_sinks_reachable_in_cluster(pb_graph_pin, depth, net_id)) { + if (net_sinks_reachable_in_cluster(pb_graph_pin, depth, net_id, atom_to_pb)) { //All the sinks are reachable inside the cluster net_exits_cluster = false; } @@ -816,23 +788,23 @@ static void compute_and_mark_lookahead_pins_used_for_pin(const t_pb_graph_pin* p } } - /* * @brief Determine if pins of speculatively packed pb are legal */ static void compute_and_mark_lookahead_pins_used(const AtomBlockId blk_id, - const vtr::vector_map& atom_cluster) { - const AtomContext& atom_ctx = g_vpr_ctx.atom(); + const vtr::vector_map& atom_cluster, + const AtomPBBimap& atom_to_pb) { + const AtomNetlist& atom_netlist = g_vpr_ctx.atom().netlist(); - const t_pb* cur_pb = atom_ctx.lookup.atom_pb(blk_id); + const t_pb* cur_pb = atom_to_pb.atom_pb(blk_id); VTR_ASSERT(cur_pb != nullptr); /* Walk through inputs, outputs, and clocks marking pins off of the same class */ - for (auto pin_id : atom_ctx.nlist.block_pins(blk_id)) { - auto net_id = atom_ctx.nlist.pin_net(pin_id); + for (auto pin_id : atom_netlist.block_pins(blk_id)) { + auto net_id = atom_netlist.pin_net(pin_id); - const t_pb_graph_pin* pb_graph_pin = find_pb_graph_pin(atom_ctx.nlist, atom_ctx.lookup, pin_id); - compute_and_mark_lookahead_pins_used_for_pin(pb_graph_pin, cur_pb, net_id, atom_cluster); + const t_pb_graph_pin* pb_graph_pin = find_pb_graph_pin(atom_netlist, atom_to_pb, pin_id); + compute_and_mark_lookahead_pins_used_for_pin(pb_graph_pin, cur_pb, net_id, atom_cluster, atom_to_pb); } } @@ -843,9 +815,8 @@ static void compute_and_mark_lookahead_pins_used(const AtomBlockId blk_id, * number of pb_graph pins. Can use hash tables or make incremental if becomes an issue. */ static void try_update_lookahead_pins_used(t_pb* cur_pb, - const vtr::vector_map& atom_cluster) { - const AtomContext& atom_ctx = g_vpr_ctx.atom(); - + const vtr::vector_map& atom_cluster, + const AtomPBBimap& atom_to_pb) { // run recursively till a leaf (primitive) pb block is reached const t_pb_type* pb_type = cur_pb->pb_graph_node->pb_type; if (pb_type->num_modes > 0 && cur_pb->name != nullptr) { @@ -853,7 +824,7 @@ static void try_update_lookahead_pins_used(t_pb* cur_pb, for (int i = 0; i < pb_type->modes[cur_pb->mode].num_pb_type_children; i++) { if (cur_pb->child_pbs[i] != nullptr) { for (int j = 0; j < pb_type->modes[cur_pb->mode].pb_type_children[i].num_pb; j++) { - try_update_lookahead_pins_used(&cur_pb->child_pbs[i][j], atom_cluster); + try_update_lookahead_pins_used(&cur_pb->child_pbs[i][j], atom_cluster, atom_to_pb); } } } @@ -861,9 +832,9 @@ static void try_update_lookahead_pins_used(t_pb* cur_pb, } else { // find if this child (primitive) pb block has an atom mapped to it, // if yes compute and mark lookahead pins used for that pb block - AtomBlockId blk_id = atom_ctx.lookup.pb_atom(cur_pb); + AtomBlockId blk_id = atom_to_pb.pb_atom(cur_pb); if (pb_type->blif_model != nullptr && blk_id) { - compute_and_mark_lookahead_pins_used(blk_id, atom_cluster); + compute_and_mark_lookahead_pins_used(blk_id, atom_cluster, atom_to_pb); } } } @@ -932,26 +903,31 @@ static bool check_lookahead_pins_used(t_pb* cur_pb, t_ext_pin_util max_external_ return true; } -/** - * @brief This function takes a chain molecule, and the pb_graph_node that is - * chosen for packing the molecule's root block. Using the given - * root_primitive, this function will identify which chain id this - * molecule is being mapped to and will update the chain id value inside - * the chain info data structure of this molecule. - */ -static void update_molecule_chain_info(t_pack_molecule* chain_molecule, const t_pb_graph_node* root_primitive) { - VTR_ASSERT(chain_molecule->chain_info->chain_id == -1 && chain_molecule->chain_info->is_long_chain); +void ClusterLegalizer::update_clustering_chain_info(PackMoleculeId chain_molecule_id, + const t_pb_graph_node* root_primitive) { + // Get the molecule + VTR_ASSERT(chain_molecule_id.is_valid()); + const t_pack_molecule& chain_molecule = prepacker_.get_molecule(chain_molecule_id); + + // Get the ID of the chain it is a part of + MoleculeChainId chain_id = chain_molecule.chain_id; + VTR_ASSERT(chain_id.is_valid()); - auto chain_root_pins = chain_molecule->pack_pattern->chain_root_pins; + // Get the prepacking and clustering information on this chain. + const t_chain_info& prepack_chain_info = prepacker_.get_molecule_chain_info(chain_id); + t_clustering_chain_info& clustering_chain_info = clustering_chain_info_[chain_id]; + VTR_ASSERT(clustering_chain_info.chain_id == -1 && prepack_chain_info.is_long_chain); + // Update the clustering chain information. // long chains should only be placed at the beginning of the chain // Since for long chains the molecule size is already equal to the // total number of adders in the cluster. Therefore, it should // always be placed at the very first adder in this cluster. + auto chain_root_pins = chain_molecule.pack_pattern->chain_root_pins; for (size_t chainId = 0; chainId < chain_root_pins.size(); chainId++) { if (chain_root_pins[chainId][0]->parent_node == root_primitive) { - chain_molecule->chain_info->chain_id = chainId; - chain_molecule->chain_info->first_packed_molecule = chain_molecule; + clustering_chain_info.chain_id = chainId; + clustering_chain_info.first_packed_molecule = chain_molecule_id; return; } } @@ -959,28 +935,27 @@ static void update_molecule_chain_info(t_pack_molecule* chain_molecule, const t_ VTR_ASSERT(false); } -/* - * @brief Reset molecule information created while trying to cluster it. - * - * This code only resets information that has to do with long chains. - * - * TODO: This information should not be stored in the molecule, but should be - * stored in the ClusterLegalizer class instead. - * - * TODO: This code may be removable. Tried turning it off and found no test - * failures or QoR degredations. Should be investigated in more detail. - */ -static void reset_molecule_info(t_pack_molecule* mol) { +void ClusterLegalizer::reset_molecule_info(PackMoleculeId mol_id) { + VTR_ASSERT(mol_id.is_valid()); + // when invalidating a molecule check if it's a chain molecule // that is part of a long chain. If so, check if this molecule // has modified the chain_id value based on the stale packing // then reset the chain id and the first packed molecule pointer // this is packing is being reset - if (mol->is_chain() - && mol->chain_info->is_long_chain - && mol->chain_info->first_packed_molecule == mol) { - mol->chain_info->first_packed_molecule = nullptr; - mol->chain_info->chain_id = -1; + const t_pack_molecule& mol = prepacker_.get_molecule(mol_id); + if (!mol.is_chain()) + return; + + VTR_ASSERT(mol.chain_id.is_valid()); + const t_chain_info& prepack_chain_info = prepacker_.get_molecule_chain_info(mol.chain_id); + if (!prepack_chain_info.is_long_chain) + return; + + t_clustering_chain_info& clustering_chain_info = clustering_chain_info_[mol.chain_id]; + if (clustering_chain_info.first_packed_molecule == mol_id) { + clustering_chain_info.first_packed_molecule = PackMoleculeId::INVALID(); + clustering_chain_info.chain_id = -1; } } @@ -989,16 +964,14 @@ static void reset_molecule_info(t_pack_molecule* mol) { */ static void revert_place_atom_block(const AtomBlockId blk_id, t_lb_router_data* router_data, - vtr::vector_map& atom_cluster) { - const AtomContext& atom_ctx = g_vpr_ctx.atom(); - AtomContext& mutable_atom_ctx = g_vpr_ctx.mutable_atom(); - + vtr::vector_map& atom_cluster, + AtomPBBimap& atom_to_pb) { //We cast away const here since we may free the pb, and it is //being removed from the active mapping. // //In general most code works fine accessing cosnt t_pb*, - //which is why we store them as such in atom_ctx.lookup - t_pb* pb = const_cast(atom_ctx.lookup.atom_pb(blk_id)); + //which is why we store them as such in atom_ctx.lookup() + t_pb* pb = const_cast(atom_to_pb.atom_pb(blk_id)); if (pb != nullptr) { /* When freeing molecules, the current block might already have been freed by a prior revert @@ -1006,7 +979,7 @@ static void revert_place_atom_block(const AtomBlockId blk_id, */ t_pb* next = pb->parent_pb; - free_pb(pb); + free_pb(pb, atom_to_pb); pb = next; while (pb != nullptr) { @@ -1022,7 +995,7 @@ static void revert_place_atom_block(const AtomBlockId blk_id, /* If the code gets here, then that means that placing the initial seed molecule * failed, don't free the actual complex block itself as the seed needs to find * another placement */ - free_pb(pb); + free_pb(pb, atom_to_pb); } } pb = next; @@ -1031,7 +1004,7 @@ static void revert_place_atom_block(const AtomBlockId blk_id, //Update the atom netlist mapping atom_cluster[blk_id] = LegalizationClusterId::INVALID(); - mutable_atom_ctx.lookup.set_atom_pb(blk_id, nullptr); + atom_to_pb.set_atom_pb(blk_id, nullptr); } /* @@ -1139,14 +1112,14 @@ static bool cleanup_pb(t_pb* pb) { return can_free; } -e_block_pack_status ClusterLegalizer::try_pack_molecule(t_pack_molecule* molecule, +e_block_pack_status ClusterLegalizer::try_pack_molecule(PackMoleculeId molecule_id, LegalizationCluster& cluster, LegalizationClusterId cluster_id, const t_ext_pin_util& max_external_pin_util) { // Try to pack the molecule into a cluster with this pb type. // Safety debugs. - VTR_ASSERT_DEBUG(molecule != nullptr); + VTR_ASSERT_DEBUG(molecule_id.is_valid()); VTR_ASSERT_DEBUG(cluster.pb != nullptr); VTR_ASSERT_DEBUG(cluster.type != nullptr); @@ -1159,15 +1132,19 @@ e_block_pack_status ClusterLegalizer::try_pack_molecule(t_pack_molecule* molecul // - Checking if the atom can be placed in the cluster for floorplanning // constraints. const FloorplanningContext& floorplanning_ctx = g_vpr_ctx.floorplanning(); + + // Get the molecule object. + const t_pack_molecule& molecule = prepacker_.get_molecule(molecule_id); + if (log_verbosity_ > 3) { - AtomBlockId root_atom = molecule->atom_block_ids[molecule->root]; + AtomBlockId root_atom = molecule.atom_block_ids[molecule.root]; VTR_LOG("\t\tTry pack molecule: '%s' (%s)", - atom_ctx.nlist.block_name(root_atom).c_str(), - atom_ctx.nlist.block_model(root_atom)->name); - VTR_LOGV(molecule->pack_pattern, + atom_ctx.netlist().block_name(root_atom).c_str(), + atom_ctx.netlist().block_model(root_atom)->name); + VTR_LOGV(molecule.pack_pattern, " molecule_type %s molecule_size %zu", - molecule->pack_pattern->name, - molecule->atom_block_ids.size()); + molecule.pack_pattern->name, + molecule.atom_block_ids.size()); VTR_LOG("\n"); } @@ -1176,7 +1153,7 @@ e_block_pack_status ClusterLegalizer::try_pack_molecule(t_pack_molecule* molecul // molecules to be placed in this cluster. To avoid possibly creating cluster level // blocks that have incompatible placement constraints or form very long placement // macros that limit placement flexibility. - if (cluster.placement_stats->has_long_chain && molecule->is_chain() && molecule->chain_info->is_long_chain) { + if (cluster.placement_stats->has_long_chain && molecule.is_chain() && prepacker_.get_molecule_chain_info(molecule.chain_id).is_long_chain) { VTR_LOGV(log_verbosity_ > 4, "\t\t\tFAILED Placement Feasibility Filter: Only one long chain per cluster is allowed\n"); return e_block_pack_status::BLK_FAILED_FEASIBLE; } @@ -1187,40 +1164,39 @@ e_block_pack_status ClusterLegalizer::try_pack_molecule(t_pack_molecule* molecul PartitionRegion new_cluster_pr = cluster.pr; // TODO: This can be made more efficient by pre-computing the intersection // of all the atoms' PRs in the molecule. - int molecule_size = get_array_size_of_molecule(molecule); - for (int i_mol = 0; i_mol < molecule_size; i_mol++) { + for (AtomBlockId atom_blk_id : molecule.atom_block_ids) { + if (!atom_blk_id.is_valid()) + continue; + // Try to intersect with atom PartitionRegion if atom exists - AtomBlockId atom_blk_id = molecule->atom_block_ids[i_mol]; - if (atom_blk_id) { - bool cluster_pr_needs_update = false; - bool block_pack_floorplan_status = check_cluster_floorplanning(atom_blk_id, - new_cluster_pr, - floorplanning_ctx.constraints, - log_verbosity_, - cluster_pr_needs_update); - if (!block_pack_floorplan_status) { - return e_block_pack_status::BLK_FAILED_FLOORPLANNING; - } + bool cluster_pr_needs_update = false; + bool block_pack_floorplan_status = check_cluster_floorplanning(atom_blk_id, + new_cluster_pr, + floorplanning_ctx.constraints, + log_verbosity_, + cluster_pr_needs_update); + if (!block_pack_floorplan_status) { + return e_block_pack_status::BLK_FAILED_FLOORPLANNING; + } - if (cluster_pr_needs_update) { - cluster_pr_update_check = true; - } + if (cluster_pr_needs_update) { + cluster_pr_update_check = true; } } // Check if all atoms in the molecule can be added to the cluster without // NoC group conflicts NocGroupId new_cluster_noc_grp_id = cluster.noc_grp_id; - for (int i_mol = 0; i_mol < molecule_size; i_mol++) { - AtomBlockId atom_blk_id = molecule->atom_block_ids[i_mol]; - if (atom_blk_id) { - bool block_pack_noc_grp_status = check_cluster_noc_group(atom_blk_id, - new_cluster_noc_grp_id, - atom_noc_grp_id_, - log_verbosity_); - if (!block_pack_noc_grp_status) { - return e_block_pack_status::BLK_FAILED_NOC_GROUP; - } + for (AtomBlockId atom_blk_id : molecule.atom_block_ids) { + if (!atom_blk_id.is_valid()) + continue; + + bool block_pack_noc_grp_status = check_cluster_noc_group(atom_blk_id, + new_cluster_noc_grp_id, + atom_noc_grp_id_, + log_verbosity_); + if (!block_pack_noc_grp_status) { + return e_block_pack_status::BLK_FAILED_NOC_GROUP; } } @@ -1228,19 +1204,20 @@ e_block_pack_status ClusterLegalizer::try_pack_molecule(t_pack_molecule* molecul e_block_pack_status block_pack_status = e_block_pack_status::BLK_STATUS_UNDEFINED; while (block_pack_status != e_block_pack_status::BLK_PASSED) { if (!get_next_primitive_list(cluster.placement_stats, - molecule, - primitives_list.data())) { + molecule_id, + primitives_list.data(), + prepacker_)) { VTR_LOGV(log_verbosity_ > 3, "\t\tFAILED No candidate primitives available\n"); block_pack_status = e_block_pack_status::BLK_FAILED_FEASIBLE; break; /* no more candidate primitives available, this molecule will not pack, return fail */ } block_pack_status = e_block_pack_status::BLK_PASSED; - int failed_location = 0; - for (int i_mol = 0; i_mol < molecule_size && block_pack_status == e_block_pack_status::BLK_PASSED; i_mol++) { - VTR_ASSERT((primitives_list[i_mol] == nullptr) == (!molecule->atom_block_ids[i_mol])); + size_t failed_location = 0; + for (size_t i_mol = 0; i_mol < molecule.atom_block_ids.size() && block_pack_status == e_block_pack_status::BLK_PASSED; i_mol++) { + VTR_ASSERT((primitives_list[i_mol] == nullptr) == (!molecule.atom_block_ids[i_mol])); failed_location = i_mol + 1; - AtomBlockId atom_blk_id = molecule->atom_block_ids[i_mol]; + AtomBlockId atom_blk_id = molecule.atom_block_ids[i_mol]; if (!atom_blk_id.is_valid()) continue; // NOTE: This parent variable is only used in the recursion of this @@ -1250,21 +1227,20 @@ e_block_pack_status ClusterLegalizer::try_pack_molecule(t_pack_molecule* molecul atom_blk_id, cluster.pb, &parent, - num_models_, - max_cluster_size_, cluster_id, atom_cluster_, - cluster.placement_stats, - molecule, + molecule_id, cluster.router_data, log_verbosity_, - feasible_block_array_size_); + prepacker_, + clustering_chain_info_, + mutable_atom_pb_lookup()); } if (enable_pin_feasibility_filter_ && block_pack_status == e_block_pack_status::BLK_PASSED) { // Check if pin usage is feasible for the current packing assignment reset_lookahead_pins_used(cluster.pb); - try_update_lookahead_pins_used(cluster.pb, atom_cluster_); + try_update_lookahead_pins_used(cluster.pb, atom_cluster_, atom_pb_lookup()); if (!check_lookahead_pins_used(cluster.pb, max_external_pin_util)) { VTR_LOGV(log_verbosity_ > 4, "\t\t\tFAILED Pin Feasibility Filter\n"); block_pack_status = e_block_pack_status::BLK_FAILED_FEASIBLE; @@ -1322,14 +1298,14 @@ e_block_pack_status ClusterLegalizer::try_pack_molecule(t_pack_molecule* molecul * TODO: SW Engineering note - may want to update cluster stats here too instead of doing it outside */ VTR_ASSERT(block_pack_status == e_block_pack_status::BLK_PASSED); - if (molecule->is_chain()) { + if (molecule.is_chain()) { /* Chained molecules often take up lots of area and are important, * if a chain is packed in, want to rename logic block to match chain name */ - AtomBlockId chain_root_blk_id = molecule->atom_block_ids[molecule->pack_pattern->root_block->block_id]; - t_pb* cur_pb = atom_ctx.lookup.atom_pb(chain_root_blk_id)->parent_pb; + AtomBlockId chain_root_blk_id = molecule.atom_block_ids[molecule.pack_pattern->root_block->block_id]; + t_pb* cur_pb = atom_pb_lookup().atom_pb(chain_root_blk_id)->parent_pb; while (cur_pb != nullptr) { free(cur_pb->name); - cur_pb->name = vtr::strdup(atom_ctx.nlist.block_name(chain_root_blk_id).c_str()); + cur_pb->name = vtr::strdup(atom_ctx.netlist().block_name(chain_root_blk_id).c_str()); cur_pb = cur_pb->parent_pb; } // if this molecule is part of a chain, mark the cluster as having a long chain @@ -1337,10 +1313,13 @@ e_block_pack_status ClusterLegalizer::try_pack_molecule(t_pack_molecule* molecul // If so, update the chain id for this chain of molecules to make sure all // molecules will be packed to the same chain id and can reach each other using // the chain direct links between clusters - if (molecule->chain_info->is_long_chain) { + VTR_ASSERT(molecule.chain_id.is_valid()); + const t_chain_info& prepack_chain_info = prepacker_.get_molecule_chain_info(molecule.chain_id); + if (prepack_chain_info.is_long_chain) { cluster.placement_stats->has_long_chain = true; - if (molecule->chain_info->chain_id == -1) { - update_molecule_chain_info(molecule, primitives_list[molecule->root]); + const t_clustering_chain_info& clustering_chain_info = clustering_chain_info_[molecule.chain_id]; + if (clustering_chain_info.chain_id == -1) { + update_clustering_chain_info(molecule_id, primitives_list[molecule.root]); } } } @@ -1356,10 +1335,10 @@ e_block_pack_status ClusterLegalizer::try_pack_molecule(t_pack_molecule* molecul cluster.noc_grp_id = new_cluster_noc_grp_id; // Insert the molecule into the cluster for bookkeeping. - cluster.molecules.push_back(molecule); + cluster.molecules.push_back(molecule_id); - for (int i = 0; i < molecule_size; i++) { - AtomBlockId atom_blk_id = molecule->atom_block_ids[i]; + for (size_t i = 0; i < molecule.atom_block_ids.size(); i++) { + AtomBlockId atom_blk_id = molecule.atom_block_ids[i]; if (!atom_blk_id.is_valid()) continue; @@ -1368,7 +1347,7 @@ e_block_pack_status ClusterLegalizer::try_pack_molecule(t_pack_molecule* molecul atom_cluster_[atom_blk_id] = cluster_id; // Update the num child blocks in pb - const t_pb* atom_pb = atom_ctx.lookup.atom_pb(atom_blk_id); + const t_pb* atom_pb = atom_pb_lookup().atom_pb(atom_blk_id); VTR_ASSERT_SAFE(atom_pb != nullptr); t_pb* cur_pb = atom_pb->parent_pb; while (cur_pb != nullptr) { @@ -1384,19 +1363,19 @@ e_block_pack_status ClusterLegalizer::try_pack_molecule(t_pack_molecule* molecul if (block_pack_status != e_block_pack_status::BLK_PASSED) { /* Pack unsuccessful, undo inserting molecule into cluster */ - for (int i = 0; i < failed_location; i++) { - AtomBlockId atom_blk_id = molecule->atom_block_ids[i]; + for (size_t i = 0; i < failed_location; i++) { + AtomBlockId atom_blk_id = molecule.atom_block_ids[i]; if (atom_blk_id) { - remove_atom_from_target(cluster.router_data, atom_blk_id); + remove_atom_from_target(cluster.router_data, atom_blk_id, atom_pb_lookup()); } } - for (int i = 0; i < failed_location; i++) { - AtomBlockId atom_blk_id = molecule->atom_block_ids[i]; + for (size_t i = 0; i < failed_location; i++) { + AtomBlockId atom_blk_id = molecule.atom_block_ids[i]; if (atom_blk_id) { - revert_place_atom_block(atom_blk_id, cluster.router_data, atom_cluster_); + revert_place_atom_block(atom_blk_id, cluster.router_data, atom_cluster_, mutable_atom_pb_lookup()); } } - reset_molecule_info(molecule); + reset_molecule_info(molecule_id); /* Packing failed, but a part of the pb tree is still allocated and pbs have their modes set. * Before trying to pack next molecule the unused pbs need to be freed and, the most important, @@ -1415,20 +1394,19 @@ e_block_pack_status ClusterLegalizer::try_pack_molecule(t_pack_molecule* molecul } std::tuple -ClusterLegalizer::start_new_cluster(t_pack_molecule* molecule, +ClusterLegalizer::start_new_cluster(PackMoleculeId molecule_id, t_logical_block_type_ptr cluster_type, int cluster_mode) { // Safety asserts to ensure the API is being called with valid arguments. - VTR_ASSERT_DEBUG(molecule != nullptr); + VTR_ASSERT_DEBUG(molecule_id.is_valid()); VTR_ASSERT_DEBUG(cluster_type != nullptr); VTR_ASSERT_DEBUG(cluster_mode < cluster_type->pb_graph_head->pb_type->num_modes); // Ensure that the molecule has not already been placed. - VTR_ASSERT_SAFE(molecule_cluster_.find(molecule) == molecule_cluster_.end() || - !molecule_cluster_[molecule].is_valid()); + VTR_ASSERT_SAFE(!molecule_cluster_[molecule_id].is_valid()); // Safety asserts to ensure that the API was initialized properly. VTR_ASSERT_DEBUG(lb_type_rr_graphs_ != nullptr); - const AtomNetlist& atom_nlist = g_vpr_ctx.atom().nlist; + const AtomNetlist& atom_nlist = g_vpr_ctx.atom().netlist(); // Create the physical block for this cluster based on the type. t_pb* cluster_pb = new t_pb; @@ -1458,7 +1436,7 @@ ClusterLegalizer::start_new_cluster(t_pack_molecule* molecule, // (meaning all cluster pins are allowed to be used). const t_ext_pin_util FULL_EXTERNAL_PIN_UTIL(1., 1.); LegalizationClusterId new_cluster_id = LegalizationClusterId(legalization_cluster_ids_.size()); - e_block_pack_status pack_status = try_pack_molecule(molecule, + e_block_pack_status pack_status = try_pack_molecule(molecule_id, new_cluster, new_cluster_id, FULL_EXTERNAL_PIN_UTIL); @@ -1466,7 +1444,8 @@ ClusterLegalizer::start_new_cluster(t_pack_molecule* molecule, if (pack_status == e_block_pack_status::BLK_PASSED) { // Give the new cluster pb a name. The current convention is to name the // cluster after the root atom of the first molecule packed into it. - AtomBlockId root_atom = molecule->atom_block_ids[molecule->root]; + const t_pack_molecule& molecule = prepacker_.get_molecule(molecule_id); + AtomBlockId root_atom = molecule.atom_block_ids[molecule.root]; const std::string& root_atom_name = atom_nlist.block_name(root_atom); if (new_cluster.pb->name != nullptr) free(new_cluster.pb->name); @@ -1475,10 +1454,10 @@ ClusterLegalizer::start_new_cluster(t_pack_molecule* molecule, legalization_cluster_ids_.push_back(new_cluster_id); legalization_clusters_.push_back(std::move(new_cluster)); // Update the molecule to cluster map. - molecule_cluster_[molecule] = new_cluster_id; + molecule_cluster_[molecule_id] = new_cluster_id; } else { // Delete the new_cluster. - free_pb(new_cluster.pb); + free_pb(new_cluster.pb, mutable_atom_pb_lookup()); delete new_cluster.pb; free_router_data(new_cluster.router_data); free_cluster_placement_stats(new_cluster.placement_stats); @@ -1488,32 +1467,31 @@ ClusterLegalizer::start_new_cluster(t_pack_molecule* molecule, return {pack_status, new_cluster_id}; } -e_block_pack_status ClusterLegalizer::add_mol_to_cluster(t_pack_molecule* molecule, +e_block_pack_status ClusterLegalizer::add_mol_to_cluster(PackMoleculeId molecule_id, LegalizationClusterId cluster_id) { // Safety asserts to make sure the inputs are valid. VTR_ASSERT_SAFE(cluster_id.is_valid() && (size_t)cluster_id < legalization_clusters_.size()); VTR_ASSERT(legalization_cluster_ids_[cluster_id].is_valid() && "Cannot add to a destroyed cluster"); // Ensure that the molecule has not already been placed. - VTR_ASSERT(molecule_cluster_.find(molecule) == molecule_cluster_.end() || - !molecule_cluster_[molecule].is_valid()); + VTR_ASSERT(!molecule_cluster_[molecule_id].is_valid()); // Safety asserts to ensure that the API was initialized properly. VTR_ASSERT_DEBUG(lb_type_rr_graphs_ != nullptr); // Get the cluster. LegalizationCluster& cluster = legalization_clusters_[cluster_id]; VTR_ASSERT(cluster.router_data != nullptr && cluster.placement_stats != nullptr - && "Cannot add molecule to cleaned cluster!"); + && "Cannot add molecule to cleaned cluster!"); // Set the target_external_pin_util. t_ext_pin_util target_ext_pin_util = target_external_pin_util_.get_pin_util(cluster.type->name); // Try to pack the molecule into the cluster. - e_block_pack_status pack_status = try_pack_molecule(molecule, + e_block_pack_status pack_status = try_pack_molecule(molecule_id, cluster, cluster_id, target_ext_pin_util); // If the packing was successful, set the molecules' cluster to this one. if (pack_status == e_block_pack_status::BLK_PASSED) - molecule_cluster_[molecule] = cluster_id; + molecule_cluster_[molecule_id] = cluster_id; return pack_status; } @@ -1525,24 +1503,23 @@ void ClusterLegalizer::destroy_cluster(LegalizationClusterId cluster_id) { // Get the cluster. LegalizationCluster& cluster = legalization_clusters_[cluster_id]; // Remove all molecules from the cluster. - for (t_pack_molecule* mol : cluster.molecules) { - VTR_ASSERT_SAFE(molecule_cluster_.find(mol) != molecule_cluster_.end() && - molecule_cluster_[mol] == cluster_id); - molecule_cluster_[mol] = LegalizationClusterId::INVALID(); + for (PackMoleculeId mol_id : cluster.molecules) { + VTR_ASSERT_SAFE(molecule_cluster_[mol_id] == cluster_id); + molecule_cluster_[mol_id] = LegalizationClusterId::INVALID(); // Revert the placement of all blocks in the molecule. - int molecule_size = get_array_size_of_molecule(mol); - for (int i = 0; i < molecule_size; i++) { - AtomBlockId atom_blk_id = mol->atom_block_ids[i]; + const t_pack_molecule& mol = prepacker_.get_molecule(mol_id); + for (AtomBlockId atom_blk_id : mol.atom_block_ids) { if (atom_blk_id) { - revert_place_atom_block(atom_blk_id, cluster.router_data, atom_cluster_); + revert_place_atom_block(atom_blk_id, cluster.router_data, atom_cluster_, mutable_atom_pb_lookup()); } } - reset_molecule_info(mol); + reset_molecule_info(mol_id); + molecule_cluster_[mol_id] = LegalizationClusterId::INVALID(); } cluster.molecules.clear(); // Free the rest of the cluster data. // Casting things to nullptr for safety just in case someone is trying to use it. - free_pb(cluster.pb); + free_pb(cluster.pb, mutable_atom_pb_lookup()); delete cluster.pb; cluster.pb = nullptr; free_router_data(cluster.router_data); @@ -1563,10 +1540,11 @@ void ClusterLegalizer::compress() { legalization_cluster_ids_ = clean_and_reorder_ids(cluster_id_map); legalization_clusters_ = clean_and_reorder_values(legalization_clusters_, cluster_id_map); // Update the reverse lookups. - for (auto& it : molecule_cluster_) { - if (!it.second.is_valid()) + for (PackMoleculeId mol_id : prepacker_.molecules()) { + LegalizationClusterId old_cluster_id = molecule_cluster_[mol_id]; + if (!old_cluster_id.is_valid()) continue; - molecule_cluster_[it.first] = cluster_id_map[it.second]; + molecule_cluster_[mol_id] = cluster_id_map[old_cluster_id]; } for (size_t i = 0; i < atom_cluster_.size(); i++) { AtomBlockId atom_blk_id = AtomBlockId(i); @@ -1587,7 +1565,7 @@ void ClusterLegalizer::clean_cluster(LegalizationClusterId cluster_id) { // Get the cluster. LegalizationCluster& cluster = legalization_clusters_[cluster_id]; VTR_ASSERT(cluster.router_data != nullptr && cluster.placement_stats != nullptr - && "Should not clean an already cleaned cluster!"); + && "Should not clean an already cleaned cluster!"); // Free the pb stats. free_pb_stats_recursive(cluster.pb); // Load the pb_route so we can free the cluster router data. @@ -1618,16 +1596,13 @@ bool ClusterLegalizer::check_cluster_legality(LegalizationClusterId cluster_id) ClusterLegalizer::ClusterLegalizer(const AtomNetlist& atom_netlist, const Prepacker& prepacker, - const std::vector& logical_block_types, std::vector* lb_type_rr_graphs, - const t_model* user_models, - const t_model* library_models, const std::vector& target_external_pin_util_str, const t_pack_high_fanout_thresholds& high_fanout_thresholds, ClusterLegalizationStrategy cluster_legalization_strategy, bool enable_pin_feasibility_filter, - int feasible_block_array_size, - int log_verbosity) : prepacker_(prepacker) { + int log_verbosity) + : prepacker_(prepacker) { // Verify that the inputs are valid. VTR_ASSERT_SAFE(lb_type_rr_graphs != nullptr); @@ -1635,18 +1610,16 @@ ClusterLegalizer::ClusterLegalizer(const AtomNetlist& atom_netlist, // NOTE: Be careful with this constructor, it may throw a VPR_FATAL_ERROR. target_external_pin_util_ = t_ext_pin_util_targets(target_external_pin_util_str); + // Resize the molecule_cluster lookup to make the accesses much cheaper. + molecule_cluster_.resize(prepacker_.molecules().size(), LegalizationClusterId::INVALID()); // Resize the atom_cluster lookup to make the accesses much cheaper. atom_cluster_.resize(atom_netlist.blocks().size(), LegalizationClusterId::INVALID()); + // Default the clustering chain info for each chain. + clustering_chain_info_.resize(prepacker_.get_num_molecule_chains()); // Pre-compute the max size of any molecule. max_molecule_size_ = prepacker.get_max_molecule_size(); - // Calculate the max cluster size - // - Limit maximum number of elements for each cluster to MAX_SHORT - max_cluster_size_ = calc_max_cluster_size(logical_block_types); - VTR_ASSERT(max_cluster_size_ < MAX_SHORT); // Get a reference to the rr graphs. lb_type_rr_graphs_ = lb_type_rr_graphs; - // Get the number of models in the architecture. - num_models_ = count_models(user_models) + count_models(library_models); // Find all NoC router atoms. std::vector noc_atoms = find_noc_router_atoms(atom_netlist); update_noc_reachability_partitions(noc_atoms, @@ -1656,8 +1629,9 @@ ClusterLegalizer::ClusterLegalizer(const AtomNetlist& atom_netlist, // Copy the options passed by the user cluster_legalization_strategy_ = cluster_legalization_strategy; enable_pin_feasibility_filter_ = enable_pin_feasibility_filter; - feasible_block_array_size_ = feasible_block_array_size; log_verbosity_ = log_verbosity; + VTR_ASSERT(g_vpr_ctx.atom().lookup().atom_pb_bimap().is_empty()); + atom_pb_lookup_ = AtomPBBimap(); } void ClusterLegalizer::reset() { @@ -1667,9 +1641,8 @@ void ClusterLegalizer::reset() { continue; destroy_cluster(cluster_id); } + mutable_atom_pb_lookup().reset_bimap(); compress(); - // Reset the molecule_cluster map - molecule_cluster_.clear(); } void ClusterLegalizer::verify() { @@ -1683,25 +1656,25 @@ void ClusterLegalizer::verify() { /* * Check that each atom block connects to one physical primitive and that the primitive links up to the parent clb */ - for (auto blk_id : atom_ctx.nlist.blocks()) { + for (auto blk_id : atom_ctx.netlist().blocks()) { //Each atom should be part of a pb - const t_pb* atom_pb = atom_ctx.lookup.atom_pb(blk_id); + const t_pb* atom_pb = atom_pb_lookup().atom_pb(blk_id); if (!atom_pb) { VPR_FATAL_ERROR(VPR_ERROR_PACK, "Atom block %s is not mapped to a pb\n", - atom_ctx.nlist.block_name(blk_id).c_str()); + atom_ctx.netlist().block_name(blk_id).c_str()); } //Check the reverse mapping is consistent - if (atom_ctx.lookup.pb_atom(atom_pb) != blk_id) { + if (atom_pb_lookup().pb_atom(atom_pb) != blk_id) { VPR_FATAL_ERROR(VPR_ERROR_PACK, "pb %s does not contain atom block %s but atom block %s maps to pb.\n", atom_pb->name, - atom_ctx.nlist.block_name(blk_id).c_str(), - atom_ctx.nlist.block_name(blk_id).c_str()); + atom_ctx.netlist().block_name(blk_id).c_str(), + atom_ctx.netlist().block_name(blk_id).c_str()); } - VTR_ASSERT(atom_ctx.nlist.block_name(blk_id) == atom_pb->name); + VTR_ASSERT(atom_ctx.netlist().block_name(blk_id) == atom_pb->name); const t_pb* cur_pb = atom_pb; while (cur_pb->parent_pb) { @@ -1713,7 +1686,7 @@ void ClusterLegalizer::verify() { if (cluster_id == LegalizationClusterId::INVALID()) { VPR_FATAL_ERROR(VPR_ERROR_PACK, "Atom %s is not mapped to a CLB\n", - atom_ctx.nlist.block_name(blk_id).c_str()); + atom_ctx.netlist().block_name(blk_id).c_str()); } if (cur_pb != get_cluster_pb(cluster_id)) { @@ -1727,22 +1700,21 @@ void ClusterLegalizer::verify() { for (LegalizationClusterId cluster_id : clusters()) { if (!cluster_id.is_valid()) continue; - check_cluster_atom_blocks(get_cluster_pb(cluster_id), - atoms_checked); + check_cluster_atom_blocks(get_cluster_pb(cluster_id), atoms_checked, atom_pb_lookup()); } - for (auto blk_id : atom_ctx.nlist.blocks()) { + for (auto blk_id : atom_ctx.netlist().blocks()) { if (!atoms_checked.count(blk_id)) { VPR_FATAL_ERROR(VPR_ERROR_PACK, "Atom block %s not found in any cluster.\n", - atom_ctx.nlist.block_name(blk_id).c_str()); + atom_ctx.netlist().block_name(blk_id).c_str()); } } } -bool ClusterLegalizer::is_molecule_compatible(t_pack_molecule* molecule, +bool ClusterLegalizer::is_molecule_compatible(PackMoleculeId molecule_id, LegalizationClusterId cluster_id) const { - VTR_ASSERT_SAFE(molecule != nullptr); + VTR_ASSERT_SAFE(molecule_id.is_valid()); VTR_ASSERT_SAFE(cluster_id.is_valid() && (size_t)cluster_id < legalization_clusters_.size()); // Go through each atom in the molecule and check if there exists a free // primitive for that atom block. @@ -1753,7 +1725,8 @@ bool ClusterLegalizer::is_molecule_compatible(t_pack_molecule* molecule, // would be more robust, but checking individual atoms is faster. const LegalizationCluster& cluster = legalization_clusters_[cluster_id]; - for (AtomBlockId atom_blk_id : molecule->atom_block_ids) { + const t_pack_molecule& molecule = prepacker_.get_molecule(molecule_id); + for (AtomBlockId atom_blk_id : molecule.atom_block_ids) { // FIXME: Why is it possible that molecules contain invalid block IDs? // This should be fixed! if (!atom_blk_id.is_valid()) @@ -1773,8 +1746,7 @@ bool ClusterLegalizer::is_molecule_compatible(t_pack_molecule* molecule, return true; } -size_t ClusterLegalizer::get_num_cluster_inputs_available( - LegalizationClusterId cluster_id) const { +size_t ClusterLegalizer::get_num_cluster_inputs_available(LegalizationClusterId cluster_id) const { VTR_ASSERT_SAFE(cluster_id.is_valid() && (size_t)cluster_id < legalization_clusters_.size()); const LegalizationCluster& cluster = legalization_clusters_[cluster_id]; @@ -1807,4 +1779,3 @@ ClusterLegalizer::~ClusterLegalizer() { destroy_cluster(cluster_id); } } - diff --git a/vpr/src/pack/cluster_legalizer.h b/vpr/src/pack/cluster_legalizer.h index ef3ec64f7b2..67bc00a95ec 100644 --- a/vpr/src/pack/cluster_legalizer.h +++ b/vpr/src/pack/cluster_legalizer.h @@ -12,17 +12,19 @@ #pragma once -#include #include #include "atom_netlist_fwd.h" #include "noc_data_types.h" #include "partition_region.h" +#include "prepack.h" #include "vpr_types.h" #include "vtr_range.h" #include "vtr_strong_id.h" #include "vtr_vector.h" #include "vtr_vector_map.h" +#include "atom_pb_bimap.h" +// Forward declarations class Prepacker; class t_intra_cluster_placement_stats; class t_pb_graph_node; @@ -34,23 +36,49 @@ struct t_lb_router_data; struct legalization_cluster_id_tag; typedef vtr::StrongId LegalizationClusterId; +/** + * @brief Holds information to be shared between molecules that represent the + * same chained pack pattern, specifically for packing. + * + * For example, molecules that are representing a long carry chain that spans + * multiple logic blocks. + * + * This is holding clustering-specific information on the chains. General + * information on chains is found in the t_chain_info type. + */ +struct t_clustering_chain_info { + /// @brief An ID into the chain_root_pins vector in the t_pack_patterns of + /// the molecule. + /// + /// Used to get the starting point of this chain in the cluster. This ID is + /// useful when we have multiple (architectural) carry chains in a logic + /// block, for example. It lets us see which of the chains is being used for + /// this long (netlist) chain, so we continue to use that chain in the + /// packing of other molecules of this long chain. + int chain_id = -1; + + /// @brief First molecule to be packed out of the molecules forming this + /// chain. This is the molecule setting the value of the chain_id. + PackMoleculeId first_packed_molecule; +}; + /// @brief The different legalization strategies the cluster legalizer can perform. /// /// Allows the user of the API to select how thorough the legalizer should be /// when adding molecules into clusters. enum class ClusterLegalizationStrategy { - FULL, // Run the full legalizer (including intra-lb routing) - SKIP_INTRA_LB_ROUTE // Do all legality checks except intra-lb routing + FULL, // Run the full legalizer (including intra-lb routing) + SKIP_INTRA_LB_ROUTE // Do all legality checks except intra-lb routing }; /// @brief The status of the cluster legalization. enum class e_block_pack_status { - BLK_PASSED, // Passed legalization. - BLK_FAILED_FEASIBLE, // Failed due to block not feasibly being able to go in the cluster. - BLK_FAILED_ROUTE, // Failed due to intra-lb routing failure. - BLK_FAILED_FLOORPLANNING, // Failed due to not being compatible with the cluster's current PartitionRegion. - BLK_FAILED_NOC_GROUP, // Failed due to not being compatible with the cluster's NoC group. - BLK_STATUS_UNDEFINED // Undefined status. Something went wrong. + BLK_PASSED, // Passed legalization. + BLK_FAILED_FEASIBLE, // Failed due to block not feasibly being able to go in the cluster. + BLK_FAILED_ROUTE, // Failed due to intra-lb routing failure. + BLK_FAILED_FLOORPLANNING, // Failed due to not being compatible with the cluster's current PartitionRegion. + BLK_FAILED_NOC_GROUP, // Failed due to not being compatible with the cluster's NoC group. + BLK_STATUS_UNDEFINED // Undefined status. Something went wrong. }; /* @@ -62,7 +90,7 @@ struct LegalizationCluster { /// @brief A list of the molecules in the cluster. By design, a cluster will /// only contain molecules which have been previously legalized into /// the cluster using a legalization strategy. - std::vector molecules; + std::vector molecules; /// @brief The logical block of this cluster. /// TODO: We should be more careful with how this is allocated. Instead of @@ -164,15 +192,14 @@ struct LegalizationCluster { * // new_cluster_id now contains a fully legalized cluster. */ class ClusterLegalizer { -public: + public: // Iterator for the legalization cluster IDs typedef typename vtr::vector_map::const_iterator cluster_iterator; // Range for the legalization cluster IDs typedef typename vtr::Range cluster_range; -private: - + private: /* * @brief Helper method that tries to pack the given molecule into a cluster. * @@ -186,13 +213,29 @@ class ClusterLegalizer { * @param max_external_pin_util The max external pin utilization for a * cluster of this type. */ - e_block_pack_status try_pack_molecule(t_pack_molecule* molecule, + e_block_pack_status try_pack_molecule(PackMoleculeId molecule_id, LegalizationCluster& cluster, LegalizationClusterId cluster_id, const t_ext_pin_util& max_external_pin_util); -public: + /** + * @brief This function takes a chain molecule, and the pb_graph_node that is + * chosen for packing the molecule's root block. Using the given + * root_primitive, this function will identify which chain id this + * molecule is being mapped to and will update the chain id value inside + * the chain info data structure of this molecule. + */ + void update_clustering_chain_info(PackMoleculeId chain_molecule_id, + const t_pb_graph_node* root_primitive); + /* + * @brief Reset molecule information created while trying to cluster it. + * + * This code only resets information that has to do with long chains. + */ + void reset_molecule_info(PackMoleculeId mol_id); + + public: // Explicitly deleted default constructor. Need to use other constructor to // initialize state correctly. ClusterLegalizer() = delete; @@ -202,57 +245,39 @@ class ClusterLegalizer { * * Allocates internal state. * - * @param atom_netlist The complete atom netlist. Used to allocate - * internal structures to the correct size. - * @param prepacker The prepacker object used to prepack the atoms - * into molecules. A reference to this object is - * stored internally to be used to lookup the - * molecules of atoms. - * @param logical_block_types Used to allocate internal objects. Used to - * get the max number of primitives in any block - * type. - * @param lb_type_rr_graphs The routing resource graph internal to the - * different cluster types. A reference is stored - * in the class to be used to allocate and load - * the router data. - * @param user_models A linked list of the user models. Used to allocate - * an internal structure. - * @param library_models A linked list of the library models. Used to - * allocate an internal structure. - * @param target_external_pin_util_str A string used to initialize the - * target external pin utilization of - * each cluster type. - * @param high_fanout_thresholds An object that stores the thresholds for - * a net to be considered high fanout for - * different block types. - * @param cluster_legalization_strategy The legalization strategy to be - * used when creating clusters and - * adding molecules to clusters. - * Controls the checks that are performed. - * @param enable_pin_feasibility_filter A flag to turn on/off the check for - * pin usage feasibility. - * @param feasible_block_array_size The largest number of feasible blocks - * that can be stored in a cluster. Used - * to allocate an internal structure. - * @param log_verbosity Controls how verbose the log messages will - * be within this class. - * - * TODO: A lot of these arguments are only used to allocate C-style arrays - * since the original author was avoiding dynamic allocations. It may - * be more space efficient (and cleaner) to make these dynamic arrays - * and not pass these arguments in. + * @param atom_netlist + * The complete atom netlist. Used to allocate internal structures + * to the correct size. + * @param prepacker + * The prepacker object used to prepack the atoms into molecules. + * A reference to this object is stored internally to be used to + * lookup the molecules of atoms. + * @param lb_type_rr_graphs + * The routing resource graph internal to the different cluster + * types. A reference is stored in the class to be used to allocate + * and load the router data. + * @param target_external_pin_util_str + * A string used to initialize the target external pin utilization + * of each cluster type. + * @param high_fanout_thresholds + * An object that stores the thresholds for a net to be considered + * high fanout for different block types. + * @param cluster_legalization_strategy + * The legalization strategy to be used when creating clusters and + * adding molecules to clusters. Controls the checks that are + * performed. + * @param enable_pin_feasibility_filter + * A flag to turn on/off the check for pin usage feasibility. + * @param log_verbosity + * Controls how verbose the log messages will be within this class. */ ClusterLegalizer(const AtomNetlist& atom_netlist, const Prepacker& prepacker, - const std::vector& logical_block_types, std::vector* lb_type_rr_graphs, - const t_model* user_models, - const t_model* library_models, const std::vector& target_external_pin_util_str, const t_pack_high_fanout_thresholds& high_fanout_thresholds, ClusterLegalizationStrategy cluster_legalization_strategy, bool enable_pin_feasibility_filter, - int feasible_block_array_size, int log_verbosity); // This class allocates and deallocates memory within. This class should not @@ -272,7 +297,7 @@ class ClusterLegalizer { * status will return the reason and the ID would be invalid. */ std::tuple - start_new_cluster(t_pack_molecule* molecule, + start_new_cluster(PackMoleculeId molecule_id, t_logical_block_type_ptr cluster_type, int cluster_mode); @@ -292,7 +317,7 @@ class ClusterLegalizer { * @return The status of the pack (if the addition was successful and * if not why). */ - e_block_pack_status add_mol_to_cluster(t_pack_molecule* molecule, + e_block_pack_status add_mol_to_cluster(PackMoleculeId molecule_id, LegalizationClusterId cluster_id); /* @@ -396,7 +421,7 @@ class ClusterLegalizer { * go in a cluster. By calling it you can save runtime for impossible cases * vs. calling the full checks. */ - bool is_molecule_compatible(t_pack_molecule* molecule, + bool is_molecule_compatible(PackMoleculeId molecule_id, LegalizationClusterId cluster_id) const; /// @brief Gets the top-level pb of the given cluster. @@ -421,6 +446,13 @@ class ClusterLegalizer { return cluster.pr; } + /// @brief Gets the molecules currently packed within the given cluster. + inline const std::vector& get_cluster_molecules(LegalizationClusterId cluster_id) const { + VTR_ASSERT_SAFE(cluster_id.is_valid() && (size_t)cluster_id < legalization_clusters_.size()); + const LegalizationCluster& cluster = legalization_clusters_[cluster_id]; + return cluster.molecules; + } + /// @brief Gets the current number of molecules in the cluster. inline size_t get_num_molecules_in_cluster(LegalizationClusterId cluster_id) const { VTR_ASSERT_SAFE(cluster_id.is_valid() && (size_t)cluster_id < legalization_clusters_.size()); @@ -446,17 +478,11 @@ class ClusterLegalizer { /// @brief Returns true if the given molecule has been packed into a /// cluster, false otherwise. - inline bool is_mol_clustered(t_pack_molecule* mol) const { - VTR_ASSERT_SAFE(mol != nullptr); + inline bool is_mol_clustered(PackMoleculeId mol_id) const { + VTR_ASSERT_SAFE(mol_id.is_valid()); // Check if the molecule has been assigned a cluster. It has not been - // assigned a cluster if it does not have an entry in the map or if the - // ID of the cluster it is assigned to is invalid. - const auto iter = molecule_cluster_.find(mol); - if (iter == molecule_cluster_.end()) - return false; - if (!iter->second.is_valid()) - return false; - return true; + // assigned a cluster if it is assigned to a valid cluster. + return molecule_cluster_[mol_id].is_valid(); } /// @brief Returns a reference to the target_external_pin_util object. This @@ -465,14 +491,6 @@ class ClusterLegalizer { return target_external_pin_util_; } - /// @bried Gets the max size a cluster could physically be. - /// - /// This is the maximum number of primitives any cluster could ever have - /// in the architecture. - inline size_t get_max_cluster_size() const { - return max_cluster_size_; - } - /* * @brief Set the legalization strategy of the cluster legalizer. * @@ -503,16 +521,22 @@ class ClusterLegalizer { log_verbosity_ = verbosity; } + inline const AtomPBBimap& atom_pb_lookup() const { return atom_pb_lookup_; } + inline AtomPBBimap& mutable_atom_pb_lookup() { return atom_pb_lookup_; } + /// @brief Destructor of the class. Frees allocated data. ~ClusterLegalizer(); -private: + private: /// @brief A vector of the legalization cluster IDs. If any of them are /// invalid, then that means that the cluster has been destroyed. vtr::vector_map legalization_cluster_ids_; /// @brief Lookup table for which cluster each molecule is in. - std::unordered_map molecule_cluster_; + vtr::vector_map molecule_cluster_; + + /// @brief Clustering chain information for each of the chains in the prepacker. + vtr::vector_map clustering_chain_info_; /// @brief List of all legalization clusters. vtr::vector_map legalization_clusters_; @@ -537,20 +561,12 @@ class ClusterLegalizer { /// expensive to calculate from the prepacker. size_t max_molecule_size_; - /// @brief The max number of primitives a cluster could physically have. - /// This is used to allocate dynamic arrays. - size_t max_cluster_size_; - /// @brief A vector of routing resource nodes within each logical block type /// [0 .. num_logical_block_types-1] /// TODO: This really should not be a pointer to a vector... I think this is /// meant to be a vector of vectors... std::vector* lb_type_rr_graphs_ = nullptr; - /// @brief The total number of models (user + library) in the architecture. - /// Used to allocate space in dynamic data structures. - size_t num_models_; - /// @brief The current legalization strategy of the cluster legalizer. ClusterLegalizationStrategy cluster_legalization_strategy_; @@ -564,11 +580,6 @@ class ClusterLegalizer { /// option of the same name. bool enable_pin_feasibility_filter_; - /// @brief The max size of the priority queue for candidates that pass the - /// early filter legality test but not the more detailed routing - /// filter. This matches the packer option of the same name. - int feasible_block_array_size_; - /// @brief Used to set the verbosity of log messages in the legalizer. Used /// for debugging. When log_verbosity > 3, the legalizer will print /// messages when a molecule is successful during legalization. When @@ -580,5 +591,8 @@ class ClusterLegalizer { /// @brief The prepacker object that stores the molecules which will be /// legalized into clusters. const Prepacker& prepacker_; -}; + /// @brief A two way map between AtomBlockIds and pb types. This is a copy + /// of the AtomPBBimap in the global context's AtomLookup + AtomPBBimap atom_pb_lookup_; +}; diff --git a/vpr/src/pack/cluster_placement.cpp b/vpr/src/pack/cluster_placement.cpp index 917545cd3ba..326356373f9 100644 --- a/vpr/src/pack/cluster_placement.cpp +++ b/vpr/src/pack/cluster_placement.cpp @@ -20,6 +20,7 @@ #include "cluster_placement.h" #include "hash.h" #include "physical_types.h" +#include "prepack.h" #include "vpr_types.h" #include "vpr_utils.h" #include "vtr_assert.h" @@ -43,14 +44,16 @@ static void update_primitive_cost_or_status(t_intra_cluster_placement_stats* clu bool valid); static float try_place_molecule(t_intra_cluster_placement_stats* cluster_placement_stats, - const t_pack_molecule* molecule, + PackMoleculeId molecule_id, t_pb_graph_node* root, - t_pb_graph_node** primitives_list); + t_pb_graph_node** primitives_list, + const Prepacker& prepacker); static bool expand_forced_pack_molecule_placement(t_intra_cluster_placement_stats* cluster_placement_stats, - const t_pack_molecule* molecule, + PackMoleculeId molecule_id, const t_pack_pattern_block* pack_pattern_block, t_pb_graph_node** primitives_list, + const Prepacker& prepacker, float* cost); static t_pb_graph_pin* expand_pack_molecule_pin_edge(int pattern_id, @@ -147,14 +150,14 @@ void t_intra_cluster_placement_stats::free_primitives() { } t_intra_cluster_placement_stats* alloc_and_load_cluster_placement_stats(t_logical_block_type_ptr cluster_type, - int cluster_mode) { + int cluster_mode) { t_intra_cluster_placement_stats* cluster_placement_stats = new t_intra_cluster_placement_stats; *cluster_placement_stats = t_intra_cluster_placement_stats(); // TODO: This initialization may be able to be made more efficient. // The reset and setting the mode can be done while loading the placement // stats. if (!is_empty_type(cluster_type)) { - cluster_placement_stats->curr_molecule = nullptr; + cluster_placement_stats->curr_molecule = PackMoleculeId::INVALID(); load_cluster_placement_stats_for_pb_graph_node(cluster_placement_stats, cluster_type->pb_graph_head); } @@ -173,19 +176,19 @@ void free_cluster_placement_stats(t_intra_cluster_placement_stats* cluster_place } bool get_next_primitive_list(t_intra_cluster_placement_stats* cluster_placement_stats, - const t_pack_molecule* molecule, + PackMoleculeId molecule_id, t_pb_graph_node** primitives_list, + const Prepacker& prepacker, int force_site) { std::unordered_multimap::iterator best; - int i; float cost, lowest_cost; int best_pb_type_index = -1; - if (cluster_placement_stats->curr_molecule != molecule) { + if (cluster_placement_stats->curr_molecule != molecule_id) { /* New block, requeue tried primitives and in-flight primitives */ cluster_placement_stats->flush_intermediate_queues(); - cluster_placement_stats->curr_molecule = molecule; + cluster_placement_stats->curr_molecule = molecule_id; } else { /* Hack! Same failed molecule may re-enter if upper stream functions suck, * I'm going to make the molecule selector more intelligent. @@ -207,13 +210,14 @@ bool get_next_primitive_list(t_intra_cluster_placement_stats* cluster_placement_ // Intialize variables bool found_best = false; - lowest_cost = HUGE_POSITIVE_FLOAT; + lowest_cost = std::numeric_limits::max(); // Iterate over each primitive block type in the current cluster_placement_stats - for (i = 0; i < cluster_placement_stats->num_pb_types; i++) { + for (int i = 0; i < cluster_placement_stats->num_pb_types; i++) { if (!cluster_placement_stats->valid_primitives[i].empty()) { t_cluster_placement_primitive* cur_cluster_placement_primitive = cluster_placement_stats->valid_primitives[i].begin()->second; - if (primitive_type_feasible(molecule->atom_block_ids[molecule->root], cur_cluster_placement_primitive->pb_graph_node->pb_type)) { + const t_pack_molecule& molecule = prepacker.get_molecule(molecule_id); + if (primitive_type_feasible(molecule.atom_block_ids[molecule.root], cur_cluster_placement_primitive->pb_graph_node->pb_type)) { // Iterate over the unordered_multimap of the valid primitives of a specific pb primitive type for (auto it = cluster_placement_stats->valid_primitives[i].begin(); it != cluster_placement_stats->valid_primitives[i].end(); /*loop increment is done inside the loop*/) { //Lazily remove invalid primitives @@ -233,10 +237,11 @@ bool get_next_primitive_list(t_intra_cluster_placement_stats* cluster_placement_ } if (force_site == it->second->pb_graph_node->flat_site_index) { cost = try_place_molecule(cluster_placement_stats, - molecule, + molecule_id, it->second->pb_graph_node, - primitives_list); - if (cost < HUGE_POSITIVE_FLOAT) { + primitives_list, + prepacker); + if (cost < std::numeric_limits::max()) { cluster_placement_stats->move_primitive_to_inflight(i, it); return true; } else { @@ -250,9 +255,10 @@ bool get_next_primitive_list(t_intra_cluster_placement_stats* cluster_placement_ /* try place molecule at root location cur */ cost = try_place_molecule(cluster_placement_stats, - molecule, + molecule_id, it->second->pb_graph_node, - primitives_list); + primitives_list, + prepacker); // if the cost is lower than the best, or is equal to the best but this // primitive is more available in the cluster mark it as the best primitive @@ -275,15 +281,17 @@ bool get_next_primitive_list(t_intra_cluster_placement_stats* cluster_placement_ if (!found_best) { /* failed to find a placement */ - for (i = 0; i < molecule->num_blocks; i++) { + const t_pack_molecule& molecule = prepacker.get_molecule(molecule_id); + for (size_t i = 0; i < molecule.atom_block_ids.size(); i++) { primitives_list[i] = nullptr; } } else { /* populate primitive list with best */ cost = try_place_molecule(cluster_placement_stats, - molecule, + molecule_id, best->second->pb_graph_node, - primitives_list); + primitives_list, + prepacker); VTR_ASSERT(cost == lowest_cost); /* take out best node and put it in flight */ @@ -313,7 +321,7 @@ static void reset_cluster_placement_stats(t_intra_cluster_placement_stats* clust primitive.second->valid = true; } } - cluster_placement_stats->curr_molecule = nullptr; + cluster_placement_stats->curr_molecule = PackMoleculeId::INVALID(); cluster_placement_stats->has_long_chain = false; } @@ -444,20 +452,18 @@ static void update_primitive_cost_or_status(t_intra_cluster_placement_stats* clu const t_pb_graph_node* pb_graph_node, const float incremental_cost, const bool valid) { - int i, j, k; - t_cluster_placement_primitive* placement_primitive; if (pb_graph_node->is_primitive()) { /* is primitive */ - placement_primitive = cluster_placement_stats->get_pb_graph_node_placement_primitive(pb_graph_node); + t_cluster_placement_primitive* placement_primitive = cluster_placement_stats->get_pb_graph_node_placement_primitive(pb_graph_node); if (valid) { placement_primitive->incremental_cost += incremental_cost; } else { placement_primitive->valid = false; } } else { - for (i = 0; i < pb_graph_node->pb_type->num_modes; i++) { - for (j = 0; j < pb_graph_node->pb_type->modes[i].num_pb_type_children; j++) { - for (k = 0; k < pb_graph_node->pb_type->modes[i].pb_type_children[j].num_pb; k++) { + for (int i = 0; i < pb_graph_node->pb_type->num_modes; i++) { + for (int j = 0; j < pb_graph_node->pb_type->modes[i].num_pb_type_children; j++) { + for (int k = 0; k < pb_graph_node->pb_type->modes[i].pb_type_children[j].num_pb; k++) { update_primitive_cost_or_status(cluster_placement_stats, &pb_graph_node->child_pb_graph_nodes[i][j][k], incremental_cost, valid); @@ -471,38 +477,40 @@ static void update_primitive_cost_or_status(t_intra_cluster_placement_stats* clu * Try place molecule at root location, populate primitives list with locations of placement if successful */ static float try_place_molecule(t_intra_cluster_placement_stats* cluster_placement_stats, - const t_pack_molecule* molecule, + PackMoleculeId molecule_id, t_pb_graph_node* root, - t_pb_graph_node** primitives_list) { - int list_size, i; - float cost = HUGE_POSITIVE_FLOAT; - list_size = get_array_size_of_molecule(molecule); + t_pb_graph_node** primitives_list, + const Prepacker& prepacker) { + float cost = std::numeric_limits::max(); + const t_pack_molecule& molecule = prepacker.get_molecule(molecule_id); + size_t list_size = molecule.atom_block_ids.size(); - if (primitive_type_feasible(molecule->atom_block_ids[molecule->root], + if (primitive_type_feasible(molecule.atom_block_ids[molecule.root], root->pb_type)) { t_cluster_placement_primitive* root_placement_primitive = cluster_placement_stats->get_pb_graph_node_placement_primitive(root); if (root_placement_primitive->valid) { - for (i = 0; i < list_size; i++) { + for (size_t i = 0; i < list_size; i++) { primitives_list[i] = nullptr; } cost = root_placement_primitive->base_cost + root_placement_primitive->incremental_cost; - primitives_list[molecule->root] = root; - if (molecule->type == MOLECULE_FORCED_PACK) { + primitives_list[molecule.root] = root; + if (molecule.type == e_pack_pattern_molecule_type::MOLECULE_FORCED_PACK) { if (!expand_forced_pack_molecule_placement(cluster_placement_stats, - molecule, - molecule->pack_pattern->root_block, + molecule_id, + molecule.pack_pattern->root_block, primitives_list, + prepacker, &cost)) { - return HUGE_POSITIVE_FLOAT; + return std::numeric_limits::max(); } } - for (i = 0; i < list_size; i++) { - VTR_ASSERT((primitives_list[i] == nullptr) == (!molecule->atom_block_ids[i])); - for (int j = 0; j < list_size; j++) { + for (size_t i = 0; i < list_size; i++) { + VTR_ASSERT((primitives_list[i] == nullptr) == (!molecule.atom_block_ids[i])); + for (size_t j = 0; j < list_size; j++) { if (i != j) { if (primitives_list[i] != nullptr && primitives_list[i] == primitives_list[j]) { - return HUGE_POSITIVE_FLOAT; + return std::numeric_limits::max(); } } } @@ -517,9 +525,10 @@ static float try_place_molecule(t_intra_cluster_placement_stats* cluster_placeme * Assumes molecule and pack pattern connections have fan-out 1 */ static bool expand_forced_pack_molecule_placement(t_intra_cluster_placement_stats* cluster_placement_stats, - const t_pack_molecule* molecule, + PackMoleculeId molecule_id, const t_pack_pattern_block* pack_pattern_block, t_pb_graph_node** primitives_list, + const Prepacker& prepacker, float* cost) { t_pb_graph_node* pb_graph_node = primitives_list[pack_pattern_block->block_id]; t_pb_graph_node* next_primitive; @@ -534,7 +543,8 @@ static bool expand_forced_pack_molecule_placement(t_intra_cluster_placement_stat } else { next_block = cur->from_block; } - if (primitives_list[next_block->block_id] == nullptr && molecule->atom_block_ids[next_block->block_id]) { + const t_pack_molecule& molecule = prepacker.get_molecule(molecule_id); + if (primitives_list[next_block->block_id] == nullptr && molecule.atom_block_ids[next_block->block_id]) { /* first time visiting location */ /* find next primitive based on pattern connections, expand next primitive if not visited */ @@ -563,12 +573,12 @@ static bool expand_forced_pack_molecule_placement(t_intra_cluster_placement_stat if (next_pin != nullptr) { next_primitive = next_pin->parent_node; /* Check for legality of placement, if legal, expand from legal placement, if not, return false */ - if (molecule->atom_block_ids[next_block->block_id] && primitives_list[next_block->block_id] == nullptr) { + if (molecule.atom_block_ids[next_block->block_id] && primitives_list[next_block->block_id] == nullptr) { t_cluster_placement_primitive* next_placement_primitive = cluster_placement_stats->get_pb_graph_node_placement_primitive(next_primitive); - if (next_placement_primitive->valid && primitive_type_feasible(molecule->atom_block_ids[next_block->block_id], next_primitive->pb_type)) { + if (next_placement_primitive->valid && primitive_type_feasible(molecule.atom_block_ids[next_block->block_id], next_primitive->pb_type)) { primitives_list[next_block->block_id] = next_primitive; *cost += next_placement_primitive->base_cost + next_placement_primitive->incremental_cost; - if (!expand_forced_pack_molecule_placement(cluster_placement_stats, molecule, next_block, primitives_list, cost)) { + if (!expand_forced_pack_molecule_placement(cluster_placement_stats, molecule_id, next_block, primitives_list, prepacker, cost)) { return false; } } else { @@ -685,15 +695,6 @@ static t_pb_graph_pin* expand_pack_molecule_pin_edge(const int pattern_id, return dest_pin; } -/* Determine max index + 1 of molecule */ -int get_array_size_of_molecule(const t_pack_molecule* molecule) { - if (molecule->type == MOLECULE_FORCED_PACK) { - return molecule->pack_pattern->num_blocks; - } else { - return molecule->num_blocks; - } -} - /* Given atom block, determines if a free primitive exists for it */ bool exists_free_primitive_for_atom_block(t_intra_cluster_placement_stats* cluster_placement_stats, const AtomBlockId blk_id) { diff --git a/vpr/src/pack/cluster_placement.h b/vpr/src/pack/cluster_placement.h index f3d840457ca..9589177e2ff 100644 --- a/vpr/src/pack/cluster_placement.h +++ b/vpr/src/pack/cluster_placement.h @@ -9,7 +9,10 @@ #include #include #include "physical_types.h" -#include "vpr_types.h" +#include "prepack.h" + +// Forward declarations +class AtomBlockId; /** * @brief Stats keeper for placement within the cluster during packing @@ -18,9 +21,9 @@ */ class t_intra_cluster_placement_stats { public: - int num_pb_types; /// { ******************************************************************************************/ static void free_lb_net_rt(t_lb_trace* lb_trace); static void free_lb_trace(t_lb_trace* lb_trace); -static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPinId pin_id); -static void remove_pin_from_rt_terminals(t_lb_router_data* router_data, const AtomPinId pin_id); +static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPinId pin_id, const AtomPBBimap& atom_to_pb); +static void remove_pin_from_rt_terminals(t_lb_router_data* router_data, const AtomPinId pin_id, const AtomPBBimap& atom_to_pb); -static void fix_duplicate_equivalent_pins(t_lb_router_data* router_data); +static void fix_duplicate_equivalent_pins(t_lb_router_data* router_data, const AtomPBBimap& atom_to_pb); static void commit_remove_rt(t_lb_trace* rt, t_lb_router_data* router_data, e_commit_remove op, std::unordered_map* mode_map, t_mode_selection_status* mode_status); static bool is_skip_route_net(t_lb_trace* rt, t_lb_router_data* router_data); @@ -248,17 +249,17 @@ static bool check_edge_for_route_conflicts(std::unordered_map& atoms_added = *router_data->atoms_added; if (atoms_added.count(blk_id) > 0) { - VPR_FATAL_ERROR(VPR_ERROR_PACK, "Atom %s added twice to router\n", atom_ctx.nlist.block_name(blk_id).c_str()); + VPR_FATAL_ERROR(VPR_ERROR_PACK, "Atom %s added twice to router\n", atom_ctx.netlist().block_name(blk_id).c_str()); } - pb = atom_ctx.lookup.atom_pb(blk_id); + pb = atom_to_pb.atom_pb(blk_id); VTR_ASSERT(pb); @@ -266,20 +267,20 @@ void add_atom_as_target(t_lb_router_data* router_data, const AtomBlockId blk_id) set_reset_pb_modes(router_data, pb, true); - for (auto pin_id : atom_ctx.nlist.block_pins(blk_id)) { - add_pin_to_rt_terminals(router_data, pin_id); + for (auto pin_id : atom_ctx.netlist().block_pins(blk_id)) { + add_pin_to_rt_terminals(router_data, pin_id, atom_to_pb); } - fix_duplicate_equivalent_pins(router_data); + fix_duplicate_equivalent_pins(router_data, atom_to_pb); } /* Remove pins of netlist atom from current routing drivers/targets */ -void remove_atom_from_target(t_lb_router_data* router_data, const AtomBlockId blk_id) { +void remove_atom_from_target(t_lb_router_data* router_data, const AtomBlockId blk_id, const AtomPBBimap& atom_to_pb) { auto& atom_ctx = g_vpr_ctx.atom(); std::map& atoms_added = *router_data->atoms_added; - const t_pb* pb = atom_ctx.lookup.atom_pb(blk_id); + const t_pb* pb = atom_to_pb.atom_pb(blk_id); if (atoms_added.count(blk_id) == 0) { return; @@ -287,8 +288,8 @@ void remove_atom_from_target(t_lb_router_data* router_data, const AtomBlockId bl set_reset_pb_modes(router_data, pb, false); - for (auto pin_id : atom_ctx.nlist.block_pins(blk_id)) { - remove_pin_from_rt_terminals(router_data, pin_id); + for (auto pin_id : atom_ctx.netlist().block_pins(blk_id)) { + remove_pin_from_rt_terminals(router_data, pin_id, atom_to_pb); } atoms_added.erase(blk_id); @@ -356,7 +357,7 @@ static bool try_expand_nodes(t_lb_router_data* router_data, if (verbosity > 3) { //Print detailed debug info - auto& atom_nlist = g_vpr_ctx.atom().nlist; + auto& atom_nlist = g_vpr_ctx.atom().netlist(); AtomNetId net_id = lb_net->atom_net_id; AtomPinId driver_pin = lb_net->atom_pins[0]; AtomPinId sink_pin = lb_net->atom_pins[itarget]; @@ -507,7 +508,7 @@ bool try_intra_lb_route(t_lb_router_data* router_data, --inet; auto& atom_ctx = g_vpr_ctx.atom(); VTR_LOGV(verbosity > 3, "Net '%s' is impossible to route within proposed %s cluster\n", - atom_ctx.nlist.net_name(lb_nets[inet].atom_net_id).c_str(), router_data->lb_type->name.c_str()); + atom_ctx.netlist().net_name(lb_nets[inet].atom_net_id).c_str(), router_data->lb_type->name.c_str()); is_routed = false; } router_data->pres_con_fac *= router_data->params.pres_fac_mult; @@ -625,7 +626,7 @@ static void free_lb_trace(t_lb_trace* lb_trace) { /* Given a pin of a net, assign route tree terminals for it * Assumes that pin is not already assigned */ -static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPinId pin_id) { +static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPinId pin_id, const AtomPBBimap& atom_to_pb) { std::vector& lb_nets = *router_data->intra_lb_nets; std::vector& lb_type_graph = *router_data->lb_type_graph; t_logical_block_type_ptr lb_type = router_data->lb_type; @@ -633,11 +634,11 @@ static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPin unsigned int ipos; auto& atom_ctx = g_vpr_ctx.atom(); - const t_pb_graph_pin* pb_graph_pin = find_pb_graph_pin(atom_ctx.nlist, atom_ctx.lookup, pin_id); + const t_pb_graph_pin* pb_graph_pin = find_pb_graph_pin(atom_ctx.netlist(), atom_to_pb, pin_id); VTR_ASSERT(pb_graph_pin); - AtomPortId port_id = atom_ctx.nlist.pin_port(pin_id); - AtomNetId net_id = atom_ctx.nlist.pin_net(pin_id); + AtomPortId port_id = atom_ctx.netlist().pin_port(pin_id); + AtomNetId net_id = atom_ctx.netlist().pin_net(pin_id); if (!net_id) { //No net connected to this pin, so nothing to route @@ -675,7 +676,7 @@ static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPin int source_terminal = get_lb_type_rr_graph_ext_source_index(lb_type); lb_nets[ipos].terminals.push_back(source_terminal); - AtomPinId net_driver_pin_id = atom_ctx.nlist.net_driver(net_id); + AtomPinId net_driver_pin_id = atom_ctx.netlist().net_driver(net_id); lb_nets[ipos].atom_pins.push_back(net_driver_pin_id); VTR_ASSERT_MSG(lb_type_graph[lb_nets[ipos].terminals[0]].type == LB_SOURCE, "Driver must be a source"); @@ -683,11 +684,11 @@ static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPin VTR_ASSERT(lb_nets[ipos].atom_pins.size() == lb_nets[ipos].terminals.size()); - if (atom_ctx.nlist.port_type(port_id) == PortType::OUTPUT) { + if (atom_ctx.netlist().port_type(port_id) == PortType::OUTPUT) { //The current pin is the net driver, overwrite the default driver at index 0 VTR_ASSERT_MSG(lb_nets[ipos].terminals[0] == get_lb_type_rr_graph_ext_source_index(lb_type), "Default driver must be external source"); - VTR_ASSERT(atom_ctx.nlist.pin_type(pin_id) == PinType::DRIVER); + VTR_ASSERT(atom_ctx.netlist().pin_type(pin_id) == PinType::DRIVER); //Override the default since this is the driver, and it is within the cluster lb_nets[ipos].terminals[0] = pb_graph_pin->pin_count_in_cluster; @@ -696,7 +697,7 @@ static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPin VTR_ASSERT_MSG(lb_type_graph[lb_nets[ipos].terminals[0]].type == LB_SOURCE, "Driver must be a source"); int sink_terminal = OPEN; - if (lb_nets[ipos].terminals.size() < atom_ctx.nlist.net_pins(net_id).size()) { + if (lb_nets[ipos].terminals.size() < atom_ctx.netlist().net_pins(net_id).size()) { //Not all of the pins are within the cluster if (lb_nets[ipos].terminals.size() == 1) { //Only the source has been specified so far, must add cluster-external sink @@ -724,9 +725,9 @@ static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPin } } else { //This is an input to a primitive - VTR_ASSERT(atom_ctx.nlist.port_type(port_id) == PortType::INPUT - || atom_ctx.nlist.port_type(port_id) == PortType::CLOCK); - VTR_ASSERT(atom_ctx.nlist.pin_type(pin_id) == PinType::SINK); + VTR_ASSERT(atom_ctx.netlist().port_type(port_id) == PortType::INPUT + || atom_ctx.netlist().port_type(port_id) == PortType::CLOCK); + VTR_ASSERT(atom_ctx.netlist().pin_type(pin_id) == PinType::SINK); //Get the rr node index associated with the pin int pin_index = pb_graph_pin->pin_count_in_cluster; @@ -738,7 +739,7 @@ static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPin int sink_index = lb_type_graph[pin_index].outedges[0][0].node_index; VTR_ASSERT(lb_type_graph[sink_index].type == LB_SINK); - if (lb_nets[ipos].terminals.size() == atom_ctx.nlist.net_pins(net_id).size() && lb_nets[ipos].terminals[1] == get_lb_type_rr_graph_ext_sink_index(lb_type)) { + if (lb_nets[ipos].terminals.size() == atom_ctx.netlist().net_pins(net_id).size() && lb_nets[ipos].terminals[1] == get_lb_type_rr_graph_ext_sink_index(lb_type)) { /* If all sinks of net are all contained in the logic block, then the net does * not need to route out of the logic block, so can replace the external sink * with this last sink terminal */ @@ -753,7 +754,7 @@ static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPin VTR_ASSERT(lb_nets[ipos].atom_pins.size() == lb_nets[ipos].terminals.size()); int num_lb_terminals = lb_nets[ipos].terminals.size(); - VTR_ASSERT(num_lb_terminals <= (int)atom_ctx.nlist.net_pins(net_id).size()); + VTR_ASSERT(num_lb_terminals <= (int)atom_ctx.netlist().net_pins(net_id).size()); VTR_ASSERT(num_lb_terminals >= 0); #ifdef VTR_ASSERT_SAFE_ENABLED @@ -767,7 +768,7 @@ static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPin //Net driver VTR_ASSERT_SAFE_MSG(lb_type_graph[inode].type == LB_SOURCE, "Driver must be a source RR node"); VTR_ASSERT_SAFE_MSG(atom_pin, "Driver have an associated atom pin"); - VTR_ASSERT_SAFE_MSG(atom_ctx.nlist.pin_type(atom_pin) == PinType::DRIVER, "Source RR must be associated with a driver pin in atom netlist"); + VTR_ASSERT_SAFE_MSG(atom_ctx.netlist().pin_type(atom_pin) == PinType::DRIVER, "Source RR must be associated with a driver pin in atom netlist"); if (inode == get_lb_type_rr_graph_ext_source_index(lb_type)) { ++num_extern_sources; } @@ -781,7 +782,7 @@ static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPin ++num_extern_sinks; } else { VTR_ASSERT_SAFE_MSG(atom_pin, "Intra-cluster sink must have an associated atom pin"); - VTR_ASSERT_SAFE_MSG(atom_ctx.nlist.pin_type(atom_pin) == PinType::SINK, "Intra-cluster Sink RR must be associated with a sink pin in atom netlist"); + VTR_ASSERT_SAFE_MSG(atom_ctx.netlist().pin_type(atom_pin) == PinType::SINK, "Intra-cluster Sink RR must be associated with a sink pin in atom netlist"); } } } @@ -792,7 +793,7 @@ static void add_pin_to_rt_terminals(t_lb_router_data* router_data, const AtomPin /* Given a pin of a net, remove route tree terminals from it */ -static void remove_pin_from_rt_terminals(t_lb_router_data* router_data, const AtomPinId pin_id) { +static void remove_pin_from_rt_terminals(t_lb_router_data* router_data, const AtomPinId pin_id, const AtomPBBimap& atom_to_pb) { std::vector& lb_nets = *router_data->intra_lb_nets; std::vector& lb_type_graph = *router_data->lb_type_graph; t_logical_block_type_ptr lb_type = router_data->lb_type; @@ -800,10 +801,10 @@ static void remove_pin_from_rt_terminals(t_lb_router_data* router_data, const At unsigned int ipos; auto& atom_ctx = g_vpr_ctx.atom(); - const t_pb_graph_pin* pb_graph_pin = find_pb_graph_pin(atom_ctx.nlist, atom_ctx.lookup, pin_id); + const t_pb_graph_pin* pb_graph_pin = find_pb_graph_pin(atom_ctx.netlist(), atom_to_pb, pin_id); - AtomPortId port_id = atom_ctx.nlist.pin_port(pin_id); - AtomNetId net_id = atom_ctx.nlist.pin_net(pin_id); + AtomPortId port_id = atom_ctx.netlist().pin_port(pin_id); + AtomNetId net_id = atom_ctx.netlist().pin_net(pin_id); if (!net_id) { /* This is not a valid net */ @@ -824,7 +825,7 @@ static void remove_pin_from_rt_terminals(t_lb_router_data* router_data, const At VTR_ASSERT(lb_nets[ipos].atom_pins.size() == lb_nets[ipos].terminals.size()); - auto port_type = atom_ctx.nlist.port_type(port_id); + auto port_type = atom_ctx.netlist().port_type(port_id); if (port_type == PortType::OUTPUT) { /* Net driver pin takes 0th position in terminals */ int sink_terminal; @@ -917,7 +918,7 @@ static void remove_pin_from_rt_terminals(t_lb_router_data* router_data, const At //To work around this, we fix all but one of these duplicate connections to route to specific pins, //(instead of the common sink). This ensures a legal routing is produced and that the duplicate pins //are not 'missing' in the clustered netlist. -static void fix_duplicate_equivalent_pins(t_lb_router_data* router_data) { +static void fix_duplicate_equivalent_pins(t_lb_router_data* router_data, const AtomPBBimap& atom_to_pb) { auto& atom_ctx = g_vpr_ctx.atom(); std::vector& lb_type_graph = *router_data->lb_type_graph; @@ -943,7 +944,7 @@ static void fix_duplicate_equivalent_pins(t_lb_router_data* router_data) { AtomPinId atom_pin = lb_nets[ilb_net].atom_pins[iterm]; VTR_ASSERT(atom_pin); - const t_pb_graph_pin* pb_graph_pin = find_pb_graph_pin(atom_ctx.nlist, atom_ctx.lookup, atom_pin); + const t_pb_graph_pin* pb_graph_pin = find_pb_graph_pin(atom_ctx.netlist(), atom_to_pb, atom_pin); VTR_ASSERT(pb_graph_pin); if (pb_graph_pin->port->equivalent == PortEquivalence::NONE) continue; //Only need to remap equivalent ports @@ -955,7 +956,7 @@ static void fix_duplicate_equivalent_pins(t_lb_router_data* router_data) { "Found duplicate nets connected to logically equivalent pins. " "Remapping intra lb net %d (atom net %zu '%s') from common sink " "pb_route %d to fixed pin pb_route %d\n", - ilb_net, size_t(lb_nets[ilb_net].atom_net_id), atom_ctx.nlist.net_name(lb_nets[ilb_net].atom_net_id).c_str(), + ilb_net, size_t(lb_nets[ilb_net].atom_net_id), atom_ctx.netlist().net_name(lb_nets[ilb_net].atom_net_id).c_str(), kv.first, pin_index); VTR_ASSERT(lb_type_graph[pin_index].type == LB_INTERMEDIATE); @@ -1290,7 +1291,7 @@ static void print_route(FILE* fp, t_lb_router_data* router_data) { for (unsigned int inet = 0; inet < lb_nets.size(); inet++) { AtomNetId net_id = lb_nets[inet].atom_net_id; - fprintf(fp, "net %s num targets %d \n", atom_ctx.nlist.net_name(net_id).c_str(), (int)lb_nets[inet].terminals.size()); + fprintf(fp, "net %s num targets %d \n", atom_ctx.netlist().net_name(net_id).c_str(), (int)lb_nets[inet].terminals.size()); fprintf(fp, "\tS"); print_trace(fp, lb_nets[inet].rt_tree, router_data); fprintf(fp, "\n\n"); @@ -1486,7 +1487,7 @@ static std::string describe_congested_rr_nodes(const std::vector& congested for (auto itr = range.first; itr != range.second; ++itr) { AtomNetId net = itr->second; description += vtr::string_fmt("\tNet: %s\n", - atom_ctx.nlist.net_name(net).c_str()); + atom_ctx.netlist().net_name(net).c_str()); } } diff --git a/vpr/src/pack/cluster_router.h b/vpr/src/pack/cluster_router.h index 4f88f1c0b6a..0b40f84c627 100644 --- a/vpr/src/pack/cluster_router.h +++ b/vpr/src/pack/cluster_router.h @@ -16,8 +16,8 @@ void free_router_data(t_lb_router_data* router_data); void free_intra_lb_nets(std::vector* intra_lb_nets); /* Routing Functions */ -void add_atom_as_target(t_lb_router_data* router_data, const AtomBlockId blk_id); -void remove_atom_from_target(t_lb_router_data* router_data, const AtomBlockId blk_id); +void add_atom_as_target(t_lb_router_data* router_data, const AtomBlockId blk_id, const AtomPBBimap& atom_to_pb); +void remove_atom_from_target(t_lb_router_data* router_data, const AtomBlockId blk_id, const AtomPBBimap& atom_to_pb); void set_reset_pb_modes(t_lb_router_data* router_data, const t_pb* pb, const bool set); bool try_intra_lb_route(t_lb_router_data* router_data, int verbosity, t_mode_selection_status* mode_status); void reset_intra_lb_route(t_lb_router_data* router_data); diff --git a/vpr/src/pack/cluster_util.cpp b/vpr/src/pack/cluster_util.cpp index 736bde0ef59..dd307168a36 100644 --- a/vpr/src/pack/cluster_util.cpp +++ b/vpr/src/pack/cluster_util.cpp @@ -2,17 +2,13 @@ #include #include -#include "PreClusterTimingGraphResolver.h" -#include "PreClusterDelayCalculator.h" #include "atom_netlist.h" #include "attraction_groups.h" #include "cluster_legalizer.h" #include "clustered_netlist.h" -#include "concrete_timing_info.h" +#include "globals.h" #include "output_clustering.h" #include "prepack.h" -#include "tatum/TimingReporter.hpp" -#include "tatum/echo_writer.hpp" #include "vpr_context.h" /*Print the contents of each cluster to an echo file*/ @@ -33,7 +29,7 @@ static void echo_clusters(char* filename, const ClusterLegalizer& cluster_legali cluster_atoms.insert({cluster_id, std::vector()}); } - for (auto atom_blk_id : atom_ctx.nlist.blocks()) { + for (auto atom_blk_id : atom_ctx.netlist().blocks()) { LegalizationClusterId cluster_id = cluster_legalizer.get_atom_cluster(atom_blk_id); cluster_atoms[cluster_id].push_back(atom_blk_id); @@ -48,7 +44,7 @@ static void echo_clusters(char* filename, const ClusterLegalizer& cluster_legali for (auto j = 0; j < num_atoms; j++) { AtomBlockId atom_id = cluster_atom.second[j]; - fprintf(fp, "\t %s \n", atom_ctx.nlist.block_name(atom_id).c_str()); + fprintf(fp, "\t %s \n", atom_ctx.netlist().block_name(atom_id).c_str()); } } @@ -67,58 +63,6 @@ static void echo_clusters(char* filename, const ClusterLegalizer& cluster_legali fclose(fp); } -void calc_init_packing_timing(const t_packer_opts& packer_opts, - const t_analysis_opts& analysis_opts, - const Prepacker& prepacker, - std::shared_ptr& clustering_delay_calc, - std::shared_ptr& timing_info, - vtr::vector& atom_criticality) { - const AtomContext& atom_ctx = g_vpr_ctx.atom(); - - /* - * Initialize the timing analyzer - */ - clustering_delay_calc = std::make_shared(atom_ctx.nlist, atom_ctx.lookup, packer_opts.inter_cluster_net_delay, prepacker); - timing_info = make_setup_timing_info(clustering_delay_calc, packer_opts.timing_update_type); - - //Calculate the initial timing - timing_info->update(); - - if (isEchoFileEnabled(E_ECHO_PRE_PACKING_TIMING_GRAPH)) { - auto& timing_ctx = g_vpr_ctx.timing(); - tatum::write_echo(getEchoFileName(E_ECHO_PRE_PACKING_TIMING_GRAPH), - *timing_ctx.graph, *timing_ctx.constraints, *clustering_delay_calc, timing_info->analyzer()); - - tatum::NodeId debug_tnode = id_or_pin_name_to_tnode(analysis_opts.echo_dot_timing_graph_node); - write_setup_timing_graph_dot(getEchoFileName(E_ECHO_PRE_PACKING_TIMING_GRAPH) + std::string(".dot"), - *timing_info, debug_tnode); - } - - { - auto& timing_ctx = g_vpr_ctx.timing(); - PreClusterTimingGraphResolver resolver(atom_ctx.nlist, - atom_ctx.lookup, *timing_ctx.graph, *clustering_delay_calc); - resolver.set_detail_level(analysis_opts.timing_report_detail); - - tatum::TimingReporter timing_reporter(resolver, *timing_ctx.graph, - *timing_ctx.constraints); - - timing_reporter.report_timing_setup( - "pre_pack.report_timing.setup.rpt", - *timing_info->setup_analyzer(), - analysis_opts.timing_report_npaths); - } - - //Calculate true criticalities of each block - for (AtomBlockId blk : atom_ctx.nlist.blocks()) { - for (AtomPinId in_pin : atom_ctx.nlist.block_input_pins(blk)) { - //Max criticality over incoming nets - float crit = timing_info->setup_pin_criticality(in_pin); - atom_criticality[blk] = std::max(atom_criticality[blk], crit); - } - } -} - void check_and_output_clustering(ClusterLegalizer& cluster_legalizer, const t_packer_opts& packer_opts, const std::unordered_set& is_clock, @@ -163,8 +107,7 @@ void print_pack_status(int tot_num_molecules, int num_clusters_created = cluster_legalizer.clusters().size(); - if (mols_since_last_print >= int_molecule_increment || - num_molecules_processed == tot_num_molecules) { + if (mols_since_last_print >= int_molecule_increment || num_molecules_processed == tot_num_molecules) { VTR_LOG( "%6d/%-6d %3d%% " "%26d " @@ -210,7 +153,7 @@ void rebuild_attraction_groups(AttractionInfo& attraction_groups, std::map> identify_primitive_candidate_block_types() { std::map> model_candidates; - const AtomNetlist& atom_nlist = g_vpr_ctx.atom().nlist; + const AtomNetlist& atom_nlist = g_vpr_ctx.atom().netlist(); const DeviceContext& device_ctx = g_vpr_ctx.device(); std::set unique_models; @@ -452,13 +395,12 @@ void init_clb_atoms_lookup(vtr::vector& clustering_delay_calc, - std::shared_ptr& timing_info, - vtr::vector& atom_criticality); - /* * @brief Check clustering legality and output it. */ diff --git a/vpr/src/pack/constraints_report.cpp b/vpr/src/pack/constraints_report.cpp index 4d6b9a87e57..2c25cb5f8af 100644 --- a/vpr/src/pack/constraints_report.cpp +++ b/vpr/src/pack/constraints_report.cpp @@ -1,16 +1,12 @@ #include "constraints_report.h" #include "cluster_legalizer.h" -#include "globals.h" #include "grid_tile_lookup.h" -bool floorplan_constraints_regions_overfull(const ClusterLegalizer& cluster_legalizer) { +bool floorplan_constraints_regions_overfull(std::vector& overfull_partition_regions, + const ClusterLegalizer& cluster_legalizer, + const std::vector& logical_block_types) { GridTileLookup grid_tiles; - auto& floorplanning_ctx = g_vpr_ctx.mutable_floorplanning(); - auto& device_ctx = g_vpr_ctx.device(); - - const std::vector& block_types = device_ctx.logical_block_types; - // keep record of how many blocks of each type are assigned to each PartitionRegion std::unordered_map> pr_count_info; @@ -22,7 +18,7 @@ bool floorplan_constraints_regions_overfull(const ClusterLegalizer& cluster_lega t_logical_block_type_ptr bt = cluster_legalizer.get_cluster_type(cluster_id); auto got = pr_count_info.find(pr); if (got == pr_count_info.end()) { - std::vector block_type_counts(block_types.size(), 0); + std::vector block_type_counts(logical_block_types.size(), 0); block_type_counts[bt->index]++; pr_count_info.insert({pr, block_type_counts}); } else { @@ -35,7 +31,7 @@ bool floorplan_constraints_regions_overfull(const ClusterLegalizer& cluster_lega for (const auto& [pr, block_type_counts] : pr_count_info) { const std::vector& regions = pr.get_regions(); - for (const t_logical_block_type& block_type : block_types) { + for (const t_logical_block_type& block_type : logical_block_types) { int num_assigned_blocks = block_type_counts[block_type.index]; int num_tiles = std::accumulate(regions.begin(), regions.end(), 0, [&grid_tiles, &block_type](int acc, const Region& reg) -> int { return acc + grid_tiles.region_tile_count(reg, &block_type); @@ -43,10 +39,11 @@ bool floorplan_constraints_regions_overfull(const ClusterLegalizer& cluster_lega if (num_assigned_blocks > num_tiles) { floorplan_regions_overfull = true; - floorplanning_ctx.overfull_partition_regions.push_back(pr); - VTR_LOG("\n\nA partition including the following regions has been assigned %d blocks of type %s, " - "but only has %d tiles of that type\n", - num_assigned_blocks, block_type.name.c_str(), num_tiles); + overfull_partition_regions.push_back(pr); + VTR_LOG( + "\n\nA partition including the following regions has been assigned %d blocks of type %s, " + "but only has %d tiles of that type\n", + num_assigned_blocks, block_type.name.c_str(), num_tiles); for (const Region& reg : regions) { const vtr::Rect& rect = reg.get_rect(); const auto [layer_low, layer_high] = reg.get_layer_range(); @@ -55,7 +52,6 @@ bool floorplan_constraints_regions_overfull(const ClusterLegalizer& cluster_lega rect.xmax(), rect.ymax(), layer_high, reg.get_sub_tile()); } - } } } diff --git a/vpr/src/pack/constraints_report.h b/vpr/src/pack/constraints_report.h index c10d1183238..779f629ba69 100644 --- a/vpr/src/pack/constraints_report.h +++ b/vpr/src/pack/constraints_report.h @@ -2,10 +2,13 @@ * floorplan regions have been packed with too many clusters. */ -#ifndef VPR_SRC_PACK_CONSTRAINTS_REPORT_H_ -#define VPR_SRC_PACK_CONSTRAINTS_REPORT_H_ +#pragma once + +#include class ClusterLegalizer; +class PartitionRegion; +struct t_logical_block_type; /** * @brief Check if any constraint partition regions are overfull, @@ -23,8 +26,10 @@ class ClusterLegalizer; * VPR can still work if these assumptions do not hold true, but for tight overlapping * partitions, the placement engine may fail to find a legal placement. * + * Adds the overfilled partition regions to the overfull_partition_regions vector. + * * @return True if there is at least one overfull partition. */ -bool floorplan_constraints_regions_overfull(const ClusterLegalizer& cluster_legalizer); - -#endif /* VPR_SRC_PACK_CONSTRAINTS_REPORT_H_ */ +bool floorplan_constraints_regions_overfull(std::vector& overfull_partition_regions, + const ClusterLegalizer& cluster_legalizer, + const std::vector& logical_block_types); diff --git a/vpr/src/pack/greedy_candidate_selector.cpp b/vpr/src/pack/greedy_candidate_selector.cpp index 1b74a44aa66..b202035ec59 100644 --- a/vpr/src/pack/greedy_candidate_selector.cpp +++ b/vpr/src/pack/greedy_candidate_selector.cpp @@ -7,16 +7,24 @@ #include "greedy_candidate_selector.h" #include +#include +#include +#include +#include "PreClusterTimingManager.h" +#include "appack_context.h" +#include "flat_placement_types.h" +#include "flat_placement_utils.h" #include "atom_netlist.h" #include "attraction_groups.h" #include "cluster_legalizer.h" #include "cluster_placement.h" -#include "globals.h" +#include "greedy_clusterer.h" #include "prepack.h" #include "timing_info.h" -#include "vpr_context.h" #include "vpr_types.h" #include "vtr_assert.h" +#include "vtr_ndmatrix.h" +#include "vtr_vector.h" /* * @brief Get gain of packing molecule into current cluster. @@ -28,12 +36,14 @@ * * TODO: Confirm that this comment is correct. */ -static float get_molecule_gain(t_pack_molecule* molecule, +static float get_molecule_gain(PackMoleculeId molecule_id, ClusterGainStats& cluster_gain_stats, AttractGroupId cluster_attraction_group_id, AttractionInfo& attraction_groups, int num_molecule_failures, - const AtomNetlist& atom_netlist); + const Prepacker& prepacker, + const AtomNetlist& atom_netlist, + const APPackContext& appack_ctx); /* * @brief Remove blk from list of feasible blocks sorted according to gain. @@ -42,94 +52,177 @@ static float get_molecule_gain(t_pack_molecule* molecule, * has been found to be illegal, we don't repeatedly consider it. */ static void remove_molecule_from_pb_stats_candidates( - t_pack_molecule* molecule, - ClusterGainStats& cluster_gain_stats); + PackMoleculeId molecule_id, + ClusterGainStats& cluster_gain_stats); /* * @brief Add blk to list of feasible blocks sorted according to gain. */ static void add_molecule_to_pb_stats_candidates( - t_pack_molecule* molecule, - ClusterGainStats& cluster_gain_stats, - int max_queue_size, - AttractionInfo& attraction_groups, - const AtomNetlist& atom_netlist); + PackMoleculeId molecule_id, + ClusterGainStats& cluster_gain_stats, + t_logical_block_type_ptr cluster_type, + int max_queue_size, + AttractionInfo& attraction_groups, + const Prepacker& prepacker, + const AtomNetlist& atom_netlist, + const APPackContext& appack_ctx); + +/** + * @brief Get the flat placement position of the given molecule. + */ +static t_flat_pl_loc get_molecule_pos(PackMoleculeId molecule_id, + const Prepacker& prepacker, + const APPackContext& appack_ctx) { + VTR_ASSERT_SAFE_MSG(appack_ctx.appack_options.use_appack, "APPack is not enabled"); + VTR_ASSERT_SAFE_MSG(molecule_id.is_valid(), "Molecule ID is invalid"); + AtomBlockId root_blk_id = prepacker.get_molecule_root_atom(molecule_id); + return appack_ctx.flat_placement_info.get_pos(root_blk_id); +} GreedyCandidateSelector::GreedyCandidateSelector( - const AtomNetlist& atom_netlist, - const Prepacker& prepacker, - const t_packer_opts& packer_opts, - bool allow_unrelated_clustering, - const t_molecule_stats& max_molecule_stats, - const std::map>& primitive_candidate_block_types, - const t_pack_high_fanout_thresholds& high_fanout_thresholds, - const std::unordered_set& is_clock, - const std::unordered_set& is_global, - const std::unordered_set& net_output_feeds_driving_block_input, - const SetupTimingInfo& timing_info, - int log_verbosity) - : atom_netlist_(atom_netlist), - packer_opts_(packer_opts), - allow_unrelated_clustering_(allow_unrelated_clustering), - log_verbosity_(log_verbosity), - primitive_candidate_block_types_(primitive_candidate_block_types), - high_fanout_thresholds_(high_fanout_thresholds), - is_clock_(is_clock), - is_global_(is_global), - net_output_feeds_driving_block_input_(net_output_feeds_driving_block_input), - timing_info_(timing_info) { - // Initialize the list of molecules to pack, the clustering data, and the - // net info. - - // Initialize unrelated clustering data. + const AtomNetlist& atom_netlist, + const Prepacker& prepacker, + const t_packer_opts& packer_opts, + bool allow_unrelated_clustering, + const t_molecule_stats& max_molecule_stats, + const std::map>& primitive_candidate_block_types, + const t_pack_high_fanout_thresholds& high_fanout_thresholds, + const std::unordered_set& is_clock, + const std::unordered_set& is_global, + const std::unordered_set& net_output_feeds_driving_block_input, + const PreClusterTimingManager& pre_cluster_timing_manager, + const APPackContext& appack_ctx, + int log_verbosity) + : atom_netlist_(atom_netlist) + , prepacker_(prepacker) + , packer_opts_(packer_opts) + , allow_unrelated_clustering_(allow_unrelated_clustering) + , log_verbosity_(log_verbosity) + , primitive_candidate_block_types_(primitive_candidate_block_types) + , high_fanout_thresholds_(high_fanout_thresholds) + , is_clock_(is_clock) + , is_global_(is_global) + , net_output_feeds_driving_block_input_(net_output_feeds_driving_block_input) + , pre_cluster_timing_manager_(pre_cluster_timing_manager) + , appack_ctx_(appack_ctx) + , rng_(0) { + + // Initialize unrelated clustering data if unrelated clustering is enabled. if (allow_unrelated_clustering_) { + initialize_unrelated_clustering_data(max_molecule_stats); + } + + /* TODO: This is memory inefficient, fix if causes problems */ + /* Store stats on nets used by packed block, useful for determining transitively connected blocks + * (eg. [A1, A2, ..]->[B1, B2, ..]->C implies cluster [A1, A2, ...] and C have a weak link) */ + clb_inter_blk_nets_.resize(atom_netlist.blocks().size()); +} + +void GreedyCandidateSelector::initialize_unrelated_clustering_data(const t_molecule_stats& max_molecule_stats) { + // Create a sorted list of molecules, sorted on decreasing molecule base + // gain. (Highest gain). + std::vector molecules_vector; + molecules_vector.assign(prepacker_.molecules().begin(), prepacker_.molecules().end()); + std::stable_sort(molecules_vector.begin(), + molecules_vector.end(), + [&](PackMoleculeId a_id, PackMoleculeId b_id) { + const t_pack_molecule& a = prepacker_.get_molecule(a_id); + const t_pack_molecule& b = prepacker_.get_molecule(b_id); + + return a.base_gain > b.base_gain; + }); + + if (appack_ctx_.appack_options.use_appack) { + /** + * For APPack, we build a spatial data structure where for each 1x1 grid + * position on the FPGA, we maintain lists of molecule candidates. + * The lists are in order of number of used external pins by the molecule. + * Within each list, the molecules are sorted by their base gain. + */ + // Get the max x, y, and layer from the flat placement. + t_flat_pl_loc max_loc({0.0f, 0.0f, 0.0f}); + for (PackMoleculeId mol_id : molecules_vector) { + t_flat_pl_loc mol_pos = get_molecule_pos(mol_id, prepacker_, appack_ctx_); + max_loc.x = std::max(max_loc.x, mol_pos.x); + max_loc.y = std::max(max_loc.y, mol_pos.y); + max_loc.layer = std::max(max_loc.layer, mol_pos.layer); + } + + VTR_ASSERT_MSG(max_loc.layer == 0, + "APPack unrelated clustering does not support 3D " + "FPGAs yet"); + + // Initialize the data structure with empty arrays with enough space + // for each molecule. + size_t flat_grid_width = max_loc.x + 1; + size_t flat_grid_height = max_loc.y + 1; + appack_unrelated_clustering_data_ = + vtr::NdMatrix>, 2>({flat_grid_width, + flat_grid_height}); + for (size_t x = 0; x < flat_grid_width; x++) { + for (size_t y = 0; y < flat_grid_height; y++) { + // Resize to the maximum number of used external pins. This is + // to ensure that every molecule below can be inserted into a + // valid list based on their number of external pins. + appack_unrelated_clustering_data_[x][y].resize(max_molecule_stats.num_used_ext_pins + 1); + } + } + + // Fill the grid with molecule information. + // Note: These molecules are sorted based on their base gain. They are + // inserted in such a way that the highest gain molecules appear + // first in the lists below. + for (PackMoleculeId mol_id : molecules_vector) { + t_flat_pl_loc mol_pos = get_molecule_pos(mol_id, prepacker_, appack_ctx_); + + //Figure out how many external inputs are used by this molecule + t_molecule_stats molecule_stats = prepacker_.calc_molecule_stats(mol_id, atom_netlist_); + int ext_inps = molecule_stats.num_used_ext_inputs; + + //Insert the molecule into the unclustered lists by number of external inputs + auto& tile_uc_data = appack_unrelated_clustering_data_[mol_pos.x][mol_pos.y]; + tile_uc_data[ext_inps].push_back(mol_id); + } + } else { + // When not performing APPack, allocate and load a similar data structure + // without spatial information. + /* alloc and load list of molecules to pack */ unrelated_clustering_data_.resize(max_molecule_stats.num_used_ext_inputs + 1); - // Create a sorted list of molecules, sorted on decreasing molecule base - // gain. (Highest gain). - std::vector molecules_vector = prepacker.get_molecules_vector(); - std::stable_sort(molecules_vector.begin(), - molecules_vector.end(), - [](t_pack_molecule* a, t_pack_molecule* b) { - return a->base_gain > b->base_gain; - }); - // Push back the each molecule into the unrelated clustering data vector // for their external inputs. This creates individual sorted lists of // molecules for each number of used external inputs. - for (t_pack_molecule* mol : molecules_vector) { + for (PackMoleculeId mol_id : molecules_vector) { //Figure out how many external inputs are used by this molecule - t_molecule_stats molecule_stats = prepacker.calc_molecule_stats(mol, atom_netlist); + t_molecule_stats molecule_stats = prepacker_.calc_molecule_stats(mol_id, atom_netlist_); int ext_inps = molecule_stats.num_used_ext_inputs; //Insert the molecule into the unclustered lists by number of external inputs - unrelated_clustering_data_[ext_inps].push_back(mol); + unrelated_clustering_data_[ext_inps].push_back(mol_id); } } - - /* TODO: This is memory inefficient, fix if causes problems */ - /* Store stats on nets used by packed block, useful for determining transitively connected blocks - * (eg. [A1, A2, ..]->[B1, B2, ..]->C implies cluster [A1, A2, ...] and C have a weak link) */ - clb_inter_blk_nets_.resize(atom_netlist.blocks().size()); } GreedyCandidateSelector::~GreedyCandidateSelector() { } ClusterGainStats GreedyCandidateSelector::create_cluster_gain_stats( - t_pack_molecule* cluster_seed_mol, - LegalizationClusterId cluster_id, - const ClusterLegalizer& cluster_legalizer, - AttractionInfo& attraction_groups) { + PackMoleculeId cluster_seed_mol_id, + LegalizationClusterId cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups) { // Initialize the cluster gain stats. ClusterGainStats cluster_gain_stats; + cluster_gain_stats.seed_molecule_id = cluster_seed_mol_id; cluster_gain_stats.num_feasible_blocks = NOT_VALID; + cluster_gain_stats.has_done_connectivity_and_timing = false; // TODO: The reason this is being resized and not reserved is due to legacy // code which should be updated. cluster_gain_stats.feasible_blocks.resize(packer_opts_.feasible_block_array_size); for (int i = 0; i < packer_opts_.feasible_block_array_size; i++) - cluster_gain_stats.feasible_blocks[i] = nullptr; + cluster_gain_stats.feasible_blocks[i] = PackMoleculeId::INVALID(); cluster_gain_stats.tie_break_high_fanout_net = AtomNetId::INVALID(); cluster_gain_stats.explore_transitive_fanout = true; @@ -138,21 +231,41 @@ ClusterGainStats GreedyCandidateSelector::create_cluster_gain_stats( // TODO: We may want to update the cluster gain stats different, knowing // that this candidate was the seed molecule. update_cluster_gain_stats_candidate_success(cluster_gain_stats, - cluster_seed_mol, + cluster_seed_mol_id, cluster_id, cluster_legalizer, attraction_groups); + // If a flat placement is provided, set the centroid to be the location of + // the seed molecule. + if (appack_ctx_.appack_options.use_appack) { + t_flat_pl_loc seed_mol_pos = get_molecule_pos(cluster_seed_mol_id, + prepacker_, + appack_ctx_); + cluster_gain_stats.flat_cluster_position = seed_mol_pos; + cluster_gain_stats.mol_pos_sum = seed_mol_pos; + } + + // Mark if this cluster is a memory block. We detect this by checking if the + // class type of the seed primitive pb is a memory class. + // This is used by APPack to turn off certain optimizations which interfere + // with RAM packing. + const auto& seed_mol = prepacker_.get_molecule(cluster_seed_mol_id); + AtomBlockId seed_atom = seed_mol.atom_block_ids[seed_mol.root]; + const auto seed_pb = cluster_legalizer.atom_pb_lookup().atom_pb(seed_atom); + cluster_gain_stats.is_memory = seed_pb->pb_graph_node->pb_type->class_type == MEMORY_CLASS; + // Return the cluster gain stats. return cluster_gain_stats; } void GreedyCandidateSelector::update_cluster_gain_stats_candidate_success( - ClusterGainStats& cluster_gain_stats, - t_pack_molecule* successful_mol, - LegalizationClusterId cluster_id, - const ClusterLegalizer& cluster_legalizer, - AttractionInfo& attraction_groups) { + ClusterGainStats& cluster_gain_stats, + PackMoleculeId successful_mol_id, + LegalizationClusterId cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups) { + VTR_ASSERT(successful_mol_id.is_valid()); // TODO: If this threshold lookup gets expensive, move outside. int high_fanout_net_threshold = high_fanout_thresholds_.get_threshold(cluster_legalizer.get_cluster_type(cluster_id)->name); @@ -162,9 +275,8 @@ void GreedyCandidateSelector::update_cluster_gain_stats_candidate_success( // pins, and clock structures, in order to reflect the new content of the // cluster. Also keeps track of which attraction group the cluster belongs // to. - int molecule_size = get_array_size_of_molecule(successful_mol); - for (int iblock = 0; iblock < molecule_size; iblock++) { - AtomBlockId blk_id = successful_mol->atom_block_ids[iblock]; + const t_pack_molecule& successful_mol = prepacker_.get_molecule(successful_mol_id); + for (AtomBlockId blk_id : successful_mol.atom_block_ids) { if (!blk_id) { continue; } @@ -174,6 +286,7 @@ void GreedyCandidateSelector::update_cluster_gain_stats_candidate_success( /* reset list of feasible blocks */ cluster_gain_stats.num_feasible_blocks = NOT_VALID; + cluster_gain_stats.has_done_connectivity_and_timing = false; /* TODO: Allow clusters to have more than one attraction group. */ if (atom_grp_id.is_valid()) cluster_gain_stats.attraction_grp_id = atom_grp_id; @@ -224,26 +337,47 @@ void GreedyCandidateSelector::update_cluster_gain_stats_candidate_success( e_net_relation_to_clustered_block::INPUT); } + // TODO: For flat placement reconstruction, should we mark the molecules + // in the same tile as the seed of this cluster? + update_total_gain(cluster_gain_stats, attraction_groups); } // if this molecule came from the transitive fanout candidates remove it - cluster_gain_stats.transitive_fanout_candidates.erase(successful_mol->atom_block_ids[successful_mol->root]); + cluster_gain_stats.transitive_fanout_candidates.erase(successful_mol.atom_block_ids[successful_mol.root]); cluster_gain_stats.explore_transitive_fanout = true; // Whenever a new molecule has been clustered, reset the number of // unrelated clustering attempts. num_unrelated_clustering_attempts_ = 0; + + // If using APPack, update the position of the cluster based on the addition + // of this molecule. + if (appack_ctx_.appack_options.use_appack) { + t_flat_pl_loc successful_mol_pos = get_molecule_pos(successful_mol_id, + prepacker_, + appack_ctx_); + // Accumulate the molecules position into the position sum. + cluster_gain_stats.mol_pos_sum += successful_mol_pos; + + if (appack_ctx_.appack_options.cluster_location_ty == t_appack_options::e_cl_loc_ty::CENTROID) { + // If the cluster's position is defined as the centroid of the + // positions of the mols it contains, compute the new centroid. + cluster_gain_stats.flat_cluster_position = cluster_gain_stats.mol_pos_sum; + size_t num_mols_in_cluster = cluster_legalizer.get_num_molecules_in_cluster(cluster_id); + cluster_gain_stats.flat_cluster_position /= static_cast(num_mols_in_cluster); + } + } } void GreedyCandidateSelector::mark_and_update_partial_gain( - ClusterGainStats& cluster_gain_stats, - AtomNetId net_id, - e_gain_update gain_flag, - AtomBlockId clustered_blk_id, - const ClusterLegalizer& cluster_legalizer, - int high_fanout_net_threshold, - e_net_relation_to_clustered_block net_relation_to_clustered_block) { + ClusterGainStats& cluster_gain_stats, + AtomNetId net_id, + e_gain_update gain_flag, + AtomBlockId clustered_blk_id, + const ClusterLegalizer& cluster_legalizer, + int high_fanout_net_threshold, + e_net_relation_to_clustered_block net_relation_to_clustered_block) { if (int(atom_netlist_.net_sinks(net_id).size()) > high_fanout_net_threshold) { /* Optimization: It can be too runtime costly for marking all sinks for @@ -310,17 +444,13 @@ void GreedyCandidateSelector::mark_and_update_partial_gain( cluster_gain_stats.num_pins_of_net_in_pb[net_id]++; } -/* - * @brief Determine if atom block is in pb. - * - * TODO: This would make more sense in the cluster legalizer class. +/** + * @brief Determine if pb is a child of cluster_pb. */ -static bool is_atom_blk_in_pb(const AtomBlockId blk_id, const t_pb* pb) { - const AtomContext& atom_ctx = g_vpr_ctx.atom(); - - const t_pb* cur_pb = atom_ctx.lookup.atom_pb(blk_id); +static bool is_pb_in_cluster_pb(const t_pb* pb, const t_pb* cluster_pb) { + const t_pb* cur_pb = pb; while (cur_pb) { - if (cur_pb == pb) { + if (cur_pb == cluster_pb) { return true; } cur_pb = cur_pb->parent_pb; @@ -329,20 +459,15 @@ static bool is_atom_blk_in_pb(const AtomBlockId blk_id, const t_pb* pb) { } void GreedyCandidateSelector::update_connection_gain_values( - ClusterGainStats& cluster_gain_stats, - AtomNetId net_id, - AtomBlockId clustered_blk_id, - const ClusterLegalizer& cluster_legalizer, - e_net_relation_to_clustered_block net_relation_to_clustered_block) { + ClusterGainStats& cluster_gain_stats, + AtomNetId net_id, + AtomBlockId clustered_blk_id, + const ClusterLegalizer& cluster_legalizer, + e_net_relation_to_clustered_block net_relation_to_clustered_block) { /*This function is called when the connection_gain values on the net net_id *require updating. */ - // Atom Context used to lookup the atom pb. - // TODO: Should investigate this. Using the atom pb in this class is very - // strange. - const AtomContext& atom_ctx = g_vpr_ctx.atom(); - int num_internal_connections, num_open_connections, num_stuck_connections; num_internal_connections = num_open_connections = num_stuck_connections = 0; @@ -351,8 +476,12 @@ void GreedyCandidateSelector::update_connection_gain_values( /* may wish to speed things up by ignoring clock nets since they are high fanout */ for (AtomPinId pin_id : atom_netlist_.net_pins(net_id)) { AtomBlockId blk_id = atom_netlist_.pin_block(pin_id); - if (cluster_legalizer.get_atom_cluster(blk_id) == legalization_cluster_id - && is_atom_blk_in_pb(blk_id, atom_ctx.lookup.atom_pb(clustered_blk_id))) { + // TODO: Should investigate this. Using the atom pb bimap through is_atom_blk_in_cluster_block + // in this class is very strange + const t_pb* pin_block_pb = cluster_legalizer.atom_pb_lookup().atom_pb(blk_id); + const t_pb* cluster_pb = cluster_legalizer.atom_pb_lookup().atom_pb(clustered_blk_id); + + if (cluster_legalizer.get_atom_cluster(blk_id) == legalization_cluster_id && is_pb_in_cluster_pb(pin_block_pb, cluster_pb)) { num_internal_connections++; } else if (!cluster_legalizer.is_atom_clustered(blk_id)) { num_open_connections++; @@ -402,10 +531,10 @@ void GreedyCandidateSelector::update_connection_gain_values( } void GreedyCandidateSelector::update_timing_gain_values( - ClusterGainStats& cluster_gain_stats, - AtomNetId net_id, - const ClusterLegalizer& cluster_legalizer, - e_net_relation_to_clustered_block net_relation_to_clustered_block) { + ClusterGainStats& cluster_gain_stats, + AtomNetId net_id, + const ClusterLegalizer& cluster_legalizer, + e_net_relation_to_clustered_block net_relation_to_clustered_block) { /*This function is called when the timing_gain values on the atom net *net_id requires updating. */ @@ -416,12 +545,15 @@ void GreedyCandidateSelector::update_timing_gain_values( if (net_output_feeds_driving_block_input_.count(net_id) != 0) pins = atom_netlist_.net_sinks(net_id); + // Get the setup timing info used to compute timing gain terms. + const SetupTimingInfo& timing_info = pre_cluster_timing_manager_.get_timing_info(); + if (net_relation_to_clustered_block == e_net_relation_to_clustered_block::OUTPUT && !is_global_.count(net_id)) { for (AtomPinId pin_id : pins) { AtomBlockId blk_id = atom_netlist_.pin_block(pin_id); if (!cluster_legalizer.is_atom_clustered(blk_id)) { - double timing_gain = timing_info_.setup_pin_criticality(pin_id); + double timing_gain = timing_info.setup_pin_criticality(pin_id); if (cluster_gain_stats.timing_gain.count(blk_id) == 0) { cluster_gain_stats.timing_gain[blk_id] = 0; @@ -441,7 +573,7 @@ void GreedyCandidateSelector::update_timing_gain_values( if (!cluster_legalizer.is_atom_clustered(new_blk_id)) { for (AtomPinId pin_id : atom_netlist_.net_sinks(net_id)) { - double timing_gain = timing_info_.setup_pin_criticality(pin_id); + double timing_gain = timing_info.setup_pin_criticality(pin_id); if (cluster_gain_stats.timing_gain.count(new_blk_id) == 0) { cluster_gain_stats.timing_gain[new_blk_id] = 0; @@ -466,6 +598,9 @@ void GreedyCandidateSelector::update_total_gain(ClusterGainStats& cluster_gain_s if (cluster_gain_stats.sharing_gain.count(blk_id) == 0) { cluster_gain_stats.sharing_gain[blk_id] = 0; } + if (cluster_gain_stats.timing_gain.count(blk_id) == 0) { + cluster_gain_stats.timing_gain[blk_id] = 0; + } AttractGroupId atom_grp_id = attraction_groups.get_atom_attraction_group(blk_id); if (atom_grp_id != AttractGroupId::INVALID() && atom_grp_id == cluster_att_grp_id) { @@ -486,39 +621,40 @@ void GreedyCandidateSelector::update_total_gain(ClusterGainStats& cluster_gain_s if (packer_opts_.connection_driven) { /*try to absorb as many connections as possible*/ cluster_gain_stats.gain[blk_id] = ((1 - packer_opts_.beta) - * (float)cluster_gain_stats.sharing_gain[blk_id] - + packer_opts_.beta * (float)cluster_gain_stats.connection_gain[blk_id]) - / (num_used_pins); + * (float)cluster_gain_stats.sharing_gain[blk_id] + + packer_opts_.beta * (float)cluster_gain_stats.connection_gain[blk_id]) + / (num_used_pins); } else { cluster_gain_stats.gain[blk_id] = ((float)cluster_gain_stats.sharing_gain[blk_id]) - / (num_used_pins); + / (num_used_pins); } /* Add in timing driven cost into cost function */ if (packer_opts_.timing_driven) { cluster_gain_stats.gain[blk_id] = packer_opts_.alpha - * cluster_gain_stats.timing_gain[blk_id] - + (1.0 - packer_opts_.alpha) * (float)cluster_gain_stats.gain[blk_id]; + * cluster_gain_stats.timing_gain[blk_id] + + (1.0 - packer_opts_.alpha) * (float)cluster_gain_stats.gain[blk_id]; } } } -void GreedyCandidateSelector::update_cluster_gain_stats_candidate_failed(ClusterGainStats& cluster_gain_stats, - t_pack_molecule* failed_mol) { - auto got = cluster_gain_stats.atom_failures.find(failed_mol->atom_block_ids[0]); - if (got == cluster_gain_stats.atom_failures.end()) { - cluster_gain_stats.atom_failures.insert({failed_mol->atom_block_ids[0], 1}); +void GreedyCandidateSelector::update_cluster_gain_stats_candidate_failed( + ClusterGainStats& cluster_gain_stats, + PackMoleculeId failed_mol_id) { + VTR_ASSERT(failed_mol_id.is_valid()); + auto got = cluster_gain_stats.mol_failures.find(failed_mol_id); + if (got == cluster_gain_stats.mol_failures.end()) { + cluster_gain_stats.mol_failures.insert({failed_mol_id, 1}); } else { got->second++; } } -t_pack_molecule* GreedyCandidateSelector::get_next_candidate_for_cluster( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId cluster_id, - const ClusterLegalizer& cluster_legalizer, - const Prepacker& prepacker, - AttractionInfo& attraction_groups) { +PackMoleculeId GreedyCandidateSelector::get_next_candidate_for_cluster( + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups) { /* Finds the block with the greatest gain that satisfies the * input, clock and capacity constraints of a cluster that are * passed in. If no suitable block is found it returns nullptr. @@ -546,11 +682,12 @@ t_pack_molecule* GreedyCandidateSelector::get_next_candidate_for_cluster( // 1. Find unpacked molecules based on criticality and strong connectedness (connected by low fanout nets) with current cluster if (cluster_gain_stats.num_feasible_blocks == NOT_VALID) { + cluster_gain_stats.num_feasible_blocks = 0; add_cluster_molecule_candidates_by_connectivity_and_timing(cluster_gain_stats, cluster_id, - prepacker, cluster_legalizer, attraction_groups); + cluster_gain_stats.has_done_connectivity_and_timing = true; } if (packer_opts_.prioritize_transitive_connectivity) { @@ -558,7 +695,6 @@ t_pack_molecule* GreedyCandidateSelector::get_next_candidate_for_cluster( if (cluster_gain_stats.num_feasible_blocks == 0 && cluster_gain_stats.explore_transitive_fanout) { add_cluster_molecule_candidates_by_transitive_connectivity(cluster_gain_stats, cluster_id, - prepacker, cluster_legalizer, attraction_groups); } @@ -567,7 +703,6 @@ t_pack_molecule* GreedyCandidateSelector::get_next_candidate_for_cluster( if (cluster_gain_stats.num_feasible_blocks == 0 && cluster_gain_stats.tie_break_high_fanout_net) { add_cluster_molecule_candidates_by_highfanout_connectivity(cluster_gain_stats, cluster_id, - prepacker, cluster_legalizer, attraction_groups); } @@ -576,7 +711,6 @@ t_pack_molecule* GreedyCandidateSelector::get_next_candidate_for_cluster( if (cluster_gain_stats.num_feasible_blocks == 0 && cluster_gain_stats.tie_break_high_fanout_net) { add_cluster_molecule_candidates_by_highfanout_connectivity(cluster_gain_stats, cluster_id, - prepacker, cluster_legalizer, attraction_groups); } @@ -585,7 +719,6 @@ t_pack_molecule* GreedyCandidateSelector::get_next_candidate_for_cluster( if (cluster_gain_stats.num_feasible_blocks == 0 && cluster_gain_stats.explore_transitive_fanout) { add_cluster_molecule_candidates_by_transitive_connectivity(cluster_gain_stats, cluster_id, - prepacker, cluster_legalizer, attraction_groups); } @@ -595,13 +728,13 @@ t_pack_molecule* GreedyCandidateSelector::get_next_candidate_for_cluster( if (cluster_gain_stats.num_feasible_blocks == 0) { add_cluster_molecule_candidates_by_attraction_group(cluster_gain_stats, cluster_id, - prepacker, cluster_legalizer, attraction_groups); } + /* Grab highest gain molecule */ // If this was a vector, this would just be a pop_back. - t_pack_molecule* best_molecule = nullptr; + PackMoleculeId best_molecule = PackMoleculeId::INVALID(); if (cluster_gain_stats.num_feasible_blocks > 0) { cluster_gain_stats.num_feasible_blocks--; int index = cluster_gain_stats.num_feasible_blocks; @@ -611,16 +744,24 @@ t_pack_molecule* GreedyCandidateSelector::get_next_candidate_for_cluster( // If we are allowing unrelated clustering and no molecule has been found, // get unrelated candidate for cluster. - if (allow_unrelated_clustering_ && best_molecule == nullptr) { - if (num_unrelated_clustering_attempts_ < max_unrelated_clustering_attempts_) { - best_molecule = get_unrelated_candidate_for_cluster(cluster_id, - cluster_legalizer); - num_unrelated_clustering_attempts_++; - VTR_LOGV(best_molecule && log_verbosity_ > 2, - "\tFound unrelated molecule to cluster\n"); + if (allow_unrelated_clustering_ && best_molecule == PackMoleculeId::INVALID()) { + const t_appack_options& appack_options = appack_ctx_.appack_options; + if (appack_options.use_appack) { + if (num_unrelated_clustering_attempts_ < appack_options.max_unrelated_clustering_attempts) { + best_molecule = get_unrelated_candidate_for_cluster_appack(cluster_gain_stats, + cluster_id, + cluster_legalizer); + num_unrelated_clustering_attempts_++; + } } else { - num_unrelated_clustering_attempts_ = 0; + if (num_unrelated_clustering_attempts_ < max_unrelated_clustering_attempts_) { + best_molecule = get_unrelated_candidate_for_cluster(cluster_id, + cluster_legalizer); + num_unrelated_clustering_attempts_++; + } } + VTR_LOGV(best_molecule && log_verbosity_ > 2, + "\tFound unrelated molecule to cluster\n"); } else { VTR_LOGV(!best_molecule && log_verbosity_ > 2, "\tNo related molecule found and unrelated clustering disabled\n"); @@ -630,68 +771,65 @@ t_pack_molecule* GreedyCandidateSelector::get_next_candidate_for_cluster( } void GreedyCandidateSelector::add_cluster_molecule_candidates_by_connectivity_and_timing( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId legalization_cluster_id, - const Prepacker& prepacker, - const ClusterLegalizer& cluster_legalizer, - AttractionInfo& attraction_groups) { - VTR_ASSERT(cluster_gain_stats.num_feasible_blocks == NOT_VALID); - - cluster_gain_stats.num_feasible_blocks = 0; + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId legalization_cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups) { cluster_gain_stats.explore_transitive_fanout = true; /* If no legal molecules found, enable exploration of molecules two hops away */ for (AtomBlockId blk_id : cluster_gain_stats.marked_blocks) { // Get the molecule that contains this block. - t_pack_molecule* molecule = prepacker.get_atom_molecule(blk_id); + PackMoleculeId molecule_id = prepacker_.get_atom_molecule(blk_id); // Add the molecule as a candidate if the molecule is not clustered and // is compatible with this cluster (using simple checks). - if (!cluster_legalizer.is_mol_clustered(molecule) && - cluster_legalizer.is_molecule_compatible(molecule, legalization_cluster_id)) { - add_molecule_to_pb_stats_candidates(molecule, + if (!cluster_legalizer.is_mol_clustered(molecule_id) && cluster_legalizer.is_molecule_compatible(molecule_id, legalization_cluster_id)) { + add_molecule_to_pb_stats_candidates(molecule_id, cluster_gain_stats, + cluster_legalizer.get_cluster_type(legalization_cluster_id), packer_opts_.feasible_block_array_size, attraction_groups, - atom_netlist_); + prepacker_, + atom_netlist_, + appack_ctx_); } } } void GreedyCandidateSelector::add_cluster_molecule_candidates_by_transitive_connectivity( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId legalization_cluster_id, - const Prepacker& prepacker, - const ClusterLegalizer& cluster_legalizer, - AttractionInfo& attraction_groups) { + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId legalization_cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups) { //TODO: For now, only done by fan-out; should also consider fan-in cluster_gain_stats.explore_transitive_fanout = false; /* First time finding transitive fanout candidates therefore alloc and load them */ load_transitive_fanout_candidates(cluster_gain_stats, legalization_cluster_id, - prepacker, cluster_legalizer); /* Only consider candidates that pass a very simple legality check */ for (const auto& transitive_candidate : cluster_gain_stats.transitive_fanout_candidates) { - t_pack_molecule* molecule = transitive_candidate.second; - if (!cluster_legalizer.is_mol_clustered(molecule) && - cluster_legalizer.is_molecule_compatible(molecule, legalization_cluster_id)) { - add_molecule_to_pb_stats_candidates(molecule, + PackMoleculeId molecule_id = transitive_candidate.second; + if (!cluster_legalizer.is_mol_clustered(molecule_id) && cluster_legalizer.is_molecule_compatible(molecule_id, legalization_cluster_id)) { + add_molecule_to_pb_stats_candidates(molecule_id, cluster_gain_stats, + cluster_legalizer.get_cluster_type(legalization_cluster_id), std::min(packer_opts_.feasible_block_array_size, AAPACK_MAX_TRANSITIVE_EXPLORE), attraction_groups, - atom_netlist_); + prepacker_, + atom_netlist_, + appack_ctx_); } } } void GreedyCandidateSelector::add_cluster_molecule_candidates_by_highfanout_connectivity( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId legalization_cluster_id, - const Prepacker& prepacker, - const ClusterLegalizer& cluster_legalizer, - AttractionInfo& attraction_groups) { + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId legalization_cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups) { /* Because the packer ignores high fanout nets when marking what blocks * to consider, use one of the ignored high fanout net to fill up lightly * related blocks */ @@ -706,15 +844,17 @@ void GreedyCandidateSelector::add_cluster_molecule_candidates_by_highfanout_conn AtomBlockId blk_id = atom_netlist_.pin_block(pin_id); - t_pack_molecule* molecule = prepacker.get_atom_molecule(blk_id); - if (!cluster_legalizer.is_mol_clustered(molecule) && - cluster_legalizer.is_molecule_compatible(molecule, legalization_cluster_id)) { - add_molecule_to_pb_stats_candidates(molecule, + PackMoleculeId molecule_id = prepacker_.get_atom_molecule(blk_id); + if (!cluster_legalizer.is_mol_clustered(molecule_id) && cluster_legalizer.is_molecule_compatible(molecule_id, legalization_cluster_id)) { + add_molecule_to_pb_stats_candidates(molecule_id, cluster_gain_stats, + cluster_legalizer.get_cluster_type(legalization_cluster_id), std::min(packer_opts_.feasible_block_array_size, AAPACK_MAX_HIGH_FANOUT_EXPLORE), attraction_groups, - atom_netlist_); + prepacker_, + atom_netlist_, + appack_ctx_); count++; } } @@ -722,11 +862,10 @@ void GreedyCandidateSelector::add_cluster_molecule_candidates_by_highfanout_conn } void GreedyCandidateSelector::add_cluster_molecule_candidates_by_attraction_group( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId legalization_cluster_id, - const Prepacker& prepacker, - const ClusterLegalizer& cluster_legalizer, - AttractionInfo& attraction_groups) { + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId legalization_cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups) { auto cluster_type = cluster_legalizer.get_cluster_type(legalization_cluster_id); /* @@ -766,42 +905,38 @@ void GreedyCandidateSelector::add_cluster_molecule_candidates_by_attraction_grou if (num_available_atoms < attraction_group_num_atoms_threshold_) { for (AtomBlockId atom_id : available_atoms) { //Only consider molecules that are unpacked and of the correct type - t_pack_molecule* molecule = prepacker.get_atom_molecule(atom_id); - if (!cluster_legalizer.is_mol_clustered(molecule) && - cluster_legalizer.is_molecule_compatible(molecule, legalization_cluster_id)) { - add_molecule_to_pb_stats_candidates(molecule, + PackMoleculeId molecule_id = prepacker_.get_atom_molecule(atom_id); + if (!cluster_legalizer.is_mol_clustered(molecule_id) && cluster_legalizer.is_molecule_compatible(molecule_id, legalization_cluster_id)) { + add_molecule_to_pb_stats_candidates(molecule_id, cluster_gain_stats, + cluster_legalizer.get_cluster_type(legalization_cluster_id), packer_opts_.feasible_block_array_size, attraction_groups, - atom_netlist_); + prepacker_, + atom_netlist_, + appack_ctx_); } } return; } - int min = 0; - int max = num_available_atoms - 1; - for (int j = 0; j < attraction_group_num_atoms_threshold_; j++) { - // FIXME: This is a non-deterministic random number generator and it is - // overkill to what this needs to be. Should use vtr::irand which - // would be faster. - std::random_device rd; - std::mt19937 gen(rd()); - std::uniform_int_distribution<> distr(min, max); - int selected_atom = distr(gen); + //Get a random atom between 0 and the number of available atoms - 1 + int selected_atom = rng_.irand(num_available_atoms - 1); AtomBlockId blk_id = available_atoms[selected_atom]; //Only consider molecules that are unpacked and of the correct type - t_pack_molecule* molecule = prepacker.get_atom_molecule(blk_id); - if (!cluster_legalizer.is_mol_clustered(molecule) && - cluster_legalizer.is_molecule_compatible(molecule, legalization_cluster_id)) { - add_molecule_to_pb_stats_candidates(molecule, + PackMoleculeId molecule_id = prepacker_.get_atom_molecule(blk_id); + if (!cluster_legalizer.is_mol_clustered(molecule_id) && cluster_legalizer.is_molecule_compatible(molecule_id, legalization_cluster_id)) { + add_molecule_to_pb_stats_candidates(molecule_id, cluster_gain_stats, + cluster_legalizer.get_cluster_type(legalization_cluster_id), packer_opts_.feasible_block_array_size, attraction_groups, - atom_netlist_); + prepacker_, + atom_netlist_, + appack_ctx_); } } } @@ -809,11 +944,40 @@ void GreedyCandidateSelector::add_cluster_molecule_candidates_by_attraction_grou /* * @brief Add blk to list of feasible blocks sorted according to gain. */ -static void add_molecule_to_pb_stats_candidates(t_pack_molecule* molecule, +static void add_molecule_to_pb_stats_candidates(PackMoleculeId molecule_id, ClusterGainStats& cluster_gain_stats, + t_logical_block_type_ptr cluster_type, int max_queue_size, AttractionInfo& attraction_groups, - const AtomNetlist& atom_netlist) { + const Prepacker& prepacker, + const AtomNetlist& atom_netlist, + const APPackContext& appack_ctx) { + + // If using APPack, before adding this molecule to the candidates, check to + // see if the molecule is too far away from the position of the cluster. + // If so, do not add it to the list of candidates. + if (appack_ctx.appack_options.use_appack) { + // If this cluster is a memory block, do not drop candidates based on + // distance. Was found to create too many RAM blocks. + if (!cluster_gain_stats.is_memory) { + // Get the max dist for this block type. + float max_dist = appack_ctx.appack_options.max_candidate_distance; + // If this cluster is anything but a logic block type, then scale + // up the max distance. + if (cluster_type->index != appack_ctx.appack_options.logic_block_type_index) + max_dist *= appack_ctx.appack_options.max_candidate_distance_non_lb_scale; + + // If the distance from the cluster to the candidate is too large, + // do not add this molecule to the list of candidates. + const t_flat_pl_loc mol_loc = get_molecule_pos(molecule_id, + prepacker, + appack_ctx); + float dist = get_manhattan_distance(mol_loc, cluster_gain_stats.flat_cluster_position); + if (dist > max_dist) + return; + } + } + int num_molecule_failures = 0; AttractGroupId cluster_att_grp = cluster_gain_stats.attraction_grp_id; @@ -823,55 +987,56 @@ static void add_molecule_to_pb_stats_candidates(t_pack_molecule* molecule, * more molecules helps to achieve this purpose. */ if (attraction_groups.num_attraction_groups() > 0) { - auto got = cluster_gain_stats.atom_failures.find(molecule->atom_block_ids[0]); - if (got == cluster_gain_stats.atom_failures.end()) { + VTR_ASSERT(molecule_id.is_valid()); + auto got = cluster_gain_stats.mol_failures.find(molecule_id); + if (got == cluster_gain_stats.mol_failures.end()) { num_molecule_failures = 0; } else { num_molecule_failures = got->second; } if (num_molecule_failures > 0) { - remove_molecule_from_pb_stats_candidates(molecule, cluster_gain_stats); + remove_molecule_from_pb_stats_candidates(molecule_id, cluster_gain_stats); return; } } for (int i = 0; i < cluster_gain_stats.num_feasible_blocks; i++) { - if (cluster_gain_stats.feasible_blocks[i] == molecule) { + if (cluster_gain_stats.feasible_blocks[i] == molecule_id) { return; // already in queue, do nothing } } if (cluster_gain_stats.num_feasible_blocks >= max_queue_size - 1) { /* maximum size for array, remove smallest gain element and sort */ - if (get_molecule_gain(molecule, cluster_gain_stats, cluster_att_grp, attraction_groups, num_molecule_failures, atom_netlist) > get_molecule_gain(cluster_gain_stats.feasible_blocks[0], cluster_gain_stats, cluster_att_grp, attraction_groups, num_molecule_failures, atom_netlist)) { + if (get_molecule_gain(molecule_id, cluster_gain_stats, cluster_att_grp, attraction_groups, num_molecule_failures, prepacker, atom_netlist, appack_ctx) > get_molecule_gain(cluster_gain_stats.feasible_blocks[0], cluster_gain_stats, cluster_att_grp, attraction_groups, num_molecule_failures, prepacker, atom_netlist, appack_ctx)) { /* single loop insertion sort */ int j; for (j = 0; j < cluster_gain_stats.num_feasible_blocks - 1; j++) { - if (get_molecule_gain(molecule, cluster_gain_stats, cluster_att_grp, attraction_groups, num_molecule_failures, atom_netlist) <= get_molecule_gain(cluster_gain_stats.feasible_blocks[j + 1], cluster_gain_stats, cluster_att_grp, attraction_groups, num_molecule_failures, atom_netlist)) { - cluster_gain_stats.feasible_blocks[j] = molecule; + if (get_molecule_gain(molecule_id, cluster_gain_stats, cluster_att_grp, attraction_groups, num_molecule_failures, prepacker, atom_netlist, appack_ctx) <= get_molecule_gain(cluster_gain_stats.feasible_blocks[j + 1], cluster_gain_stats, cluster_att_grp, attraction_groups, num_molecule_failures, prepacker, atom_netlist, appack_ctx)) { + cluster_gain_stats.feasible_blocks[j] = molecule_id; break; } else { cluster_gain_stats.feasible_blocks[j] = cluster_gain_stats.feasible_blocks[j + 1]; } } if (j == cluster_gain_stats.num_feasible_blocks - 1) { - cluster_gain_stats.feasible_blocks[j] = molecule; + cluster_gain_stats.feasible_blocks[j] = molecule_id; } } } else { /* Expand array and single loop insertion sort */ int j; for (j = cluster_gain_stats.num_feasible_blocks - 1; j >= 0; j--) { - if (get_molecule_gain(cluster_gain_stats.feasible_blocks[j], cluster_gain_stats, cluster_att_grp, attraction_groups, num_molecule_failures, atom_netlist) > get_molecule_gain(molecule, cluster_gain_stats, cluster_att_grp, attraction_groups, num_molecule_failures, atom_netlist)) { + if (get_molecule_gain(cluster_gain_stats.feasible_blocks[j], cluster_gain_stats, cluster_att_grp, attraction_groups, num_molecule_failures, prepacker, atom_netlist, appack_ctx) > get_molecule_gain(molecule_id, cluster_gain_stats, cluster_att_grp, attraction_groups, num_molecule_failures, prepacker, atom_netlist, appack_ctx)) { cluster_gain_stats.feasible_blocks[j + 1] = cluster_gain_stats.feasible_blocks[j]; } else { - cluster_gain_stats.feasible_blocks[j + 1] = molecule; + cluster_gain_stats.feasible_blocks[j + 1] = molecule_id; break; } } if (j < 0) { - cluster_gain_stats.feasible_blocks[0] = molecule; + cluster_gain_stats.feasible_blocks[0] = molecule_id; } cluster_gain_stats.num_feasible_blocks++; } @@ -883,14 +1048,14 @@ static void add_molecule_to_pb_stats_candidates(t_pack_molecule* molecule, * Useful for removing blocks that are repeatedly failing. If a block * has been found to be illegal, we don't repeatedly consider it. */ -static void remove_molecule_from_pb_stats_candidates(t_pack_molecule* molecule, +static void remove_molecule_from_pb_stats_candidates(PackMoleculeId molecule_id, ClusterGainStats& cluster_gain_stats) { int molecule_index; bool found_molecule = false; //find the molecule index for (int i = 0; i < cluster_gain_stats.num_feasible_blocks; i++) { - if (cluster_gain_stats.feasible_blocks[i] == molecule) { + if (cluster_gain_stats.feasible_blocks[i] == molecule_id) { found_molecule = true; molecule_index = i; } @@ -916,68 +1081,97 @@ static void remove_molecule_from_pb_stats_candidates(t_pack_molecule* molecule, * + molecule_base_gain*some_factor * - introduced_input_nets_of_unrelated_blocks_pulled_in_by_molecule*some_other_factor */ -static float get_molecule_gain(t_pack_molecule* molecule, +static float get_molecule_gain(PackMoleculeId molecule_id, ClusterGainStats& cluster_gain_stats, AttractGroupId cluster_attraction_group_id, AttractionInfo& attraction_groups, int num_molecule_failures, - const AtomNetlist& atom_netlist) { + const Prepacker& prepacker, + const AtomNetlist& atom_netlist, + const APPackContext& appack_ctx) { + VTR_ASSERT(molecule_id.is_valid()); + const t_pack_molecule& molecule = prepacker.get_molecule(molecule_id); + float gain = 0; constexpr float attraction_group_penalty = 0.1; int num_introduced_inputs_of_indirectly_related_block = 0; - for (int i = 0; i < get_array_size_of_molecule(molecule); i++) { - AtomBlockId blk_id = molecule->atom_block_ids[i]; - if (blk_id) { - if (cluster_gain_stats.gain.count(blk_id) > 0) { - gain += cluster_gain_stats.gain[blk_id]; - } else { - /* This block has no connection with current cluster, penalize molecule for having this block - */ - for (auto pin_id : atom_netlist.block_input_pins(blk_id)) { - auto net_id = atom_netlist.pin_net(pin_id); - VTR_ASSERT(net_id); - - auto driver_pin_id = atom_netlist.net_driver(net_id); - VTR_ASSERT(driver_pin_id); - - auto driver_blk_id = atom_netlist.pin_block(driver_pin_id); - - num_introduced_inputs_of_indirectly_related_block++; - for (int iblk = 0; iblk < get_array_size_of_molecule(molecule); iblk++) { - if (molecule->atom_block_ids[iblk] && driver_blk_id == molecule->atom_block_ids[iblk]) { - //valid block which is driver (and hence not an input) - num_introduced_inputs_of_indirectly_related_block--; - break; - } + for (AtomBlockId blk_id : molecule.atom_block_ids) { + if (!blk_id.is_valid()) + continue; + + if (cluster_gain_stats.gain.count(blk_id) > 0) { + gain += cluster_gain_stats.gain[blk_id]; + } else { + /* This block has no connection with current cluster, penalize molecule for having this block + */ + for (auto pin_id : atom_netlist.block_input_pins(blk_id)) { + auto net_id = atom_netlist.pin_net(pin_id); + VTR_ASSERT(net_id); + + auto driver_pin_id = atom_netlist.net_driver(net_id); + VTR_ASSERT(driver_pin_id); + + auto driver_blk_id = atom_netlist.pin_block(driver_pin_id); + + num_introduced_inputs_of_indirectly_related_block++; + for (AtomBlockId blk_id_2 : molecule.atom_block_ids) { + if (blk_id_2.is_valid() && driver_blk_id == blk_id_2) { + //valid block which is driver (and hence not an input) + num_introduced_inputs_of_indirectly_related_block--; + break; } } } - AttractGroupId atom_grp_id = attraction_groups.get_atom_attraction_group(blk_id); - if (atom_grp_id == cluster_attraction_group_id && cluster_attraction_group_id != AttractGroupId::INVALID()) { - float att_grp_gain = attraction_groups.get_attraction_group_gain(atom_grp_id); - gain += att_grp_gain; - } else if (cluster_attraction_group_id != AttractGroupId::INVALID() && atom_grp_id != cluster_attraction_group_id) { - gain -= attraction_group_penalty; - } + } + AttractGroupId atom_grp_id = attraction_groups.get_atom_attraction_group(blk_id); + if (atom_grp_id == cluster_attraction_group_id && cluster_attraction_group_id != AttractGroupId::INVALID()) { + float att_grp_gain = attraction_groups.get_attraction_group_gain(atom_grp_id); + gain += att_grp_gain; + } else if (cluster_attraction_group_id != AttractGroupId::INVALID() && atom_grp_id != cluster_attraction_group_id) { + gain -= attraction_group_penalty; } } - gain += molecule->base_gain * 0.0001; /* Use base gain as tie breaker TODO: need to sweep this value and perhaps normalize */ + gain += molecule.base_gain * 0.0001; /* Use base gain as tie breaker TODO: need to sweep this value and perhaps normalize */ gain -= num_introduced_inputs_of_indirectly_related_block * (0.001); if (num_molecule_failures > 0 && attraction_groups.num_attraction_groups() > 0) { gain -= 0.1 * num_molecule_failures; } + // If using APPack, attenuate the gain. + // NOTE: We do not perform gain attenuation if the current cluster is a memory. + // It was found that attenuation caused RAMs to have issues packing. + // FIXME: What to do when the gain is negative? Should we divide by the attenuation? + // Also what happens when we try to merge in atoms from the flat placement + // which are not connected to anything inside the cluster? + const t_appack_options& appack_options = appack_ctx.appack_options; + if (appack_options.use_appack && gain > 0.f && !cluster_gain_stats.is_memory) { + // Get the position of the molecule + t_flat_pl_loc target_loc = get_molecule_pos(molecule_id, prepacker, appack_ctx); + + // Compute the gain attenuatation term. + float dist = get_manhattan_distance(cluster_gain_stats.flat_cluster_position, target_loc); + float gain_mult = 1.0f; + if (dist < appack_options.dist_th) { + gain_mult = 1.0f - (appack_options.quad_fac_sqr * dist * dist); + } else { + gain_mult = 1.0f / std::sqrt(dist - appack_options.sqrt_offset); + } + VTR_ASSERT_SAFE(gain_mult >= 0.0f && gain_mult <= 1.0f); + + // Update the gain. + gain *= gain_mult; + } + return gain; } void GreedyCandidateSelector::load_transitive_fanout_candidates( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId legalization_cluster_id, - const Prepacker& prepacker, - const ClusterLegalizer& cluster_legalizer) { + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId legalization_cluster_id, + const ClusterLegalizer& cluster_legalizer) { // iterate over all the nets that have pins in this cluster for (AtomNetId net_id : cluster_gain_stats.marked_nets) { // only consider small nets to constrain runtime @@ -1011,18 +1205,19 @@ void GreedyCandidateSelector::load_transitive_fanout_candidates( } else { cluster_gain_stats.gain[blk_id] += 0.001; } - t_pack_molecule* molecule = prepacker.get_atom_molecule(blk_id); - VTR_ASSERT(!cluster_legalizer.is_mol_clustered(molecule)); - transitive_fanout_candidates.insert({molecule->atom_block_ids[molecule->root], molecule}); + PackMoleculeId molecule_id = prepacker_.get_atom_molecule(blk_id); + VTR_ASSERT(!cluster_legalizer.is_mol_clustered(molecule_id)); + const t_pack_molecule& molecule = prepacker_.get_molecule(molecule_id); + transitive_fanout_candidates.insert({molecule.atom_block_ids[molecule.root], molecule_id}); } } } } } -t_pack_molecule* GreedyCandidateSelector::get_unrelated_candidate_for_cluster( - LegalizationClusterId cluster_id, - const ClusterLegalizer& cluster_legalizer) { +PackMoleculeId GreedyCandidateSelector::get_unrelated_candidate_for_cluster( + LegalizationClusterId cluster_id, + const ClusterLegalizer& cluster_legalizer) { // Necessary data structures are only allocated in unrelated clustering is // on. VTR_ASSERT(allow_unrelated_clustering_); @@ -1039,31 +1234,140 @@ t_pack_molecule* GreedyCandidateSelector::get_unrelated_candidate_for_cluster( for (int ext_inps = inputs_avail; ext_inps >= 0; ext_inps--) { // Get the molecule by the number of external inputs. - t_pack_molecule* molecule = nullptr; - for (t_pack_molecule* mol : unrelated_clustering_data_[ext_inps]) { + PackMoleculeId molecule = PackMoleculeId::INVALID(); + for (PackMoleculeId mol_id : unrelated_clustering_data_[ext_inps]) { /* TODO: Get better candidate atom block in future, eg. return most timing critical or some other smarter metric */ - if (!cluster_legalizer.is_mol_clustered(mol)) { + if (!cluster_legalizer.is_mol_clustered(mol_id)) { /* TODO: I should be using a better filtering check especially when I'm * dealing with multiple clock/multiple global reset signals where the clock/reset * packed in matters, need to do later when I have the circuits to check my work */ - if (cluster_legalizer.is_molecule_compatible(mol, cluster_id)) { - molecule = mol; + if (cluster_legalizer.is_molecule_compatible(mol_id, cluster_id)) { + molecule = mol_id; break; } } } // If a molecule could be found, return it. - if (molecule != nullptr) + if (molecule.is_valid()) return molecule; } // If no molecule could be found, return nullptr. - return nullptr; + return PackMoleculeId::INVALID(); +} + +PackMoleculeId GreedyCandidateSelector::get_unrelated_candidate_for_cluster_appack( + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId cluster_id, + const ClusterLegalizer& cluster_legalizer) { + + /** + * For APPack, we want to find a close candidate with the highest number + * of available inputs which could be packed into the given cluster. + * We will search for candidates in a BFS manner, where we will search in + * the same 1x1 grid location of the cluster for a compatible candidate, and + * will then search out if none can be found. + * + * Here, a molecule is compatible if: + * - It has not been clustered already + * - The number of inputs it has available is less than or equal to the + * number of inputs available in the cluster. + * - It has not tried to be packed in this cluster before. + * - It is compatible with the cluster. + */ + + VTR_ASSERT_MSG(allow_unrelated_clustering_, + "Cannot get unrelated candidates when unrelated clustering " + "is disabled"); + + VTR_ASSERT_MSG(appack_ctx_.appack_options.use_appack, + "APPack is disabled, cannot get unrelated clusters using " + "flat placement information"); + + // The cluster will likely have more inputs available than a single molecule + // would have available (clusters have more pins). Clamp the inputs available + // to the max number of inputs a molecule could have. + size_t inputs_avail = cluster_legalizer.get_num_cluster_inputs_available(cluster_id); + VTR_ASSERT_SAFE(!appack_unrelated_clustering_data_.empty()); + size_t max_molecule_inputs_avail = appack_unrelated_clustering_data_[0][0].size() - 1; + if (inputs_avail >= max_molecule_inputs_avail) { + inputs_avail = max_molecule_inputs_avail; + } + + // Create a queue of locations to search and a map of visited grid locations. + std::queue search_queue; + vtr::NdMatrix visited({appack_unrelated_clustering_data_.dim_size(0), + appack_unrelated_clustering_data_.dim_size(1)}, + false); + // Push the position of the cluster to the queue. + search_queue.push(cluster_gain_stats.flat_cluster_position); + + while (!search_queue.empty()) { + // Pop a position to search from the queue. + const t_flat_pl_loc& node_loc = search_queue.front(); + VTR_ASSERT_SAFE(node_loc.layer == 0); + + // If this position is too far from the source, skip it. + float dist = get_manhattan_distance(node_loc, cluster_gain_stats.flat_cluster_position); + if (dist > 1) { + search_queue.pop(); + continue; + } + + // If this position has been visited, skip it. + if (visited[node_loc.x][node_loc.y]) { + search_queue.pop(); + continue; + } + visited[node_loc.x][node_loc.y] = true; + + // Explore this position from highest number of inputs available to lowest. + const auto& uc_data = appack_unrelated_clustering_data_[node_loc.x][node_loc.y]; + VTR_ASSERT_SAFE(inputs_avail < uc_data.size()); + for (int ext_inps = inputs_avail; ext_inps >= 0; ext_inps--) { + // Get the molecule by the number of external inputs. + for (PackMoleculeId mol_id : uc_data[ext_inps]) { + // If this molecule has been clustered, skip it. + if (cluster_legalizer.is_mol_clustered(mol_id)) + continue; + // If this molecule has tried to be packed before and failed + // do not try it. This also means that this molecule may be + // related to this cluster in some way. + if (cluster_gain_stats.mol_failures.find(mol_id) != cluster_gain_stats.mol_failures.end()) + continue; + // If this molecule is not compatible with the current cluster + // skip it. + if (!cluster_legalizer.is_molecule_compatible(mol_id, cluster_id)) + continue; + // Return this molecule as the unrelated candidate. + return mol_id; + } + } + + // Push the neighbors of the position to the queue. + // Note: Here, we are using the manhattan distance, so we do not push + // the diagonals. We also want to try the direct neighbors first + // since they should be closer. + if (node_loc.x >= 1.0f) + search_queue.push({node_loc.x - 1, node_loc.y, node_loc.layer}); + if (node_loc.x <= visited.dim_size(0) - 2) + search_queue.push({node_loc.x + 1, node_loc.y, node_loc.layer}); + if (node_loc.y >= 1.0f) + search_queue.push({node_loc.x, node_loc.y - 1, node_loc.layer}); + if (node_loc.y <= visited.dim_size(1) - 2) + search_queue.push({node_loc.x, node_loc.y + 1, node_loc.layer}); + + // Pop the position off the queue. + search_queue.pop(); + } + + // No molecule could be found. Return an invalid ID. + return PackMoleculeId::INVALID(); } void GreedyCandidateSelector::update_candidate_selector_finalize_cluster( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId cluster_id) { + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId cluster_id) { // store info that will be used later in packing. for (const AtomNetId mnet_id : cluster_gain_stats.marked_nets) { int external_terminals = atom_netlist_.net_pins(mnet_id).size() - cluster_gain_stats.num_pins_of_net_in_pb[mnet_id]; @@ -1078,4 +1382,3 @@ void GreedyCandidateSelector::update_candidate_selector_finalize_cluster( } } } - diff --git a/vpr/src/pack/greedy_candidate_selector.h b/vpr/src/pack/greedy_candidate_selector.h index 881e41a4224..2b3eb23a1f5 100644 --- a/vpr/src/pack/greedy_candidate_selector.h +++ b/vpr/src/pack/greedy_candidate_selector.h @@ -12,18 +12,23 @@ #include #include #include +#include "flat_placement_types.h" #include "attraction_groups.h" #include "cluster_legalizer.h" +#include "greedy_clusterer.h" #include "physical_types.h" +#include "prepack.h" +#include "vtr_ndmatrix.h" #include "vtr_vector.h" +#include "vtr_random.h" // Forward declarations class AtomNetlist; class AttractionInfo; +class FlatPlacementInfo; +class PreClusterTimingManager; class Prepacker; -class SetupTimingInfo; class t_pack_high_fanout_thresholds; -class t_pack_molecule; struct t_model; struct t_molecule_stats; struct t_packer_opts; @@ -36,6 +41,14 @@ struct t_packer_opts; * into the given cluster. */ struct ClusterGainStats { + /// @brief The seed molecule used to create this cluster. + PackMoleculeId seed_molecule_id = PackMoleculeId::INVALID(); + + /// @brief Has this cluster tried to get candidates by connectivity and + /// timing yet. This helps ensure that we only do that once per + /// cluster candidate proposal. + bool has_done_connectivity_and_timing = false; + /// @brief Attraction (inverse of cost) function. std::unordered_map gain; @@ -49,12 +62,12 @@ struct ClusterGainStats { /// consideration. std::unordered_map sharing_gain; - /// @brief Stores the number of times atoms have failed to be packed into - /// the cluster. + /// @brief Stores the number of times molecules have failed to be packed + /// into the cluster. /// - /// key: root block id of the molecule, value: number of times the molecule - /// has failed to be packed into the cluster. - std::unordered_map atom_failures; + /// key: molecule id, value: number of times the molecule has failed to be + /// packed into the cluster. + std::unordered_map mol_failures; /// @brief List of nets with the num_pins_of_net_in_pb and gain entries /// altered (i.e. have some gain-related connection to the current @@ -73,7 +86,7 @@ struct ClusterGainStats { /// @brief Holding transitive fanout candidates key: root block id of the /// molecule, value: pointer to the molecule. // TODO: This should be an unordered map, unless stability is desired. - std::map transitive_fanout_candidates; + std::map transitive_fanout_candidates; /// @brief How many pins of each atom net are contained in the currently open pb? std::unordered_map num_pins_of_net_in_pb; @@ -87,8 +100,31 @@ struct ClusterGainStats { /// /// Sorted in ascending gain order so that the last cluster_ctx.blocks is /// the most desirable (this makes it easy to pop blocks off the list. - std::vector feasible_blocks; + std::vector feasible_blocks; int num_feasible_blocks; + + /// @brief The flat placement location of this cluster. + /// + /// This is some function of the positions of the molecules which have been + /// packed into this cluster. How this position is computed is decided by + /// the appack_options passed into the candidate selector class. + /// + /// This is only set and used when APPack is used. + t_flat_pl_loc flat_cluster_position; + + /// @brief The sum of the positions of all molecules in this cluster. + /// + /// This sum can be useful for quickly computing the centroid of this + /// cluster. This sum is updated whenever a molecule is successfully added + /// to the cluster. + /// + /// This is only set and used when APPack is used. + t_flat_pl_loc mol_pos_sum; + + /// @brief Flag to indicate if this cluster is a memory or not. This is + /// set when the stats are created based on the primitive pb type + /// of the seed. + bool is_memory = false; }; /** @@ -111,7 +147,7 @@ struct ClusterGainStats { * ClusterGainStats cluster_gain_stats = candidate_selector.create_cluster_gain_stats(...); * * // Select a candidate to pack into the cluster using the gain stats. - * t_pack_molecule* candidate_mol = candidate_selector.get_next_candidate_for_cluster(cluster_gain_stats, ...); + * PackMoleculeId candidate_mol = candidate_selector.get_next_candidate_for_cluster(cluster_gain_stats, ...); * * // ... (Try to pack the candidate into the cluster) * @@ -129,7 +165,7 @@ struct ClusterGainStats { * candidate_selector.update_candidate_selector_finalize_cluster(cluster_gain_stats, ...); */ class GreedyCandidateSelector { -private: + private: /// @brief How many unrelated candidates can be proposed and not clustered /// in a row. So if an unrelated candidate is successfully clustered, /// the counter is reset. @@ -150,7 +186,7 @@ class GreedyCandidateSelector { /// atoms in the group, or a randomly selected number of them. static constexpr int attraction_group_num_atoms_threshold_ = 500; -public: + public: ~GreedyCandidateSelector(); /** @@ -189,9 +225,13 @@ class GreedyCandidateSelector { * The set of nets whose output feeds the block that drives * itself. This may cause double-counting in the gain * calculations and needs special handling. - * @param timing_info - * Setup timing info for this Atom Netlist. Used to incorporate - * timing / criticality into the gain calculation. + * @param pre_cluster_timing_manager + * Timing manager that holds the information on timing of + * different connections in the circuit. Used for computing + * the timing gain terms. + * @param appack_ctx + * The APPack context which contains options for the flat + * placement guided packing. * @param log_verbosity * The verbosity of log messages in the candidate selector. */ @@ -205,7 +245,8 @@ class GreedyCandidateSelector { const std::unordered_set& is_clock, const std::unordered_set& is_global, const std::unordered_set& net_output_feeds_driving_block_input, - const SetupTimingInfo& timing_info, + const PreClusterTimingManager& pre_cluster_timing_manager, + const APPackContext& appack_ctx, int log_verbosity); /** @@ -229,10 +270,10 @@ class GreedyCandidateSelector { * other. */ ClusterGainStats create_cluster_gain_stats( - t_pack_molecule* cluster_seed_mol, - LegalizationClusterId cluster_id, - const ClusterLegalizer& cluster_legalizer, - AttractionInfo& attraction_groups); + PackMoleculeId cluster_seed_mol_id, + LegalizationClusterId cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups); /** * @brief Update the cluster gain stats given that the successful_mol was @@ -254,11 +295,11 @@ class GreedyCandidateSelector { * other. */ void update_cluster_gain_stats_candidate_success( - ClusterGainStats& cluster_gain_stats, - t_pack_molecule* successful_mol, - LegalizationClusterId cluster_id, - const ClusterLegalizer& cluster_legalizer, - AttractionInfo& attraction_groups); + ClusterGainStats& cluster_gain_stats, + PackMoleculeId successful_mol_id, + LegalizationClusterId cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups); /** * @brief Update the cluster gain stats given that the failed_mol was not @@ -273,8 +314,8 @@ class GreedyCandidateSelector { * The molecule that failed to pack into the cluster. */ void update_cluster_gain_stats_candidate_failed( - ClusterGainStats& cluster_gain_stats, - t_pack_molecule* failed_mol); + ClusterGainStats& cluster_gain_stats, + PackMoleculeId failed_mol_id); /** * @brief Given the cluster_gain_stats, select the next candidate molecule @@ -289,19 +330,15 @@ class GreedyCandidateSelector { * The legalization cluster id for the cluster. * @param cluster_legalizer * The legalizer used to create the cluster. - * @param prepacker - * The prepacker used to generate pack-pattern molecules of the - * atoms in the netlist. * @param attraction_groups * Groups of primitives that have extra attraction to each * other. */ - t_pack_molecule* get_next_candidate_for_cluster( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId cluster_id, - const ClusterLegalizer& cluster_legalizer, - const Prepacker& prepacker, - AttractionInfo& attraction_groups); + PackMoleculeId get_next_candidate_for_cluster( + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups); /** * @brief Finalize the creation of a cluster. @@ -319,10 +356,27 @@ class GreedyCandidateSelector { * The legalization cluster id of the cluster to finalize. */ void update_candidate_selector_finalize_cluster( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId cluster_id); + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId cluster_id); + + private: + // ===================================================================== // + // Initializing Data Structures + // ===================================================================== // + + /** + * @brief Initialize data structures used for unrelated clustering. + * + * This must be called before using the get_unrelated_candidate methods. + * + * @param max_molecule_stats + * The maximum molecule statistics over all molecules in the design. + * This is used to allocate the data-structures used for unrelated + * clustering. + */ + void initialize_unrelated_clustering_data( + const t_molecule_stats& max_molecule_stats); -private: // ===================================================================== // // Cluster Gain Stats Updating // ===================================================================== // @@ -332,8 +386,8 @@ class GreedyCandidateSelector { * updated when a block is marked. */ enum class e_gain_update : bool { - GAIN, // Update the gains of affected blocks. - NO_GAIN // Do not update the gains of affected blocks. + GAIN, // Update the gains of affected blocks. + NO_GAIN // Do not update the gains of affected blocks. }; /** @@ -341,8 +395,8 @@ class GreedyCandidateSelector { * updating the connection gain values. */ enum class e_net_relation_to_clustered_block : bool { - INPUT, // This is an input net. - OUTPUT // This is an output net. + INPUT, // This is an input net. + OUTPUT // This is an output net. }; /** @@ -392,11 +446,10 @@ class GreedyCandidateSelector { * the list of feasible blocks. */ void add_cluster_molecule_candidates_by_connectivity_and_timing( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId legalization_cluster_id, - const Prepacker& prepacker, - const ClusterLegalizer& cluster_legalizer, - AttractionInfo& attraction_groups); + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId legalization_cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups); /** * @brief Score unclustered atoms that are two hops away from current @@ -411,32 +464,29 @@ class GreedyCandidateSelector { * This is used when adding molecule candidates by transistive connectivity. */ void load_transitive_fanout_candidates( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId legalization_cluster_id, - const Prepacker& prepacker, - const ClusterLegalizer& cluster_legalizer); + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId legalization_cluster_id, + const ClusterLegalizer& cluster_legalizer); /* * @brief Add molecules based on transitive connections (eg. 2 hops away) * with current cluster. */ void add_cluster_molecule_candidates_by_transitive_connectivity( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId legalization_cluster_id, - const Prepacker& prepacker, - const ClusterLegalizer& cluster_legalizer, - AttractionInfo& attraction_groups); + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId legalization_cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups); /* * @brief Add molecules based on weak connectedness (connected by high * fanout nets) with current cluster. */ void add_cluster_molecule_candidates_by_highfanout_connectivity( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId legalization_cluster_id, - const Prepacker& prepacker, - const ClusterLegalizer& cluster_legalizer, - AttractionInfo& attraction_groups); + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId legalization_cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups); /* * @brief If the current cluster being packed has an attraction group @@ -450,19 +500,31 @@ class GreedyCandidateSelector { * candidates will vary each time you call this function. */ void add_cluster_molecule_candidates_by_attraction_group( - ClusterGainStats& cluster_gain_stats, - LegalizationClusterId legalization_cluster_id, - const Prepacker& prepacker, - const ClusterLegalizer& cluster_legalizer, - AttractionInfo& attraction_groups); + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId legalization_cluster_id, + const ClusterLegalizer& cluster_legalizer, + AttractionInfo& attraction_groups); /** * @brief Finds a molecule to propose which is unrelated but may be good to * cluster. */ - t_pack_molecule* get_unrelated_candidate_for_cluster( - LegalizationClusterId cluster_id, - const ClusterLegalizer& cluster_legalizer); + PackMoleculeId get_unrelated_candidate_for_cluster( + LegalizationClusterId cluster_id, + const ClusterLegalizer& cluster_legalizer); + + /** + * @brief Finds a molecule to propose which is unrelated to the current + * cluster but may be good to pack. + * + * This uses flat placement information to choose a good candidate. + * + * This returns an invalid molecule ID if a candidate cannot be found. + */ + PackMoleculeId get_unrelated_candidate_for_cluster_appack( + ClusterGainStats& cluster_gain_stats, + LegalizationClusterId cluster_id, + const ClusterLegalizer& cluster_legalizer); // ===================================================================== // // Internal Variables @@ -471,6 +533,9 @@ class GreedyCandidateSelector { /// @brief The atom netlist to cluster over. const AtomNetlist& atom_netlist_; + /// @brief The prepacker used to pack atoms into molecule pack patterns. + const Prepacker& prepacker_; + /// @brief The packer options used to configure the clusterer. const t_packer_opts& packer_opts_; @@ -501,8 +566,9 @@ class GreedyCandidateSelector { /// drive them. const std::unordered_set& net_output_feeds_driving_block_input_; - /// @brief Setup timing info used to help select critical candidates to pack. - const SetupTimingInfo& timing_info_; + /// @brief The pre-clustering timing manager which holds the timing information + /// of the primitive netlist. + const PreClusterTimingManager& pre_cluster_timing_manager_; /// @brief Inter-block nets within a finalized cluster. Used for finding /// transitive candidates. @@ -511,10 +577,30 @@ class GreedyCandidateSelector { /// @brief Data pre-computed to help select unrelated molecules. This is a /// list of list of molecules sorted by their gain, where the first /// dimension is the number of external outputs of the molecule. - std::vector> unrelated_clustering_data_; + std::vector> unrelated_clustering_data_; + + /// @brief Data pre-computed to help select unrelated molecules when APPack + /// is being used. This is the same data as unrelated_clustering_data_, + /// but it is spatially distributed over the device. + /// For each grid location on the device (x, y), this provides a list of + /// molecules sorted by their gain, where the first dimension is the number + /// of external outputs of the molecule. + /// When APPack is not used, this will be uninitialized. + /// [0..flat_grid_width][0..flat_grid_height][0..max_num_used_ext_pins] + /// Here, flat_grid width/height is the maximum x and y positions given in + /// the flat placement. + vtr::NdMatrix>, 2> appack_unrelated_clustering_data_; + + /// @brief The APPack state which contains the options used to configure + /// APPack and the flat placement. + const APPackContext& appack_ctx_; /// @brief A count on the number of unrelated clustering attempts which /// have been performed. int num_unrelated_clustering_attempts_ = 0; -}; + /// @brief Random number generator used by the clusterer. Currently this + /// is used only when selecting atoms from attraction groups, but + /// could be used for other purposes in the future. + vtr::RngContainer rng_; +}; diff --git a/vpr/src/pack/greedy_clusterer.cpp b/vpr/src/pack/greedy_clusterer.cpp index dd2c9fb4551..7673005af93 100644 --- a/vpr/src/pack/greedy_clusterer.cpp +++ b/vpr/src/pack/greedy_clusterer.cpp @@ -41,15 +41,14 @@ #include #include #include +#include "appack_context.h" #include "SetupGrid.h" #include "atom_netlist.h" #include "attraction_groups.h" #include "cluster_legalizer.h" #include "cluster_util.h" -#include "constraints_report.h" #include "greedy_candidate_selector.h" #include "greedy_seed_selector.h" -#include "pack_types.h" #include "physical_types.h" #include "prepack.h" #include "vpr_context.h" @@ -79,23 +78,26 @@ GreedyClusterer::GreedyClusterer(const t_packer_opts& packer_opts, const t_arch& arch, const t_pack_high_fanout_thresholds& high_fanout_thresholds, const std::unordered_set& is_clock, - const std::unordered_set& is_global) - : packer_opts_(packer_opts), - analysis_opts_(analysis_opts), - atom_netlist_(atom_netlist), - arch_(arch), - high_fanout_thresholds_(high_fanout_thresholds), - is_clock_(is_clock), - is_global_(is_global), - primitive_candidate_block_types_(identify_primitive_candidate_block_types()), - log_verbosity_(packer_opts.pack_verbosity), - net_output_feeds_driving_block_input_(identify_net_output_feeds_driving_block_input(atom_netlist)) { - + const std::unordered_set& is_global, + const PreClusterTimingManager& pre_cluster_timing_manager, + const APPackContext& appack_ctx) + : packer_opts_(packer_opts) + , analysis_opts_(analysis_opts) + , atom_netlist_(atom_netlist) + , arch_(arch) + , high_fanout_thresholds_(high_fanout_thresholds) + , is_clock_(is_clock) + , is_global_(is_global) + , pre_cluster_timing_manager_(pre_cluster_timing_manager) + , appack_ctx_(appack_ctx) + , primitive_candidate_block_types_(identify_primitive_candidate_block_types()) + , log_verbosity_(packer_opts.pack_verbosity) + , net_output_feeds_driving_block_input_(identify_net_output_feeds_driving_block_input(atom_netlist)) { } std::map GreedyClusterer::do_clustering(ClusterLegalizer& cluster_legalizer, - Prepacker& prepacker, + const Prepacker& prepacker, bool allow_unrelated_clustering, bool balance_block_type_utilization, AttractionInfo& attraction_groups, @@ -111,19 +113,7 @@ GreedyClusterer::do_clustering(ClusterLegalizer& cluster_legalizer, // The clustering stats holds information used for logging the progress // of the clustering to the user. t_cluster_progress_stats clustering_stats; - clustering_stats.num_molecules = prepacker.get_num_molecules(); - - // TODO: Create a ClusteringTimingManager class. - // This code relies on the prepacker, once the prepacker is moved to - // the constructor, this code can also move to the constructor. - std::shared_ptr clustering_delay_calc; - std::shared_ptr timing_info; - // Default criticalities set to zero (e.g. if not timing driven) - vtr::vector atom_criticality(atom_netlist_.blocks().size(), 0.f); - if (packer_opts_.timing_driven) { - calc_init_packing_timing(packer_opts_, analysis_opts_, prepacker, - clustering_delay_calc, timing_info, atom_criticality); - } + clustering_stats.num_molecules = prepacker.molecules().size(); // Calculate the max molecule stats, which is used for gain calculation. const t_molecule_stats max_molecule_stats = prepacker.calc_max_molecule_stats(atom_netlist_); @@ -140,7 +130,8 @@ GreedyClusterer::do_clustering(ClusterLegalizer& cluster_legalizer, is_clock_, is_global_, net_output_feeds_driving_block_input_, - *timing_info, + pre_cluster_timing_manager_, + appack_ctx_, log_verbosity_); // Create the greedy seed selector. @@ -148,11 +139,11 @@ GreedyClusterer::do_clustering(ClusterLegalizer& cluster_legalizer, prepacker, packer_opts_.cluster_seed_type, max_molecule_stats, - atom_criticality); + pre_cluster_timing_manager_); // Pick the first seed molecule. - t_pack_molecule* seed_mol = seed_selector.get_next_seed(prepacker, - cluster_legalizer); + PackMoleculeId seed_mol_id = seed_selector.get_next_seed(prepacker, + cluster_legalizer); /**************************************************************** * Clustering @@ -162,9 +153,9 @@ GreedyClusterer::do_clustering(ClusterLegalizer& cluster_legalizer, // Continue clustering as long as a valid seed is returned from the seed // selector. - while (seed_mol != nullptr) { + while (seed_mol_id.is_valid()) { // Check to ensure that this molecule is unclustered. - VTR_ASSERT(!cluster_legalizer.is_mol_clustered(seed_mol)); + VTR_ASSERT(!cluster_legalizer.is_mol_clustered(seed_mol_id)); // The basic algorithm: // 1) Try to put all the molecules in that you can without doing the @@ -175,34 +166,34 @@ GreedyClusterer::do_clustering(ClusterLegalizer& cluster_legalizer, // Try to grow a cluster from the seed molecule without doing intra-lb // route for each molecule (i.e. just use faster but not fully // conservative legality checks). - LegalizationClusterId new_cluster_id = try_grow_cluster(seed_mol, - candidate_selector, - ClusterLegalizationStrategy::SKIP_INTRA_LB_ROUTE, - cluster_legalizer, - prepacker, - balance_block_type_utilization, - attraction_groups, - num_used_type_instances, - mutable_device_ctx); + LegalizationClusterId new_cluster_id = try_grow_cluster(seed_mol_id, + candidate_selector, + ClusterLegalizationStrategy::SKIP_INTRA_LB_ROUTE, + cluster_legalizer, + prepacker, + balance_block_type_utilization, + attraction_groups, + num_used_type_instances, + mutable_device_ctx); if (!new_cluster_id.is_valid()) { // If the previous strategy failed, try to grow the cluster again, // but this time perform full legalization for each molecule added // to the cluster. - new_cluster_id = try_grow_cluster(seed_mol, - candidate_selector, - ClusterLegalizationStrategy::FULL, - cluster_legalizer, - prepacker, - balance_block_type_utilization, - attraction_groups, - num_used_type_instances, - mutable_device_ctx); + new_cluster_id = try_grow_cluster(seed_mol_id, + candidate_selector, + ClusterLegalizationStrategy::FULL, + cluster_legalizer, + prepacker, + balance_block_type_utilization, + attraction_groups, + num_used_type_instances, + mutable_device_ctx); } // Ensure that the seed was packed successfully. VTR_ASSERT(new_cluster_id.is_valid()); - VTR_ASSERT(cluster_legalizer.is_mol_clustered(seed_mol)); + VTR_ASSERT(cluster_legalizer.is_mol_clustered(seed_mol_id)); // Update the clustering progress stats. size_t num_molecules_in_cluster = cluster_legalizer.get_num_molecules_in_cluster(new_cluster_id); @@ -220,8 +211,8 @@ GreedyClusterer::do_clustering(ClusterLegalizer& cluster_legalizer, cluster_legalizer); // Pick new seed. - seed_mol = seed_selector.get_next_seed(prepacker, - cluster_legalizer); + seed_mol_id = seed_selector.get_next_seed(prepacker, + cluster_legalizer); } // If this architecture has LE physical block, report its usage. @@ -230,44 +221,43 @@ GreedyClusterer::do_clustering(ClusterLegalizer& cluster_legalizer, return num_used_type_instances; } -LegalizationClusterId GreedyClusterer::try_grow_cluster( - t_pack_molecule* seed_mol, - GreedyCandidateSelector& candidate_selector, - ClusterLegalizationStrategy strategy, - ClusterLegalizer& cluster_legalizer, - Prepacker& prepacker, - bool balance_block_type_utilization, - AttractionInfo& attraction_groups, - std::map& num_used_type_instances, - DeviceContext& mutable_device_ctx) { +LegalizationClusterId GreedyClusterer::try_grow_cluster(PackMoleculeId seed_mol_id, + GreedyCandidateSelector& candidate_selector, + ClusterLegalizationStrategy strategy, + ClusterLegalizer& cluster_legalizer, + const Prepacker& prepacker, + bool balance_block_type_utilization, + AttractionInfo& attraction_groups, + std::map& num_used_type_instances, + DeviceContext& mutable_device_ctx) { // Check to ensure that this molecule is unclustered. - VTR_ASSERT(!cluster_legalizer.is_mol_clustered(seed_mol)); + VTR_ASSERT(!cluster_legalizer.is_mol_clustered(seed_mol_id)); // Set the legalization strategy of the cluster legalizer. cluster_legalizer.set_legalization_strategy(strategy); // Use the seed to start a new cluster. - LegalizationClusterId legalization_cluster_id = start_new_cluster(seed_mol, + LegalizationClusterId legalization_cluster_id = start_new_cluster(seed_mol_id, cluster_legalizer, + prepacker, balance_block_type_utilization, num_used_type_instances, mutable_device_ctx); // Create the cluster gain stats. This updates the gains in the candidate // selector due to a new molecule being clustered. - ClusterGainStats cluster_gain_stats = candidate_selector.create_cluster_gain_stats(seed_mol, + ClusterGainStats cluster_gain_stats = candidate_selector.create_cluster_gain_stats(seed_mol_id, legalization_cluster_id, cluster_legalizer, attraction_groups); // Select the first candidate molecule to try to add to this cluster. - t_pack_molecule* candidate_mol = candidate_selector.get_next_candidate_for_cluster( - cluster_gain_stats, - legalization_cluster_id, - cluster_legalizer, - prepacker, - attraction_groups); + PackMoleculeId candidate_mol_id = candidate_selector.get_next_candidate_for_cluster( + cluster_gain_stats, + legalization_cluster_id, + cluster_legalizer, + attraction_groups); /* * When attraction groups are created, the purpose is to pack more densely by adding more molecules @@ -286,11 +276,12 @@ LegalizationClusterId GreedyClusterer::try_grow_cluster( // 1) No candidate molecule is proposed. // 2) The same candidate was proposed multiple times. int num_repeated_molecules = 0; - while (candidate_mol != nullptr && num_repeated_molecules < max_num_repeated_molecules) { + while (candidate_mol_id.is_valid() && num_repeated_molecules < max_num_repeated_molecules) { // Try to cluster the candidate molecule into the cluster. - bool success = try_add_candidate_mol_to_cluster(candidate_mol, + bool success = try_add_candidate_mol_to_cluster(candidate_mol_id, legalization_cluster_id, - cluster_legalizer); + cluster_legalizer, + prepacker); // If the candidate molecule was clustered successfully, update // the cluster stats. @@ -298,7 +289,7 @@ LegalizationClusterId GreedyClusterer::try_grow_cluster( // If the last candidate was clustered successfully, update the // gains in the candidate selector. candidate_selector.update_cluster_gain_stats_candidate_success(cluster_gain_stats, - candidate_mol, + candidate_mol_id, legalization_cluster_id, cluster_legalizer, attraction_groups); @@ -306,22 +297,21 @@ LegalizationClusterId GreedyClusterer::try_grow_cluster( // If the last candidate was not clustered successfully, update the // gains in the candidate selector accordingly. candidate_selector.update_cluster_gain_stats_candidate_failed(cluster_gain_stats, - candidate_mol); + candidate_mol_id); } // Get the next candidate molecule. - t_pack_molecule* prev_candidate_mol = candidate_mol; - candidate_mol = candidate_selector.get_next_candidate_for_cluster( - cluster_gain_stats, - legalization_cluster_id, - cluster_legalizer, - prepacker, - attraction_groups); + PackMoleculeId prev_candidate_mol_id = candidate_mol_id; + candidate_mol_id = candidate_selector.get_next_candidate_for_cluster( + cluster_gain_stats, + legalization_cluster_id, + cluster_legalizer, + attraction_groups); // If the next candidate molecule is the same as the previous // candidate molecule, increment the number of repeated // molecules counter. - if (candidate_mol == prev_candidate_mol) + if (candidate_mol_id == prev_candidate_mol_id) num_repeated_molecules++; } @@ -363,14 +353,18 @@ LegalizationClusterId GreedyClusterer::try_grow_cluster( } LegalizationClusterId GreedyClusterer::start_new_cluster( - t_pack_molecule* seed_mol, - ClusterLegalizer& cluster_legalizer, - bool balance_block_type_utilization, - std::map& num_used_type_instances, - DeviceContext& mutable_device_ctx) { + PackMoleculeId seed_mol_id, + ClusterLegalizer& cluster_legalizer, + const Prepacker& prepacker, + bool balance_block_type_utilization, + std::map& num_used_type_instances, + DeviceContext& mutable_device_ctx) { + + VTR_ASSERT(seed_mol_id.is_valid()); + const t_pack_molecule& seed_mol = prepacker.get_molecule(seed_mol_id); /* Allocate a dummy initial cluster and load a atom block as a seed and check if it is legal */ - AtomBlockId root_atom = seed_mol->atom_block_ids[seed_mol->root]; + AtomBlockId root_atom = seed_mol.atom_block_ids[seed_mol.root]; const std::string& root_atom_name = atom_netlist_.block_name(root_atom); const t_model* root_model = atom_netlist_.block_model(root_atom); @@ -402,8 +396,8 @@ LegalizationClusterId GreedyClusterer::start_new_cluster( if (log_verbosity_ > 2) { VTR_LOG("\tSeed: '%s' (%s)", root_atom_name.c_str(), root_model->name); - VTR_LOGV(seed_mol->pack_pattern, " molecule_type %s molecule_size %zu", - seed_mol->pack_pattern->name, seed_mol->atom_block_ids.size()); + VTR_LOGV(seed_mol.pack_pattern, " molecule_type %s molecule_size %zu", + seed_mol.pack_pattern->name, seed_mol.atom_block_ids.size()); VTR_LOG("\n"); } @@ -415,7 +409,7 @@ LegalizationClusterId GreedyClusterer::start_new_cluster( //Try packing into each mode e_block_pack_status pack_result = e_block_pack_status::BLK_STATUS_UNDEFINED; for (int j = 0; j < type->pb_graph_head->pb_type->num_modes && !success; j++) { - std::tie(pack_result, new_cluster_id) = cluster_legalizer.start_new_cluster(seed_mol, type, j); + std::tie(pack_result, new_cluster_id) = cluster_legalizer.start_new_cluster(seed_mol_id, type, j); success = (pack_result == e_block_pack_status::BLK_PASSED); } @@ -431,11 +425,11 @@ LegalizationClusterId GreedyClusterer::start_new_cluster( if (!success) { //Explored all candidates - if (seed_mol->type == MOLECULE_FORCED_PACK) { + if (seed_mol.type == e_pack_pattern_molecule_type::MOLECULE_FORCED_PACK) { VPR_FATAL_ERROR(VPR_ERROR_PACK, "Can not find any logic block that can implement molecule.\n" "\tPattern %s %s\n", - seed_mol->pack_pattern->name, + seed_mol.pack_pattern->name, root_atom_name.c_str()); } else { VPR_FATAL_ERROR(VPR_ERROR_PACK, @@ -478,15 +472,16 @@ LegalizationClusterId GreedyClusterer::start_new_cluster( return new_cluster_id; } -bool GreedyClusterer::try_add_candidate_mol_to_cluster(t_pack_molecule* candidate_mol, +bool GreedyClusterer::try_add_candidate_mol_to_cluster(PackMoleculeId candidate_mol_id, LegalizationClusterId legalization_cluster_id, - ClusterLegalizer& cluster_legalizer) { - VTR_ASSERT(candidate_mol != nullptr); - VTR_ASSERT(!cluster_legalizer.is_mol_clustered(candidate_mol)); + ClusterLegalizer& cluster_legalizer, + const Prepacker& prepacker) { + VTR_ASSERT(candidate_mol_id.is_valid()); + VTR_ASSERT(!cluster_legalizer.is_mol_clustered(candidate_mol_id)); VTR_ASSERT(legalization_cluster_id.is_valid()); - e_block_pack_status pack_status = cluster_legalizer.add_mol_to_cluster(candidate_mol, - legalization_cluster_id); + e_block_pack_status pack_status = cluster_legalizer.add_mol_to_cluster(candidate_mol_id, + legalization_cluster_id); // Print helpful debugging log messages. if (log_verbosity_ > 2) { @@ -511,14 +506,15 @@ bool GreedyClusterer::try_add_candidate_mol_to_cluster(t_pack_molecule* candidat break; } // Get the block name and model name - AtomBlockId blk_id = candidate_mol->atom_block_ids[candidate_mol->root]; + const t_pack_molecule& candidate_mol = prepacker.get_molecule(candidate_mol_id); + AtomBlockId blk_id = candidate_mol.atom_block_ids[candidate_mol.root]; VTR_ASSERT(blk_id.is_valid()); std::string blk_name = atom_netlist_.block_name(blk_id); const t_model* blk_model = atom_netlist_.block_model(blk_id); VTR_LOG("'%s' (%s)", blk_name.c_str(), blk_model->name); - VTR_LOGV(candidate_mol->pack_pattern, " molecule %s molecule_size %zu", - candidate_mol->pack_pattern->name, - candidate_mol->atom_block_ids.size()); + VTR_LOGV(candidate_mol.pack_pattern, " molecule %s molecule_size %zu", + candidate_mol.pack_pattern->name, + candidate_mol.atom_block_ids.size()); VTR_LOG("\n"); fflush(stdout); } @@ -565,4 +561,3 @@ void GreedyClusterer::report_le_physical_block_usage(const ClusterLegalizer& clu print_le_count(num_logic_le, num_reg_le, num_logic_and_reg_le, le_pb_type); } } - diff --git a/vpr/src/pack/greedy_clusterer.h b/vpr/src/pack/greedy_clusterer.h index eb1dff3afdf..4c805ffa594 100644 --- a/vpr/src/pack/greedy_clusterer.h +++ b/vpr/src/pack/greedy_clusterer.h @@ -13,17 +13,17 @@ #include #include "cluster_legalizer.h" #include "physical_types.h" +#include "prepack.h" // Forward declarations +class APPackContext; class AtomNetId; class AtomNetlist; class AttractionInfo; class DeviceContext; class GreedyCandidateSelector; -class Prepacker; -class SetupTimingInfo; +class PreClusterTimingManager; class t_pack_high_fanout_thresholds; -class t_pack_molecule; struct t_analysis_opts; struct t_clustering_data; struct t_packer_opts; @@ -42,7 +42,7 @@ struct t_packer_opts; * internal molecules, and to the outputs of the clusters. */ class GreedyClusterer { -public: + public: /** * @brief Constructor of the Greedy Clusterer class. * @@ -76,6 +76,14 @@ class GreedyClusterer { * The set of global nets in the Atom Netlist. These will be * routed on special dedicated networks, and hence are less * relavent to locality / attraction. + * @param pre_cluster_timing_manager + * Timing manager class which holds the timing information of + * the primitive netlist. Used by the seed selector to select + * critical seeds and the candidate selector to select + * timing critical candidates. + * @param appack_ctx + * The APPack state. This contains the options used to + * configure APPack and the flat placement. */ GreedyClusterer(const t_packer_opts& packer_opts, const t_analysis_opts& analysis_opts, @@ -83,7 +91,9 @@ class GreedyClusterer { const t_arch& arch, const t_pack_high_fanout_thresholds& high_fanout_thresholds, const std::unordered_set& is_clock, - const std::unordered_set& is_global); + const std::unordered_set& is_global, + const PreClusterTimingManager& pre_cluster_timing_manager, + const APPackContext& appack_ctx); /** * @brief Performs clustering on the pack molecules formed by the prepacker. @@ -125,13 +135,13 @@ class GreedyClusterer { */ std::map do_clustering(ClusterLegalizer& cluster_legalizer, - Prepacker& prepacker, + const Prepacker& prepacker, bool allow_unrelated_clustering, bool balance_block_type_utilization, AttractionInfo& attraction_groups, DeviceContext& mutable_device_ctx); -private: + private: /** * @brief Given a seed molecule and a legalization strategy, tries to grow * a cluster greedily, starting with the provided seed and adding @@ -148,11 +158,11 @@ class GreedyClusterer { * legalizer for each molecule added. This cannot fail (assuming the seed * can exist in a cluster), so it will always return a valid cluster ID. */ - LegalizationClusterId try_grow_cluster(t_pack_molecule* seed_mol, + LegalizationClusterId try_grow_cluster(PackMoleculeId seed_mol_id, GreedyCandidateSelector& candidate_selector, ClusterLegalizationStrategy strategy, ClusterLegalizer& cluster_legalizer, - Prepacker& prepacker, + const Prepacker& prepacker, bool balance_block_type_utilization, AttractionInfo& attraction_groups, std::map& num_used_type_instances, @@ -172,8 +182,9 @@ class GreedyClusterer { * device grid if it find thats more clusters of specific logical block * types have been created than the device can support. */ - LegalizationClusterId start_new_cluster(t_pack_molecule* seed_mol, + LegalizationClusterId start_new_cluster(PackMoleculeId seed_mol_id, ClusterLegalizer& cluster_legalizer, + const Prepacker& prepacker, bool balance_block_type_utilization, std::map& num_used_type_instances, DeviceContext& mutable_device_ctx); @@ -183,9 +194,10 @@ class GreedyClusterer { * Returns true if the molecule was clustered successfully, false * otherwise. */ - bool try_add_candidate_mol_to_cluster(t_pack_molecule* candidate_mol, + bool try_add_candidate_mol_to_cluster(PackMoleculeId candidate_mol_id, LegalizationClusterId legalization_cluster_id, - ClusterLegalizer& cluster_legalizer); + ClusterLegalizer& cluster_legalizer, + const Prepacker& prepacker); /** * @brief Log the physical block usage of the logic element in the @@ -227,6 +239,13 @@ class GreedyClusterer { /// @brief A set of atom nets which are considered as global nets. const std::unordered_set& is_global_; + /// @brief Timing manager class which holds the primitive-level timing information. + const PreClusterTimingManager& pre_cluster_timing_manager_; + + /// @brief The APPack state. This is used by the candidate selector to try + /// and propose better candidates based on a flat placement. + const APPackContext& appack_ctx_; + /// @brief Pre-computed logical block types for each model in the architecture. const std::map> primitive_candidate_block_types_; @@ -246,4 +265,3 @@ class GreedyClusterer { /// is an input, so this should take care of all multiple connections. const std::unordered_set net_output_feeds_driving_block_input_; }; - diff --git a/vpr/src/pack/greedy_seed_selector.cpp b/vpr/src/pack/greedy_seed_selector.cpp index 24a57930f71..c9d1b9397c5 100644 --- a/vpr/src/pack/greedy_seed_selector.cpp +++ b/vpr/src/pack/greedy_seed_selector.cpp @@ -8,14 +8,21 @@ #include "greedy_seed_selector.h" #include +#include +#include "PreClusterTimingManager.h" +#include "flat_placement_types.h" #include "atom_netlist.h" #include "cluster_legalizer.h" +#include "device_grid.h" #include "echo_files.h" +#include "globals.h" +#include "greedy_clusterer.h" #include "prepack.h" #include "vpr_error.h" #include "vpr_types.h" #include "vtr_assert.h" #include "vtr_math.h" +#include "vtr_ndmatrix.h" #include "vtr_vector.h" /** @@ -40,22 +47,20 @@ static inline float get_seed_gain(AtomBlockId blk_id, // Intuition: molecules that use more inputs can be difficult to legally // pack into partially full clusters. Use them as seeds // instead. - case e_cluster_seed::MAX_INPUTS: - { - const t_pack_molecule* blk_mol = prepacker.get_atom_molecule(blk_id); - const t_molecule_stats molecule_stats = prepacker.calc_molecule_stats(blk_mol, atom_netlist); + case e_cluster_seed::MAX_INPUTS: { + PackMoleculeId blk_mol_id = prepacker.get_atom_molecule(blk_id); + const t_molecule_stats molecule_stats = prepacker.calc_molecule_stats(blk_mol_id, atom_netlist); return molecule_stats.num_used_ext_inputs; } // By blended gain (criticality and inputs used). - case e_cluster_seed::BLEND: - { + case e_cluster_seed::BLEND: { // Score seed gain of each block as a weighted sum of timing // criticality, number of tightly coupled blocks connected to // it, and number of external inputs. float seed_blend_fac = 0.5f; - const t_pack_molecule* blk_mol = prepacker.get_atom_molecule(blk_id); - const t_molecule_stats molecule_stats = prepacker.calc_molecule_stats(blk_mol, atom_netlist); + PackMoleculeId blk_mol_id = prepacker.get_atom_molecule(blk_id); + const t_molecule_stats molecule_stats = prepacker.calc_molecule_stats(blk_mol_id, atom_netlist); VTR_ASSERT(max_molecule_stats.num_used_ext_inputs > 0); float used_ext_input_pin_ratio = vtr::safe_ratio(molecule_stats.num_used_ext_inputs, max_molecule_stats.num_used_ext_inputs); @@ -67,25 +72,22 @@ static inline float get_seed_gain(AtomBlockId blk_id, // By pins per molecule (i.e. available pins on primitives, not pins in use). // Intuition (a weak one): primitive types with more pins might be // harder to pack. - case e_cluster_seed::MAX_PINS: - { - const t_pack_molecule* blk_mol = prepacker.get_atom_molecule(blk_id); - const t_molecule_stats molecule_stats = prepacker.calc_molecule_stats(blk_mol, atom_netlist); + case e_cluster_seed::MAX_PINS: { + PackMoleculeId blk_mol_id = prepacker.get_atom_molecule(blk_id); + const t_molecule_stats molecule_stats = prepacker.calc_molecule_stats(blk_mol_id, atom_netlist); return molecule_stats.num_pins; } // By input pins per molecule (i.e. available pins on primitives, not pins in use). // Intuition (a weak one): primitive types with more input pins might be // harder to pack. - case e_cluster_seed::MAX_INPUT_PINS: - { - const t_pack_molecule* blk_mol = prepacker.get_atom_molecule(blk_id); - const t_molecule_stats molecule_stats = prepacker.calc_molecule_stats(blk_mol, atom_netlist); + case e_cluster_seed::MAX_INPUT_PINS: { + PackMoleculeId blk_mol_id = prepacker.get_atom_molecule(blk_id); + const t_molecule_stats molecule_stats = prepacker.calc_molecule_stats(blk_mol_id, atom_netlist); return molecule_stats.num_input_pins; } - case e_cluster_seed::BLEND2: - { - const t_pack_molecule* mol = prepacker.get_atom_molecule(blk_id); - const t_molecule_stats molecule_stats = prepacker.calc_molecule_stats(mol, atom_netlist); + case e_cluster_seed::BLEND2: { + PackMoleculeId mol_id = prepacker.get_atom_molecule(blk_id); + const t_molecule_stats molecule_stats = prepacker.calc_molecule_stats(mol_id, atom_netlist); float pin_ratio = vtr::safe_ratio(molecule_stats.num_pins, max_molecule_stats.num_pins); float input_pin_ratio = vtr::safe_ratio(molecule_stats.num_input_pins, max_molecule_stats.num_input_pins); @@ -166,10 +168,21 @@ GreedySeedSelector::GreedySeedSelector(const AtomNetlist& atom_netlist, const Prepacker& prepacker, const e_cluster_seed seed_type, const t_molecule_stats& max_molecule_stats, - const vtr::vector& atom_criticality) - : seed_atoms_(atom_netlist.blocks().begin(), atom_netlist.blocks().end()) { + const PreClusterTimingManager& pre_cluster_timing_manager) + : seed_atoms_(atom_netlist.blocks().begin(), atom_netlist.blocks().end()) { // Seed atoms list is initialized with all atoms in the atom netlist. + // Pre-compute the criticality of each atom + // Default criticalities set to zero (e.g. if not timing driven) + vtr::vector atom_criticality(atom_netlist.blocks().size(), 0.0f); + if (pre_cluster_timing_manager.is_valid()) { + // If the timing manager is valid (meaning the packing is timing driven) + // compute the criticality of each atom. + for (AtomBlockId atom_blk_id : atom_netlist.blocks()) { + atom_criticality[atom_blk_id] = pre_cluster_timing_manager.calc_atom_setup_criticality(atom_blk_id, atom_netlist); + } + } + // Maintain a lookup table of the seed gain for each atom. This will be // used to sort the seed atoms. // Initially all gains are zero. @@ -210,8 +223,8 @@ GreedySeedSelector::GreedySeedSelector(const AtomNetlist& atom_netlist, seed_index_ = 0; } -t_pack_molecule* GreedySeedSelector::get_next_seed(const Prepacker& prepacker, - const ClusterLegalizer& cluster_legalizer) { +PackMoleculeId GreedySeedSelector::get_next_seed(const Prepacker& prepacker, + const ClusterLegalizer& cluster_legalizer) { while (seed_index_ < seed_atoms_.size()) { // Get the current seed atom at the seed index and increment the // seed index. @@ -227,14 +240,13 @@ t_pack_molecule* GreedySeedSelector::get_next_seed(const Prepacker& prepacker, // Get the molecule that contains this atom and return it as the // next seed. - t_pack_molecule* seed_molecule = prepacker.get_atom_molecule(seed_blk_id); - VTR_ASSERT(!cluster_legalizer.is_mol_clustered(seed_molecule)); - return seed_molecule; + PackMoleculeId seed_molecule_id = prepacker.get_atom_molecule(seed_blk_id); + VTR_ASSERT(!cluster_legalizer.is_mol_clustered(seed_molecule_id)); + return seed_molecule_id; } // If the previous loop does not return a molecule, it implies that all // atoms have been clustered or have already been proposed as a seed. // Return nullptr to signify that there are no further seeds. - return nullptr; + return PackMoleculeId::INVALID(); } - diff --git a/vpr/src/pack/greedy_seed_selector.h b/vpr/src/pack/greedy_seed_selector.h index f6eee80da4b..5f152f65236 100644 --- a/vpr/src/pack/greedy_seed_selector.h +++ b/vpr/src/pack/greedy_seed_selector.h @@ -8,12 +8,13 @@ #pragma once +#include "prepack.h" #include "vpr_types.h" // Forward declarations class AtomNetlist; class ClusterLegalizer; -class Prepacker; +class PreClusterTimingManager; struct t_molecule_stats; /** @@ -27,7 +28,7 @@ struct t_molecule_stats; * or has already been clustered. */ class GreedySeedSelector { -public: + public: /** * @brief Constructor of the Greedy Seed Selector class. Pre-computes the * gains of each molecule internally to make getting seeds later very @@ -44,18 +45,19 @@ class GreedySeedSelector { * @param max_molecule_stats * The maximum stats over all molecules. Used for normalizing * terms in the gain. - * @param atom_criticality - * The timing criticality of each atom. + * @param pre_cluster_timing_manager + * Timing manager class for the primitive netlist. Used to + * compute the criticalities of seeds. */ GreedySeedSelector(const AtomNetlist& atom_netlist, const Prepacker& prepacker, const e_cluster_seed seed_type, const t_molecule_stats& max_molecule_stats, - const vtr::vector& atom_criticality); + const PreClusterTimingManager& pre_cluster_timing_manager); /** * @brief Propose a new seed molecule to start a new cluster with. If no - * unclustered molecules exist, will return nullptr. + * unclustered molecules exist, will return an invalid ID. * * This method will never return a molecule which has already been clustered * (according to the cluster legalizer) and will never propose a molecule @@ -71,14 +73,13 @@ class GreedySeedSelector { * clusters. This is used to check if a molecule has already * been clustered or not. */ - t_pack_molecule* get_next_seed(const Prepacker& prepacker, - const ClusterLegalizer& cluster_legalizer); + PackMoleculeId get_next_seed(const Prepacker& prepacker, + const ClusterLegalizer& cluster_legalizer); // TODO: Maybe create an update_seed_gains method to update the seed atoms // list using current clustering information. -private: - + private: /// @brief The index of the next seed to propose in the seed_atoms vector. /// This is set to 0 in the constructor and incremented as more seeds /// are proposed. @@ -94,4 +95,3 @@ class GreedySeedSelector { // used. std::vector seed_atoms_; }; - diff --git a/vpr/src/pack/noc_aware_cluster_util.cpp b/vpr/src/pack/noc_aware_cluster_util.cpp index d807e5aa187..aba034992f2 100644 --- a/vpr/src/pack/noc_aware_cluster_util.cpp +++ b/vpr/src/pack/noc_aware_cluster_util.cpp @@ -70,7 +70,7 @@ void update_noc_reachability_partitions(const std::vector& noc_atom atom_noc_grp_id[current_atom] = noc_grp_id; - for(auto pin : atom_netlist.block_pins(current_atom)) { + for (auto pin : atom_netlist.block_pins(current_atom)) { AtomNetId net_id = atom_netlist.pin_net(pin); size_t net_fanout = atom_netlist.net_sinks(net_id).size(); @@ -91,9 +91,7 @@ void update_noc_reachability_partitions(const std::vector& noc_atom atom_visited[sink_atom_id] = true; } } - } } - } } diff --git a/vpr/src/pack/noc_aware_cluster_util.h b/vpr/src/pack/noc_aware_cluster_util.h index 6f930a21944..a414d147bcf 100644 --- a/vpr/src/pack/noc_aware_cluster_util.h +++ b/vpr/src/pack/noc_aware_cluster_util.h @@ -32,7 +32,6 @@ class t_pack_high_fanout_thresholds; */ std::vector find_noc_router_atoms(const AtomNetlist& atom_netlist); - /** * @brief Runs BFS starting from NoC routers to find all connected * components that include a NoC router. Each connected component diff --git a/vpr/src/pack/output_clustering.cpp b/vpr/src/pack/output_clustering.cpp index 83949655b2b..60a371aee46 100644 --- a/vpr/src/pack/output_clustering.cpp +++ b/vpr/src/pack/output_clustering.cpp @@ -12,6 +12,7 @@ #include "cluster_legalizer.h" #include "clustered_netlist.h" #include "physical_types.h" +#include "physical_types_util.h" #include "prepack.h" #include "vpr_context.h" #include "vtr_assert.h" @@ -29,7 +30,6 @@ #include "vpr_utils.h" #include "pack.h" - static void print_clustering_stats_header(); static void print_clustering_stats(std::string_view block_name, int num_block_type, float num_inputs_clocks, float num_outputs); @@ -84,7 +84,6 @@ static void count_stats_from_legalizer(const ClusterLegalizer& cluster_legalizer } num_clb_types[logical_block->index]++; } - } static void count_stats_from_netlist(std::unordered_map& nets_absorbed, @@ -112,7 +111,7 @@ static void count_stats_from_netlist(std::unordered_map& nets_a } else { ClusterNetId clb_net_id = clb_nlist.block_net(blk_id, ipin); if (clb_net_id != ClusterNetId::INVALID()) { - AtomNetId net_id = atom_ctx.lookup.atom_net(clb_net_id); + AtomNetId net_id = atom_ctx.lookup().atom_net(clb_net_id); VTR_ASSERT(net_id); nets_absorbed[net_id] = false; @@ -132,7 +131,7 @@ static void count_stats_from_netlist(std::unordered_map& nets_a * internal connections are printed out. */ static void print_stats(const ClusterLegalizer* cluster_legalizer_ptr, bool from_legalizer) { const DeviceContext& device_ctx = g_vpr_ctx.device(); - const AtomNetlist& atom_nlist = g_vpr_ctx.atom().nlist; + const AtomNetlist& atom_nlist = g_vpr_ctx.atom().netlist(); int* num_clb_types = new int[device_ctx.logical_block_types.size()]; int* num_clb_inputs_used = new int[device_ctx.logical_block_types.size()]; @@ -208,10 +207,10 @@ static void print_clustering_stats(std::string_view block_name, int num_block_ty } static const char* clustering_xml_net_text(AtomNetId net_id) { - /* This routine prints out the atom_ctx.nlist net name (or open). - * net_num is the index of the atom_ctx.nlist net to be printed + /* This routine prints out the atom_ctx.netlist() net name (or open). + * net_num is the index of the atom_ctx.netlist() net to be printed */ - const AtomNetlist& atom_nlist = g_vpr_ctx.atom().nlist; + const AtomNetlist& atom_nlist = g_vpr_ctx.atom().netlist(); if (!net_id) { return "open"; @@ -431,18 +430,18 @@ static void clustering_xml_block(pugi::xml_node& parent_node, t_logical_block_ty block_node.append_attribute("mode") = mode->name; } else { const auto& atom_ctx = g_vpr_ctx.atom(); - AtomBlockId atom_blk = atom_ctx.nlist.find_block(pb->name); + AtomBlockId atom_blk = atom_ctx.netlist().find_block(pb->name); VTR_ASSERT(atom_blk); pugi::xml_node attrs_node = block_node.append_child("attributes"); - for (const auto& attr : atom_ctx.nlist.block_attrs(atom_blk)) { + for (const auto& attr : atom_ctx.netlist().block_attrs(atom_blk)) { pugi::xml_node attr_node = attrs_node.append_child("attribute"); attr_node.append_attribute("name") = attr.first.c_str(); attr_node.text().set(attr.second.c_str()); } pugi::xml_node params_node = block_node.append_child("parameters"); - for (const auto& param : atom_ctx.nlist.block_params(atom_blk)) { + for (const auto& param : atom_ctx.netlist().block_params(atom_blk)) { pugi::xml_node param_node = params_node.append_child("parameter"); param_node.append_attribute("name") = param.first.c_str(); param_node.text().set(param.second.c_str()); @@ -480,10 +479,10 @@ static void clustering_xml_block(pugi::xml_node& parent_node, t_logical_block_ty //This is a primitive with equivalent inputs auto& atom_ctx = g_vpr_ctx.atom(); - AtomBlockId atom_blk = atom_ctx.nlist.find_block(pb->name); + AtomBlockId atom_blk = atom_ctx.netlist().find_block(pb->name); VTR_ASSERT(atom_blk); - AtomPortId atom_port = atom_ctx.nlist.find_atom_port(atom_blk, pb_type->ports[i].model_port); + AtomPortId atom_port = atom_ctx.netlist().find_atom_port(atom_blk, pb_type->ports[i].model_port); if (atom_port) { //Port exists (some LUTs may have no input and hence no port in the atom netlist) @@ -503,10 +502,10 @@ static void clustering_xml_block(pugi::xml_node& parent_node, t_logical_block_ty //This physical pin is in use, find the original pin in the atom netlist AtomPinId orig_pin; - for (AtomPinId atom_pin : atom_ctx.nlist.port_pins(atom_port)) { + for (AtomPinId atom_pin : atom_ctx.netlist().port_pins(atom_port)) { if (recorded_pins.count(atom_pin)) continue; //Don't add pins twice - AtomNetId atom_pin_net = atom_ctx.nlist.pin_net(atom_pin); + AtomNetId atom_pin_net = atom_ctx.netlist().pin_net(atom_pin); if (atom_pin_net == atom_net) { recorded_pins.insert(atom_pin); @@ -517,7 +516,7 @@ static void clustering_xml_block(pugi::xml_node& parent_node, t_logical_block_ty VTR_ASSERT(orig_pin); //The physical pin j, maps to a pin in the atom netlist - pin_map_list.push_back(vtr::string_fmt("%d", atom_ctx.nlist.pin_port_bit(orig_pin))); + pin_map_list.push_back(vtr::string_fmt("%d", atom_ctx.netlist().pin_port_bit(orig_pin))); } else { //The physical pin is disconnected pin_map_list.push_back("open"); @@ -643,7 +642,7 @@ static void clustering_xml_blocks_from_netlist(pugi::xml_node& block_node, * the cluster, in essentially a graph based format. */ void output_clustering(ClusterLegalizer* cluster_legalizer_ptr, bool global_clocks, const std::unordered_set& is_clock, const std::string& architecture_id, const char* out_fname, bool skip_clustering, bool from_legalizer) { const DeviceContext& device_ctx = g_vpr_ctx.device(); - const AtomNetlist& atom_nlist = g_vpr_ctx.atom().nlist; + const AtomNetlist& atom_nlist = g_vpr_ctx.atom().netlist(); IntraLbPbPinLookup pb_graph_pin_lookup_from_index_by_type(device_ctx.logical_block_types); @@ -722,7 +721,7 @@ void output_clustering(ClusterLegalizer* cluster_legalizer_ptr, bool global_cloc * but remove all the requirements on input data structures that * have to be built with other APIs * - * As such, this function is expected to be a standard API + * As such, this function is expected to be a standard API * which can be called anytime and anywhere after packing is finished. ********************************************************************/ void write_packing_results_to_xml(const bool& global_clocks, diff --git a/vpr/src/pack/pack.cpp b/vpr/src/pack/pack.cpp index e9ba3f7f7e3..ae1cde8244d 100644 --- a/vpr/src/pack/pack.cpp +++ b/vpr/src/pack/pack.cpp @@ -1,14 +1,21 @@ -#include +#include "pack.h" + +#include +#include "PreClusterTimingManager.h" #include "SetupGrid.h" +#include "appack_context.h" #include "attraction_groups.h" #include "cluster_legalizer.h" #include "cluster_util.h" #include "constraints_report.h" +#include "flat_placement_types.h" #include "globals.h" #include "greedy_clusterer.h" -#include "pack.h" +#include "partition_region.h" #include "prepack.h" +#include "stats.h" +#include "verify_flat_placement.h" #include "vpr_context.h" #include "vpr_error.h" #include "vpr_types.h" @@ -20,13 +27,13 @@ static bool try_size_device_grid(const t_arch& arch, float target_device_utilization, const std::string& device_layout_name); -bool try_pack(t_packer_opts* packer_opts, - const t_analysis_opts* analysis_opts, - const t_arch* arch, - const t_model* user_models, - const t_model* library_models, - float interc_delay, - std::vector* lb_type_rr_graphs) { +bool try_pack(const t_packer_opts& packer_opts, + const t_analysis_opts& analysis_opts, + const t_arch& arch, + const t_det_routing_arch& routing_arch, + std::vector* lb_type_rr_graphs, + const Prepacker& prepacker, + const FlatPlacementInfo& flat_placement_info) { const AtomContext& atom_ctx = g_vpr_ctx.atom(); const DeviceContext& device_ctx = g_vpr_ctx.device(); // The clusterer modifies the device context by increasing the size of the @@ -34,15 +41,15 @@ bool try_pack(t_packer_opts* packer_opts, DeviceContext& mutable_device_ctx = g_vpr_ctx.mutable_device(); std::unordered_set is_clock, is_global; - VTR_LOG("Begin packing '%s'.\n", packer_opts->circuit_file_name.c_str()); + VTR_LOG("Begin packing '%s'.\n", packer_opts.circuit_file_name.c_str()); is_clock = alloc_and_load_is_clock(); is_global.insert(is_clock.begin(), is_clock.end()); size_t num_p_inputs = 0; size_t num_p_outputs = 0; - for (auto blk_id : atom_ctx.nlist.blocks()) { - auto type = atom_ctx.nlist.block_type(blk_id); + for (auto blk_id : atom_ctx.netlist().blocks()) { + auto type = atom_ctx.netlist().block_type(blk_id); if (type == AtomBlockType::INPAD) { ++num_p_inputs; } else if (type == AtomBlockType::OUTPAD) { @@ -53,74 +60,96 @@ bool try_pack(t_packer_opts* packer_opts, VTR_LOG("\n"); VTR_LOG("After removing unused inputs...\n"); VTR_LOG("\ttotal blocks: %zu, total nets: %zu, total inputs: %zu, total outputs: %zu\n", - atom_ctx.nlist.blocks().size(), atom_ctx.nlist.nets().size(), num_p_inputs, num_p_outputs); - - // Run the prepacker, packing the atoms into molecules. - // The Prepacker object performs prepacking and stores the pack molecules. - // As long as the molecules are used, this object must persist. - VTR_LOG("Begin prepacking.\n"); - Prepacker prepacker; - prepacker.init(atom_ctx.nlist, device_ctx.logical_block_types); + atom_ctx.netlist().blocks().size(), atom_ctx.netlist().nets().size(), num_p_inputs, num_p_outputs); /* We keep attraction groups off in the first iteration, and * only turn on in later iterations if some floorplan regions turn out to be overfull. */ AttractionInfo attraction_groups(false); - VTR_LOG("%d attraction groups were created during prepacking.\n", attraction_groups.num_attraction_groups()); - VTR_LOG("Finish prepacking.\n"); - if (packer_opts->auto_compute_inter_cluster_net_delay) { - packer_opts->inter_cluster_net_delay = interc_delay; - VTR_LOG("Using inter-cluster delay: %g\n", packer_opts->inter_cluster_net_delay); + // Setup pre-clustering timing analysis + PreClusterTimingManager pre_cluster_timing_manager(packer_opts.timing_driven, + atom_ctx.netlist(), + atom_ctx.lookup(), + prepacker, + packer_opts.timing_update_type, + arch, + routing_arch, + packer_opts.device_layout, + analysis_opts); + + // We keep track of the overfilled partition regions from all pack iterations in + // this vector. This is so that if the first iteration fails due to overfilled + // partition regions, and it fails again, we can carry over the previous failed + // partition regions to the current iteration. + std::vector overfull_partition_regions; + + // Verify that the Flat Placement is valid for packing. + if (flat_placement_info.valid) { + unsigned num_errors = verify_flat_placement_for_packing(flat_placement_info, + atom_ctx.netlist(), + prepacker); + if (num_errors == 0) { + VTR_LOG("Completed flat placement consistency check successfully.\n"); + } else { + // TODO: In the future, we can just erase the flat placement and + // continue. It depends on what we want to happen if the + // flat placement is not valid. + VPR_ERROR(VPR_ERROR_PACK, + "%u errors found while performing flat placement " + "consistency check. Aborting program.\n", + num_errors); + } } // During clustering, a block is related to un-clustered primitives with nets. // This relation has three types: low fanout, high fanout, and transitive // high_fanout_thresholds stores the threshold for nets to a block type to // be considered high fanout. - t_pack_high_fanout_thresholds high_fanout_thresholds(packer_opts->high_fanout_threshold); + t_pack_high_fanout_thresholds high_fanout_thresholds(packer_opts.high_fanout_threshold); bool allow_unrelated_clustering = false; - if (packer_opts->allow_unrelated_clustering == e_unrelated_clustering::ON) { + if (packer_opts.allow_unrelated_clustering == e_unrelated_clustering::ON) { allow_unrelated_clustering = true; - } else if (packer_opts->allow_unrelated_clustering == e_unrelated_clustering::OFF) { + } else if (packer_opts.allow_unrelated_clustering == e_unrelated_clustering::OFF) { allow_unrelated_clustering = false; } bool balance_block_type_util = false; - if (packer_opts->balance_block_type_utilization == e_balance_block_type_util::ON) { + if (packer_opts.balance_block_type_utilization == e_balance_block_type_util::ON) { balance_block_type_util = true; - } else if (packer_opts->balance_block_type_utilization == e_balance_block_type_util::OFF) { + } else if (packer_opts.balance_block_type_utilization == e_balance_block_type_util::OFF) { balance_block_type_util = false; } int pack_iteration = 1; - // Initialize the cluster legalizer. - ClusterLegalizer cluster_legalizer(atom_ctx.nlist, + ClusterLegalizer cluster_legalizer(atom_ctx.netlist(), prepacker, - device_ctx.logical_block_types, lb_type_rr_graphs, - user_models, - library_models, - packer_opts->target_external_pin_util, + packer_opts.target_external_pin_util, high_fanout_thresholds, ClusterLegalizationStrategy::SKIP_INTRA_LB_ROUTE, - packer_opts->enable_pin_feasibility_filter, - packer_opts->feasible_block_array_size, - packer_opts->pack_verbosity); - + packer_opts.enable_pin_feasibility_filter, + packer_opts.pack_verbosity); VTR_LOG("Packing with pin utilization targets: %s\n", cluster_legalizer.get_target_external_pin_util().to_string().c_str()); VTR_LOG("Packing with high fanout thresholds: %s\n", high_fanout_thresholds.to_string().c_str()); + // Construct the APPack Context. + APPackContext appack_ctx(flat_placement_info, device_ctx.grid); + // Initialize the greedy clusterer. - GreedyClusterer clusterer(*packer_opts, - *analysis_opts, - atom_ctx.nlist, - *arch, + GreedyClusterer clusterer(packer_opts, + analysis_opts, + atom_ctx.netlist(), + arch, high_fanout_thresholds, is_clock, - is_global); + is_global, + pre_cluster_timing_manager, + appack_ctx); + + g_vpr_ctx.mutable_atom().mutable_lookup().set_atom_pb_bimap_lock(true); while (true) { //Cluster the netlist @@ -135,13 +164,16 @@ bool try_pack(t_packer_opts* packer_opts, mutable_device_ctx); //Try to size/find a device - bool fits_on_device = try_size_device_grid(*arch, num_used_type_instances, packer_opts->target_device_utilization, packer_opts->device_layout); + bool fits_on_device = try_size_device_grid(arch, num_used_type_instances, packer_opts.target_device_utilization, packer_opts.device_layout); /* We use this bool to determine the cause for the clustering not being dense enough. If the clustering * is not dense enough and there are floorplan constraints, it is presumed that the constraints are the cause * of the floorplan not fitting, so attraction groups are turned on for later iterations. */ - bool floorplan_regions_overfull = floorplan_constraints_regions_overfull(cluster_legalizer); + bool floorplan_regions_overfull = floorplan_constraints_regions_overfull(overfull_partition_regions, + cluster_legalizer, + device_ctx.logical_block_types); + bool floorplan_not_fitting = (floorplan_regions_overfull || g_vpr_ctx.floorplanning().constraints.get_num_partitions() > 0); if (fits_on_device && !floorplan_regions_overfull) { @@ -150,11 +182,11 @@ bool try_pack(t_packer_opts* packer_opts, //1st pack attempt was unsuccessful (i.e. not dense enough) and we have control of unrelated clustering // //Turn it on to increase packing density - if (packer_opts->allow_unrelated_clustering == e_unrelated_clustering::AUTO) { + if (packer_opts.allow_unrelated_clustering == e_unrelated_clustering::AUTO) { VTR_ASSERT(allow_unrelated_clustering == false); allow_unrelated_clustering = true; } - if (packer_opts->balance_block_type_utilization == e_balance_block_type_util::AUTO) { + if (packer_opts.balance_block_type_utilization == e_balance_block_type_util::AUTO) { VTR_ASSERT(balance_block_type_util == false); balance_block_type_util = true; } @@ -173,13 +205,13 @@ bool try_pack(t_packer_opts* packer_opts, */ } else if (pack_iteration == 1 && floorplan_not_fitting) { VTR_LOG("Floorplan regions are overfull: trying to pack again using cluster attraction groups. \n"); - attraction_groups.create_att_groups_for_overfull_regions(); + attraction_groups.create_att_groups_for_overfull_regions(overfull_partition_regions); attraction_groups.set_att_group_pulls(1); } else if (pack_iteration >= 2 && pack_iteration < 5 && floorplan_not_fitting) { if (pack_iteration == 2) { VTR_LOG("Floorplan regions are overfull: trying to pack again with more attraction groups exploration. \n"); - attraction_groups.create_att_groups_for_overfull_regions(); + attraction_groups.create_att_groups_for_overfull_regions(overfull_partition_regions); VTR_LOG("Pack iteration is %d\n", pack_iteration); } else if (pack_iteration == 3) { attraction_groups.create_att_groups_for_all_regions(); @@ -230,19 +262,14 @@ bool try_pack(t_packer_opts* packer_opts, } //Reset clustering for re-packing - for (auto blk : g_vpr_ctx.atom().nlist.blocks()) { - g_vpr_ctx.mutable_atom().lookup.set_atom_clb(blk, ClusterBlockId::INVALID()); - g_vpr_ctx.mutable_atom().lookup.set_atom_pb(blk, nullptr); - } - for (auto net : g_vpr_ctx.atom().nlist.nets()) { - g_vpr_ctx.mutable_atom().lookup.remove_atom_net(net); + for (auto net : g_vpr_ctx.atom().netlist().nets()) { + g_vpr_ctx.mutable_atom().mutable_lookup().remove_atom_net(net); } g_vpr_ctx.mutable_floorplanning().cluster_constraints.clear(); //attraction_groups.reset_attraction_groups(); // Reset the cluster legalizer for re-clustering. cluster_legalizer.reset(); - ++pack_iteration; } @@ -260,9 +287,10 @@ bool try_pack(t_packer_opts* packer_opts, * } */ /******************** End **************************/ - + g_vpr_ctx.mutable_atom().mutable_lookup().set_atom_pb_bimap_lock(false); + g_vpr_ctx.mutable_atom().mutable_lookup().set_atom_to_pb_bimap(cluster_legalizer.atom_pb_lookup()); //check clustering and output it - check_and_output_clustering(cluster_legalizer, *packer_opts, is_clock, arch); + check_and_output_clustering(cluster_legalizer, packer_opts, is_clock, &arch); VTR_LOG("\n"); VTR_LOG("Netlist conversion complete.\n"); @@ -271,24 +299,6 @@ bool try_pack(t_packer_opts* packer_opts, return true; } -float get_arch_switch_info(short switch_index, int switch_fanin, float& Tdel_switch, float& R_switch, float& Cout_switch) { - /* Fetches delay, resistance and output capacitance of the architecture switch at switch_index. - * Returns the total delay through the switch. Used to calculate inter-cluster net delay. */ - - /* The intrinsic delay may depend on fanin to the switch. If the delay map of a - * switch from the architecture file has multiple (#inputs, delay) entries, we - * interpolate/extrapolate to get the delay at 'switch_fanin'. */ - auto& device_ctx = g_vpr_ctx.device(); - - Tdel_switch = device_ctx.arch_switch_inf[switch_index].Tdel(switch_fanin); - R_switch = device_ctx.arch_switch_inf[switch_index].R; - Cout_switch = device_ctx.arch_switch_inf[switch_index].Cout; - - /* The delay through a loaded switch is its intrinsic (unloaded) - * delay plus the product of its resistance and output capacitance. */ - return Tdel_switch + R_switch * Cout_switch; -} - std::unordered_set alloc_and_load_is_clock() { /* Looks through all the atom blocks to find and mark all the clocks, by setting * the corresponding entry by adding the clock to is_clock. @@ -299,9 +309,9 @@ std::unordered_set alloc_and_load_is_clock() { /* Want to identify all the clock nets. */ auto& atom_ctx = g_vpr_ctx.atom(); - for (auto blk_id : atom_ctx.nlist.blocks()) { - for (auto pin_id : atom_ctx.nlist.block_clock_pins(blk_id)) { - auto net_id = atom_ctx.nlist.pin_net(pin_id); + for (auto blk_id : atom_ctx.netlist().blocks()) { + for (auto pin_id : atom_ctx.netlist().block_clock_pins(blk_id)) { + auto net_id = atom_ctx.netlist().pin_net(pin_id); if (!is_clock.count(net_id)) { is_clock.insert(net_id); } @@ -358,4 +368,3 @@ static bool try_size_device_grid(const t_arch& arch, return fits_on_device; } - diff --git a/vpr/src/pack/pack.h b/vpr/src/pack/pack.h index 842feb0aacd..c0cb1a4581f 100644 --- a/vpr/src/pack/pack.h +++ b/vpr/src/pack/pack.h @@ -5,21 +5,39 @@ #include class AtomNetId; +class FlatPlacementInfo; +class Prepacker; struct t_analysis_opts; struct t_arch; +struct t_det_routing_arch; struct t_lb_type_rr_node; -struct t_model; struct t_packer_opts; -bool try_pack(t_packer_opts* packer_opts, - const t_analysis_opts* analysis_opts, - const t_arch* arch, - const t_model* user_models, - const t_model* library_models, - float interc_delay, - std::vector* lb_type_rr_graphs); - -float get_arch_switch_info(short switch_index, int switch_fanin, float& Tdel_switch, float& R_switch, float& Cout_switch); +/** + * @brief Try to pack the atom netlist into legal clusters on the given + * architecture. Will return true if successful, false otherwise. + * + * @param packer_opts + * Options passed by the user to configure the packing algorithm. + * @param analysis_opts + * Options passed by the user to configure how analysis is + * performed in the packer. + * @param arch + * The architecture to create clusters for. + * @param routing_arch + * @param lb_type_rr_graphs + * @param flat_placement_info + * Flat (primitive-level) placement information that may be + * provided by the user as a hint for packing. Will be invalid if + * there is no flat placement information provided. + */ +bool try_pack(const t_packer_opts& packer_opts, + const t_analysis_opts& analysis_opts, + const t_arch& arch, + const t_det_routing_arch& routing_arch, + std::vector* lb_type_rr_graphs, + const Prepacker& prepacker, + const FlatPlacementInfo& flat_placement_info); std::unordered_set alloc_and_load_is_clock(); diff --git a/vpr/src/pack/pack_types.h b/vpr/src/pack/pack_types.h index 44fa9107966..8ebaf22b26b 100644 --- a/vpr/src/pack/pack_types.h +++ b/vpr/src/pack/pack_types.h @@ -69,7 +69,7 @@ struct t_lb_type_rr_node { t_pb_graph_pin* pb_graph_pin; /* pb_graph_pin associated with this lb_rr_node if exists, NULL otherwise */ float intrinsic_cost; /* cost of this node */ - t_lb_type_rr_node() { + t_lb_type_rr_node() noexcept { capacity = 0; num_modes = 0; num_fanout = nullptr; @@ -130,7 +130,7 @@ struct t_intra_lb_net { std::vector fixed_terminals; /* Marks a terminal as having a fixed target (i.e. a pin not a sink) */ t_lb_trace* rt_tree; /* Route tree head */ - t_intra_lb_net() { + t_intra_lb_net() noexcept { atom_net_id = AtomNetId::INVALID(); rt_tree = nullptr; } diff --git a/vpr/src/pack/pb_type_graph.cpp b/vpr/src/pack/pb_type_graph.cpp index 0c6745b85e2..02784e4321a 100644 --- a/vpr/src/pack/pb_type_graph.cpp +++ b/vpr/src/pack/pb_type_graph.cpp @@ -394,7 +394,6 @@ static void alloc_and_load_pb_graph(t_pb_graph_node* pb_graph_node, load_power_structures); } - // update the total number of primitives of that type if (pb_graph_node->is_primitive()) { int total_count = 1; @@ -1498,7 +1497,7 @@ static bool realloc_and_load_pb_graph_pin_ptrs_at_var(const int line_num, if (prev_num_pins > 0) { std::vector temp(*pb_graph_pins, *pb_graph_pins + prev_num_pins); - delete[] * pb_graph_pins; + delete[] *pb_graph_pins; *pb_graph_pins = new t_pb_graph_pin*[*num_pins]; for (i = 0; i < prev_num_pins; i++) (*pb_graph_pins)[i] = temp[i]; @@ -1946,18 +1945,18 @@ const t_pb_graph_edge* get_edge_between_pins(const t_pb_graph_pin* driver_pin, c /* Date:June 8th, 2024 * Author: Kate Thurmer * Purpose: This subroutine computes the index of a pb graph node at its - level of the pb hierarchy; it is computed by the parent and - passed to each child of each child pb type. When the child is - a primitive, the computed indes is its flat site index. - For example, if there are 10 ALMs, each with 2 FFs and 2 LUTs, - then the ALM at index N, when calling this function for - its FF child at index M, would compute the child's index as: - N*(FFs per ALM) + M - e.g. for FF[1] in ALM[5], this returns - 5*(2 FFS per ALM) + 1 = 11 + * level of the pb hierarchy; it is computed by the parent and + * passed to each child of each child pb type. When the child is + * a primitive, the computed indes is its flat site index. + * For example, if there are 10 ALMs, each with 2 FFs and 2 LUTs, + * then the ALM at index N, when calling this function for + * its FF child at index M, would compute the child's index as: + * N*(FFs per ALM) + M + * e.g. for FF[1] in ALM[5], this returns + * 5*(2 FFS per ALM) + 1 = 11 */ static int compute_flat_index_for_child_node(int num_children_of_type, int parent_flat_index, int child_index) { - return parent_flat_index*num_children_of_type + child_index; + return parent_flat_index * num_children_of_type + child_index; } diff --git a/vpr/src/pack/post_routing_pb_pin_fixup.cpp b/vpr/src/pack/post_routing_pb_pin_fixup.cpp index 78084d56df3..d217f07a83d 100644 --- a/vpr/src/pack/post_routing_pb_pin_fixup.cpp +++ b/vpr/src/pack/post_routing_pb_pin_fixup.cpp @@ -1,15 +1,14 @@ /******************************************************************** - * This file includes functions to fix up the pb pin mapping results + * This file includes functions to fix up the pb pin mapping results * after routing optimization *******************************************************************/ /* Headers from vtrutil library */ +#include "physical_types_util.h" #include "vtr_time.h" #include "vtr_assert.h" #include "vtr_log.h" -#include "vpr_error.h" #include "vpr_utils.h" -#include "rr_graph2.h" #include "annotate_routing.h" @@ -19,7 +18,7 @@ #include "globals.h" /******************************************************************** - * Give a given pin index, find the side where this pin is located + * Give a given pin index, find the side where this pin is located * on the physical tile * Note: * - Need to check if the pin_width_offset and pin_height_offset @@ -41,7 +40,7 @@ static std::vector find_physical_tile_pin_side(t_physical_tile_type_ptr /******************************************************************** * Fix up the pb pin mapping results for a given clustered block - * 1. For each input/output pin of a clustered pb, + * 1. For each input/output pin of a clustered pb, * - find a corresponding node in RRGraph object * - find the net id for the node in routing context * - find the net id for the node in clustering context @@ -66,15 +65,15 @@ static void update_cluster_pin_with_post_routing_results(const Netlist<>& net_li /* Narrow down side search for grids * The wanted side depends on the location of the grid. - * In particular for perimeter grid, + * In particular for perimeter grid, * ------------------------------------------------------- * Grid location | IPIN side * ------------------------------------------------------- - * TOP | BOTTOM + * TOP | BOTTOM * ------------------------------------------------------- - * RIGHT | LEFT + * RIGHT | LEFT * ------------------------------------------------------- - * BOTTOM | TOP + * BOTTOM | TOP * ------------------------------------------------------- * LEFT | RIGHT * ------------------------------------------------------- @@ -173,7 +172,7 @@ static void update_cluster_pin_with_post_routing_results(const Netlist<>& net_li * In general, there is only one valid rr_node among all the sides. * However, we have an exception in the Stratix-IV arch modeling, * where a pb_pin may exist in two different sides but - * router will only map to 1 rr_node + * router will only map to 1 rr_node * Therefore, it is better to compare the routing nets * for all the sides and pick * - The unique valid net id (others should be all invalid) @@ -247,7 +246,7 @@ static void update_cluster_pin_with_post_routing_results(const Netlist<>& net_li } /******************************************************************** - * Find an unused pb_route from the other pins in this port + * Find an unused pb_route from the other pins in this port * The pb_route should be remapped to an invalid net, becoming unused * at post routing stage. * @@ -272,7 +271,7 @@ static int find_target_pb_route_from_equivalent_pins(const AtomContext& atom_ctx } /* Limitation: bypass output pins now - * TODO: This is due to the 'instance' equivalence port + * TODO: This is due to the 'instance' equivalence port * where outputs may be swapped. This definitely requires re-run of packing * It can not be solved by swapping routing traces now */ @@ -317,7 +316,7 @@ static int find_target_pb_route_from_equivalent_pins(const AtomContext& atom_ctx VTR_LOGV(verbose, "Found %lu candidates to remap net '%s' at clustered block '%s' pin '%s'\n", pb_route_indices.size(), - atom_ctx.nlist.net_name(target_net).c_str(), + atom_ctx.netlist().net_name(target_net).c_str(), clustering_ctx.clb_nlist.block_pb(blk_id)->name, source_pb_graph_pin->to_string().c_str()); @@ -367,7 +366,7 @@ static const t_pb_graph_pin* find_unused_pb_graph_pin_in_the_same_port(const t_p VTR_LOG("\tUnused\n"); } else { VTR_LOG("\tmapped to net '%s'\n", - g_vpr_ctx.atom().nlist.net_name(pb_routes.at(cand_pb_route_id).atom_net_id).c_str()); + g_vpr_ctx.atom().netlist().net_name(pb_routes.at(cand_pb_route_id).atom_net_id).c_str()); } } @@ -410,7 +409,7 @@ static t_pb_graph_pin* find_used_sink_pb_pin(t_pb_graph_pin* driver_pb_pin, /******************************************************************** * Try to find the atom pin that is mapped to the sink pb_graph_pin - * which can be traced back through the pb routing tree + * which can be traced back through the pb routing tree * * Note: * - This function assumes only 1 sink node to be found @@ -419,13 +418,13 @@ static t_pb_graph_pin* find_used_sink_pb_pin(t_pb_graph_pin* driver_pb_pin, * * Top-level pb_type * +---------------------------- - * | +------------------------ + * | +------------------------ * | | Primitive pb_type * | | +------------------ * | | | - * driver +---+---->| sink pb_pin <------> atom_pin + * driver +---+---->| sink pb_pin <------> atom_pin * pb_route | - * + * *******************************************************************/ static AtomPinId find_mapped_atom_pin(const AtomContext& atom_ctx, const IntraLbPbPinLookup& intra_lb_pb_pin_lookup, @@ -451,9 +450,9 @@ static AtomPinId find_mapped_atom_pin(const AtomContext& atom_ctx, const t_pb_graph_pin* sink_pb_pin = intra_lb_pb_pin_lookup.pb_gpin(logical_type->index, sink_pb_route_id); const t_pb* leaf_pb = pb->find_pb(sink_pb_pin->parent_node); - const AtomPortId& atom_port = atom_ctx.nlist.find_atom_port(atom_ctx.lookup.pb_atom(leaf_pb), sink_pb_pin->port->model_port); + const AtomPortId& atom_port = atom_ctx.netlist().find_atom_port(atom_ctx.lookup().atom_pb_bimap().pb_atom(leaf_pb), sink_pb_pin->port->model_port); BitIndex atom_pin_bit_index = leaf_pb->atom_pin_bit_index(sink_pb_pin); - AtomPinId mapped_atom_pin = atom_ctx.nlist.port_pin(atom_port, atom_pin_bit_index); + AtomPinId mapped_atom_pin = atom_ctx.netlist().port_pin(atom_port, atom_pin_bit_index); return mapped_atom_pin; } @@ -462,20 +461,20 @@ static AtomPinId find_mapped_atom_pin(const AtomContext& atom_ctx, * Cache the mapping from atom pin to pb_graph pin for remapped nets * in current routing traces * - * Note: + * Note: * - The pb_route_id is the routing trace id for top-level pins ONLY! * - This is only applicable to the following case: * * Top-level pb_type * +---------------------------- - * | +------------------------ + * | +------------------------ * | | Primitive pb_type * | | +------------------ * | | | * driver +---+---->| sink * | * - * + * * Anything violates the assumption will be NOT be cached!!! *******************************************************************/ static std::map> cache_atom_pin_to_pb_pin_mapping(const AtomContext& atom_ctx, @@ -522,7 +521,7 @@ static std::map> cache_atom_pin /* Sometimes the routing traces is not what we target, skip caching */ if (orig_mapped_atom_pin) { - atom_pin_to_pb_pin_mapping[pb_route_id] = std::make_pair(orig_mapped_atom_pin, atom_ctx.lookup.atom_pin_pb_graph_pin(orig_mapped_atom_pin)); + atom_pin_to_pb_pin_mapping[pb_route_id] = std::make_pair(orig_mapped_atom_pin, atom_ctx.lookup().atom_pin_pb_graph_pin(orig_mapped_atom_pin)); } } @@ -530,7 +529,7 @@ static std::map> cache_atom_pin } /******************************************************************** - * Spot the original pb_graph_pin that is mapped + * Spot the original pb_graph_pin that is mapped * to a given net from the other equivalent pins in this port *******************************************************************/ static const t_pb_graph_pin* find_mapped_equivalent_pb_pin_by_net(t_pb* pb, @@ -577,7 +576,7 @@ static void rec_remove_downstream_pb_routes(t_pb_routes& curr_pb_routes, /******************************************************************** * Update routing traces for regular nets of a logical block - * This function should NOT + * This function should NOT * - create a routing traces but use the new routing traces * from the inputs * - modify any routing traces for global nets, @@ -603,7 +602,7 @@ static void update_cluster_regular_routing_traces_with_post_routing_results(Atom } /* Limitation: bypass output pins now - * TODO: This is due to the 'instance' equivalence port + * TODO: This is due to the 'instance' equivalence port * where outputs may be swapped. This definitely requires re-run of packing * It can not be solved by swapping routing traces now */ @@ -626,7 +625,7 @@ static void update_cluster_regular_routing_traces_with_post_routing_results(Atom VTR_ASSERT_SAFE(remapped_result != clustering_ctx.post_routing_clb_pin_nets[blk_id].end()); /* Cache the remapped net id */ - AtomNetId remapped_net = atom_ctx.lookup.atom_net(remapped_result->second); + AtomNetId remapped_net = atom_ctx.lookup().atom_net(remapped_result->second); /* Skip those pins become unmapped after remapping */ if (!remapped_net) { @@ -648,15 +647,15 @@ static void update_cluster_regular_routing_traces_with_post_routing_results(Atom VTR_LOGV(verbose, "Remapping routing trace for net '%s'\n", - atom_ctx.nlist.net_name(remapped_net).c_str()); + atom_ctx.netlist().net_name(remapped_net).c_str()); /* Spot the routing trace - * Two conditions could happen: + * Two conditions could happen: * - There is already a routing trace for this pin: * we just rename the net id * - There is no routed path for this pin: * we have to find a routing trace which is used by another pin - * in the same port (every pin in this port should be logic equivalent) + * in the same port (every pin in this port should be logic equivalent) * Rename the net id and pb_graph_node pins */ int pb_route_id = find_target_pb_route_from_equivalent_pins(atom_ctx, @@ -688,7 +687,7 @@ static void update_cluster_regular_routing_traces_with_post_routing_results(Atom /* Check if the sink pb_pins are accessible from the driver pb_pin * - If not accessible, remove the associated sink pin_pins - * - We will add the only accessible pin if and only if + * - We will add the only accessible pin if and only if * the driver pb_pin has only 1 fan-out according to the pb_graph * * Note: @@ -698,14 +697,14 @@ static void update_cluster_regular_routing_traces_with_post_routing_results(Atom * * Top-level pb_type * +---------------------------- - * | +------------------------ + * | +------------------------ * | | Primitive pb_type * | | +------------------ * | | | * driver +---+---->| sink * | * - * + * * Anything violates the assumption will be errored out! */ new_pb_route.sink_pb_pin_ids.clear(); @@ -741,7 +740,7 @@ static void update_cluster_regular_routing_traces_with_post_routing_results(Atom for (const int& sink_pb_route : new_pb_route.sink_pb_pin_ids) { if (0 == new_pb_routes.count(sink_pb_route)) { /* A new sink pb_pin requires a new pb_route to be added - * This could lead to a chain effect to add new pb_routes + * This could lead to a chain effect to add new pb_routes * We keep adding the pb_pin until we reach a primitive pb_type * All the sink pb_pin of the pb_route to be added * must meet the following requirements @@ -779,14 +778,14 @@ static void update_cluster_regular_routing_traces_with_post_routing_results(Atom "Add a new trace '%d' linked to pin '%s' for net '%s'.\n", new_sink_pb_route_id, new_sink_pb_pin_to_add->to_string().c_str(), - atom_ctx.nlist.net_name(remapped_net).c_str()); + atom_ctx.netlist().net_name(remapped_net).c_str()); /* Update the pins to add for next round */ new_driver_pb_pin = new_sink_pb_pin_to_add->pin_count_in_cluster; new_sink_pb_pin_to_add = next_sink_pb_pin_to_add; } /* The chain effect will lead to a remapping to atom net pins - * Fix the atom net lookup + * Fix the atom net lookup */ VTR_ASSERT(1 == pb->pb_route.at(pb_route_id).sink_pb_pin_ids.size()); const AtomPinId& orig_mapped_atom_pin = previous_atom_pin_to_pb_pin_mapping.at(pb_route_id).first; @@ -795,17 +794,17 @@ static void update_cluster_regular_routing_traces_with_post_routing_results(Atom VTR_LOGV(verbose, "Redirect atom pin '%lu' mapping from '%s' to '%s' for net '%s'.\n", size_t(orig_mapped_atom_pin), - atom_ctx.lookup.atom_pin_pb_graph_pin(orig_mapped_atom_pin)->to_string().c_str(), + atom_ctx.lookup().atom_pin_pb_graph_pin(orig_mapped_atom_pin)->to_string().c_str(), new_sink_pb_pin_to_add->to_string().c_str(), - atom_ctx.nlist.net_name(remapped_net).c_str()); + atom_ctx.netlist().net_name(remapped_net).c_str()); /* Update the pin binding in atom netlist fast look-up */ - atom_ctx.lookup.set_atom_pin_pb_graph_pin(orig_mapped_atom_pin, new_sink_pb_pin_to_add); - VTR_ASSERT(new_sink_pb_pin_to_add == atom_ctx.lookup.atom_pin_pb_graph_pin(orig_mapped_atom_pin)); + atom_ctx.mutable_lookup().set_atom_pin_pb_graph_pin(orig_mapped_atom_pin, new_sink_pb_pin_to_add); + VTR_ASSERT(new_sink_pb_pin_to_add == atom_ctx.lookup().atom_pin_pb_graph_pin(orig_mapped_atom_pin)); /* Update the pin rotation map */ t_pb* atom_pb = pb->find_mutable_pb(new_sink_pb_pin_to_add->parent_node); - atom_pb->set_atom_pin_bit_index(new_sink_pb_pin_to_add, atom_ctx.nlist.pin_port_bit(orig_mapped_atom_pin)); + atom_pb->set_atom_pin_bit_index(new_sink_pb_pin_to_add, atom_ctx.netlist().pin_port_bit(orig_mapped_atom_pin)); } else { new_pb_routes[sink_pb_route].driver_pb_pin_id = pb_graph_pin->pin_count_in_cluster; @@ -829,7 +828,7 @@ static void update_cluster_regular_routing_traces_with_post_routing_results(Atom } /* Since this routing trace was mapped to another net, - * we have to spot the original pb_graph_pin that is mapped + * we have to spot the original pb_graph_pin that is mapped * to this net (from the other equivalent pins in this port) * Then we can find out the atom pin that is linked to the remapped net */ @@ -841,17 +840,17 @@ static void update_cluster_regular_routing_traces_with_post_routing_results(Atom VTR_LOGV(verbose, "Redirect atom pin '%lu' mapping from '%s' to '%s' for net '%s'.\n", size_t(orig_mapped_atom_pin), - atom_ctx.lookup.atom_pin_pb_graph_pin(orig_mapped_atom_pin)->to_string().c_str(), + atom_ctx.lookup().atom_pin_pb_graph_pin(orig_mapped_atom_pin)->to_string().c_str(), next_pb_pin->to_string().c_str(), - atom_ctx.nlist.net_name(remapped_net).c_str()); + atom_ctx.netlist().net_name(remapped_net).c_str()); /* Update the pin binding in atom netlist fast look-up */ - atom_ctx.lookup.set_atom_pin_pb_graph_pin(orig_mapped_atom_pin, next_pb_pin); - VTR_ASSERT(next_pb_pin == atom_ctx.lookup.atom_pin_pb_graph_pin(orig_mapped_atom_pin)); + atom_ctx.mutable_lookup().set_atom_pin_pb_graph_pin(orig_mapped_atom_pin, next_pb_pin); + VTR_ASSERT(next_pb_pin == atom_ctx.lookup().atom_pin_pb_graph_pin(orig_mapped_atom_pin)); /* Update the pin rotation map */ t_pb* atom_pb = pb->find_mutable_pb(next_pb_pin->parent_node); - atom_pb->set_atom_pin_bit_index(next_pb_pin, atom_ctx.nlist.pin_port_bit(orig_mapped_atom_pin)); + atom_pb->set_atom_pin_bit_index(next_pb_pin, atom_ctx.netlist().pin_port_bit(orig_mapped_atom_pin)); } } } @@ -861,7 +860,7 @@ static void update_cluster_regular_routing_traces_with_post_routing_results(Atom "Remap clustered block '%s' routing trace[%d] to net '%s'\n", clustering_ctx.clb_nlist.block_pb(blk_id)->name, pb_graph_pin->pin_count_in_cluster, - atom_ctx.nlist.net_name(remapped_net).c_str()); + atom_ctx.netlist().net_name(remapped_net).c_str()); /* Update fixup counter */ num_fixup++; @@ -870,7 +869,7 @@ static void update_cluster_regular_routing_traces_with_post_routing_results(Atom /******************************************************************** * Update routing traces for global nets of a logical block - * This function should NOT + * This function should NOT * - create a routing traces but use the new routing traces * from the inputs * - modify any routing traces for non-global nets, @@ -891,7 +890,7 @@ static void update_cluster_global_routing_traces_with_post_routing_results(const const t_pb_graph_pin* pb_graph_pin = get_pb_graph_node_pin_from_block_pin(blk_id, pb_type_pin); /* Limitation: bypass output pins now - * TODO: This is due to the 'instance' equivalence port + * TODO: This is due to the 'instance' equivalence port * where outputs may be swapped. This definitely requires re-run of packing * It can not be solved by swapping routing traces now */ @@ -913,7 +912,7 @@ static void update_cluster_global_routing_traces_with_post_routing_results(const continue; } - AtomNetId global_atom_net_id = atom_ctx.lookup.atom_net(global_net_id); + AtomNetId global_atom_net_id = atom_ctx.lookup().atom_net(global_net_id); auto remapped_result = clustering_ctx.post_routing_clb_pin_nets.at(blk_id).find(pb_graph_pin->pin_count_in_cluster); @@ -928,7 +927,7 @@ static void update_cluster_global_routing_traces_with_post_routing_results(const VTR_LOGV(verbose, "Remapping clustered block '%s' global net '%s' to unused pin as %s\r", clustering_ctx.clb_nlist.block_pb(blk_id)->name, - atom_ctx.nlist.net_name(global_atom_net_id).c_str(), + atom_ctx.netlist().net_name(global_atom_net_id).c_str(), pb_graph_pin->to_string().c_str()); const t_pb_graph_pin* unused_pb_graph_pin = find_unused_pb_graph_pin_in_the_same_port(pb_graph_pin, new_pb_routes, global_atom_net_id); @@ -959,7 +958,7 @@ static void update_cluster_global_routing_traces_with_post_routing_results(const VTR_LOGV(verbose, "Remap clustered block '%s' global net '%s' to pin '%s'\n", clustering_ctx.clb_nlist.block_pb(blk_id)->name, - atom_ctx.nlist.net_name(global_atom_net_id).c_str(), + atom_ctx.netlist().net_name(global_atom_net_id).c_str(), unused_pb_graph_pin->to_string().c_str()); /* Update fixup counter */ @@ -972,7 +971,7 @@ static void update_cluster_global_routing_traces_with_post_routing_results(const * This function will directly update the nets of routing traces * stored in the clustered block by considering the post-routing results * - * Note: + * Note: * - This function should be called AFTER the function * update_cluster_pin_with_post_routing_results() *******************************************************************/ @@ -1033,8 +1032,8 @@ void sync_netlists_to_routing(const Netlist<>& net_list, /* Create net-to-rr_node mapping */ vtr::vector rr_node_nets = annotate_rr_node_nets(clustering_ctx, - device_ctx, - verbose); + device_ctx, + verbose); IntraLbPbPinLookup intra_lb_pb_pin_lookup(device_ctx.logical_block_types); diff --git a/vpr/src/pack/prepack.cpp b/vpr/src/pack/prepack.cpp index 9cda9de0360..42d68949fc0 100644 --- a/vpr/src/pack/prepack.cpp +++ b/vpr/src/pack/prepack.cpp @@ -27,6 +27,7 @@ #include "vpr_utils.h" #include "vtr_assert.h" #include "vtr_range.h" +#include "vtr_time.h" #include "vtr_util.h" #include "vtr_vector.h" @@ -39,13 +40,6 @@ static void free_list_of_pack_patterns(std::vector& list_of_pac static void free_pack_pattern(t_pack_patterns* pack_pattern); -static t_pack_molecule* alloc_and_load_pack_molecules(t_pack_patterns* list_of_pack_patterns, - vtr::vector& expected_lowest_cost_pb_gnode, - const int num_packing_patterns, - std::multimap& atom_molecules, - const AtomNetlist& atom_nlist, - const std::vector& logical_block_types); - static void discover_pattern_names_in_pb_graph_node(t_pb_graph_node* pb_graph_node, std::unordered_map& pattern_names); @@ -75,21 +69,15 @@ static int compare_pack_pattern(const t_pack_patterns* pattern_a, const t_pack_p static void free_pack_pattern_block(t_pack_pattern_block* pattern_block, t_pack_pattern_block** pattern_block_list); -static t_pack_molecule* try_create_molecule(t_pack_patterns* list_of_pack_patterns, - const int pack_pattern_index, - AtomBlockId blk_id, - std::multimap& atom_molecules, - const AtomNetlist& atom_nlist); - -static bool try_expand_molecule(t_pack_molecule* molecule, +static bool try_expand_molecule(t_pack_molecule& molecule, const AtomBlockId blk_id, - const std::multimap& atom_molecules, + const std::multimap& atom_molecules, const AtomNetlist& atom_nlist); static void print_pack_molecules(const char* fname, - const t_pack_patterns* list_of_pack_patterns, + const std::vector& list_of_pack_patterns, const int num_pack_patterns, - const t_pack_molecule* list_of_molecules, + const vtr::vector_map& pack_molecules, const AtomNetlist& atom_nlist); static t_pb_graph_node* get_expected_lowest_cost_primitive_for_atom_block(const AtomBlockId blk_id, @@ -99,7 +87,7 @@ static t_pb_graph_node* get_expected_lowest_cost_primitive_for_atom_block_in_pb_ static AtomBlockId find_new_root_atom_for_chain(const AtomBlockId blk_id, const t_pack_patterns* list_of_pack_patterns, - const std::multimap& atom_molecules, + const std::multimap& atom_molecules, const AtomNetlist& atom_nlist); static std::vector find_end_of_path(t_pb_graph_pin* input_pin, int pattern_index); @@ -114,8 +102,10 @@ static void update_chain_root_pins(t_pack_patterns* chain_pattern, static void get_all_connected_primitive_pins(const t_pb_graph_pin* cluster_input_pin, std::vector& connected_primitive_pins); static void init_molecule_chain_info(const AtomBlockId blk_id, - t_pack_molecule* molecule, - const std::multimap& atom_molecules, + t_pack_molecule& molecule, + const vtr::vector_map& pack_molecules, + const std::multimap& atom_molecules, + vtr::vector& chain_info, const AtomNetlist& atom_nlist); static AtomBlockId get_sink_block(const AtomBlockId block_id, @@ -799,29 +789,18 @@ static void backward_expand_pack_pattern_from_edge(const t_pb_graph_edge* expans * 3. Chained molecules are molecules that follow a carry-chain style pattern, * ie. a single linear chain that can be split across multiple complex blocks */ -static t_pack_molecule* alloc_and_load_pack_molecules(t_pack_patterns* list_of_pack_patterns, - vtr::vector& expected_lowest_cost_pb_gnode, - const int num_packing_patterns, - std::multimap& atom_molecules, - const AtomNetlist& atom_nlist, - const std::vector& logical_block_types) { - int i, j, best_pattern; - t_pack_molecule* list_of_molecules_head; - t_pack_molecule* cur_molecule; - bool* is_used; - - is_used = new bool[num_packing_patterns]; - for (i = 0; i < num_packing_patterns; i++) - is_used[i] = false; - - cur_molecule = list_of_molecules_head = nullptr; +void Prepacker::alloc_and_load_pack_molecules(std::multimap& atom_molecules_multimap, + const AtomNetlist& atom_nlist, + const std::vector& logical_block_types) { + std::vector is_used(list_of_pack_patterns.size(), false); /* Find forced pack patterns * Simplifying assumptions: Each atom can map to at most one molecule, * use first-fit mapping based on priority of pattern * TODO: Need to investigate better mapping strategies than first-fit */ - for (i = 0; i < num_packing_patterns; i++) { + size_t num_packing_patterns = list_of_pack_patterns.size(); + for (size_t i = 0; i < num_packing_patterns; i++) { /* Skip pack patterns for modes that are disabled for packing, * Ensure no resources in unpackable modes will be mapped during pre-packing stage */ @@ -830,8 +809,8 @@ static t_pack_molecule* alloc_and_load_pack_molecules(t_pack_patterns* list_of_p continue; } - best_pattern = 0; - for (j = 1; j < num_packing_patterns; j++) { + size_t best_pattern = 0; + for (size_t j = 1; j < num_packing_patterns; j++) { if (is_used[best_pattern]) { best_pattern = j; } else if (is_used[j] == false && compare_pack_pattern(&list_of_pack_patterns[j], &list_of_pack_patterns[best_pattern]) == 1) { @@ -845,34 +824,38 @@ static t_pack_molecule* alloc_and_load_pack_molecules(t_pack_patterns* list_of_p for (auto blk_iter = blocks.begin(); blk_iter != blocks.end(); ++blk_iter) { auto blk_id = *blk_iter; - cur_molecule = try_create_molecule(list_of_pack_patterns, best_pattern, blk_id, atom_molecules, atom_nlist); - if (cur_molecule != nullptr) { - cur_molecule->next = list_of_molecules_head; - /* In the event of multiple molecules with the same atom block pattern, - * bias to use the molecule with less costly physical resources first */ - /* TODO: Need to normalize magical number 100 */ - cur_molecule->base_gain = cur_molecule->num_blocks - (cur_molecule->pack_pattern->base_cost / 100); - list_of_molecules_head = cur_molecule; - - //Note: atom_molecules is an (ordered) multimap so the last molecule - // inserted for a given blk_id will be the last valid element - // in the equal_range - auto rng = atom_molecules.equal_range(blk_id); //The range of molecules matching this block - bool range_empty = (rng.first == rng.second); - bool cur_was_last_inserted = false; - if (!range_empty) { - auto last_valid_iter = --rng.second; //Iterator to last element (only valid if range is not empty) - cur_was_last_inserted = (last_valid_iter->second == cur_molecule); - } - if (range_empty || !cur_was_last_inserted) { - /* molecule did not cover current atom (possibly because molecule created is - * part of a long chain that extends past multiple logic blocks), try again */ - --blk_iter; - } + PackMoleculeId cur_molecule_id = try_create_molecule(best_pattern, + blk_id, + atom_molecules_multimap, + atom_nlist); + + // If the molecule could not be created, move to the next block. + if (!cur_molecule_id.is_valid()) + continue; + + /* In the event of multiple molecules with the same atom block pattern, + * bias to use the molecule with less costly physical resources first */ + /* TODO: Need to normalize magical number 100 */ + t_pack_molecule& cur_molecule = pack_molecules_[cur_molecule_id]; + cur_molecule.base_gain = cur_molecule.atom_block_ids.size() - (cur_molecule.pack_pattern->base_cost / 100); + + //Note: atom_molecules is an (ordered) multimap so the last molecule + // inserted for a given blk_id will be the last valid element + // in the equal_range + auto rng = atom_molecules_multimap.equal_range(blk_id); //The range of molecules matching this block + bool range_empty = (rng.first == rng.second); + bool cur_was_last_inserted = false; + if (!range_empty) { + auto last_valid_iter = --rng.second; //Iterator to last element (only valid if range is not empty) + cur_was_last_inserted = (last_valid_iter->second == cur_molecule_id); + } + if (range_empty || !cur_was_last_inserted) { + /* molecule did not cover current atom (possibly because molecule created is + * part of a long chain that extends past multiple logic blocks), try again */ + --blk_iter; } } } - delete[] is_used; /* List all atom blocks as a molecule for blocks that do not belong to any molecules. * This allows the packer to be consistent as it now packs molecules only instead of atoms and molecules @@ -883,14 +866,6 @@ static t_pack_molecule* alloc_and_load_pack_molecules(t_pack_patterns* list_of_p for (auto blk_id : atom_nlist.blocks()) { t_pb_graph_node* best = get_expected_lowest_cost_primitive_for_atom_block(blk_id, logical_block_types); if (!best) { - /* Free the molecules in the linked list to avoid memory leakage */ - cur_molecule = list_of_molecules_head; - while (cur_molecule) { - t_pack_molecule* molecule_to_free = cur_molecule; - cur_molecule = cur_molecule->next; - delete molecule_to_free; - } - VPR_FATAL_ERROR(VPR_ERROR_PACK, "Failed to find any location to pack primitive of type '%s' in architecture", atom_nlist.block_model(blk_id)->name); } @@ -899,33 +874,32 @@ static t_pack_molecule* alloc_and_load_pack_molecules(t_pack_patterns* list_of_p expected_lowest_cost_pb_gnode[blk_id] = best; - auto rng = atom_molecules.equal_range(blk_id); + auto rng = atom_molecules_multimap.equal_range(blk_id); bool rng_empty = (rng.first == rng.second); if (rng_empty) { - cur_molecule = new t_pack_molecule; - cur_molecule->type = MOLECULE_SINGLE_ATOM; - cur_molecule->num_blocks = 1; - cur_molecule->root = 0; - cur_molecule->pack_pattern = nullptr; + PackMoleculeId new_molecule_id = PackMoleculeId(pack_molecules_.size()); + t_pack_molecule new_molecule; + new_molecule.type = e_pack_pattern_molecule_type::MOLECULE_SINGLE_ATOM; + new_molecule.root = 0; + new_molecule.pack_pattern = nullptr; - cur_molecule->atom_block_ids = {blk_id}; + new_molecule.atom_block_ids = {blk_id}; - cur_molecule->next = list_of_molecules_head; - cur_molecule->base_gain = 1; - list_of_molecules_head = cur_molecule; + new_molecule.base_gain = 1; + new_molecule.chain_id = MoleculeChainId::INVALID(); - atom_molecules.insert({blk_id, cur_molecule}); + atom_molecules_multimap.insert({blk_id, new_molecule_id}); + pack_molecules_.push_back(std::move(new_molecule)); + pack_molecule_ids_.push_back(new_molecule_id); } } if (getEchoEnabled() && isEchoFileEnabled(E_ECHO_PRE_PACKING_MOLECULES_AND_PATTERNS)) { print_pack_molecules(getEchoFileName(E_ECHO_PRE_PACKING_MOLECULES_AND_PATTERNS), list_of_pack_patterns, num_packing_patterns, - list_of_molecules_head, + pack_molecules_, atom_nlist); } - - return list_of_molecules_head; } static void free_pack_pattern_block(t_pack_pattern_block* pattern_block, t_pack_pattern_block** pattern_block_list) { @@ -959,59 +933,59 @@ static void free_pack_pattern_block(t_pack_pattern_block* pattern_block, t_pack_ * * Side Effect: If successful, link atom to molecule */ -static t_pack_molecule* try_create_molecule(t_pack_patterns* list_of_pack_patterns, - const int pack_pattern_index, - AtomBlockId blk_id, - std::multimap& atom_molecules, - const AtomNetlist& atom_nlist) { - t_pack_molecule* molecule; - +PackMoleculeId Prepacker::try_create_molecule(const int pack_pattern_index, + AtomBlockId blk_id, + std::multimap& atom_molecules_multimap, + const AtomNetlist& atom_nlist) { auto pack_pattern = &list_of_pack_patterns[pack_pattern_index]; // Check pack pattern validity if (pack_pattern == nullptr || pack_pattern->num_blocks == 0 || pack_pattern->root_block == nullptr) { - return nullptr; + return PackMoleculeId::INVALID(); } // If a chain pattern extends beyond a single logic block, we must find // the furthest blk_id up the chain that is not mapped to a molecule yet. if (pack_pattern->is_chain) { - blk_id = find_new_root_atom_for_chain(blk_id, pack_pattern, atom_molecules, atom_nlist); - if (!blk_id) return nullptr; + blk_id = find_new_root_atom_for_chain(blk_id, pack_pattern, atom_molecules_multimap, atom_nlist); + if (!blk_id) return PackMoleculeId::INVALID(); } - molecule = new t_pack_molecule; - molecule->type = MOLECULE_FORCED_PACK; - molecule->pack_pattern = pack_pattern; - molecule->atom_block_ids = std::vector(pack_pattern->num_blocks); //Initializes invalid - molecule->num_blocks = pack_pattern->num_blocks; - molecule->root = pack_pattern->root_block->block_id; + PackMoleculeId new_molecule_id = PackMoleculeId(pack_molecules_.size()); + t_pack_molecule molecule; + molecule.base_gain = 0.f; + molecule.type = e_pack_pattern_molecule_type::MOLECULE_FORCED_PACK; + molecule.pack_pattern = pack_pattern; + molecule.atom_block_ids = std::vector(pack_pattern->num_blocks); //Initializes invalid + molecule.root = pack_pattern->root_block->block_id; + molecule.chain_id = MoleculeChainId::INVALID(); - if (try_expand_molecule(molecule, blk_id, atom_molecules, atom_nlist)) { - // Success! commit molecule + if (!try_expand_molecule(molecule, blk_id, atom_molecules_multimap, atom_nlist)) { + // Failed to create molecule + return PackMoleculeId::INVALID(); + } - // update chain info for chain molecules - if (molecule->pack_pattern->is_chain) { - init_molecule_chain_info(blk_id, molecule, atom_molecules, atom_nlist); - } + // Success! commit molecule - // update the atom_molcules with the atoms that are mapped to this molecule - for (int i = 0; i < molecule->pack_pattern->num_blocks; i++) { - auto blk_id2 = molecule->atom_block_ids[i]; - if (!blk_id2) { - VTR_ASSERT(molecule->pack_pattern->is_block_optional[i]); - continue; - } + // update chain info for chain molecules + if (molecule.pack_pattern->is_chain) { + init_molecule_chain_info(blk_id, molecule, pack_molecules_, atom_molecules_multimap, chain_info_, atom_nlist); + } - atom_molecules.insert({blk_id2, molecule}); + // update the atom_molcules with the atoms that are mapped to this molecule + for (int i = 0; i < molecule.pack_pattern->num_blocks; i++) { + auto blk_id2 = molecule.atom_block_ids[i]; + if (!blk_id2) { + VTR_ASSERT(molecule.pack_pattern->is_block_optional[i]); + continue; } - } else { - // Failed to create molecule - delete molecule; - return nullptr; + + atom_molecules_multimap.insert({blk_id2, new_molecule_id}); } - return molecule; + pack_molecules_.push_back(std::move(molecule)); + pack_molecule_ids_.push_back(new_molecule_id); + return new_molecule_id; } /** @@ -1027,15 +1001,15 @@ static t_pack_molecule* try_create_molecule(t_pack_patterns* list_of_pack_patter * atom_molecules : map of atom block ids that are assigned a molecule and a pointer to this molecule * blk_id : chosen to be the root of this molecule and the code is expanding from */ -static bool try_expand_molecule(t_pack_molecule* molecule, +static bool try_expand_molecule(t_pack_molecule& molecule, const AtomBlockId blk_id, - const std::multimap& atom_molecules, + const std::multimap& atom_molecules, const AtomNetlist& atom_nlist) { // root block of the pack pattern, which is the starting point of this pattern - const auto pattern_root_block = molecule->pack_pattern->root_block; + const auto pattern_root_block = molecule.pack_pattern->root_block; // bool array indicating whether a position in a pack pattern is optional or should // be filled with an atom for legality - const auto is_block_optional = molecule->pack_pattern->is_block_optional; + const auto is_block_optional = molecule.pack_pattern->is_block_optional; // create a queue of pattern block and atom block id suggested for this block std::queue> pattern_block_queue; @@ -1054,7 +1028,7 @@ static bool try_expand_molecule(t_pack_molecule* molecule, pattern_block_queue.pop(); // get the atom block id of the atom occupying this primitive position in this molecule - auto molecule_atom_block_id = molecule->atom_block_ids[pattern_block->block_id]; + auto molecule_atom_block_id = molecule.atom_block_ids[pattern_block->block_id]; // if this primitive position in this molecule is already visited and // matches block in the atom netlist go to the next node in the queue @@ -1078,7 +1052,7 @@ static bool try_expand_molecule(t_pack_molecule* molecule, } // set this node in the molecule as visited - molecule->atom_block_ids[pattern_block->block_id] = block_id; + molecule.atom_block_ids[pattern_block->block_id] = block_id; // starting from the first connections, add all the connections of this block to the queue auto block_connection = pattern_block->connections; @@ -1178,13 +1152,12 @@ static AtomBlockId get_driving_block(const AtomBlockId block_id, } static void print_pack_molecules(const char* fname, - const t_pack_patterns* list_of_pack_patterns, + const std::vector& list_of_pack_patterns, const int num_pack_patterns, - const t_pack_molecule* list_of_molecules, + const vtr::vector_map& pack_molecules, const AtomNetlist& atom_nlist) { int i; FILE* fp; - const t_pack_molecule* list_of_molecules_current; fp = std::fopen(fname, "w"); fprintf(fp, "# of pack patterns %d\n", num_pack_patterns); @@ -1198,24 +1171,23 @@ static void print_pack_molecules(const char* fname, list_of_pack_patterns[i].root_block->pb_type->name); } - list_of_molecules_current = list_of_molecules; - while (list_of_molecules_current != nullptr) { - if (list_of_molecules_current->type == MOLECULE_SINGLE_ATOM) { + for (const t_pack_molecule& molecule : pack_molecules) { + if (molecule.type == e_pack_pattern_molecule_type::MOLECULE_SINGLE_ATOM) { fprintf(fp, "\nmolecule type: atom\n"); fprintf(fp, "\tpattern index %d: atom block %s\n", i, - atom_nlist.block_name(list_of_molecules_current->atom_block_ids[0]).c_str()); - } else if (list_of_molecules_current->type == MOLECULE_FORCED_PACK) { + atom_nlist.block_name(molecule.atom_block_ids[0]).c_str()); + } else if (molecule.type == e_pack_pattern_molecule_type::MOLECULE_FORCED_PACK) { fprintf(fp, "\nmolecule type: %s\n", - list_of_molecules_current->pack_pattern->name); - for (i = 0; i < list_of_molecules_current->pack_pattern->num_blocks; + molecule.pack_pattern->name); + for (i = 0; i < molecule.pack_pattern->num_blocks; i++) { - if (!list_of_molecules_current->atom_block_ids[i]) { + if (!molecule.atom_block_ids[i]) { fprintf(fp, "\tpattern index %d: empty \n", i); } else { fprintf(fp, "\tpattern index %d: atom block %s", i, - atom_nlist.block_name(list_of_molecules_current->atom_block_ids[i]).c_str()); - if (list_of_molecules_current->pack_pattern->root_block->block_id == i) { + atom_nlist.block_name(molecule.atom_block_ids[i]).c_str()); + if (molecule.pack_pattern->root_block->block_id == i) { fprintf(fp, " root node\n"); } else { fprintf(fp, "\n"); @@ -1225,7 +1197,6 @@ static void print_pack_molecules(const char* fname, } else { VTR_ASSERT(0); } - list_of_molecules_current = list_of_molecules_current->next; } fclose(fp); @@ -1332,7 +1303,7 @@ static int compare_pack_pattern(const t_pack_patterns* pattern_a, const t_pack_p */ static AtomBlockId find_new_root_atom_for_chain(const AtomBlockId blk_id, const t_pack_patterns* list_of_pack_patterns, - const std::multimap& atom_molecules, + const std::multimap& atom_molecules, const AtomNetlist& atom_nlist) { AtomBlockId new_root_blk_id; t_pb_graph_pin* root_ipin; @@ -1630,14 +1601,16 @@ static void get_all_connected_primitive_pins(const t_pb_graph_pin* cluster_input * and so on. */ static void init_molecule_chain_info(const AtomBlockId blk_id, - t_pack_molecule* molecule, - const std::multimap &atom_molecules, + t_pack_molecule& molecule, + const vtr::vector_map& pack_molecules, + const std::multimap& atom_molecules, + vtr::vector& chain_info, const AtomNetlist& atom_nlist) { // the input molecule to this function should have a pack // pattern assigned to it and the input block should be valid - VTR_ASSERT(molecule->pack_pattern && blk_id); + VTR_ASSERT(molecule.pack_pattern && blk_id); - auto root_ipin = molecule->pack_pattern->chain_root_pins[0][0]; + auto root_ipin = molecule.pack_pattern->chain_root_pins[0][0]; auto model_pin = root_ipin->port->model_port; auto pin_bit = root_ipin->pin_number; @@ -1652,18 +1625,23 @@ static void init_molecule_chain_info(const AtomBlockId blk_id, // if either there is no driver to the block input pin or // if the driver is not part of a molecule if (!driver_atom_id || itr == atom_molecules.end()) { - // allocate chain info - molecule->chain_info = std::make_shared(); - // this is not the first molecule to be created for this chain + MoleculeChainId new_chain_id = MoleculeChainId(chain_info.size()); + t_chain_info new_chain_info; + new_chain_info.is_long_chain = false; + chain_info.push_back(std::move(new_chain_info)); + molecule.chain_id = new_chain_id; } else { + // this is not the first molecule to be created for this chain // molecule driving blk_id - auto prev_molecule = itr->second; + PackMoleculeId prev_molecule_id = itr->second; + VTR_ASSERT(prev_molecule_id.is_valid()); + const t_pack_molecule& prev_molecule = pack_molecules[prev_molecule_id]; // molecule should have chain_info associated with it - VTR_ASSERT(prev_molecule && prev_molecule->chain_info); + VTR_ASSERT(prev_molecule.chain_id.is_valid()); // this molecule is now known to belong to a long chain - prev_molecule->chain_info->is_long_chain = true; - // this new molecule should share the same chain_info - molecule->chain_info = prev_molecule->chain_info; + chain_info[prev_molecule.chain_id].is_long_chain = true; + // this new molecule should share the same chain + molecule.chain_id = prev_molecule.chain_id; } } @@ -1689,55 +1667,44 @@ static void print_chain_starting_points(t_pack_patterns* chain_pattern) { VTR_LOG("\n"); } -/** - * This function frees the linked list of pack molecules. - */ -static void free_pack_molecules(t_pack_molecule* list_of_pack_molecules) { - t_pack_molecule* cur_pack_molecule = list_of_pack_molecules; - while (cur_pack_molecule != nullptr) { - cur_pack_molecule = list_of_pack_molecules->next; - delete list_of_pack_molecules; - list_of_pack_molecules = cur_pack_molecule; - } -} - -void Prepacker::init(const AtomNetlist& atom_nlist, const std::vector& logical_block_types) { - VTR_ASSERT(list_of_pack_molecules == nullptr && "Prepacker cannot be initialized twice."); +Prepacker::Prepacker(const AtomNetlist& atom_nlist, + const std::vector& logical_block_types) { + vtr::ScopedStartFinishTimer prepacker_timer("Prepacker"); // Allocate the pack patterns from the logical block types. list_of_pack_patterns = alloc_and_load_pack_patterns(logical_block_types); // Use the pack patterns to allocate and load the pack molecules. - std::multimap atom_molecules_multimap; + std::multimap atom_molecules_multimap; expected_lowest_cost_pb_gnode.resize(atom_nlist.blocks().size(), nullptr); - list_of_pack_molecules = alloc_and_load_pack_molecules(list_of_pack_patterns.data(), - expected_lowest_cost_pb_gnode, - list_of_pack_patterns.size(), - atom_molecules_multimap, - atom_nlist, - logical_block_types); + alloc_and_load_pack_molecules(atom_molecules_multimap, + atom_nlist, + logical_block_types); // The multimap is a legacy thing. Since blocks can be part of multiple pack // patterns, during prepacking a block may be contained within multiple // molecules. However, by the end of prepacking, molecules should be // combined such that each block is contained in one and only one molecule. - atom_molecules.resize(atom_nlist.blocks().size(), nullptr); + atom_molecule_.resize(atom_nlist.blocks().size(), PackMoleculeId::INVALID()); for (AtomBlockId blk_id : atom_nlist.blocks()) { // Every atom block should be packed into a single molecule (no more // or less). VTR_ASSERT(atom_molecules_multimap.count(blk_id) == 1); - atom_molecules[blk_id] = atom_molecules_multimap.find(blk_id)->second; + atom_molecule_[blk_id] = atom_molecules_multimap.find(blk_id)->second; } } // TODO: Since this is constant per molecule, it may make sense to precompute // this information and store it in the prepacker class. This may be // expensive to calculate for large molecules. -t_molecule_stats Prepacker::calc_molecule_stats(const t_pack_molecule* molecule, +t_molecule_stats Prepacker::calc_molecule_stats(PackMoleculeId molecule_id, const AtomNetlist& atom_nlist) const { + VTR_ASSERT(molecule_id.is_valid()); t_molecule_stats molecule_stats; + const t_pack_molecule& molecule = pack_molecules_[molecule_id]; + //Calculate the number of available pins on primitives within the molecule - for (auto blk : molecule->atom_block_ids) { + for (auto blk : molecule.atom_block_ids) { if (!blk) continue; ++molecule_stats.num_blocks; //Record number of valid blocks in molecule @@ -1755,8 +1722,8 @@ t_molecule_stats Prepacker::calc_molecule_stats(const t_pack_molecule* molecule, molecule_stats.num_pins = molecule_stats.num_input_pins + molecule_stats.num_output_pins; //Calculate the number of externally used pins - std::set molecule_atoms(molecule->atom_block_ids.begin(), molecule->atom_block_ids.end()); - for (auto blk : molecule->atom_block_ids) { + std::set molecule_atoms(molecule.atom_block_ids.begin(), molecule.atom_block_ids.end()); + for (auto blk : molecule.atom_block_ids) { if (!blk) continue; for (auto pin : atom_nlist.block_pins(blk)) { @@ -1803,11 +1770,9 @@ t_molecule_stats Prepacker::calc_molecule_stats(const t_pack_molecule* molecule, t_molecule_stats Prepacker::calc_max_molecule_stats(const AtomNetlist& atom_nlist) const { t_molecule_stats max_molecules_stats; - t_pack_molecule* molecule_head = list_of_pack_molecules; - for (auto cur_molecule = molecule_head; cur_molecule != nullptr; cur_molecule = cur_molecule->next) { + for (PackMoleculeId molecule_id : molecules()) { //Calculate per-molecule statistics - (void)atom_nlist; - t_molecule_stats cur_molecule_stats = calc_molecule_stats(cur_molecule, atom_nlist); + t_molecule_stats cur_molecule_stats = calc_molecule_stats(molecule_id, atom_nlist); //Record the maximums (member-wise) over all molecules max_molecules_stats.num_blocks = std::max(max_molecules_stats.num_blocks, cur_molecule_stats.num_blocks); @@ -1824,15 +1789,8 @@ t_molecule_stats Prepacker::calc_max_molecule_stats(const AtomNetlist& atom_nlis return max_molecules_stats; } -void Prepacker::reset() { +Prepacker::~Prepacker() { // When the prepacker is reset (or destroyed), clean up the internal data // members. free_list_of_pack_patterns(list_of_pack_patterns); - free_pack_molecules(list_of_pack_molecules); - // Reset everything to default state. - list_of_pack_patterns.clear(); - list_of_pack_molecules = nullptr; - atom_molecules.clear(); - expected_lowest_cost_pb_gnode.clear(); } - diff --git a/vpr/src/pack/prepack.h b/vpr/src/pack/prepack.h index 810c79bd19c..5222046ddb6 100644 --- a/vpr/src/pack/prepack.h +++ b/vpr/src/pack/prepack.h @@ -7,19 +7,111 @@ * 2) Carry-chains */ -#ifndef PREPACK_H -#define PREPACK_H +#pragma once #include -#include "vpr_types.h" +#include "atom_netlist_fwd.h" +#include "cad_types.h" #include "vtr_assert.h" +#include "vtr_range.h" +#include "vtr_strong_id.h" #include "vtr_vector.h" +#include "vtr_vector_map.h" -class AtomNetlist; -class AtomBlockId; -struct t_molecule_stats; +// Forward declarations +class t_pack_molecule; struct t_logical_block_type; +// A unique ID used to identify a molecule generated by the prepacker. +struct pack_molecule_id_tag; +typedef vtr::StrongId PackMoleculeId; + +// A unique ID used to identify a chain of molecules generated by the prepacker. +struct molecule_chain_id_tag; +typedef vtr::StrongId MoleculeChainId; + +/** + * @brief Holds general information to be shared between molecules that + * represent the same chained pack pattern. + * + * For example, molecules that are representing a long carry chain that spans + * multiple logic blocks. + */ +struct t_chain_info { + /// @brief Is this a long chain that is divided on multiple clusters + /// (divided on multiple molecules). + bool is_long_chain = false; +}; + +/** + * @brief Describes the molecule pack pattern type. + */ +enum class e_pack_pattern_molecule_type : bool { + MOLECULE_SINGLE_ATOM, ///root_block in the atom_blocks_ids. + /// root_block_id = atom_block_ids[root] + int root; + + /// @brief [0..num_blocks-1] IDs of atom blocks that implements this molecule, + /// indexed by t_pack_pattern_block->block_id. + /// + /// This vector may contain invalid atom block ids (when the molecule does + /// not completely fill the pattern). + std::vector atom_block_ids; + + /// @brief The unique ID of the chain this molecule is a part of if is_chain. + /// If this molecule is not part of a chain, this would be invalid. + /// + /// Multiple molecules may point to the same chain. + MoleculeChainId chain_id; + + // ========================================================================= + // Class methods + // ========================================================================= + + // A molecule is a chain if it is a forced pack and its pack pattern is a chain + inline bool is_chain() const { + return type == e_pack_pattern_molecule_type::MOLECULE_FORCED_PACK && pack_pattern->is_chain; + } +}; + /** * @brief Statistics on a molecule. * @@ -69,7 +161,7 @@ struct t_molecule_stats { * // Initialize device and atom netlist * // ... * Prepacker prepacker; - * prepacker.init(atom_ctx.nlist, device_ctx.logical_block_types); + * prepacker.init(atom_ctx.netlist(), device_ctx.logical_block_types); * // ... * // Use the prepacked molecules. * // ... @@ -78,17 +170,23 @@ struct t_molecule_stats { * */ class Prepacker { -public: - // The constructor is default, the init method performs prepacking. - Prepacker() = default; + public: + // Iterator for the pack molecule IDs + typedef typename vtr::vector_map::const_iterator molecule_iterator; + + // Range for the pack molecule IDs + typedef typename vtr::Range molecule_range; // This class maintains pointers to internal data structures, and as such // should not be copied or moved (prevents unsafe accesses). Prepacker(const Prepacker&) = delete; Prepacker& operator=(const Prepacker&) = delete; + // Destructor of the class. + ~Prepacker(); + /** - * @brief Performs prepacking. + * @brief Construtor. Performs prepacking. * * Initializes the prepacker by performing prepacking and allocating the * necessary data strucutres. @@ -96,19 +194,28 @@ class Prepacker { * @param atom_nlist The atom netlist to prepack. * @param logical_block_types A list of the logical block types on the device. */ - void init(const AtomNetlist& atom_nlist, const std::vector &logical_block_types); + Prepacker(const AtomNetlist& atom_nlist, + const std::vector& logical_block_types); + + /** + * @brief A range of all prepacked molecules. Every atom should exist in one + * of these molecules. + */ + inline molecule_range molecules() const { + return vtr::make_range(pack_molecule_ids_.begin(), pack_molecule_ids_.end()); + } /** * @brief Get the cluster molecule containing the given atom block. * * @param blk_id The atom block to get the molecule of. */ - inline t_pack_molecule* get_atom_molecule(AtomBlockId blk_id) const { + inline PackMoleculeId get_atom_molecule(AtomBlockId blk_id) const { // Safety debug to ensure the blk is valid and has a molecule entry. - VTR_ASSERT_SAFE(blk_id.is_valid() && (size_t)blk_id < atom_molecules.size()); + VTR_ASSERT_SAFE(blk_id.is_valid() && (size_t)blk_id < atom_molecule_.size()); // Safety debug to ensure the molecule is valid - VTR_ASSERT_DEBUG(atom_molecules[blk_id] != nullptr); - return atom_molecules[blk_id]; + VTR_ASSERT_DEBUG(atom_molecule_[blk_id].is_valid()); + return atom_molecule_[blk_id]; } /** @@ -125,34 +232,10 @@ class Prepacker { return expected_lowest_cost_pb_gnode[blk_id]; } - /** - * @brief Returns the total number of molecules in the prepacker. - */ - inline size_t get_num_molecules() const { - size_t num_molecules = 0; - t_pack_molecule* molecule_head = list_of_pack_molecules; - for (auto cur_molecule = molecule_head; cur_molecule != nullptr; cur_molecule = cur_molecule->next) { - ++num_molecules; - } - return num_molecules; - } - - /** - * @brief Returns all of the molecules as a vector. - */ - inline std::vector get_molecules_vector() const { - std::vector molecules; - t_pack_molecule* molecule_head = list_of_pack_molecules; - for (auto cur_molecule = molecule_head; cur_molecule != nullptr; cur_molecule = cur_molecule->next) { - molecules.push_back(cur_molecule); - } - return molecules; - } - /* * @brief Calculates molecule statistics for a single molecule. */ - t_molecule_stats calc_molecule_stats(const t_pack_molecule* molecule, + t_molecule_stats calc_molecule_stats(PackMoleculeId molecule_id, const AtomNetlist& atom_netlist) const; /** @@ -165,37 +248,93 @@ class Prepacker { */ inline size_t get_max_molecule_size() const { size_t max_molecule_size = 1; - t_pack_molecule* molecule_head = list_of_pack_molecules; - for (auto cur_molecule = molecule_head; cur_molecule != nullptr; cur_molecule = cur_molecule->next) { - max_molecule_size = std::max(max_molecule_size, cur_molecule->num_blocks); + for (const t_pack_molecule& molecule : pack_molecules_) { + max_molecule_size = std::max(max_molecule_size, molecule.atom_block_ids.size()); } return max_molecule_size; } /** - * @brief Resets the prepacker object. Clearing all state. - * - * This resets the prepacker, allowing it to prepack again and also freeing - * any state. + * @brief Get information about the molecule associated with the given ID. + */ + inline const t_pack_molecule& get_molecule(PackMoleculeId molecule_id) const { + VTR_ASSERT(molecule_id.is_valid()); + return pack_molecules_[molecule_id]; + } + + /** + * @brief Get the root atom of this molecule. + */ + inline AtomBlockId get_molecule_root_atom(PackMoleculeId molecule_id) const { + VTR_ASSERT_SAFE_MSG(molecule_id.is_valid(), "Invalid molecule ID"); + const t_pack_molecule& mol = get_molecule(molecule_id); + return mol.atom_block_ids[mol.root]; + } + + /** + * @brief Get information about the chain associated with the given ID. + */ + inline const t_chain_info& get_molecule_chain_info(MoleculeChainId chain_id) const { + VTR_ASSERT(chain_id.is_valid()); + return chain_info_[chain_id]; + } + + /** + * @brief Get the number of unique molecule chains from the prepacker. */ - void reset(); + inline size_t get_num_molecule_chains() const { + return chain_info_.size(); + } - /// @brief Destructor of the prepacker class. Calls the reset method. - ~Prepacker() { reset(); } + /** + * @brief Get a list of all the pack patterns in the architecture. + */ + inline const std::vector& get_all_pack_patterns() const { + return list_of_pack_patterns; + } -private: + private: /** - * @brief A linked list of all the packing molecules that are loaded in - * prepacking stage. + * Pre-pack atoms in netlist to molecules + * 1. Single atoms are by definition a molecule. + * 2. Forced pack molecules are groupings of atoms that matches a t_pack_pattern definition. + * 3. Chained molecules are molecules that follow a carry-chain style pattern, + * ie. a single linear chain that can be split across multiple complex blocks + */ + void alloc_and_load_pack_molecules(std::multimap& atom_molecules_multimap, + const AtomNetlist& atom_nlist, + const std::vector& logical_block_types); + + /** + * Given a pattern and an atom block to serve as the root block, determine if + * the candidate atom block serving as the root node matches the pattern. + * If yes, return the molecule with this atom block as the root, if not, return NULL * - * All of the molecules in the prepacker are allocated into this linked list - * and must be freed eventually. + * Limitations: Currently assumes that forced pack nets must be single-fanout as + * this covers all the reasonable architectures we wanted. More complicated + * structures should probably be handled either downstream (general packing) + * or upstream (in tech mapping). + * If this limitation is too constraining, code is designed so that this limitation can be removed * - * TODO: Should use a vtr::vector instead of a linked list for storage. Then - * instead of pointers, IDs can be used to manipulate the molecules - * which would be safer. + * Side Effect: If successful, link atom to molecule + */ + PackMoleculeId try_create_molecule(const int pack_pattern_index, + AtomBlockId blk_id, + std::multimap& atom_molecules_multimap, + const AtomNetlist& atom_nlist); + + private: + /** + * @brief Collection of all molecule IDs. If an entry in this map is invalid + * it means that the molecule should be destroyed. + */ + vtr::vector_map pack_molecule_ids_; + + /** + * @brief Lookup between each molecule ID and the information associated with + * that molecule. */ - t_pack_molecule* list_of_pack_molecules = nullptr; + vtr::vector_map pack_molecules_; /** * @brief The molecules associated with each atom block. @@ -203,7 +342,7 @@ class Prepacker { * This vector is loaded in the init method and cleared in the reset method. * The pointers in this vector are shared with list_of_pack_molecules. */ - vtr::vector atom_molecules; + vtr::vector atom_molecule_; /// @brief A vector of the expected lowest cost physical block graph node. vtr::vector expected_lowest_cost_pb_gnode; @@ -212,6 +351,10 @@ class Prepacker { /// molecules keep pointers to this vector, so this needs to remain /// for the lifetime of the molecules. std::vector list_of_pack_patterns; -}; -#endif + /** + * @brief Lookup between each chain ID and the information associated with + * that chain. + */ + vtr::vector chain_info_; +}; diff --git a/vpr/src/pack/sync_netlists_to_routing_flat.cpp b/vpr/src/pack/sync_netlists_to_routing_flat.cpp index 8aa54bb7f1a..0e6be438300 100644 --- a/vpr/src/pack/sync_netlists_to_routing_flat.cpp +++ b/vpr/src/pack/sync_netlists_to_routing_flat.cpp @@ -1,23 +1,19 @@ /** -* @file sync_netlists_to_routing_flat.cpp -* -* @brief Implementation for \see sync_netlists_to_routing_flat(). -*/ + * @file sync_netlists_to_routing_flat.cpp + * + * @brief Implementation for \see sync_netlists_to_routing_flat(). + */ #include "clustered_netlist_fwd.h" -#include "clustered_netlist_utils.h" #include "logic_types.h" #include "netlist_fwd.h" #include "physical_types.h" +#include "physical_types_util.h" #include "vtr_time.h" #include "vtr_assert.h" -#include "vtr_log.h" -#include "annotate_routing.h" #include "globals.h" -#include "vpr_error.h" #include "vpr_utils.h" -#include "rr_graph2.h" #include "sync_netlists_to_routing_flat.h" @@ -44,20 +40,19 @@ static void sync_clustered_netlist_to_routing(void); * (i.e. the primitive has equivalent input pins and flat routing used a different pin) */ static void fixup_atom_pb_graph_pin_mapping(void); - /* Function definitions */ /** Is the clock net found in the routing results? * (If not, clock_modeling is probably ideal and we should preserve clock routing while rebuilding.) */ -inline bool is_clock_net_routed(void){ +inline bool is_clock_net_routed(void) { auto& atom_ctx = g_vpr_ctx.atom(); auto& route_ctx = g_vpr_ctx.routing(); - for(auto net_id: atom_ctx.nlist.nets()){ + for (auto net_id : atom_ctx.netlist().nets()) { auto& tree = route_ctx.route_trees[net_id]; - if(!tree) + if (!tree) continue; - if(route_ctx.is_clock_net[net_id]) /* Clock net has routing */ + if (route_ctx.is_clock_net[net_id]) /* Clock net has routing */ return true; } @@ -65,7 +60,7 @@ inline bool is_clock_net_routed(void){ } /** Get the ClusterBlockId for a given RRNodeId. */ -inline ClusterBlockId get_cluster_block_from_rr_node(RRNodeId inode){ +inline ClusterBlockId get_cluster_block_from_rr_node(RRNodeId inode) { auto& device_ctx = g_vpr_ctx.device(); auto& place_ctx = g_vpr_ctx.placement(); auto& rr_graph = device_ctx.rr_graph; @@ -85,38 +80,36 @@ inline ClusterBlockId get_cluster_block_from_rr_node(RRNodeId inode){ int width_offset = device_ctx.grid.get_width_offset(node_phy_tile_loc); int height_offset = device_ctx.grid.get_height_offset(node_phy_tile_loc); - ClusterBlockId clb = place_ctx.grid_blocks().block_at_location({ - rr_graph.node_xlow(inode) - width_offset, - rr_graph.node_ylow(inode) - height_offset, - subtile, - rr_graph.node_layer(inode) - }); + ClusterBlockId clb = place_ctx.grid_blocks().block_at_location({rr_graph.node_xlow(inode) - width_offset, + rr_graph.node_ylow(inode) - height_offset, + subtile, + rr_graph.node_layer(inode)}); return clb; } -static void get_intra_cluster_connections(const RouteTree& tree, std::vector>& out_connections){ +static void get_intra_cluster_connections(const RouteTree& tree, std::vector>& out_connections) { auto& rr_graph = g_vpr_ctx.device().rr_graph; - for(auto& node: tree.all_nodes()){ + for (auto& node : tree.all_nodes()) { const auto& parent = node.parent(); - if(!parent) /* Root */ + if (!parent) /* Root */ continue; /* Find the case where both nodes are IPIN/OPINs and on the same block */ auto type = rr_graph.node_type(node.inode); auto parent_type = rr_graph.node_type(parent->inode); - if((type == IPIN || type == OPIN) && (parent_type == IPIN || parent_type == OPIN)){ + if ((type == IPIN || type == OPIN) && (parent_type == IPIN || parent_type == OPIN)) { auto clb = get_cluster_block_from_rr_node(node.inode); auto parent_clb = get_cluster_block_from_rr_node(parent->inode); - if(clb == parent_clb) + if (clb == parent_clb) out_connections.push_back({parent->inode, node.inode}); } } } -static void route_intra_cluster_conn(const t_pb_graph_pin* source_pin, const t_pb_graph_pin* sink_pin, AtomNetId net_id, t_pb* out_pb){ +static void route_intra_cluster_conn(const t_pb_graph_pin* source_pin, const t_pb_graph_pin* sink_pin, AtomNetId net_id, t_pb* out_pb) { std::unordered_set visited; std::deque queue; std::unordered_map prev; @@ -126,31 +119,31 @@ static void route_intra_cluster_conn(const t_pb_graph_pin* source_pin, const t_p queue.push_back(source_pin); prev[source_pin] = NULL; - while(!queue.empty()){ + while (!queue.empty()) { const t_pb_graph_pin* cur_pin = queue.front(); queue.pop_front(); - if(visited.count(cur_pin)) + if (visited.count(cur_pin)) continue; visited.insert(cur_pin); /* Backtrack and return */ - if(cur_pin == sink_pin){ + if (cur_pin == sink_pin) { break; } - for(auto& edge: cur_pin->output_edges){ + for (auto& edge : cur_pin->output_edges) { VTR_ASSERT(edge->num_output_pins == 1); queue.push_back(edge->output_pins[0]); prev[edge->output_pins[0]] = cur_pin; } } - + VTR_ASSERT_MSG(visited.count(sink_pin), "Couldn't find sink pin"); /* Collect path: we need to build pb_routes from source to sink */ std::vector path; const t_pb_graph_pin* cur_pin = sink_pin; - while(cur_pin != source_pin){ + while (cur_pin != source_pin) { path.push_back(cur_pin); cur_pin = prev[cur_pin]; } @@ -158,25 +151,24 @@ static void route_intra_cluster_conn(const t_pb_graph_pin* source_pin, const t_p /* Output the path into out_pb, starting from source. This is where the pb_route is updated */ int prev_pin_id = -1; - for(auto it = path.rbegin(); it != path.rend(); ++it){ + for (auto it = path.rbegin(); it != path.rend(); ++it) { cur_pin = *it; int cur_pin_id = cur_pin->pin_count_in_cluster; t_pb_route* cur_pb_route; - if(out_pb_routes.count(cur_pin_id)) + if (out_pb_routes.count(cur_pin_id)) cur_pb_route = &out_pb_routes[cur_pin_id]; else { t_pb_route pb_route = { net_id, -1, {}, - cur_pin - }; + cur_pin}; out_pb_routes.insert(std::make_pair<>(cur_pin_id, pb_route)); cur_pb_route = &out_pb_routes[cur_pin_id]; } - if(prev_pin_id != -1){ + if (prev_pin_id != -1) { t_pb_route& prev_pb_route = out_pb_routes[prev_pin_id]; prev_pb_route.sink_pb_pin_ids.push_back(cur_pin_id); cur_pb_route->driver_pb_pin_id = prev_pb_route.pb_graph_pin->pin_count_in_cluster; @@ -186,7 +178,7 @@ static void route_intra_cluster_conn(const t_pb_graph_pin* source_pin, const t_p } } -static void sync_pb_routes_to_routing(void){ +static void sync_pb_routes_to_routing(void) { auto& device_ctx = g_vpr_ctx.device(); auto& atom_ctx = g_vpr_ctx.atom(); auto& cluster_ctx = g_vpr_ctx.mutable_clustering(); @@ -202,20 +194,20 @@ static void sync_pb_routes_to_routing(void){ * Otherwise we won't have data to rebuild them */ std::vector pins_to_erase; auto& pb_routes = cluster_ctx.clb_nlist.block_pb(clb_blk_id)->pb_route; - for(auto& [pin, pb_route]: pb_routes){ - if(clock_net_is_routed || !route_ctx.is_clock_net[pb_route.atom_net_id]) + for (auto& [pin, pb_route] : pb_routes) { + if (clock_net_is_routed || !route_ctx.is_clock_net[pb_route.atom_net_id]) pins_to_erase.push_back(pin); } - for(int pin: pins_to_erase){ + for (int pin : pins_to_erase) { pb_routes.erase(pin); } } /* Go through each route tree and rebuild the pb_routes */ - for(ParentNetId net_id: atom_ctx.nlist.nets()){ + for (ParentNetId net_id : atom_ctx.netlist().nets()) { auto& tree = route_ctx.route_trees[net_id]; - if(!tree) + if (!tree) continue; /* No routing at this ParentNetId */ /* Get all intrablock connections */ @@ -223,26 +215,24 @@ static void sync_pb_routes_to_routing(void){ get_intra_cluster_connections(tree.value(), conns_to_restore); /* Restore the connections */ - for(auto [source_inode, sink_inode]: conns_to_restore){ + for (auto [source_inode, sink_inode] : conns_to_restore) { ClusterBlockId clb = get_cluster_block_from_rr_node(source_inode); - auto physical_tile = device_ctx.grid.get_physical_type({ - rr_graph.node_xlow(source_inode), - rr_graph.node_ylow(source_inode), - rr_graph.node_layer(source_inode) - }); + auto physical_tile = device_ctx.grid.get_physical_type({rr_graph.node_xlow(source_inode), + rr_graph.node_ylow(source_inode), + rr_graph.node_layer(source_inode)}); int source_pin = rr_graph.node_pin_num(source_inode); int sink_pin = rr_graph.node_pin_num(sink_inode); /* Look up pb graph pins from pb type if pin is not on tile, look up from block otherwise */ - const t_pb_graph_pin* source_pb_graph_pin, *sink_pb_graph_pin; - if(is_pin_on_tile(physical_tile, sink_pin)){ + const t_pb_graph_pin *source_pb_graph_pin, *sink_pb_graph_pin; + if (is_pin_on_tile(physical_tile, sink_pin)) { sink_pb_graph_pin = get_pb_graph_node_pin_from_block_pin(clb, sink_pin); - }else{ + } else { sink_pb_graph_pin = get_pb_pin_from_pin_physical_num(physical_tile, sink_pin); } - if(is_pin_on_tile(physical_tile, source_pin)){ + if (is_pin_on_tile(physical_tile, source_pin)) { source_pb_graph_pin = get_pb_graph_node_pin_from_block_pin(clb, source_pin); - }else{ + } else { source_pb_graph_pin = get_pb_pin_from_pin_physical_num(physical_tile, source_pin); } @@ -255,20 +245,20 @@ static void sync_pb_routes_to_routing(void){ } /** Rebuild the ClusterNetId <-> AtomNetId lookup after compressing the ClusterNetlist. - * Needs the old ClusterNetIds in atom_ctx.lookup. Won't work after calling compress() twice, + * Needs the old ClusterNetIds in atom_ctx.lookup(). Won't work after calling compress() twice, * since we won't have access to the old IDs in the IdRemapper anywhere. */ -inline void rebuild_atom_nets_lookup(ClusteredNetlist::IdRemapper& remapped){ +inline void rebuild_atom_nets_lookup(ClusteredNetlist::IdRemapper& remapped) { auto& atom_ctx = g_vpr_ctx.mutable_atom(); - auto& atom_lookup = atom_ctx.lookup; + auto& atom_lookup = atom_ctx.mutable_lookup(); - for(auto parent_net_id: atom_ctx.nlist.nets()){ + for (auto parent_net_id : atom_ctx.netlist().nets()) { auto atom_net_id = convert_to_atom_net_id(parent_net_id); auto old_clb_nets_opt = atom_lookup.clb_nets(atom_net_id); - if(!old_clb_nets_opt) + if (!old_clb_nets_opt) continue; std::vector old_clb_nets = old_clb_nets_opt.value(); atom_lookup.remove_atom_net(atom_net_id); - for(auto old_clb_net: old_clb_nets){ + for (auto old_clb_net : old_clb_nets) { ClusterNetId new_clb_net = remapped.new_net_id(old_clb_net); atom_lookup.add_atom_clb_net(atom_net_id, new_clb_net); } @@ -276,7 +266,7 @@ inline void rebuild_atom_nets_lookup(ClusteredNetlist::IdRemapper& remapped){ } /** Regenerate clustered netlist nets from routing results */ -static void sync_clustered_netlist_to_routing(void){ +static void sync_clustered_netlist_to_routing(void) { auto& cluster_ctx = g_vpr_ctx.mutable_clustering(); auto& place_ctx = g_vpr_ctx.mutable_placement(); auto& route_ctx = g_vpr_ctx.routing(); @@ -284,7 +274,7 @@ static void sync_clustered_netlist_to_routing(void){ auto& device_ctx = g_vpr_ctx.device(); auto& rr_graph = device_ctx.rr_graph; auto& atom_ctx = g_vpr_ctx.mutable_atom(); - auto& atom_lookup = atom_ctx.lookup; + auto& atom_lookup = atom_ctx.lookup(); bool clock_net_is_routed = is_clock_net_routed(); @@ -295,25 +285,25 @@ static void sync_clustered_netlist_to_routing(void){ std::vector pins_to_remove; std::vector ports_to_remove; - for(auto net_id: clb_netlist.nets()){ + for (auto net_id : clb_netlist.nets()) { auto atom_net_id = atom_lookup.atom_net(net_id); - if(!clock_net_is_routed && route_ctx.is_clock_net[atom_net_id]) + if (!clock_net_is_routed && route_ctx.is_clock_net[atom_net_id]) continue; nets_to_remove.push_back(net_id); } - for(auto pin_id: clb_netlist.pins()){ + for (auto pin_id : clb_netlist.pins()) { ClusterNetId clb_net_id = clb_netlist.pin_net(pin_id); auto atom_net_id = atom_lookup.atom_net(clb_net_id); - if(!clock_net_is_routed && atom_net_id && route_ctx.is_clock_net[atom_net_id]) + if (!clock_net_is_routed && atom_net_id && route_ctx.is_clock_net[atom_net_id]) continue; pins_to_remove.push_back(pin_id); } - for(auto port_id: clb_netlist.ports()){ + for (auto port_id : clb_netlist.ports()) { ClusterNetId clb_net_id = clb_netlist.port_net(port_id, 0); auto atom_net_id = atom_lookup.atom_net(clb_net_id); - if(!clock_net_is_routed && atom_net_id && route_ctx.is_clock_net[atom_net_id]) + if (!clock_net_is_routed && atom_net_id && route_ctx.is_clock_net[atom_net_id]) continue; ports_to_remove.push_back(port_id); @@ -321,14 +311,14 @@ static void sync_clustered_netlist_to_routing(void){ /* ClusteredNetlist's iterators rely on internal lookups, so we mark for removal * while iterating, then remove in bulk */ - for(auto net_id: nets_to_remove){ + for (auto net_id : nets_to_remove) { clb_netlist.remove_net(net_id); - atom_lookup.remove_clb_net(net_id); + atom_ctx.mutable_lookup().remove_clb_net(net_id); } - for(auto pin_id: pins_to_remove){ + for (auto pin_id : pins_to_remove) { clb_netlist.remove_pin(pin_id); } - for(auto port_id: ports_to_remove){ + for (auto port_id : ports_to_remove) { clb_netlist.remove_port(port_id); } @@ -338,51 +328,49 @@ static void sync_clustered_netlist_to_routing(void){ /* 3. Walk each routing in the atom netlist. If a node is on the tile, add a ClusterPinId for it. * Add the associated net and port too if they don't exist */ - for(auto parent_net_id: atom_ctx.nlist.nets()){ + for (auto parent_net_id : atom_ctx.netlist().nets()) { auto& tree = route_ctx.route_trees[parent_net_id]; AtomNetId atom_net_id = convert_to_atom_net_id(parent_net_id); ClusterNetId clb_net_id; int clb_nets_so_far = 0; - for(auto& rt_node: tree->all_nodes()){ + for (auto& rt_node : tree->all_nodes()) { auto node_type = rr_graph.node_type(rt_node.inode); - if(node_type != IPIN && node_type != OPIN) + if (node_type != IPIN && node_type != OPIN) continue; - auto physical_tile = device_ctx.grid.get_physical_type({ - rr_graph.node_xlow(rt_node.inode), - rr_graph.node_ylow(rt_node.inode), - rr_graph.node_layer(rt_node.inode) - }); + auto physical_tile = device_ctx.grid.get_physical_type({rr_graph.node_xlow(rt_node.inode), + rr_graph.node_ylow(rt_node.inode), + rr_graph.node_layer(rt_node.inode)}); int pin_index = rr_graph.node_pin_num(rt_node.inode); ClusterBlockId clb = get_cluster_block_from_rr_node(rt_node.inode); - if(!is_pin_on_tile(physical_tile, pin_index)) + if (!is_pin_on_tile(physical_tile, pin_index)) continue; /* OPIN on the tile: create a new clb_net_id and add all ports & pins into here * Due to how the route tree is traversed, all nodes until the next OPIN on the tile will * be under this OPIN, so this is valid (we don't need to get the branch explicitly) */ - if(node_type == OPIN){ + if (node_type == OPIN) { std::string net_name; - net_name = atom_ctx.nlist.net_name(parent_net_id) + "_" + std::to_string(clb_nets_so_far); + net_name = atom_ctx.netlist().net_name(parent_net_id) + "_" + std::to_string(clb_nets_so_far); clb_net_id = clb_netlist.create_net(net_name); - atom_lookup.add_atom_clb_net(atom_net_id, clb_net_id); + atom_ctx.mutable_lookup().add_atom_clb_net(atom_net_id, clb_net_id); clb_nets_so_far++; } t_pb_graph_pin* pb_graph_pin = get_pb_graph_node_pin_from_block_pin(clb, pin_index); ClusterPortId port_id = clb_netlist.find_port(clb, pb_graph_pin->port->name); - if(!port_id){ + if (!port_id) { PortType port_type; - if(pb_graph_pin->port->is_clock) + if (pb_graph_pin->port->is_clock) port_type = PortType::CLOCK; - else if(pb_graph_pin->port->type == IN_PORT) + else if (pb_graph_pin->port->type == IN_PORT) port_type = PortType::INPUT; - else if(pb_graph_pin->port->type == OUT_PORT) + else if (pb_graph_pin->port->type == OUT_PORT) port_type = PortType::OUTPUT; else VTR_ASSERT_MSG(false, "Unsupported port type"); @@ -402,40 +390,40 @@ static void sync_clustered_netlist_to_routing(void){ auto& blk_loc_registry = place_ctx.mutable_blk_loc_registry(); auto& physical_pins = place_ctx.mutable_physical_pins(); physical_pins.clear(); - for(auto clb: clb_netlist.blocks()){ + for (auto clb : clb_netlist.blocks()) { blk_loc_registry.place_sync_external_block_connections(clb); } } -static void fixup_atom_pb_graph_pin_mapping(void){ +static void fixup_atom_pb_graph_pin_mapping(void) { auto& cluster_ctx = g_vpr_ctx.clustering(); auto& atom_ctx = g_vpr_ctx.mutable_atom(); - for(ClusterBlockId clb: cluster_ctx.clb_nlist.blocks()){ + for (ClusterBlockId clb : cluster_ctx.clb_nlist.blocks()) { /* Collect all innermost pb routes */ std::vector sink_pb_route_ids; t_pb* clb_pb = cluster_ctx.clb_nlist.block_pb(clb); - for(auto [pb_route_id, pb_route]: clb_pb->pb_route){ - if(pb_route.sink_pb_pin_ids.empty()) + for (auto [pb_route_id, pb_route] : clb_pb->pb_route) { + if (pb_route.sink_pb_pin_ids.empty()) sink_pb_route_ids.push_back(pb_route_id); } - for(int sink_pb_route_id: sink_pb_route_ids){ + for (int sink_pb_route_id : sink_pb_route_ids) { t_pb_route& pb_route = clb_pb->pb_route.at(sink_pb_route_id); const t_pb_graph_pin* atom_pbg_pin = pb_route.pb_graph_pin; t_pb* atom_pb = clb_pb->find_mutable_pb(atom_pbg_pin->parent_node); - AtomBlockId atb = atom_ctx.lookup.pb_atom(atom_pb); - if(!atb) + AtomBlockId atb = atom_ctx.lookup().atom_pb_bimap().pb_atom(atom_pb); + if (!atb) continue; /* Find atom port from pbg pin's model port */ - AtomPortId atom_port = atom_ctx.nlist.find_atom_port(atb, atom_pbg_pin->port->model_port); - for(AtomPinId atom_pin: atom_ctx.nlist.port_pins(atom_port)){ + AtomPortId atom_port = atom_ctx.netlist().find_atom_port(atb, atom_pbg_pin->port->model_port); + for (AtomPinId atom_pin : atom_ctx.netlist().port_pins(atom_port)) { /* Match net IDs from pb_route and atom netlist and connect in lookup */ - if(pb_route.atom_net_id == atom_ctx.nlist.pin_net(atom_pin)){ - atom_ctx.lookup.set_atom_pin_pb_graph_pin(atom_pin, atom_pbg_pin); - atom_pb->set_atom_pin_bit_index(atom_pbg_pin, atom_ctx.nlist.pin_port_bit(atom_pin)); + if (pb_route.atom_net_id == atom_ctx.netlist().pin_net(atom_pin)) { + atom_ctx.mutable_lookup().set_atom_pin_pb_graph_pin(atom_pin, atom_pbg_pin); + atom_pb->set_atom_pin_bit_index(atom_pbg_pin, atom_ctx.netlist().pin_port_bit(atom_pin)); } } } diff --git a/vpr/src/pack/sync_netlists_to_routing_flat.h b/vpr/src/pack/sync_netlists_to_routing_flat.h index 9403eb1c2a9..0c1bc7d77d3 100644 --- a/vpr/src/pack/sync_netlists_to_routing_flat.h +++ b/vpr/src/pack/sync_netlists_to_routing_flat.h @@ -1,6 +1,6 @@ #include "netlist.h" - /******************************************************************** +/******************************************************************** * Top-level function to synchronize packing results to routing results. * Flat routing invalidates the ClusteredNetlist since nets may be routed * inside or outside a block and changes virtually all intrablock routing. diff --git a/vpr/src/pack/verify_clustering.cpp b/vpr/src/pack/verify_clustering.cpp index a833ce473d6..ec08e10a40b 100644 --- a/vpr/src/pack/verify_clustering.cpp +++ b/vpr/src/pack/verify_clustering.cpp @@ -107,7 +107,7 @@ static bool is_atom_pb_in_cluster_pb(AtomBlockId atom_blk_id, const AtomLookup& atom_lookup, const ClusteredNetlist& clb_nlist) { // Get the pbs - const t_pb* atom_pb = atom_lookup.atom_pb(atom_blk_id); + const t_pb* atom_pb = atom_lookup.atom_pb_bimap().atom_pb(atom_blk_id); const t_pb* cluster_pb = clb_nlist.block_pb(clb_blk_id); // For the atom pb to be a part of the cluster pb, the atom pb must be a // descendent of the cluster pb (the cluster pb is the ancestor to all atom @@ -179,7 +179,7 @@ static unsigned check_clustering_pb_consistency(const ClusteredNetlist& clb_nlis ClusterBlockId atom_clb_blk_id = atom_lookup.atom_clb(atom_blk_id); if (!atom_clb_blk_id.is_valid()) continue; - const t_pb* atom_pb = atom_lookup.atom_pb(atom_blk_id); + const t_pb* atom_pb = atom_lookup.atom_pb_bimap().atom_pb(atom_blk_id); // Make sure the atom's pb exists if (atom_pb == nullptr) { VTR_LOG_ERROR( @@ -188,7 +188,7 @@ static unsigned check_clustering_pb_consistency(const ClusteredNetlist& clb_nlis num_errors++; } else { // Sanity check: atom_pb == pb_atom - if (atom_lookup.pb_atom(atom_pb) != atom_blk_id) { + if (atom_lookup.atom_pb_bimap().pb_atom(atom_pb) != atom_blk_id) { VTR_LOG_ERROR( "Atom block %zu in cluster block %zu has a pb which " "belongs to another atom.\n", @@ -243,10 +243,10 @@ static unsigned check_clustering_pb_consistency(const ClusteredNetlist& clb_nlis * @return The number of errors in the clustering floorplanning. */ static unsigned check_clustering_floorplanning_consistency( - const ClusteredNetlist& clb_nlist, - const vtr::vector>& clb_atoms, - const vtr::vector& cluster_constraints, - const UserPlaceConstraints& constraints) { + const ClusteredNetlist& clb_nlist, + const vtr::vector>& clb_atoms, + const vtr::vector& cluster_constraints, + const UserPlaceConstraints& constraints) { unsigned num_errors = 0; // Check that each cluster has a constraint. if (cluster_constraints.size() != clb_nlist.blocks().size()) { @@ -435,10 +435,9 @@ unsigned verify_clustering(const ClusteredNetlist& clb_nlist, unsigned verify_clustering(const VprContext& ctx) { // Verify the clustering within the given context. return verify_clustering(ctx.clustering().clb_nlist, - ctx.atom().nlist, - ctx.atom().lookup, + ctx.atom().netlist(), + ctx.atom().lookup(), ctx.clustering().atoms_lookup, ctx.floorplanning().cluster_constraints, ctx.floorplanning().constraints); } - diff --git a/vpr/src/pack/verify_clustering.h b/vpr/src/pack/verify_clustering.h index f9ae0d95ed2..f13de9a6d48 100644 --- a/vpr/src/pack/verify_clustering.h +++ b/vpr/src/pack/verify_clustering.h @@ -82,4 +82,3 @@ unsigned verify_clustering(const ClusteredNetlist& clb_nlist, * log messages for each error found. */ unsigned verify_clustering(const VprContext& ctx); - diff --git a/vpr/src/pack/verify_flat_placement.cpp b/vpr/src/pack/verify_flat_placement.cpp new file mode 100644 index 00000000000..76a893d66a6 --- /dev/null +++ b/vpr/src/pack/verify_flat_placement.cpp @@ -0,0 +1,100 @@ + +#include "verify_flat_placement.h" +#include "flat_placement_types.h" +#include "atom_netlist.h" +#include "atom_netlist_fwd.h" +#include "prepack.h" +#include "vpr_types.h" +#include "vtr_log.h" + +unsigned verify_flat_placement_for_packing(const FlatPlacementInfo& flat_placement_info, + const AtomNetlist& atom_netlist, + const Prepacker& prepacker) { + unsigned num_errors = 0; + + // Quick check to ensure that the flat placement info has the correct size + // for each piece of information. + if (flat_placement_info.blk_x_pos.size() != atom_netlist.blocks().size() + || flat_placement_info.blk_y_pos.size() != atom_netlist.blocks().size() + || flat_placement_info.blk_layer.size() != atom_netlist.blocks().size() + || flat_placement_info.blk_sub_tile.size() != atom_netlist.blocks().size() + || flat_placement_info.blk_site_idx.size() != atom_netlist.blocks().size()) { + VTR_LOG_ERROR( + "The number of blocks in the flat placement does not match the " + "number of blocks in the atom netlist.\n"); + num_errors++; + // Return here since this error can cause issues below. + return num_errors; + } + + // 1. Verify that every atom has an (x, y, layer) position on the device. + // + // TODO: In the future, we may be able to allow some blocks to have + // undefined positions. + for (AtomBlockId blk_id : atom_netlist.blocks()) { + if (flat_placement_info.blk_x_pos[blk_id] == FlatPlacementInfo::UNDEFINED_POS + || flat_placement_info.blk_y_pos[blk_id] == FlatPlacementInfo::UNDEFINED_POS + || flat_placement_info.blk_layer[blk_id] == FlatPlacementInfo::UNDEFINED_POS) { + VTR_LOG_ERROR( + "Atom block %s has an undefined position in the flat placement.\n", + atom_netlist.block_name(blk_id).c_str()); + num_errors++; + } + } + + // 2. Verify that every atom block has non-negative position values. + // + // Since the device may not be sized yet, we cannot check if the positions + // are within the bounds of the device, but if any position value is + // negative (and is not undefined) we know that it is invalid. + for (AtomBlockId blk_id : atom_netlist.blocks()) { + float blk_x_pos = flat_placement_info.blk_x_pos[blk_id]; + float blk_y_pos = flat_placement_info.blk_y_pos[blk_id]; + float blk_layer = flat_placement_info.blk_layer[blk_id]; + int blk_sub_tile = flat_placement_info.blk_sub_tile[blk_id]; + int blk_site_idx = flat_placement_info.blk_site_idx[blk_id]; + if ((blk_x_pos < 0.f && blk_x_pos != FlatPlacementInfo::UNDEFINED_POS) + || (blk_y_pos < 0.f && blk_y_pos != FlatPlacementInfo::UNDEFINED_POS) + || (blk_layer < 0.f && blk_layer != FlatPlacementInfo::UNDEFINED_POS) + || (blk_sub_tile < 0 && blk_sub_tile != FlatPlacementInfo::UNDEFINED_SUB_TILE) + || (blk_site_idx < 0 && blk_site_idx != FlatPlacementInfo::UNDEFINED_SITE_IDX)) { + VTR_LOG_ERROR( + "Atom block %s is placed at an invalid position on the FPGA.\n", + atom_netlist.block_name(blk_id).c_str()); + num_errors++; + } + } + + // 3. Verify that every atom in each molecule has the same position. + // + // TODO: In the future, we can support if some of the atoms are undefined, + // but that can be fixed-up before calling this method. + for (PackMoleculeId mol_id : prepacker.molecules()) { + const t_pack_molecule& mol = prepacker.get_molecule(mol_id); + AtomBlockId root_blk_id = mol.atom_block_ids[mol.root]; + float root_pos_x = flat_placement_info.blk_x_pos[root_blk_id]; + float root_pos_y = flat_placement_info.blk_y_pos[root_blk_id]; + float root_layer = flat_placement_info.blk_layer[root_blk_id]; + int root_sub_tile = flat_placement_info.blk_sub_tile[root_blk_id]; + for (AtomBlockId mol_blk_id : mol.atom_block_ids) { + if (!mol_blk_id.is_valid()) + continue; + if (flat_placement_info.blk_x_pos[mol_blk_id] != root_pos_x || flat_placement_info.blk_y_pos[mol_blk_id] != root_pos_y || flat_placement_info.blk_layer[mol_blk_id] != root_layer || flat_placement_info.blk_sub_tile[mol_blk_id] != root_sub_tile) { + VTR_LOG_ERROR( + "Molecule with root atom block %s contains atom block %s " + "which is not at the same position as the root atom " + "block.\n", + atom_netlist.block_name(root_blk_id).c_str(), + atom_netlist.block_name(mol_blk_id).c_str()); + num_errors++; + } + } + } + + // TODO: May want to verify that the layer is all 0 in the case of 2D FPGAs. + + // TODO: Should verify that the fixed block constraints are observed. + // It is ill-formed for a flat placement to disagree with the constraints. + + return num_errors; +} diff --git a/vpr/src/pack/verify_flat_placement.h b/vpr/src/pack/verify_flat_placement.h new file mode 100644 index 00000000000..44bfb47f3fd --- /dev/null +++ b/vpr/src/pack/verify_flat_placement.h @@ -0,0 +1,37 @@ +/** + * @file + * @author Alex Singer + * @date January 2025 + * @brief Independent verify methods to check invariants on the flat + * placement that has been passed into the packer. This checks for + * invalid data so this does not have to be checked during packing. + */ + +#pragma once + +// Forward declarations +class FlatPlacementInfo; +class AtomNetlist; +class Prepacker; + +/** + * @brief Verify the flat placement for use in the packer. + * + * This method will check the following invariants: + * 1. Every atom has a defined x and y position. + * 2. Every atom has non-negative placement information values. + * 3. Every molecule has atoms that have the same placement information. + * + * This method will log error messages for each issue it finds and will return + * a count of the number of errors. + * + * @param flat_placement_info + * The flat placement to verify. + * @param atom_netlist + * The netlist of atoms in the circuits. + * @param prepacker + * The prepacker object used to prepack the atoms into molecules. + */ +unsigned verify_flat_placement_for_packing(const FlatPlacementInfo& flat_placement_info, + const AtomNetlist& atom_netlist, + const Prepacker& prepacker); diff --git a/vpr/src/place/RL_agent_util.cpp b/vpr/src/place/RL_agent_util.cpp index b33e05f077a..c65cd4301e7 100644 --- a/vpr/src/place/RL_agent_util.cpp +++ b/vpr/src/place/RL_agent_util.cpp @@ -1,8 +1,12 @@ #include "RL_agent_util.h" + +#include "place_macro.h" +#include "simpleRL_move_generator.h" #include "static_move_generator.h" -#include "manual_move_generator.h" +#include "placer_state.h" std::pair, std::unique_ptr> create_move_generators(PlacerState& placer_state, + const PlaceMacros& place_macros, const t_placer_opts& placer_opts, int move_lim, double noc_attraction_weight, @@ -10,7 +14,6 @@ std::pair, std::unique_ptr> create e_reward_function reward_fun = string_to_reward(placer_opts.place_reward_fun); std::pair, std::unique_ptr> move_generators; - if (!placer_opts.RL_agent_placement) { // RL agent is disabled auto move_types = placer_opts.place_static_move_prob; move_types.resize((int)e_move_type::NUMBER_OF_AUTO_MOVES, 0.0f); @@ -22,8 +25,8 @@ std::pair, std::unique_ptr> create move_name.c_str(), placer_opts.place_static_move_prob[move_type]); } - move_generators.first = std::make_unique(placer_state, reward_fun, rng, placer_opts.place_static_move_prob); - move_generators.second = std::make_unique(placer_state, reward_fun, rng, placer_opts.place_static_move_prob); + move_generators.first = std::make_unique(placer_state, place_macros, reward_fun, rng, placer_opts.place_static_move_prob); + move_generators.second = std::make_unique(placer_state, place_macros, reward_fun, rng, placer_opts.place_static_move_prob); } else { //RL based placement /* For the non timing driven placement: the agent has a single state * * - Available moves are (Uniform / Median / Centroid) * @@ -60,6 +63,13 @@ std::pair, std::unique_ptr> create second_state_avail_moves.push_back(e_move_type::NOC_ATTRACTION_CENTROID); } + std::vector num_movable_blocks_per_type; + std::ranges::transform(placer_state.blk_loc_registry().movable_blocks_per_type(), + std::back_inserter(num_movable_blocks_per_type), + [](const auto& innerVec) noexcept { + return innerVec.size(); + }); + if (placer_opts.place_agent_algorithm == e_agent_algorithm::E_GREEDY) { std::unique_ptr karmed_bandit_agent1, karmed_bandit_agent2; //agent's 1st state @@ -68,16 +78,19 @@ std::pair, std::unique_ptr> create karmed_bandit_agent1 = std::make_unique(first_state_avail_moves, e_agent_space::MOVE_BLOCK_TYPE, placer_opts.place_agent_epsilon, - rng); + rng, + num_movable_blocks_per_type); } else { VTR_LOG("Using simple RL 'Epsilon Greedy agent' for choosing move types\n"); karmed_bandit_agent1 = std::make_unique(first_state_avail_moves, e_agent_space::MOVE_TYPE, placer_opts.place_agent_epsilon, - rng); + rng, + num_movable_blocks_per_type); } karmed_bandit_agent1->set_step(placer_opts.place_agent_gamma, move_lim); move_generators.first = std::make_unique(placer_state, + place_macros, reward_fun, rng, karmed_bandit_agent1, @@ -87,9 +100,11 @@ std::pair, std::unique_ptr> create karmed_bandit_agent2 = std::make_unique(second_state_avail_moves, e_agent_space::MOVE_TYPE, placer_opts.place_agent_epsilon, - rng); + rng, + num_movable_blocks_per_type); karmed_bandit_agent2->set_step(placer_opts.place_agent_gamma, move_lim); move_generators.second = std::make_unique(placer_state, + place_macros, reward_fun, rng, karmed_bandit_agent2, @@ -102,15 +117,18 @@ std::pair, std::unique_ptr> create VTR_LOG("Using simple RL 'Softmax agent' for choosing move and block types\n"); karmed_bandit_agent1 = std::make_unique(first_state_avail_moves, e_agent_space::MOVE_BLOCK_TYPE, - rng); + rng, + num_movable_blocks_per_type); } else { VTR_LOG("Using simple RL 'Softmax agent' for choosing move types\n"); karmed_bandit_agent1 = std::make_unique(first_state_avail_moves, e_agent_space::MOVE_TYPE, - rng); + rng, + num_movable_blocks_per_type); } karmed_bandit_agent1->set_step(placer_opts.place_agent_gamma, move_lim); move_generators.first = std::make_unique(placer_state, + place_macros, reward_fun, rng, karmed_bandit_agent1, @@ -119,9 +137,11 @@ std::pair, std::unique_ptr> create //agent's 2nd state karmed_bandit_agent2 = std::make_unique(second_state_avail_moves, e_agent_space::MOVE_TYPE, - rng); + rng, + num_movable_blocks_per_type); karmed_bandit_agent2->set_step(placer_opts.place_agent_gamma, move_lim); move_generators.second = std::make_unique(placer_state, + place_macros, reward_fun, rng, karmed_bandit_agent2, @@ -149,4 +169,4 @@ MoveGenerator& select_move_generator(std::unique_ptr& move_genera else return *move_generator2; } -} \ No newline at end of file +} diff --git a/vpr/src/place/RL_agent_util.h b/vpr/src/place/RL_agent_util.h index 0ec801e8000..326cbf01548 100644 --- a/vpr/src/place/RL_agent_util.h +++ b/vpr/src/place/RL_agent_util.h @@ -3,6 +3,8 @@ #include "move_generator.h" +class PlaceMacros; + //enum represents the available agent states enum class e_agent_state { EARLY_IN_THE_ANNEAL, @@ -27,6 +29,7 @@ enum class e_agent_state { * */ std::pair, std::unique_ptr> create_move_generators(PlacerState& placer_state, + const PlaceMacros& place_macros, const t_placer_opts& placer_opts, int move_lim, double noc_attraction_weight, diff --git a/vpr/src/place/analytic_placer.cpp b/vpr/src/place/analytic_placer.cpp index b4ac4400f0f..a0897af6785 100644 --- a/vpr/src/place/analytic_placer.cpp +++ b/vpr/src/place/analytic_placer.cpp @@ -1,29 +1,30 @@ +#include "place_macro.h" #ifdef ENABLE_ANALYTIC_PLACE -# include "analytic_placer.h" +#include "analytic_placer.h" // The eigen library contains a warning in GCC13 for a null dereference. This // causes the CI build to fail due to the warning. Ignoring the warning for // these include files. Using push to return to the state of GCC diagnostics. #pragma GCC diagnostic push #pragma GCC diagnostic ignored "-Wnull-dereference" -# include -# include +#include +#include // Pop the GCC diagnostics state back to what it was before. #pragma GCC diagnostic pop -# include -# include -# include +#include +#include +#include -# include "vpr_types.h" -# include "vtr_time.h" -# include "read_place.h" -# include "globals.h" -# include "vtr_log.h" -# include "cut_spreader.h" -# include "vpr_utils.h" -# include "place_util.h" +#include "vpr_types.h" +#include "vtr_time.h" +#include "read_place.h" +#include "globals.h" +#include "vtr_log.h" +#include "cut_spreader.h" +#include "vpr_utils.h" +#include "place_util.h" // Templated struct for constructing and solving matrix equations in analytic placer template @@ -122,8 +123,10 @@ constexpr int HEAP_STALLED_ITERATIONS_STOP = 15; * Placement & device info is accessed via g_vpr_ctx */ -AnalyticPlacer::AnalyticPlacer(BlkLocRegistry& blk_loc_registry) - : blk_loc_registry_ref_(blk_loc_registry) { +AnalyticPlacer::AnalyticPlacer(BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros) + : blk_loc_registry_ref_(blk_loc_registry) + , place_macros_(place_macros) { //Eigen::initParallel(); // TODO: PlacerHeapCfg should be externally configured & supplied @@ -297,12 +300,11 @@ void AnalyticPlacer::build_legal_locations() { void AnalyticPlacer::init() { const ClusteredNetlist& clb_nlist = g_vpr_ctx.clustering().clb_nlist; auto& init_block_locs = blk_loc_registry_ref_.block_locs(); - auto& place_macros = blk_loc_registry_ref_.place_macros(); for (auto blk_id : clb_nlist.blocks()) { blk_locs.insert(blk_id, BlockLocation{}); blk_locs[blk_id].loc = init_block_locs[blk_id].loc; // transfer of initial placement - row_num.insert(blk_id, DONT_SOLVE); // no blocks are moved by default, until they are setup in setup_solve_blks() + row_num.insert(blk_id, DONT_SOLVE); // no blocks are moved by default, until they are setup in setup_solve_blks() } // only blocks with connections are considered @@ -319,7 +321,7 @@ void AnalyticPlacer::init() { if (!init_block_locs[blk_id].is_fixed && has_connections(blk_id)) // not fixed and has connections // matrix equation is formulated based on connections, so requires at least one connection - if (place_macros.get_imacro_from_iblk(blk_id) == NO_MACRO || place_macros.macro_head(blk_id) == blk_id) { + if (place_macros_.get_imacro_from_iblk(blk_id) == NO_MACRO || place_macros_.macro_head(blk_id) == blk_id) { // not in macro or head of macro // for macro, only the head (base) block of the macro is a free variable, the location of other macro // blocks can be calculated using offset of the head. They are not free variables in the equation system @@ -379,7 +381,6 @@ int AnalyticPlacer::total_hpwl() { */ void AnalyticPlacer::setup_solve_blks(t_logical_block_type_ptr blkTypes) { const ClusteredNetlist& clb_nlist = g_vpr_ctx.clustering().clb_nlist; - const auto& place_macros = blk_loc_registry_ref_.place_macros(); int row = 0; solve_blks.clear(); @@ -395,9 +396,9 @@ void AnalyticPlacer::setup_solve_blks(t_logical_block_type_ptr blkTypes) { } } // update row_num of macro members - for (auto& macro : blk_loc_registry_ref_.place_macros().macros()) { + for (auto& macro : place_macros_.macros()) { for (auto& member : macro.members) { - row_num[member.blk_index] = row_num[place_macros.macro_head(member.blk_index)]; + row_num[member.blk_index] = row_num[place_macros_.macro_head(member.blk_index)]; } } } @@ -408,7 +409,7 @@ void AnalyticPlacer::setup_solve_blks(t_logical_block_type_ptr blkTypes) { * when formulating the matrix equations), an update for members is necessary */ void AnalyticPlacer::update_macros() { - for (auto& macro : blk_loc_registry_ref_.place_macros().macros()) { + for (auto& macro : place_macros_.macros()) { ClusterBlockId head_id = macro.members[0].blk_index; bool mac_can_be_placed = macro_can_be_placed(macro, blk_locs[head_id].loc, true, blk_loc_registry_ref_); @@ -471,8 +472,6 @@ void AnalyticPlacer::stamp_weight_on_matrix(EquationSystem& es, ClusterBlockId var, ClusterBlockId eqn, double weight) { - const auto& place_macros = blk_loc_registry_ref_.place_macros(); - // Return the x or y position of a block auto blk_p = [&](ClusterBlockId blk_id) { return dir ? blk_locs[blk_id].loc.y : blk_locs[blk_id].loc.x; }; @@ -486,8 +485,8 @@ void AnalyticPlacer::stamp_weight_on_matrix(EquationSystem& es, } else { // var is not movable, stamp weight on rhs vector es.add_rhs(eqn_row, -v_pos * weight); } - if (place_macros.get_imacro_from_iblk(var) != NO_MACRO) { // var is part of a macro, stamp on rhs vector - auto& members = place_macros[place_macros.get_imacro_from_iblk(var)].members; + if (place_macros_.get_imacro_from_iblk(var) != NO_MACRO) { // var is part of a macro, stamp on rhs vector + auto& members = place_macros_[place_macros_.get_imacro_from_iblk(var)].members; for (auto& member : members) { // go through macro members to find the right member block if (member.blk_index == var) es.add_rhs(eqn_row, -(dir ? member.offset.y : member.offset.x) * weight); diff --git a/vpr/src/place/analytic_placer.h b/vpr/src/place/analytic_placer.h index b73b3486f57..86e31481858 100644 --- a/vpr/src/place/analytic_placer.h +++ b/vpr/src/place/analytic_placer.h @@ -82,9 +82,10 @@ * https://github.com/YosysHQ/nextpnr */ -# include "vpr_context.h" -# include "timing_place.h" -# include "PlacementDelayCalculator.h" +#include "vpr_context.h" +#include "PlacementDelayCalculator.h" + +class PlaceMacros; /* * @brief Templated struct for constructing and solving matrix equations in analytic placer @@ -99,7 +100,6 @@ extern int DONT_SOLVE; // sentinel for blks not part of a placement macro extern int NO_MACRO; - class AnalyticPlacer { public: /* @@ -107,7 +107,7 @@ class AnalyticPlacer { * To tune these parameters, change directly in constructor */ AnalyticPlacer() = delete; - explicit AnalyticPlacer(BlkLocRegistry& blk_loc_registry); + explicit AnalyticPlacer(BlkLocRegistry& blk_loc_registry, const PlaceMacros& place_macros); /* * @brief main function of analytic placement @@ -168,6 +168,9 @@ class AnalyticPlacer { // reference to the placement location variables BlkLocRegistry& blk_loc_registry_ref_; + // Reference to the placement macros. + const PlaceMacros& place_macros_; + /* * The set of blks of different types to be placed by AnalyticPlacement process, * i.e. the free variable blocks. diff --git a/vpr/src/place/annealer.cpp b/vpr/src/place/annealer.cpp index b18f60b27bd..13426283c6c 100644 --- a/vpr/src/place/annealer.cpp +++ b/vpr/src/place/annealer.cpp @@ -6,6 +6,7 @@ #include "globals.h" #include "draw_global.h" +#include "place_macro.h" #include "vpr_types.h" #include "place_util.h" #include "placer_state.h" @@ -16,6 +17,8 @@ #include "read_place.h" #include "placer_breakpoint.h" #include "RL_agent_util.h" +#include "PlacerSetupSlacks.h" +#include "PlacerCriticalities.h" /**************************************************************************/ /*************** Static Function Declarations *****************************/ @@ -135,7 +138,6 @@ bool t_annealing_state::outer_loop_update(float success_rate, auto& cluster_ctx = g_vpr_ctx.clustering(); float t_exit = 0.005 * costs.cost / cluster_ctx.clb_nlist.nets().size(); - VTR_ASSERT_SAFE(placer_opts.anneal_sched.type == e_sched_type::AUTO_SCHED); // Automatically adjust alpha according to success rate. if (success_rate > 0.96) { @@ -187,6 +189,7 @@ void t_annealing_state::update_crit_exponent(const t_placer_opts& placer_opts) { PlacementAnnealer::PlacementAnnealer(const t_placer_opts& placer_opts, PlacerState& placer_state, + const PlaceMacros& place_macros, t_placer_costs& costs, NetCostHandler& net_cost_handler, std::optional& noc_cost_handler, @@ -202,6 +205,7 @@ PlacementAnnealer::PlacementAnnealer(const t_placer_opts& placer_opts, int move_lim) : placer_opts_(placer_opts) , placer_state_(placer_state) + , place_macros_(place_macros) , costs_(costs) , net_cost_handler_(net_cost_handler) , noc_cost_handler_(noc_cost_handler) @@ -209,7 +213,7 @@ PlacementAnnealer::PlacementAnnealer(const t_placer_opts& placer_opts, , rng_(rng) , move_generator_1_(std::move(move_generator_1)) , move_generator_2_(std::move(move_generator_2)) - , manual_move_generator_(placer_state, rng) + , manual_move_generator_(placer_state, place_macros, rng) , agent_state_(e_agent_state::EARLY_IN_THE_ANNEAL) , delay_model_(delay_model) , criticalities_(criticalities) @@ -219,8 +223,7 @@ PlacementAnnealer::PlacementAnnealer(const t_placer_opts& placer_opts, , move_stats_file_(nullptr, vtr::fclose) , outer_crit_iter_count_(1) , blocks_affected_(placer_state.block_locs().size()) - , quench_started_(false) -{ + , quench_started_(false) { const auto& device_ctx = g_vpr_ctx.device(); float first_crit_exponent; @@ -230,7 +233,9 @@ PlacementAnnealer::PlacementAnnealer(const t_placer_opts& placer_opts, first_crit_exponent = 0.f; } - int first_move_lim = get_initial_move_lim(placer_opts, placer_opts_.anneal_sched); + int first_move_lim = get_place_inner_loop_num_move(placer_opts, placer_opts_.anneal_sched); + + VTR_LOG("Moves per temperature: %d\n", first_move_lim); if (placer_opts.inner_loop_recompute_divider != 0) { inner_recompute_limit_ = static_cast(0.5 + (float)first_move_lim / (float)placer_opts.inner_loop_recompute_divider); @@ -254,7 +259,11 @@ PlacementAnnealer::PlacementAnnealer(const t_placer_opts& placer_opts, // Get the first range limiter placer_state_.mutable_move().first_rlim = (float)std::max(device_ctx.grid.width() - 1, device_ctx.grid.height() - 1); - annealing_state_ = t_annealing_state(EPSILON, // Set the temperature low to ensure that initial placement quality will be preserved + // In automatic schedule we do a number of random moves before starting the main annealer + // to get an estimate for the initial temperature. We set this temperature low + // to ensure that initial placement quality will be preserved + constexpr float pre_annealing_temp = 1.e-15f; + annealing_state_ = t_annealing_state(pre_annealing_temp, placer_state_.move().first_rlim, first_move_lim, first_crit_exponent); @@ -364,7 +373,6 @@ e_move_result PlacementAnnealer::try_swap_(MoveGenerator& move_generator, double bb_delta_c = 0; //Change in the bounding box (wiring) cost. double timing_delta_c = 0; //Change in the timing cost (delay * criticality). - /* Allow some fraction of moves to not be restricted by rlim, * in the hopes of better escaping local minima. */ float rlim; @@ -386,12 +394,12 @@ e_move_result PlacementAnnealer::try_swap_(MoveGenerator& move_generator, if (manual_move_enabled) { #ifndef NO_GRAPHICS create_move_outcome = manual_move_display_and_propose(manual_move_generator_, blocks_affected_, - proposed_action.move_type, rlim, placer_opts_, - criticalities_); + proposed_action.move_type, rlim, + placer_opts_, criticalities_); #endif //NO_GRAPHICS } else if (router_block_move) { // generate a move where two random router blocks are swapped - create_move_outcome = propose_router_swap(blocks_affected_, rlim, blk_loc_registry, rng_); + create_move_outcome = propose_router_swap(blocks_affected_, rlim, blk_loc_registry, place_macros_, rng_); proposed_action.move_type = e_move_type::UNIFORM; } else { //Generate a new move (perturbation) used to explore the space of possible placements @@ -488,7 +496,7 @@ e_move_result PlacementAnnealer::try_swap_(MoveGenerator& move_generator, criticalities_->disable_update(); setup_slacks_->enable_update(); update_timing_classes(crit_params, timing_info_, criticalities_, - setup_slacks_, pin_timing_invalidator_, placer_state_); + setup_slacks_, pin_timing_invalidator_); /* Get the setup slack analysis cost */ //TODO: calculate a weighted average of the slack cost and wiring cost @@ -553,7 +561,7 @@ e_move_result PlacementAnnealer::try_swap_(MoveGenerator& move_generator, // Update clb data structures since we kept the move. blk_loc_registry.commit_move_blocks(blocks_affected_); - if (noc_opts_.noc){ + if (noc_opts_.noc) { noc_cost_handler_->commit_noc_costs(); costs_ += noc_delta_c; } @@ -592,7 +600,7 @@ e_move_result PlacementAnnealer::try_swap_(MoveGenerator& move_generator, // Revert the timing update update_timing_classes(crit_params, timing_info_, criticalities_, - setup_slacks_, pin_timing_invalidator_, placer_state_); + setup_slacks_, pin_timing_invalidator_); VTR_ASSERT_SAFE_MSG( verify_connection_setup_slacks(setup_slacks_, placer_state_), @@ -632,7 +640,6 @@ e_move_result PlacementAnnealer::try_swap_(MoveGenerator& move_generator, stop_placement_and_check_breakpoints(blocks_affected_, move_outcome, delta_c, bb_delta_c, timing_delta_c); #endif - // Clear the data structure containing block move info blocks_affected_.clear_move_blocks(); @@ -646,8 +653,7 @@ void PlacementAnnealer::outer_loop_update_timing_info() { if (placer_opts_.place_algorithm.is_timing_driven()) { /* At each temperature change we update these values to be used * for normalizing the tradeoff between timing and wirelength (bb) */ - if (outer_crit_iter_count_ >= placer_opts_.recompute_crit_iter || - placer_opts_.inner_loop_recompute_divider != 0) { + if (outer_crit_iter_count_ >= placer_opts_.recompute_crit_iter || placer_opts_.inner_loop_recompute_divider != 0) { PlaceCritParams crit_params{annealing_state_.crit_exponent, placer_opts_.place_crit_limit}; @@ -746,9 +752,7 @@ void PlacementAnnealer::placement_inner_loop() { // update the RL agent's state if (!quench_started_) { - if (placer_opts_.place_algorithm.is_timing_driven() && - placer_opts_.place_agent_multistate && - agent_state_ == e_agent_state::EARLY_IN_THE_ANNEAL) { + if (placer_opts_.place_algorithm.is_timing_driven() && placer_opts_.place_agent_multistate && agent_state_ == e_agent_state::EARLY_IN_THE_ANNEAL) { if (annealing_state_.alpha < 0.85 && annealing_state_.alpha > 0.6) { agent_state_ = e_agent_state::LATE_IN_THE_ANNEAL; VTR_LOG("Agent's 2nd state: \n"); @@ -760,7 +764,6 @@ void PlacementAnnealer::placement_inner_loop() { ++annealing_state_.num_temps; } - int PlacementAnnealer::get_total_iteration() const { return tot_iter_; } @@ -842,8 +845,7 @@ void PlacementAnnealer::LOG_MOVE_STATS_PROPOSED() { } } -void PlacementAnnealer::LOG_MOVE_STATS_OUTCOME(double delta_cost, double delta_bb_cost, double delta_td_cost, - const char* outcome, const char* reason) { +void PlacementAnnealer::LOG_MOVE_STATS_OUTCOME(double delta_cost, double delta_bb_cost, double delta_td_cost, const char* outcome, const char* reason) { if (move_stats_file_) { fprintf(move_stats_file_.get(), "%g,%g,%g," diff --git a/vpr/src/place/annealer.h b/vpr/src/place/annealer.h index fd9b0dbd928..66abceea1e3 100644 --- a/vpr/src/place/annealer.h +++ b/vpr/src/place/annealer.h @@ -10,6 +10,7 @@ #include #include +class PlaceMacros; class PlacerState; class t_placer_costs; struct t_placer_opts; @@ -17,6 +18,7 @@ enum class e_agent_state; class NocCostHandler; class NetPinTimingInvalidator; +class PlacerSetupSlacks; /** * These variables keep track of the number of swaps @@ -170,6 +172,7 @@ class PlacementAnnealer { public: PlacementAnnealer(const t_placer_opts& placer_opts, PlacerState& placer_state, + const PlaceMacros& place_macros, t_placer_costs& costs, NetCostHandler& net_cost_handler, std::optional& noc_cost_handler, @@ -230,7 +233,6 @@ class PlacementAnnealer { const MoveAbortionLogger& get_move_abortion_logger() const; private: - /** * @brief Pick some block and moves it to another spot. * @@ -268,6 +270,7 @@ class PlacementAnnealer { private: const t_placer_opts& placer_opts_; PlacerState& placer_state_; + const PlaceMacros& place_macros_; /// Stores different placement cost terms t_placer_costs& costs_; /// Computes bounding box for each cluster net @@ -327,8 +330,7 @@ class PlacementAnnealer { void LOG_MOVE_STATS_HEADER(); void LOG_MOVE_STATS_PROPOSED(); - void LOG_MOVE_STATS_OUTCOME(double delta_cost, double delta_bb_cost, double delta_td_cost, - const char* outcome, const char* reason); + void LOG_MOVE_STATS_OUTCOME(double delta_cost, double delta_bb_cost, double delta_td_cost, const char* outcome, const char* reason); /** * @brief Defines the RL agent's reward function factor constant. This factor controls the weight of bb cost @@ -336,4 +338,4 @@ class PlacementAnnealer { * -1*(1.5-REWARD_BB_TIMING_RELATIVE_WEIGHT)*timing_cost + (1+REWARD_BB_TIMING_RELATIVE_WEIGHT)*bb_cost) */ static constexpr float REWARD_BB_TIMING_RELATIVE_WEIGHT = 0.4; -}; \ No newline at end of file +}; diff --git a/vpr/src/place/compressed_grid.cpp b/vpr/src/place/compressed_grid.cpp index 33fa04cbfc1..ce081acb16f 100644 --- a/vpr/src/place/compressed_grid.cpp +++ b/vpr/src/place/compressed_grid.cpp @@ -3,6 +3,7 @@ #include "arch_util.h" #include "globals.h" +#include "physical_types_util.h" #include "vtr_time.h" /** @@ -17,7 +18,6 @@ static t_compressed_block_grid create_compressed_block_grid(const std::vector>>& locations, int num_layers); - std::vector create_compressed_block_grids() { /* Measure how long it takes to allocate and initialize compressed grid. * The measured execution time is printed when this object goes out of scope @@ -43,7 +43,7 @@ std::vector create_compressed_block_grids() { int width_offset = grid.get_width_offset({x, y, layer_num}); int height_offset = grid.get_height_offset(t_physical_tile_loc(x, y, layer_num)); - if (width_offset == 0 && height_offset == 0) { // the bottom left corner of a tile + if (width_offset == 0 && height_offset == 0) { // the bottom left corner of a tile const auto& type = grid.get_physical_type({x, y, layer_num}); auto equivalent_sites = get_equivalent_sites_set(type); diff --git a/vpr/src/place/compressed_grid.h b/vpr/src/place/compressed_grid.h index c9030a39376..6f9575fb670 100644 --- a/vpr/src/place/compressed_grid.h +++ b/vpr/src/place/compressed_grid.h @@ -1,8 +1,10 @@ #ifndef VPR_COMPRESSED_GRID_H #define VPR_COMPRESSED_GRID_H +#include #include "physical_types.h" +#include "vtr_assert.h" #include "vtr_geometry.h" #include "vtr_flat_map.h" @@ -60,18 +62,22 @@ struct t_compressed_block_grid { * * This function takes a physical tile location in the grid and converts it to the corresponding * compressed location. The conversion approximates by rounding up to the nearest valid compressed location. + * If all the compressed locations are less than the grid location, the function will return the last compressed location. * * @param grid_loc The physical tile location in the grid. * @return The corresponding compressed location with the same layer number. */ inline t_physical_tile_loc grid_loc_to_compressed_loc_approx_round_up(t_physical_tile_loc grid_loc) const { auto find_compressed_index = [](const std::vector& compressed, int value) -> int { - auto itr = std::upper_bound(compressed.begin(), compressed.end(), value); - if (itr == compressed.begin()) - return 0; - if (itr == compressed.end() || *(itr - 1) == value) - return (int)std::distance(compressed.begin(), itr - 1); - return (int)std::distance(compressed.begin(), itr); + // Get the first element that is not less than the value + auto itr = std::lower_bound(compressed.begin(), compressed.end(), value); + if (itr == compressed.end()) { + // If all the compressed locations are less than the grid location, return the last compressed location + return compressed.size() - 1; + } else { + // Return the index of the first element that is not less than the value + return std::distance(compressed.begin(), itr); + } }; int layer_num = grid_loc.layer_num; @@ -86,17 +92,22 @@ struct t_compressed_block_grid { * * This function takes a physical tile location in the grid and converts it to the corresponding * compressed location. The conversion approximates by rounding down to the nearest valid compressed location. + * If all the compressed locations are bigger than the grid location, the function will return the first compressed location. * * @param grid_loc The physical tile location in the grid. * @return The corresponding compressed location with the same layer number. */ inline t_physical_tile_loc grid_loc_to_compressed_loc_approx_round_down(t_physical_tile_loc grid_loc) const { auto find_compressed_index = [](const std::vector& compressed, int value) -> int { - auto itr = std::lower_bound(compressed.begin(), compressed.end(), value); - if (itr == compressed.end()) { - return (int)std::distance(compressed.begin(), itr - 1); + // Get the first element that is strictly bigger than the value + auto itr = std::upper_bound(compressed.begin(), compressed.end(), value); + if (itr == compressed.begin()) { + // If all the compressed locations are bigger than the grid location, return the first compressed location + return 0; + } else { + // Return the index of the first element that is less than or equal to the value + return std::distance(compressed.begin(), itr - 1); } - return (int)std::distance(compressed.begin(), itr); }; int layer_num = grid_loc.layer_num; @@ -111,31 +122,29 @@ struct t_compressed_block_grid { * * Useful when the point is of a different block type from coords. * - * @param point represents a coordinate in one dimension of the point - * @param coords represents vector of coordinate values of a single type only - * - * Hence, the exact point coordinate will not be found in coords if they are of different block types. In this case the function will return - * the nearest compressed location to point by rounding it down + * @param grid_loc non-compressed physical tile location in the grid + * @return Nearest x and y compressed locations in the grid (in the same layer) */ inline t_physical_tile_loc grid_loc_to_compressed_loc_approx(t_physical_tile_loc grid_loc) const { auto find_closest_compressed_point = [](int loc, const std::vector& compressed_grid_dim) -> int { + VTR_ASSERT_DEBUG(compressed_grid_dim.size() > 0); + + // Find the first element not less than loc auto itr = std::lower_bound(compressed_grid_dim.begin(), compressed_grid_dim.end(), loc); - int cx; - if (itr < compressed_grid_dim.end() - 1) { - int dist_prev = abs(loc - *itr); - int dist_next = abs(loc - *(itr+1)); - if (dist_prev < dist_next) { - cx = std::distance(compressed_grid_dim.begin(), itr); - } else { - cx = std::distance(compressed_grid_dim.begin(), itr + 1); - } + + if (itr == compressed_grid_dim.begin()) { + // If all the compressed locations are bigger that or equal to loc, return the first compressed location + return 0; } else if (itr == compressed_grid_dim.end()) { - cx = std::distance(compressed_grid_dim.begin(), itr - 1); + // If all the compressed locations are less than loc, return the last compressed location + return compressed_grid_dim.size() - 1; } else { - cx = std::distance(compressed_grid_dim.begin(), itr); + // Find the nearest compressed location. + int dist_prev = loc - *(itr - 1); + int dist_next = *itr - loc; + VTR_ASSERT_DEBUG(dist_prev >= 0 && dist_next >= 0); + return (dist_prev <= dist_next) ? (std::distance(compressed_grid_dim.begin(), itr - 1)) : (std::distance(compressed_grid_dim.begin(), itr)); } - - return cx; }; const int layer_num = grid_loc.layer_num; diff --git a/vpr/src/place/cut_spreader.cpp b/vpr/src/place/cut_spreader.cpp index c9c969b1abd..9dfe17f83c6 100644 --- a/vpr/src/place/cut_spreader.cpp +++ b/vpr/src/place/cut_spreader.cpp @@ -1,18 +1,19 @@ +#include "place_macro.h" #ifdef ENABLE_ANALYTIC_PLACE -# include "cut_spreader.h" -# include -# include -# include -# include +#include "cut_spreader.h" +#include +#include +#include +#include -# include "analytic_placer.h" -# include "vpr_types.h" -# include "vtr_time.h" -# include "globals.h" -# include "vtr_log.h" -# include "place_util.h" -# include "grid_block.h" +#include "analytic_placer.h" +#include "vpr_types.h" +#include "vtr_time.h" +#include "globals.h" +#include "vtr_log.h" +#include "place_util.h" +#include "grid_block.h" // sentinel for base case in CutSpreader (i.e. only 1 block left in region) constexpr std::pair BASE_CASE = {-2, -2}; @@ -112,7 +113,7 @@ void CutSpreader::cutSpread() { // setup CutSpreader data structures using information from AnalyticPlacer void CutSpreader::init() { const ClusteredNetlist& clb_nlist = g_vpr_ctx.clustering().clb_nlist; - const auto& place_macros = ap->blk_loc_registry_ref_.place_macros(); + const auto& place_macros = ap->place_macros_; size_t max_x = g_vpr_ctx.device().grid.width(); size_t max_y = g_vpr_ctx.device().grid.height(); @@ -407,7 +408,7 @@ void CutSpreader::expand_regions() { std::pair CutSpreader::cut_region(SpreaderRegion& r, bool dir) { const DeviceContext& device_ctx = g_vpr_ctx.device(); const ClusteredNetlist& clb_nlist = g_vpr_ctx.clustering().clb_nlist; - const auto& place_macros = ap->blk_loc_registry_ref_.place_macros(); + const auto& place_macros = ap->place_macros_; // TODO: CutSpreader is not compatible with 3D FPGA VTR_ASSERT(device_ctx.grid.get_num_layers() == 1); @@ -619,7 +620,7 @@ int CutSpreader::initial_source_cut(SpreaderRegion& r, bool dir, int& clearance_l, int& clearance_r) { - const auto& place_macros = ap->blk_loc_registry_ref_.place_macros(); + const auto& place_macros = ap->place_macros_; // pivot is the midpoint of cut_blks in terms of total block size (counting macro members) // this ensures the initial partitions have similar number of blocks @@ -672,7 +673,7 @@ int CutSpreader::initial_target_cut(SpreaderRegion& r, int& right_blks_n, int& left_tiles_n, int& right_tiles_n) { - const auto& place_macros = ap->blk_loc_registry_ref_.place_macros(); + const auto& place_macros = ap->place_macros_; // To achieve smallest difference in utilization, first move all tiles to right partition left_blks_n = 0, right_blks_n = 0; @@ -808,7 +809,7 @@ void CutSpreader::linear_spread_subarea(std::vector& cut_blks, void CutSpreader::strict_legalize() { auto& clb_nlist = g_vpr_ctx.clustering().clb_nlist; const auto& block_locs = ap->blk_loc_registry_ref_.block_locs(); - const auto& place_macros = ap->blk_loc_registry_ref_.place_macros(); + const auto& place_macros = ap->place_macros_; int max_x = g_vpr_ctx.device().grid.width(); int max_y = g_vpr_ctx.device().grid.height(); @@ -1035,14 +1036,14 @@ bool CutSpreader::try_place_blk(ClusterBlockId blk, std::priority_queue>& remaining) { const auto& grid_blocks = ap->blk_loc_registry_ref_.grid_blocks(); const ClusteredNetlist& clb_nlist = g_vpr_ctx.clustering().clb_nlist; - const auto& place_macros = ap->blk_loc_registry_ref_.place_macros(); + const auto& place_macros = ap->place_macros_; // iteration at current radius has exceeded exploration limit, and a candidate sub_tile (best_subtile) is found // then blk is placed in best_subtile if (exceeds_explore_limit && best_subtile != t_pl_loc{}) { // find the logic block bound to (placed on) best_subtile ClusterBlockId bound_blk = grid_blocks.block_at_location(best_subtile); - if (bound_blk) { // if best_subtile has a logic block + if (bound_blk) { // if best_subtile has a logic block unbind_tile(best_subtile); // clear bound_block and best_subtile's placement info remaining.emplace(1, bound_blk); // put bound_blk back into remaining blocks to place } @@ -1051,7 +1052,7 @@ bool CutSpreader::try_place_blk(ClusterBlockId blk, } // if exploration limit is not met or a candidate sub_tile is not found yet - for (auto sub_t : subtiles_at_location[nx][ny]) { // for each available sub_tile at random location + for (auto sub_t : subtiles_at_location[nx][ny]) { // for each available sub_tile at random location ClusterBlockId bound_blk = grid_blocks.block_at_location(sub_t); // logic blk at [nx, ny] if (bound_blk == ClusterBlockId::INVALID() || ripup_radius_met @@ -1112,7 +1113,7 @@ bool CutSpreader::try_place_macro(ClusterBlockId blk, int nx, int ny, std::priority_queue>& remaining) { - const auto& place_macros = ap->blk_loc_registry_ref_.place_macros(); + const auto& place_macros = ap->place_macros_; const auto& grid_blocks = ap->blk_loc_registry_ref_.grid_blocks(); const ClusteredNetlist& clb_nlist = g_vpr_ctx.clustering().clb_nlist; diff --git a/vpr/src/place/cut_spreader.h b/vpr/src/place/cut_spreader.h index 472cd9cc722..5be5f0ed79d 100644 --- a/vpr/src/place/cut_spreader.h +++ b/vpr/src/place/cut_spreader.h @@ -107,8 +107,8 @@ * https://github.com/YosysHQ/nextpnr * */ -# include "vpr_context.h" -# include +#include "vpr_context.h" +#include // declaration of used types; class AnalyticPlacer; diff --git a/vpr/src/place/delay_model/PlacementDelayModelCreator.cpp b/vpr/src/place/delay_model/PlacementDelayModelCreator.cpp new file mode 100644 index 00000000000..e58cb4b9539 --- /dev/null +++ b/vpr/src/place/delay_model/PlacementDelayModelCreator.cpp @@ -0,0 +1,80 @@ + + +#include "PlacementDelayModelCreator.h" + +#include "place_delay_model.h" +#include "simple_delay_model.h" +#include "delta_delay_model.h" +#include "override_delay_model.h" + +#include "vtr_time.h" +#include "physical_types.h" +#include "place_and_route.h" + +static int get_longest_segment_length(std::vector& segment_inf) { + int length = 0; + + for (const t_segment_inf& seg_info : segment_inf) { + if (seg_info.length > length) { + length = seg_info.length; + } + } + + return length; +} + +std::unique_ptr +PlacementDelayModelCreator::create_delay_model(const t_placer_opts& placer_opts, + const t_router_opts& router_opts, + const Netlist<>& net_list, + t_det_routing_arch* det_routing_arch, + std::vector& segment_inf, + t_chan_width_dist chan_width_dist, + const std::vector& directs, + bool is_flat) { + vtr::ScopedStartFinishTimer timer("Computing placement delta delay look-up"); + + t_chan_width chan_width = setup_chan_width(router_opts, chan_width_dist); + + alloc_routing_structs(chan_width, router_opts, det_routing_arch, segment_inf, directs, is_flat); + + const RouterLookahead* router_lookahead = get_cached_router_lookahead(*det_routing_arch, + router_opts.lookahead_type, + router_opts.write_router_lookahead, + router_opts.read_router_lookahead, + segment_inf, + is_flat); + + RouterDelayProfiler route_profiler(net_list, router_lookahead, is_flat); + + int longest_length = get_longest_segment_length(segment_inf); + + // now setup and compute the actual arrays + std::unique_ptr place_delay_model; + float min_cross_layer_delay = get_min_cross_layer_delay(); + + if (placer_opts.delay_model_type == PlaceDelayModelType::SIMPLE) { + place_delay_model = std::make_unique(); + } else if (placer_opts.delay_model_type == PlaceDelayModelType::DELTA) { + place_delay_model = std::make_unique(min_cross_layer_delay, is_flat); + } else if (placer_opts.delay_model_type == PlaceDelayModelType::DELTA_OVERRIDE) { + place_delay_model = std::make_unique(min_cross_layer_delay, is_flat); + } else { + VTR_ASSERT_MSG(false, "Invalid placer delay model"); + } + + if (placer_opts.read_placement_delay_lookup.empty()) { + place_delay_model->compute(route_profiler, placer_opts, router_opts, longest_length); + } else { + place_delay_model->read(placer_opts.read_placement_delay_lookup); + } + + if (!placer_opts.write_placement_delay_lookup.empty()) { + place_delay_model->write(placer_opts.write_placement_delay_lookup); + } + + // free all data structures that are no longer needed + free_routing_structs(); + + return place_delay_model; +} diff --git a/vpr/src/place/delay_model/PlacementDelayModelCreator.h b/vpr/src/place/delay_model/PlacementDelayModelCreator.h new file mode 100644 index 00000000000..c92b67d4854 --- /dev/null +++ b/vpr/src/place/delay_model/PlacementDelayModelCreator.h @@ -0,0 +1,30 @@ + +#pragma once + +#include +#include + +#include "netlist.h" + +class PlaceDelayModel; +struct t_placer_opts; +struct t_router_opts; +struct t_det_routing_arch; +struct t_segment_inf; +struct t_chan_width_dist; +struct t_direct_inf; + +class PlacementDelayModelCreator { + public: + // nothing to do in the constructor + PlacementDelayModelCreator() = delete; + + static std::unique_ptr create_delay_model(const t_placer_opts& placer_opts, + const t_router_opts& router_opts, + const Netlist<>& net_list, + t_det_routing_arch* det_routing_arch, + std::vector& segment_inf, + t_chan_width_dist chan_width_dist, + const std::vector& directs, + bool is_flat); +}; diff --git a/vpr/src/place/delay_model/compute_delta_delays_utils.cpp b/vpr/src/place/delay_model/compute_delta_delays_utils.cpp new file mode 100644 index 00000000000..bb1232d2778 --- /dev/null +++ b/vpr/src/place/delay_model/compute_delta_delays_utils.cpp @@ -0,0 +1,966 @@ + +#include "compute_delta_delays_utils.h" + +#include "vtr_time.h" +#include "vtr_math.h" +#include "physical_types.h" +#include "globals.h" +#include "router_delay_profiling.h" + +/// Indicates the delta delay value has not been calculated +static constexpr float UNINITIALIZED_DELTA = -1; +/// Indicates delta delay from/to an EMPTY block +static constexpr float EMPTY_DELTA = -2; +/// Indicates there is no valid delta delay +static constexpr float IMPOSSIBLE_DELTA = std::numeric_limits::infinity(); + +static vtr::NdMatrix compute_delta_delays(RouterDelayProfiler& route_profiler, + const t_placer_opts& palcer_opts, + const t_router_opts& router_opts, + bool measure_directconnect, + size_t longest_length, + bool is_flat); + +static void fix_empty_coordinates(vtr::NdMatrix& delta_delays); + +static void fill_impossible_coordinates(vtr::NdMatrix& delta_delays); + +static bool verify_delta_delays(const vtr::NdMatrix& delta_delays); + +static void generic_compute_matrix_iterative_astar(RouterDelayProfiler& route_profiler, + vtr::Matrix>& matrix, + int from_layer_num, + int to_layer_num, + int source_x, + int source_y, + int start_x, + int start_y, + int end_x, + int end_y, + const t_router_opts& router_opts, + bool measure_directconnect, + const std::set& allowed_types, + bool /*is_flat*/); + +static void generic_compute_matrix_dijkstra_expansion(RouterDelayProfiler& route_profiler, + vtr::Matrix>& matrix, + int from_layer_num, + int to_layer_num, + int source_x, + int source_y, + int start_x, + int start_y, + int end_x, + int end_y, + const t_router_opts& router_opts, + bool measure_directconnect, + const std::set& allowed_types, + bool is_flat); + +/** + * @brief Routes between a source and sink location to calculate the delay. + * + * This function computes the delay of a routed connection between a source and sink node + * specified by their coordinates and layers. It iterates over the best driver and sink pin + * classes to find a valid routing path and calculates the delay if a path exists. + * + * @param route_profiler Reference to the `RouterDelayProfiler` responsible for calculating routing delays. + * @param source_x The x-coordinate of the source location. + * @param source_y The y-coordinate of the source location. + * @param source_layer The layer index of the source node. + * @param sink_x The x-coordinate of the sink location. + * @param sink_y The y-coordinate of the sink location. + * @param sink_layer The layer index of the sink node. + * @param router_opts Routing options used for delay calculation. + * @param measure_directconnect If `true`, includes direct connect delays; otherwise, skips direct connections. + * + * @return The calculated routing delay. If routing fails, it returns `IMPOSSIBLE_DELTA`. + */ +static float route_connection_delay(RouterDelayProfiler& route_profiler, + int source_x, + int source_y, + int source_layer, + int sink_x, + int sink_y, + int sink_layer, + const t_router_opts& router_opts, + bool measure_directconnect); + +/** + * @brief Computes a reduced value from a vector of delay values using the specified reduction method. + * + * @param delays A reference to a vector of delay values. This vector may be modified + * (e.g., sorted) depending on the reducer used. + * @param reducer The reduction method to be applied. + * + * @return The reduced delay value. If the input vector is empty, the function + * returns `IMPOSSIBLE_DELTA`. + * + * @throws VPR_FATAL_ERROR if the reducer is unrecognized. + */ +static float delay_reduce(std::vector& delays, e_reducer reducer); + +/** + * @brief Adds a delay value to a 2D matrix of delay vectors. + * + * Updates the delay vector at position (`delta_x`, `delta_y`) in the matrix. + * If the element contains only `EMPTY_DELTA`, it is replaced with the new delay; + * otherwise, the delay is appended to the vector. + * + * @param matrix A 2D matrix of delay vectors. + * @param delta_x The x-index in the matrix. + * @param delta_y The y-index in the matrix. + * @param delay The delay value to add. + */ +static void add_delay_to_matrix(vtr::Matrix>& matrix, + int delta_x, + int delta_y, + float delay); + +/** + * @brief Computes the average delay for a routing span. + * + * This function calculates the average placement delay for a routing span starting from a + * given layer and spanning a region defined by delta x and delta y. It iteratively searches + * for valid delay values within an expanding neighborhood (starting from a distance of 1) + * around the specified delta offsets and layer, until valid values are found or + * the maximum search distance (`max_distance`) is reached. + * + * @param matrix A 4D matrix of delay values indexed by `[from_layer][to_layer][delta_x][delta_y]`. + * @param from_layer The starting layer index of the routing span. + * @param to_tile_loc A structure holding the delta offsets (`x` and `y`) and the target layer index (`layer_num`). + * @param max_distance The maximum neighborhood distance to search for valid delay values. + * + * @return The average of valid delay values within the search range. If no valid delays + * are found up to the maximum distance, the function returns `IMPOSSIBLE_DELTA`. + * + * @note The function performs a Manhattan-distance-based neighborhood search around the target location. + */ +static float find_neighboring_average(vtr::NdMatrix& matrix, + int from_layer, + t_physical_tile_loc to_tile_loc, + int max_distance); + +/***************************************************************************************/ + +static vtr::NdMatrix compute_delta_delays(RouterDelayProfiler& route_profiler, + const t_placer_opts& placer_opts, + const t_router_opts& router_opts, + bool measure_directconnect, + size_t longest_length, + bool is_flat) { + + const auto& device_ctx = g_vpr_ctx.device(); + const auto& grid = device_ctx.grid; + + const size_t num_layers = grid.get_num_layers(); + const size_t device_width = grid.width(); + const size_t device_height = grid.height(); + + /* To avoid edge effects we place the source at least 'longest_length' away + * from the device edge and route from there for all possible delta values < dimension + */ + + // +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ + // + | | + + // + A | B | C + + // + | | + + // +-----------------\-----------------------.---------------+ + // + | | + + // + | | + + // + | | + + // + | | + + // + D | E | F + + // + | | + + // + | | + + // + | | + + // + | | + + // +-----------------*-----------------------/---------------+ + // + | | + + // + G | H | I + + // + | | + + // +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ + // + // * = (low_x, low_y) + // . = (high_x, high_y) + // / = (high_x, low_y) + // \ = (low_x, high_y) + // + = device edge + const size_t mid_x = vtr::nint(device_width / 2); + const size_t mid_y = vtr::nint(device_height / 2); + const size_t low_x = std::min(longest_length, mid_x); + const size_t low_y = std::min(longest_length, mid_y); + const size_t high_x = (longest_length <= device_width) ? std::max(device_width - longest_length, mid_x) : mid_x; + const size_t high_y = (longest_length <= device_height) ? std::max(device_width - longest_length, mid_y) : mid_y; + + vtr::NdMatrix delta_delays({num_layers, num_layers, device_width, device_height}); + + std::set allowed_types; + if (!placer_opts.allowed_tiles_for_delay_model.empty()) { + std::vector allowed_types_vector = vtr::split(placer_opts.allowed_tiles_for_delay_model, ","); + allowed_types = std::set(allowed_types_vector.begin(), allowed_types_vector.end()); + } + + for (int from_layer_num = 0; from_layer_num < (int)num_layers; from_layer_num++) { + for (int to_layer_num = 0; to_layer_num < (int)num_layers; to_layer_num++) { + vtr::NdMatrix, 2> sampled_delta_delays({device_width, device_height}); + + // Find the lowest y location on the left edge with a non-empty block + int y = 0; + int x = 0; + t_physical_tile_type_ptr src_type = nullptr; + for (x = 0; x < (int)device_width; ++x) { + for (y = 0; y < (int)device_height; ++y) { + t_physical_tile_type_ptr type = grid.get_physical_type({x, y, from_layer_num}); + + if (type != device_ctx.EMPTY_PHYSICAL_TILE_TYPE) { + // check if the tile type is among the allowed types + if (!allowed_types.empty() && allowed_types.find(type->name) == allowed_types.end()) { + continue; + } + src_type = type; + break; + } + } + if (src_type != nullptr) { + break; + } + } + VTR_ASSERT(src_type != nullptr); + + auto generic_compute_matrix = (placer_opts.place_delta_delay_matrix_calculation_method == e_place_delta_delay_algorithm::ASTAR_ROUTE) ? generic_compute_matrix_iterative_astar : generic_compute_matrix_dijkstra_expansion; + +#ifdef VERBOSE + VTR_LOG("Computing from lower left edge (%d,%d):\n", x, y); +#endif + generic_compute_matrix(route_profiler, sampled_delta_delays, + from_layer_num, to_layer_num, + x, y, + x, y, + device_width - 1, device_height - 1, + router_opts, + measure_directconnect, allowed_types, + is_flat); + + // Find the lowest x location on the bottom edge with a non-empty block + src_type = nullptr; + for (y = 0; y < (int)device_height; ++y) { + for (x = 0; x < (int)device_width; ++x) { + t_physical_tile_type_ptr type = grid.get_physical_type({x, y, from_layer_num}); + + if (type != device_ctx.EMPTY_PHYSICAL_TILE_TYPE) { + // check if the tile type is among the allowed types + if (!allowed_types.empty() && allowed_types.find(type->name) == allowed_types.end()) { + continue; + } + src_type = type; + break; + } + } + if (src_type) { + break; + } + } + VTR_ASSERT(src_type != nullptr); +#ifdef VERBOSE + VTR_LOG("Computing from left bottom edge (%d,%d):\n", x, y); +#endif + generic_compute_matrix(route_profiler, sampled_delta_delays, + from_layer_num, to_layer_num, + x, y, + x, y, + device_width - 1, device_height - 1, + router_opts, + measure_directconnect, allowed_types, + is_flat); + + //Since the other delta delay values may have suffered from edge effects, + //we recalculate deltas within regions B, C, E, F +#ifdef VERBOSE + VTR_LOG("Computing from low/low:\n"); +#endif + generic_compute_matrix(route_profiler, sampled_delta_delays, + from_layer_num, to_layer_num, + low_x, low_y, + low_x, low_y, + device_width - 1, device_height - 1, + router_opts, + measure_directconnect, allowed_types, + is_flat); + + //Since the other delta delay values may have suffered from edge effects, + //we recalculate deltas within regions D, E, G, H +#ifdef VERBOSE + VTR_LOG("Computing from high/high:\n"); +#endif + generic_compute_matrix(route_profiler, sampled_delta_delays, + from_layer_num, to_layer_num, + high_x, high_y, + 0, 0, + high_x, high_y, + router_opts, + measure_directconnect, allowed_types, + is_flat); + + //Since the other delta delay values may have suffered from edge effects, + //we recalculate deltas within regions A, B, D, E +#ifdef VERBOSE + VTR_LOG("Computing from high/low:\n"); +#endif + generic_compute_matrix(route_profiler, sampled_delta_delays, + from_layer_num, to_layer_num, + high_x, low_y, + 0, low_y, + high_x, device_height - 1, + router_opts, + measure_directconnect, allowed_types, + is_flat); + + //Since the other delta delay values may have suffered from edge effects, + //we recalculate deltas within regions E, F, H, I +#ifdef VERBOSE + VTR_LOG("Computing from low/high:\n"); +#endif + generic_compute_matrix(route_profiler, sampled_delta_delays, + from_layer_num, to_layer_num, + low_x, high_y, + low_x, 0, + device_width - 1, high_y, + router_opts, + measure_directconnect, allowed_types, + is_flat); + for (size_t dx = 0; dx < sampled_delta_delays.dim_size(0); ++dx) { + for (size_t dy = 0; dy < sampled_delta_delays.dim_size(1); ++dy) { + delta_delays[from_layer_num][to_layer_num][dx][dy] = delay_reduce(sampled_delta_delays[dx][dy], placer_opts.delay_model_reducer); + } + } + } + } + + return delta_delays; +} + +static void fix_empty_coordinates(vtr::NdMatrix& delta_delays) { + // Set any empty delta's to the average of its neighbours + // + // Empty coordinates may occur if the sampling location happens to not have + // a connection at that location. However, a more thorough sampling likely + // would return a result, so we fill in the empty holes with a small + // neighbour average. + constexpr int kMaxAverageDistance = 2; + for (int from_layer = 0; from_layer < (int)delta_delays.dim_size(0); ++from_layer) { + for (int to_layer = 0; to_layer < (int)delta_delays.dim_size(1); ++to_layer) { + for (int delta_x = 0; delta_x < (int)delta_delays.dim_size(2); ++delta_x) { + for (int delta_y = 0; delta_y < (int)delta_delays.dim_size(3); ++delta_y) { + if (delta_delays[from_layer][to_layer][delta_x][delta_y] == EMPTY_DELTA) { + delta_delays[from_layer][to_layer][delta_x][delta_y] = find_neighboring_average(delta_delays, + from_layer, + {delta_x, delta_y, to_layer}, + kMaxAverageDistance); + } + } + } + } + } +} + +static void fill_impossible_coordinates(vtr::NdMatrix& delta_delays) { + // Set any impossible delta's to the average of its neighbours + // + // Impossible coordinates may occur if an IPIN cannot be reached from the + // sampling OPIN. This might occur if the IPIN or OPIN used for sampling + // is specialized, and therefore cannot be reached via the by the pins + // sampled. Leaving this value in the delay matrix will result in invalid + // slacks if the delay matrix uses this value. + // + // A max average distance of 5 is used to provide increased effort in + // filling these gaps. It is more important to have a poor predication, + // than an invalid value and causing a slack assertion. + constexpr int kMaxAverageDistance = 5; + for (int from_layer_num = 0; from_layer_num < (int)delta_delays.dim_size(0); ++from_layer_num) { + for (int to_layer_num = 0; to_layer_num < (int)delta_delays.dim_size(1); ++to_layer_num) { + for (int delta_x = 0; delta_x < (int)delta_delays.dim_size(2); ++delta_x) { + for (int delta_y = 0; delta_y < (int)delta_delays.dim_size(3); ++delta_y) { + if (delta_delays[from_layer_num][to_layer_num][delta_x][delta_y] == IMPOSSIBLE_DELTA) { + delta_delays[from_layer_num][to_layer_num][delta_x][delta_y] = find_neighboring_average( + delta_delays, from_layer_num, {delta_x, delta_y, to_layer_num}, kMaxAverageDistance); + } + } + } + } + } +} + +static bool verify_delta_delays(const vtr::NdMatrix& delta_delays) { + const auto& device_ctx = g_vpr_ctx.device(); + const auto& grid = device_ctx.grid; + + for (int from_layer_num = 0; from_layer_num < grid.get_num_layers(); ++from_layer_num) { + for (int to_layer_num = 0; to_layer_num < grid.get_num_layers(); ++to_layer_num) { + for (size_t x = 0; x < grid.width(); ++x) { + for (size_t y = 0; y < grid.height(); ++y) { + float delta_delay = delta_delays[from_layer_num][to_layer_num][x][y]; + + if (delta_delay < 0.) { + VPR_ERROR(VPR_ERROR_PLACE, + "Found invalid negative delay %g for delta [%d,%d,%d,%d]", + delta_delay, from_layer_num, to_layer_num, x, y); + } + } + } + } + } + + return true; +} + +static void generic_compute_matrix_iterative_astar(RouterDelayProfiler& route_profiler, + vtr::Matrix>& matrix, + int from_layer_num, + int to_layer_num, + int source_x, + int source_y, + int start_x, + int start_y, + int end_x, + int end_y, + const t_router_opts& router_opts, + bool measure_directconnect, + const std::set& allowed_types, + bool /*is_flat*/) { + const auto& device_ctx = g_vpr_ctx.device(); + + for (int sink_x = start_x; sink_x <= end_x; sink_x++) { + for (int sink_y = start_y; sink_y <= end_y; sink_y++) { + const int delta_x = abs(sink_x - source_x); + const int delta_y = abs(sink_y - source_y); + + t_physical_tile_type_ptr src_type = device_ctx.grid.get_physical_type({source_x, source_y, from_layer_num}); + t_physical_tile_type_ptr sink_type = device_ctx.grid.get_physical_type({sink_x, sink_y, to_layer_num}); + + bool src_or_target_empty = (src_type == device_ctx.EMPTY_PHYSICAL_TILE_TYPE + || sink_type == device_ctx.EMPTY_PHYSICAL_TILE_TYPE); + + bool is_allowed_type = allowed_types.empty() || allowed_types.find(src_type->name) != allowed_types.end(); + + if (src_or_target_empty || !is_allowed_type) { + if (matrix[delta_x][delta_y].empty()) { + // Only set empty target if we don't already have a valid delta delay + matrix[delta_x][delta_y].push_back(EMPTY_DELTA); +#ifdef VERBOSE + VTR_LOG("Computed delay: %12s delta: %d,%d (src: %d,%d sink: %d,%d)\n", + "EMPTY", + delta_x, delta_y, + source_x, source_y, + sink_x, sink_y); +#endif + } + } else { + // Valid start/end + float delay = route_connection_delay(route_profiler, + source_x, + source_y, + from_layer_num, + sink_x, + sink_y, + to_layer_num, + router_opts, + measure_directconnect); + +#ifdef VERBOSE + VTR_LOG("Computed delay: %12g delta: %d,%d (src: %d,%d sink: %d,%d)\n", + delay, + delta_x, delta_y, + source_x, source_y, + sink_x, sink_y); +#endif + if (matrix[delta_x][delta_y].size() == 1 && matrix[delta_x][delta_y][0] == EMPTY_DELTA) { + // Overwrite empty delta + matrix[delta_x][delta_y][0] = delay; + } else { + // Collect delta + matrix[delta_x][delta_y].push_back(delay); + } + } + } + } +} + +static void generic_compute_matrix_dijkstra_expansion(RouterDelayProfiler& /*route_profiler*/, + vtr::Matrix>& matrix, + int from_layer_num, + int to_layer_num, + int source_x, + int source_y, + int start_x, + int start_y, + int end_x, + int end_y, + const t_router_opts& router_opts, + bool measure_directconnect, + const std::set& allowed_types, + bool is_flat) { + const auto& device_ctx = g_vpr_ctx.device(); + + t_physical_tile_type_ptr src_type = device_ctx.grid.get_physical_type({source_x, source_y, from_layer_num}); + bool is_allowed_type = allowed_types.empty() || allowed_types.find(src_type->name) != allowed_types.end(); + if (src_type == device_ctx.EMPTY_PHYSICAL_TILE_TYPE || !is_allowed_type) { + for (int sink_x = start_x; sink_x <= end_x; sink_x++) { + for (int sink_y = start_y; sink_y <= end_y; sink_y++) { + int delta_x = abs(sink_x - source_x); + int delta_y = abs(sink_y - source_y); + + if (matrix[delta_x][delta_y].empty()) { + //Only set empty target if we don't already have a valid delta delay + matrix[delta_x][delta_y].push_back(EMPTY_DELTA); +#ifdef VERBOSE + VTR_LOG("Computed delay: %12s delta: %d,%d (src: %d,%d sink: %d,%d)\n", + "EMPTY", + delta_x, delta_y, + source_x, source_y, + sink_x, sink_y); +#endif + } + } + } + + return; + } + + vtr::Matrix found_matrix({matrix.dim_size(0), matrix.dim_size(1)}, false); + + auto best_driver_ptcs = get_best_classes(DRIVER, device_ctx.grid.get_physical_type({source_x, source_y, from_layer_num})); + for (int driver_ptc : best_driver_ptcs) { + VTR_ASSERT(driver_ptc != OPEN); + RRNodeId source_rr_node = device_ctx.rr_graph.node_lookup().find_node(from_layer_num, source_x, source_y, SOURCE, driver_ptc); + + VTR_ASSERT(source_rr_node != RRNodeId::INVALID()); + auto delays = calculate_all_path_delays_from_rr_node(source_rr_node, router_opts, is_flat); + + bool path_to_all_sinks = true; + for (int sink_x = start_x; sink_x <= end_x; sink_x++) { + for (int sink_y = start_y; sink_y <= end_y; sink_y++) { + int delta_x = abs(sink_x - source_x); + int delta_y = abs(sink_y - source_y); + + if (found_matrix[delta_x][delta_y]) { + continue; + } + + t_physical_tile_type_ptr sink_type = device_ctx.grid.get_physical_type({sink_x, sink_y, to_layer_num}); + if (sink_type == device_ctx.EMPTY_PHYSICAL_TILE_TYPE) { + if (matrix[delta_x][delta_y].empty()) { + // Only set empty target if we don't already have a valid delta delay + matrix[delta_x][delta_y].push_back(EMPTY_DELTA); +#ifdef VERBOSE + VTR_LOG("Computed delay: %12s delta: %d,%d (src: %d,%d sink: %d,%d)\n", + "EMPTY", + delta_x, delta_y, + source_x, source_y, + sink_x, sink_y); +#endif + found_matrix[delta_x][delta_y] = true; + } + } else { + bool found_a_sink = false; + auto best_sink_ptcs = get_best_classes(RECEIVER, device_ctx.grid.get_physical_type({sink_x, sink_y, to_layer_num})); + for (int sink_ptc : best_sink_ptcs) { + VTR_ASSERT(sink_ptc != OPEN); + RRNodeId sink_rr_node = device_ctx.rr_graph.node_lookup().find_node(to_layer_num, sink_x, sink_y, SINK, sink_ptc); + + if (sink_rr_node == RRNodeId::INVALID()) + continue; + + if (!measure_directconnect && directconnect_exists(source_rr_node, sink_rr_node)) { + // Skip if we shouldn't measure direct connects and a direct connect exists + continue; + } + + if (std::isnan(delays[sink_rr_node])) { + // This sink was not found + continue; + } + +#ifdef VERBOSE + VTR_LOG("Computed delay: %12g delta: %d,%d (src: %d,%d sink: %d,%d)\n", + delays[size_t(sink_rr_node)], + delta_x, delta_y, + source_x, source_y, + sink_x, sink_y); +#endif + found_matrix[delta_x][delta_y] = true; + + add_delay_to_matrix(matrix, delta_x, delta_y, delays[sink_rr_node]); + + found_a_sink = true; + break; + } + + if (!found_a_sink) { + path_to_all_sinks = false; + } + } + } + } + + if (path_to_all_sinks) { + break; + } + } + + for (int sink_x = start_x; sink_x <= end_x; sink_x++) { + for (int sink_y = start_y; sink_y <= end_y; sink_y++) { + int delta_x = abs(sink_x - source_x); + int delta_y = abs(sink_y - source_y); + if (!found_matrix[delta_x][delta_y]) { + add_delay_to_matrix(matrix, delta_x, delta_y, IMPOSSIBLE_DELTA); + VTR_LOG_WARN("Unable to route between blocks at (%d,%d,%d) and (%d,%d,%d) to characterize delay (setting to %g)\n", + source_x, + source_y, + from_layer_num, + sink_x, + sink_y, + to_layer_num, + IMPOSSIBLE_DELTA); + } + } + } +} + +static float route_connection_delay(RouterDelayProfiler& route_profiler, + int source_x, + int source_y, + int source_layer, + int sink_x, + int sink_y, + int sink_layer, + const t_router_opts& router_opts, + bool measure_directconnect) { + //Routes between the source and sink locations and calculates the delay + + // set to known value for debug purposes + float net_delay_value = IMPOSSIBLE_DELTA; + + const auto& device_ctx = g_vpr_ctx.device(); + + bool successfully_routed = false; + + // Get the rr nodes to route between + auto best_driver_ptcs = get_best_classes(DRIVER, device_ctx.grid.get_physical_type({source_x, source_y, source_layer})); + auto best_sink_ptcs = get_best_classes(RECEIVER, device_ctx.grid.get_physical_type({sink_x, sink_y, sink_layer})); + + for (int driver_ptc : best_driver_ptcs) { + VTR_ASSERT(driver_ptc != OPEN); + RRNodeId source_rr_node = device_ctx.rr_graph.node_lookup().find_node(source_layer, source_x, source_y, SOURCE, driver_ptc); + + VTR_ASSERT(source_rr_node != RRNodeId::INVALID()); + + for (int sink_ptc : best_sink_ptcs) { + VTR_ASSERT(sink_ptc != OPEN); + RRNodeId sink_rr_node = device_ctx.rr_graph.node_lookup().find_node(sink_layer, sink_x, sink_y, SINK, sink_ptc); + + if (sink_rr_node == RRNodeId::INVALID()) + continue; + + if (!measure_directconnect && directconnect_exists(source_rr_node, sink_rr_node)) { + // Skip if we shouldn't measure direct connects and a direct connect exists + continue; + } + + successfully_routed = route_profiler.calculate_delay(source_rr_node, + sink_rr_node, + router_opts, + &net_delay_value); + + if (successfully_routed) break; + } + if (successfully_routed) break; + } + + if (!successfully_routed) { + VTR_LOG_WARN("Unable to route between blocks at (%d,%d,%d) and (%d,%d,%d) to characterize delay (setting to %g)\n", + source_x, source_y, source_layer, sink_x, sink_y, sink_layer, net_delay_value); + } + + return net_delay_value; +} + +static float delay_reduce(std::vector& delays, e_reducer reducer) { + if (delays.empty()) { + return IMPOSSIBLE_DELTA; + } + + if (delays.size() == 1) { + return delays[0]; + } + + VTR_ASSERT(delays.size() > 1); + + float delay; + + if (reducer == e_reducer::MIN) { + auto itr = std::min_element(delays.begin(), delays.end()); + delay = *itr; + } else if (reducer == e_reducer::MAX) { + auto itr = std::max_element(delays.begin(), delays.end()); + delay = *itr; + } else if (reducer == e_reducer::MEDIAN) { + std::stable_sort(delays.begin(), delays.end()); + delay = vtr::median(delays.begin(), delays.end()); + } else if (reducer == e_reducer::ARITHMEAN) { + delay = vtr::arithmean(delays.begin(), delays.end()); + } else if (reducer == e_reducer::GEOMEAN) { + delay = vtr::geomean(delays.begin(), delays.end()); + } else { + VPR_FATAL_ERROR(VPR_ERROR_PLACE, "Unrecognized delta delay reducer"); + } + + return delay; +} + +static void add_delay_to_matrix(vtr::Matrix>& matrix, + int delta_x, + int delta_y, + float delay) { + if (matrix[delta_x][delta_y].size() == 1 && matrix[delta_x][delta_y][0] == EMPTY_DELTA) { + // Overwrite empty delta + matrix[delta_x][delta_y][0] = delay; + } else { + // Collect delta + matrix[delta_x][delta_y].push_back(delay); + } +} + +static float find_neighboring_average(vtr::NdMatrix& matrix, + int from_layer, + t_physical_tile_loc to_tile_loc, + int max_distance) { + float sum = 0.f; + int num_samples = 0; + const int endx = matrix.end_index(2); + const int endy = matrix.end_index(3); + + const int x = to_tile_loc.x; + const int y = to_tile_loc.y; + const int to_layer = to_tile_loc.layer_num; + + for (int distance = 1; distance <= max_distance; ++distance) { + for (int delx = x - distance; delx <= x + distance; delx++) { + for (int dely = y - distance; dely <= y + distance; dely++) { + // Check distance constraint + if (abs(delx - x) + abs(dely - y) > distance) { + continue; + } + + //check out of bounds + if (delx < 0 || dely < 0 || delx >= endx || dely >= endy || (delx == x && dely == y)) { + continue; + } + + if (matrix[from_layer][to_layer][delx][dely] == EMPTY_DELTA || matrix[from_layer][to_layer][delx][dely] == IMPOSSIBLE_DELTA) { + continue; + } + + sum += matrix[from_layer][to_layer][delx][dely]; + num_samples++; + } + } + + if (num_samples != 0) { + return sum / (float)num_samples; + } + } + + return IMPOSSIBLE_DELTA; +} + +/***************************************************************************************/ + +vtr::NdMatrix compute_delta_delay_model(RouterDelayProfiler& route_profiler, + const t_placer_opts& placer_opts, + const t_router_opts& router_opts, + bool measure_directconnect, + int longest_length, + bool is_flat) { + vtr::ScopedStartFinishTimer timer("Computing delta delays"); + vtr::NdMatrix delta_delays = compute_delta_delays(route_profiler, + placer_opts, + router_opts, + measure_directconnect, + longest_length, + is_flat); + + const size_t num_elements = delta_delays.size(); + + // set uninitialized elements to infinity + for (size_t i = 0; i < num_elements; i++) { + if (delta_delays.get(i) == UNINITIALIZED_DELTA) { + delta_delays.get(i) = IMPOSSIBLE_DELTA; + } + } + + fix_empty_coordinates(delta_delays); + + fill_impossible_coordinates(delta_delays); + + verify_delta_delays(delta_delays); + + return delta_delays; +} + +//Finds a src_rr and sink_rr appropriate for measuring the delay of the current direct specification +bool find_direct_connect_sample_locations(const t_direct_inf* direct, + t_physical_tile_type_ptr from_type, + int from_pin, + int from_pin_class, + t_physical_tile_type_ptr to_type, + int to_pin, + int to_pin_class, + RRNodeId& out_src_node, + RRNodeId& out_sink_node) { + VTR_ASSERT(from_type != nullptr); + VTR_ASSERT(to_type != nullptr); + + auto& device_ctx = g_vpr_ctx.device(); + auto& grid = device_ctx.grid; + const auto& node_lookup = device_ctx.rr_graph.node_lookup(); + + //Search the grid for an instance of from/to blocks which satisfy this direct connect offsets, + //and which has the appropriate pins + int from_x = -1; + int from_y = -1; + int from_sub_tile = -1; + int to_x = 0, to_y = 0, to_sub_tile = 0; + bool found = false; + int found_layer_num = -1; + //TODO: Function *FOR NOW* assumes that from/to blocks are at same die and have a same layer nums + for (int layer_num = 0; layer_num < grid.get_num_layers() && !found; ++layer_num) { + for (int x = 0; x < (int)grid.width() && !found; ++x) { + to_x = x + direct->x_offset; + if (to_x < 0 || to_x >= (int)grid.width()) continue; + + for (int y = 0; y < (int)grid.height() && !found; ++y) { + if (grid.get_physical_type({x, y, layer_num}) != from_type) continue; + + //Check that the from pin exists at this from location + //(with multi-width/height blocks pins may not exist at all locations) + bool from_pin_found = false; + if (direct->from_side != NUM_2D_SIDES) { + RRNodeId from_pin_rr = node_lookup.find_node(layer_num, x, y, OPIN, from_pin, direct->from_side); + from_pin_found = from_pin_rr.is_valid(); + } else { + from_pin_found = !(node_lookup.find_nodes_at_all_sides(layer_num, x, y, OPIN, from_pin).empty()); + } + if (!from_pin_found) continue; + + to_y = y + direct->y_offset; + + if (to_y < 0 || to_y >= (int)grid.height()) continue; + if (grid.get_physical_type({to_x, to_y, layer_num}) != to_type) continue; + + //Check that the from pin exists at this from location + //(with multi-width/height blocks pins may not exist at all locations) + bool to_pin_found = false; + if (direct->to_side != NUM_2D_SIDES) { + RRNodeId to_pin_rr = node_lookup.find_node(layer_num, to_x, to_y, IPIN, to_pin, direct->to_side); + to_pin_found = (to_pin_rr != RRNodeId::INVALID()); + } else { + to_pin_found = !(node_lookup.find_nodes_at_all_sides(layer_num, to_x, to_y, IPIN, to_pin).empty()); + } + if (!to_pin_found) continue; + + for (int sub_tile_num = 0; sub_tile_num < from_type->capacity; ++sub_tile_num) { + to_sub_tile = sub_tile_num + direct->sub_tile_offset; + + if (to_sub_tile < 0 || to_sub_tile >= to_type->capacity) continue; + + found = true; + found_layer_num = layer_num; + from_x = x; + from_y = y; + from_sub_tile = sub_tile_num; + + break; + } + } + } + } + + if (!found) { + return false; + } + + //Now have a legal instance of this direct connect + VTR_ASSERT(grid.get_physical_type({from_x, from_y, found_layer_num}) == from_type); + VTR_ASSERT(from_sub_tile < from_type->capacity); + + VTR_ASSERT(grid.get_physical_type({to_x, to_y, found_layer_num}) == to_type); + VTR_ASSERT(to_sub_tile < to_type->capacity); + + VTR_ASSERT(from_x + direct->x_offset == to_x); + VTR_ASSERT(from_y + direct->y_offset == to_y); + VTR_ASSERT(from_sub_tile + direct->sub_tile_offset == to_sub_tile); + + // Find a source/sink RR node associated with the pins of the direct + { + RRNodeId src_rr_candidate = node_lookup.find_node(found_layer_num, from_x, from_y, SOURCE, from_pin_class); + VTR_ASSERT(src_rr_candidate); + out_src_node = src_rr_candidate; + } + + { + RRNodeId sink_rr_candidate = node_lookup.find_node(found_layer_num, to_x, to_y, SINK, to_pin_class); + VTR_ASSERT(sink_rr_candidate); + out_sink_node = sink_rr_candidate; + } + + return true; +} + +std::vector get_best_classes(enum e_pin_type pintype, t_physical_tile_type_ptr type) { + std::vector best_classes; + + //Record any non-zero Fc pins + // + //Note that we track non-zero Fc pins, since certain Fc overrides + //may apply to only a subset of wire types. This ensures we record + //which pins can potentially connect to global routing. + std::unordered_set non_zero_fc_pins; + for (const t_fc_specification& fc_spec : type->fc_specs) { + if (fc_spec.fc_value == 0) continue; + + non_zero_fc_pins.insert(fc_spec.pins.begin(), fc_spec.pins.end()); + } + + // Collect all classes of matching type which connect to general routing + for (int i = 0; i < (int)type->class_inf.size(); i++) { + if (type->class_inf[i].type == pintype) { + //Check whether all pins in this class are ignored or have zero fc + bool any_pins_connect_to_general_routing = false; + for (int ipin = 0; ipin < type->class_inf[i].num_pins; ++ipin) { + int pin = type->class_inf[i].pinlist[ipin]; + //If the pin isn't ignored, and has a non-zero Fc to some general + //routing the class is suitable for delay profiling + if (!type->is_ignored_pin[pin] && non_zero_fc_pins.count(pin)) { + any_pins_connect_to_general_routing = true; + break; + } + } + + // Skip if the pin class doesn't connect to general routing + if (!any_pins_connect_to_general_routing) continue; + + // Record candidate class + best_classes.push_back(i); + } + } + + // Sort classes so the largest pin class is first + auto cmp_class = [&](int lhs, int rhs) { + return type->class_inf[lhs].num_pins > type->class_inf[rhs].num_pins; + }; + + std::stable_sort(best_classes.begin(), best_classes.end(), cmp_class); + + return best_classes; +} diff --git a/vpr/src/place/delay_model/compute_delta_delays_utils.h b/vpr/src/place/delay_model/compute_delta_delays_utils.h new file mode 100644 index 00000000000..d51c41cf18f --- /dev/null +++ b/vpr/src/place/delay_model/compute_delta_delays_utils.h @@ -0,0 +1,56 @@ + +#pragma once + +#include "vtr_ndmatrix.h" +#include "physical_types.h" +#include "rr_graph_fwd.h" + +struct t_placer_opts; +struct t_router_opts; +class RouterDelayProfiler; + +vtr::NdMatrix compute_delta_delay_model(RouterDelayProfiler& route_profiler, + const t_placer_opts& placer_opts, + const t_router_opts& router_opts, + bool measure_directconnect, + int longest_length, + bool is_flat); + +bool find_direct_connect_sample_locations(const t_direct_inf* direct, + t_physical_tile_type_ptr from_type, + int from_pin, + int from_pin_class, + t_physical_tile_type_ptr to_type, + int to_pin, + int to_pin_class, + RRNodeId& out_src_node, + RRNodeId& out_sink_node); + +/** + * @brief Identifies the best pin classes for delay calculation based on pin count and connectivity. + * + * This function selects pin classes of a specified type (`pintype`) from a physical tile type (`type`) + * that are suitable for delay calculations. It prioritizes pin classes with the largest number of pins + * that connect to general routing, ensuring commonly used pins are chosen for delay profiling. + * + * @param pintype The type of pins to filter. + * @param type Pointer to the physical tile type containing pin and class information. + * + * @return A vector of indices representing the selected pin classes. The classes are sorted + * in descending order based on the number of pins they contain. + * + * @details + * - A pin class is eligible if its type matches `pintype` and it contains at least one pin + * that connects to general routing (non-zero Fc). + * - Non-zero Fc pins are determined by inspecting the tile's `fc_specs`. + * - Classes are sorted so that the class with the largest number of pins appears first. + * If multiple classes have the same pin count, their order depends on their initial appearance + * in the architecture file. + * + * @note + * - Pins explicitly marked as ignored in `type->is_ignored_pin` are excluded. + * - The function ensures stability in sorting, preserving the input order for classes + * with the same number of pins. + */ + +std::vector get_best_classes(enum e_pin_type pintype, t_physical_tile_type_ptr type); diff --git a/vpr/src/place/delay_model/delta_delay_model.cpp b/vpr/src/place/delay_model/delta_delay_model.cpp new file mode 100644 index 00000000000..508787b6d69 --- /dev/null +++ b/vpr/src/place/delay_model/delta_delay_model.cpp @@ -0,0 +1,136 @@ + +#include "delta_delay_model.h" + +#include "compute_delta_delays_utils.h" + +#ifdef VTR_ENABLE_CAPNPROTO +#include "capnp/serialize.h" +#include "place_delay_model.capnp.h" +#include "ndmatrix_serdes.h" +#include "mmap_file.h" +#include "serdes_utils.h" +#endif // VTR_ENABLE_CAPNPROTO + +void DeltaDelayModel::compute(RouterDelayProfiler& route_profiler, + const t_placer_opts& placer_opts, + const t_router_opts& router_opts, + int longest_length) { + delays_ = compute_delta_delay_model(route_profiler, + placer_opts, + router_opts, + /*measure_directconnect=*/true, + longest_length, + is_flat_); +} + +float DeltaDelayModel::delay(const t_physical_tile_loc& from_loc, int /*from_pin*/, const t_physical_tile_loc& to_loc, int /*to_pin*/) const { + int delta_x = std::abs(from_loc.x - to_loc.x); + int delta_y = std::abs(from_loc.y - to_loc.y); + + return delays_[from_loc.layer_num][to_loc.layer_num][delta_x][delta_y]; +} + +void DeltaDelayModel::dump_echo(std::string filepath) const { + FILE* f = vtr::fopen(filepath.c_str(), "w"); + fprintf(f, " "); + for (size_t from_layer_num = 0; from_layer_num < delays_.dim_size(0); ++from_layer_num) { + for (size_t to_layer_num = 0; to_layer_num < delays_.dim_size(1); ++to_layer_num) { + fprintf(f, " %9zu", from_layer_num); + fprintf(f, "\n"); + for (size_t dx = 0; dx < delays_.dim_size(2); ++dx) { + fprintf(f, " %9zu", dx); + } + fprintf(f, "\n"); + for (size_t dy = 0; dy < delays_.dim_size(3); ++dy) { + fprintf(f, "%9zu", dy); + for (size_t dx = 0; dx < delays_.dim_size(2); ++dx) { + fprintf(f, " %9.2e", delays_[from_layer_num][to_layer_num][dx][dy]); + } + fprintf(f, "\n"); + } + } + } + vtr::fclose(f); +} + +void DeltaDelayModel::read(const std::string& file) { +#ifndef VTR_ENABLE_CAPNPROTO + (void)file; + VPR_THROW(VPR_ERROR_PLACE, + "OverrideDelayModel::read is disabled because VTR_ENABLE_CAPNPROTO=OFF. " + "Re-compile with CMake option VTR_ENABLE_CAPNPROTO=ON to enable."); +#else + + // MmapFile object creates an mmap of the specified path, and will munmap + // when the object leaves scope. + MmapFile f(file); + + /* Increase reader limit to 1G words to allow for large files. */ + ::capnp::ReaderOptions opts = default_large_capnp_opts(); + + // FlatArrayMessageReader is used to read the message from the data array + // provided by MmapFile. + ::capnp::FlatArrayMessageReader reader(f.getData(), opts); + + // When reading capnproto files the Reader object to use is named + // ::Reader. + // + // Initially this object is an empty VprDeltaDelayModel. + VprDeltaDelayModel::Reader model; + + // The reader.getRoot performs a cast from the generic capnproto to fit + // with the specified schema. + // + // Note that capnproto does not validate that the incoming data matches the + // schema. If this property is required, some form of check would be + // required. + model = reader.getRoot(); + + auto toFloat = [](float* out, const VprFloatEntry::Reader& in) -> void { + *out = in.getValue(); + }; + + // ToNdMatrix is a generic function for converting a Matrix capnproto + // to a vtr::NdMatrix. + // + // The user must supply the matrix dimension (2 in this case), the source + // capnproto type (VprFloatEntry), + // target C++ type (flat), and a function to convert from the source capnproto + // type to the target C++ type (ToFloat). + // + // The second argument should be of type Matrix::Reader where X is the + // capnproto element type. + ToNdMatrix<4, VprFloatEntry, float>(&delays_, model.getDelays(), toFloat); +#endif +} + +void DeltaDelayModel::write(const std::string& file) const { +#ifndef VTR_ENABLE_CAPNPROTO + (void)file; + VPR_THROW(VPR_ERROR_PLACE, + "DeltaDelayModel::write is disabled because VTR_ENABLE_CAPNPROTO=OFF. " + "Re-compile with CMake option VTR_ENABLE_CAPNPROTO=ON to enable."); +#else + + // MallocMessageBuilder object is the generate capnproto message builder, + // using malloc for buffer allocation. + ::capnp::MallocMessageBuilder builder; + + // initRoot returns a X::Builder object that can be used to set the + // fields in the message. + auto model = builder.initRoot(); + + auto fromFloat = [](VprFloatEntry::Builder* out, const float& in) -> void { + out->setValue(in); + }; + + // FromNdMatrix is a generic function for converting a vtr::NdMatrix to a + // Matrix message. It is the mirror function of ToNdMatrix described in + // read above. + auto delay_values = model.getDelays(); + FromNdMatrix<4, VprFloatEntry, float>(&delay_values, delays_, fromFloat); + + // writeMessageToFile writes message to the specified file. + writeMessageToFile(file, &builder); +#endif +} diff --git a/vpr/src/place/delay_model/delta_delay_model.h b/vpr/src/place/delay_model/delta_delay_model.h new file mode 100644 index 00000000000..a4c548210ae --- /dev/null +++ b/vpr/src/place/delay_model/delta_delay_model.h @@ -0,0 +1,47 @@ + +#pragma once + +#include "place_delay_model.h" + +/** + * @class DeltaDelayModel + * + * @brief A simple delay model based on the distance (delta) between block locations. + */ +class DeltaDelayModel : public PlaceDelayModel { + public: + DeltaDelayModel(float min_cross_layer_delay, + bool is_flat) + : cross_layer_delay_(min_cross_layer_delay) + , is_flat_(is_flat) {} + + DeltaDelayModel(float min_cross_layer_delay, + vtr::NdMatrix delta_delays, + bool is_flat) + : delays_(std::move(delta_delays)) + , cross_layer_delay_(min_cross_layer_delay) + , is_flat_(is_flat) {} + + void compute(RouterDelayProfiler& router, + const t_placer_opts& placer_opts, + const t_router_opts& router_opts, + int longest_length) override; + + float delay(const t_physical_tile_loc& from_loc, int /*from_pin*/, const t_physical_tile_loc& to_loc, int /*to_pin*/) const override; + + void dump_echo(std::string filepath) const override; + + void read(const std::string& file) override; + void write(const std::string& file) const override; + + const vtr::NdMatrix& delays() const { + return delays_; + } + + private: + vtr::NdMatrix delays_; // [0..num_layers-1][0..max_dx][0..max_dy] + float cross_layer_delay_; + + /// Indicates whether the router is a two-stage or run-flat + bool is_flat_; +}; diff --git a/vpr/src/place/delay_model/override_delay_model.cpp b/vpr/src/place/delay_model/override_delay_model.cpp new file mode 100644 index 00000000000..a3d99c73c9d --- /dev/null +++ b/vpr/src/place/delay_model/override_delay_model.cpp @@ -0,0 +1,282 @@ + +#include "override_delay_model.h" + +#include "compute_delta_delays_utils.h" +#include "physical_types_util.h" + +#ifdef VTR_ENABLE_CAPNPROTO +#include "capnp/serialize.h" +#include "place_delay_model.capnp.h" +#include "ndmatrix_serdes.h" +#include "mmap_file.h" +#include "serdes_utils.h" +#endif // VTR_ENABLE_CAPNPROTO + +void OverrideDelayModel::compute(RouterDelayProfiler& route_profiler, + const t_placer_opts& placer_opts, + const t_router_opts& router_opts, + int longest_length) { + auto delays = compute_delta_delay_model(route_profiler, + placer_opts, + router_opts, + /*measure_directconnect=*/false, + longest_length, + is_flat_); + + base_delay_model_ = std::make_unique(cross_layer_delay_, delays, false); + + compute_override_delay_model_(route_profiler, router_opts); +} + +void OverrideDelayModel::compute_override_delay_model_(RouterDelayProfiler& route_profiler, + const t_router_opts& router_opts) { + const auto& device_ctx = g_vpr_ctx.device(); + t_router_opts router_opts2 = router_opts; + router_opts2.astar_fac = 0.f; + router_opts2.astar_offset = 0.f; + + // Look at all the direct connections that exist, and add overrides to delay model + for (int idirect = 0; idirect < (int)device_ctx.arch->directs.size(); ++idirect) { + const t_direct_inf* direct = &device_ctx.arch->directs[idirect]; + + InstPort from_port = parse_inst_port(direct->from_pin); + InstPort to_port = parse_inst_port(direct->to_pin); + + t_physical_tile_type_ptr from_type = find_tile_type_by_name(from_port.instance_name(), device_ctx.physical_tile_types); + t_physical_tile_type_ptr to_type = find_tile_type_by_name(to_port.instance_name(), device_ctx.physical_tile_types); + + int num_conns = from_port.port_high_index() - from_port.port_low_index() + 1; + VTR_ASSERT_MSG(num_conns == to_port.port_high_index() - to_port.port_low_index() + 1, "Directs must have the same size to/from"); + + //We now walk through all the connections associated with the current direct specification, measure + //their delay and specify that value as an override in the delay model. + // + //Note that we need to check every connection in the direct to cover the case where the pins are not + //equivalent. + // + //However, if the from/to ports are equivalent we could end up sampling the same RR SOURCE/SINK + //paths multiple times (wasting CPU time) -- we avoid this by recording the sampled paths in + //sampled_rr_pairs and skipping them if they occur multiple times. + int missing_instances = 0; + int missing_paths = 0; + std::set> sampled_rr_pairs; + for (int iconn = 0; iconn < num_conns; ++iconn) { + //Find the associated pins + int from_pin = from_type->find_pin(from_port.port_name(), from_port.port_low_index() + iconn); + int to_pin = to_type->find_pin(to_port.port_name(), to_port.port_low_index() + iconn); + + VTR_ASSERT(from_pin != OPEN); + VTR_ASSERT(to_pin != OPEN); + + int from_pin_class = from_type->find_pin_class(from_port.port_name(), from_port.port_low_index() + iconn, DRIVER); + VTR_ASSERT(from_pin_class != OPEN); + + int to_pin_class = to_type->find_pin_class(to_port.port_name(), to_port.port_low_index() + iconn, RECEIVER); + VTR_ASSERT(to_pin_class != OPEN); + + bool found_sample_points; + RRNodeId src_rr, sink_rr; + found_sample_points = find_direct_connect_sample_locations(direct, from_type, from_pin, from_pin_class, to_type, to_pin, to_pin_class, src_rr, sink_rr); + + if (!found_sample_points) { + ++missing_instances; + continue; + } + + //If some of the source/sink ports are logically equivalent we may have already + //sampled the associated source/sink pair and don't need to do so again + if (sampled_rr_pairs.count({src_rr, sink_rr})) continue; + + float direct_connect_delay = std::numeric_limits::quiet_NaN(); + bool found_routing_path = route_profiler.calculate_delay(src_rr, sink_rr, router_opts2, &direct_connect_delay); + + if (found_routing_path) { + set_delay_override(from_type->index, from_pin_class, to_type->index, to_pin_class, direct->x_offset, direct->y_offset, direct_connect_delay); + } else { + ++missing_paths; + } + + //Record that we've sampled this pair of source and sink nodes + sampled_rr_pairs.insert({src_rr, sink_rr}); + } + + VTR_LOGV_WARN(missing_instances > 0, "Found no delta delay for %d bits of inter-block direct connect '%s' (no instances of this direct found)\n", missing_instances, direct->name.c_str()); + VTR_LOGV_WARN(missing_paths > 0, "Found no delta delay for %d bits of inter-block direct connect '%s' (no routing path found)\n", missing_paths, direct->name.c_str()); + } +} + +const DeltaDelayModel* OverrideDelayModel::base_delay_model() const { + return base_delay_model_.get(); +} + +float OverrideDelayModel::delay(const t_physical_tile_loc& from_loc, int from_pin, const t_physical_tile_loc& to_loc, int to_pin) const { + // First check to if there is an override delay value + const auto& device_ctx = g_vpr_ctx.device(); + const auto& grid = device_ctx.grid; + + t_physical_tile_type_ptr from_type_ptr = grid.get_physical_type(from_loc); + t_physical_tile_type_ptr to_type_ptr = grid.get_physical_type(to_loc); + + t_override override_key; + override_key.from_type = from_type_ptr->index; + override_key.from_class = from_type_ptr->pin_class[from_pin]; + override_key.to_type = to_type_ptr->index; + override_key.to_class = to_type_ptr->pin_class[to_pin]; + + //Delay overrides may be different for +/- delta so do not use + //an absolute delta for the look-up + override_key.delta_x = to_loc.x - from_loc.x; + override_key.delta_y = to_loc.y - from_loc.y; + + float delay_val = std::numeric_limits::quiet_NaN(); + auto override_iter = delay_overrides_.find(override_key); + if (override_iter != delay_overrides_.end()) { + //Found an override + delay_val = override_iter->second; + } else { + //Fall back to the base delay model if no override was found + delay_val = base_delay_model_->delay(from_loc, from_pin, to_loc, to_pin); + } + + return delay_val; +} + +void OverrideDelayModel::set_delay_override(int from_type, int from_class, int to_type, int to_class, int delta_x, int delta_y, float delay_val) { + t_override override_key; + override_key.from_type = from_type; + override_key.from_class = from_class; + override_key.to_type = to_type; + override_key.to_class = to_class; + override_key.delta_x = delta_x; + override_key.delta_y = delta_y; + + auto res = delay_overrides_.insert(std::make_pair(override_key, delay_val)); + if (!res.second) { //Key already exists + res.first->second = delay_val; //Overwrite existing delay + } +} + +void OverrideDelayModel::dump_echo(std::string filepath) const { + base_delay_model_->dump_echo(filepath); + + FILE* f = vtr::fopen(filepath.c_str(), "a"); + + fprintf(f, "\n"); + fprintf(f, "# Delay Overrides\n"); + auto& device_ctx = g_vpr_ctx.device(); + for (auto kv : delay_overrides_) { + auto override_key = kv.first; + float delay_val = kv.second; + fprintf(f, "from_type: %s to_type: %s from_pin_class: %d to_pin_class: %d delta_x: %d delta_y: %d -> delay: %g\n", + device_ctx.physical_tile_types[override_key.from_type].name.c_str(), + device_ctx.physical_tile_types[override_key.to_type].name.c_str(), + override_key.from_class, + override_key.to_class, + override_key.delta_x, + override_key.delta_y, + delay_val); + } + + vtr::fclose(f); +} + +float OverrideDelayModel::get_delay_override(int from_type, int from_class, int to_type, int to_class, int delta_x, int delta_y) const { + t_override key; + key.from_type = from_type; + key.from_class = from_class; + key.to_type = to_type; + key.to_class = to_class; + key.delta_x = delta_x; + key.delta_y = delta_y; + + auto iter = delay_overrides_.find(key); + if (iter == delay_overrides_.end()) { + VPR_THROW(VPR_ERROR_PLACE, "Key not found."); + } + return iter->second; +} + +void OverrideDelayModel::set_base_delay_model(std::unique_ptr base_delay_model_obj) { + base_delay_model_ = std::move(base_delay_model_obj); +} + +void OverrideDelayModel::read(const std::string& file) { +#ifndef VTR_ENABLE_CAPNPROTO + (void)file; + VPR_THROW(VPR_ERROR_PLACE, + "OverrideDelayModel::read is disabled because VTR_ENABLE_CAPNPROTO=OFF. " + "Re-compile with CMake option VTR_ENABLE_CAPNPROTO=ON to enable."); +#else + MmapFile f(file); + + /* Increase reader limit to 1G words to allow for large files. */ + ::capnp::ReaderOptions opts = default_large_capnp_opts(); + ::capnp::FlatArrayMessageReader reader(f.getData(), opts); + + auto toFloat = [](float* out, const VprFloatEntry::Reader& in) -> void { + *out = in.getValue(); + }; + + vtr::NdMatrix delays; + auto model = reader.getRoot(); + ToNdMatrix<4, VprFloatEntry, float>(&delays, model.getDelays(), toFloat); + + base_delay_model_ = std::make_unique(cross_layer_delay_, delays, is_flat_); + + // Reading non-scalar capnproto fields is roughly equivalent to using + // a std::vector of the field type. Actual type is capnp::List::Reader. + auto overrides = model.getDelayOverrides(); + std::vector> overrides_arr(overrides.size()); + for (size_t i = 0; i < overrides.size(); ++i) { + const auto& elem = overrides[i]; + overrides_arr[i].first.from_type = elem.getFromType(); + overrides_arr[i].first.to_type = elem.getToType(); + overrides_arr[i].first.from_class = elem.getFromClass(); + overrides_arr[i].first.to_class = elem.getToClass(); + overrides_arr[i].first.delta_x = elem.getDeltaX(); + overrides_arr[i].first.delta_y = elem.getDeltaY(); + + overrides_arr[i].second = elem.getDelay(); + } + + delay_overrides_ = vtr::make_flat_map2(std::move(overrides_arr)); +#endif +} + +void OverrideDelayModel::write(const std::string& file) const { +#ifndef VTR_ENABLE_CAPNPROTO + (void)file; + VPR_THROW(VPR_ERROR_PLACE, + "OverrideDelayModel::write is disabled because VTR_ENABLE_CAPNPROTO=OFF. " + "Re-compile with CMake option VTR_ENABLE_CAPNPROTO=ON to enable."); +#else + ::capnp::MallocMessageBuilder builder; + auto model = builder.initRoot(); + + auto fromFloat = [](VprFloatEntry::Builder* out, const float& in) -> void { + out->setValue(in); + }; + + auto delays = model.getDelays(); + FromNdMatrix<4, VprFloatEntry, float>(&delays, base_delay_model_->delays(), fromFloat); + + // Non-scalar capnproto fields should be first initialized with + // init(count), and then accessed from the returned + // std::vector-like Builder object (specifically capnp::List::Builder). + auto overrides = model.initDelayOverrides(delay_overrides_.size()); + auto dst_iter = overrides.begin(); + for (const auto& src : delay_overrides_) { + auto elem = *dst_iter++; + elem.setFromType(src.first.from_type); + elem.setToType(src.first.to_type); + elem.setFromClass(src.first.from_class); + elem.setToClass(src.first.to_class); + elem.setDeltaX(src.first.delta_x); + elem.setDeltaY(src.first.delta_y); + + elem.setDelay(src.second); + } + + writeMessageToFile(file, &builder); +#endif +} diff --git a/vpr/src/place/delay_model/override_delay_model.h b/vpr/src/place/delay_model/override_delay_model.h new file mode 100644 index 00000000000..e7d71c72318 --- /dev/null +++ b/vpr/src/place/delay_model/override_delay_model.h @@ -0,0 +1,112 @@ + +#pragma once + +#include "place_delay_model.h" +#include "delta_delay_model.h" + +class OverrideDelayModel : public PlaceDelayModel { + public: + OverrideDelayModel(float min_cross_layer_delay, + bool is_flat) + : cross_layer_delay_(min_cross_layer_delay) + , is_flat_(is_flat) {} + + void compute(RouterDelayProfiler& route_profiler, + const t_placer_opts& placer_opts, + const t_router_opts& router_opts, + int longest_length) override; + + /** + * @brief returns delay from the specified (x,y) to the specified (x,y) with both endpoints on layer_num and the + * specified from and to pins + */ + float delay(const t_physical_tile_loc& from_loc, int from_pin, const t_physical_tile_loc& to_loc, int to_pin) const override; + + void dump_echo(std::string filepath) const override; + + void read(const std::string& file) override; + void write(const std::string& file) const override; + + public: //Mutators + void set_base_delay_model(std::unique_ptr base_delay_model); + const DeltaDelayModel* base_delay_model() const; + float get_delay_override(int from_type, int from_class, int to_type, int to_class, int delta_x, int delta_y) const; + void set_delay_override(int from_type, int from_class, int to_type, int to_class, int delta_x, int delta_y, float delay); + + private: + std::unique_ptr base_delay_model_; + /// Minimum delay of cross-layer connections + float cross_layer_delay_; + + /// Indicates whether the router is a two-stage or run-flat + bool is_flat_; + + void compute_override_delay_model_(RouterDelayProfiler& router, + const t_router_opts& router_opts); + + /** + * @brief Structure that allows delays to be queried from the delay model. + * + * Delay is calculated given the origin physical tile, the origin + * pin, the destination physical tile, and the destination pin. + * This structure encapsulates all these information. + * + * @param from_type, to_type + * Physical tile index (for easy array access) + * @param from_class, to_class + * The class that the pins belongs to. + * @param to_x, to_y + * The horizontal and vertical displacement + * between two physical tiles. + */ + struct t_override { + short from_type; + short to_type; + short from_class; + short to_class; + short delta_x; + short delta_y; + + /** + * @brief Comparison operator designed for performance. + * + * Operator< is important since t_override serves as the key into the + * map structure delay_overrides_. A default comparison operator would + * not be inlined by the compiler. + * + * A combination of ALWAYS_INLINE attribute and std::lexicographical_compare + * is required for operator< to be inlined by compiler. Proper inlining of + * the function reduces place time by around 5%. + * + * For more information: https://github.com/verilog-to-routing/vtr-verilog-to-routing/issues/1225 + */ + friend ALWAYS_INLINE bool operator<(const t_override& lhs, const t_override& rhs) { + const short* left = reinterpret_cast(&lhs); + const short* right = reinterpret_cast(&rhs); + constexpr size_t NUM_T_OVERRIDE_MEMBERS = sizeof(t_override) / sizeof(short); + return std::lexicographical_compare(left, left + NUM_T_OVERRIDE_MEMBERS, right, right + NUM_T_OVERRIDE_MEMBERS); + } + }; + + /** + * @brief Map data structure that returns delay values according to + * specific delay model queries. + * + * Delay model queries are provided by the t_override structure, which + * encapsulates the information regarding the origin and the destination. + */ + vtr::flat_map2 delay_overrides_; + + /** + * operator< treats memory layout of t_override as an array of short. + * This requires all members of t_override are shorts and there is no + * padding between members of t_override. + */ + static_assert(sizeof(t_override) == sizeof(t_override::from_type) + sizeof(t_override::to_type) + sizeof(t_override::from_class) + sizeof(t_override::to_class) + sizeof(t_override::delta_x) + sizeof(t_override::delta_y), "Expect t_override to have a memory layout equivalent to an array of short (no padding)"); + static_assert(sizeof(t_override::from_type) == sizeof(short), "Expect all t_override data members to be shorts"); + static_assert(sizeof(t_override::to_type) == sizeof(short), "Expect all t_override data members to be shorts"); + static_assert(sizeof(t_override::from_class) == sizeof(short), "Expect all t_override data members to be shorts"); + static_assert(sizeof(t_override::to_class) == sizeof(short), "Expect all t_override data members to be shorts"); + static_assert(sizeof(t_override::delta_x) == sizeof(short), "Expect all t_override data members to be shorts"); + static_assert(sizeof(t_override::delta_y) == sizeof(short), "Expect all t_override data members to be shorts"); +}; diff --git a/vpr/src/place/delay_model/place_delay_model.cpp b/vpr/src/place/delay_model/place_delay_model.cpp new file mode 100644 index 00000000000..6ff7ad3a0cf --- /dev/null +++ b/vpr/src/place/delay_model/place_delay_model.cpp @@ -0,0 +1,78 @@ +/** + * @file place_delay_model.cpp + * @brief This file implements all the class methods and individual + * routines related to the placer delay model. + */ + +#include "place_delay_model.h" + +#include "globals.h" +#include "physical_types_util.h" +#include "placer_state.h" +#include "vpr_error.h" + +/** + * @brief Returns the delay of one point to point connection. + * + * Only estimate delay for signals routed through the inter-block routing network. + * TODO: Do how should we compute the delay for globals. "Global signals are assumed to have zero delay." + */ +float comp_td_single_connection_delay(const PlaceDelayModel* delay_model, + const vtr::vector_map& block_locs, + ClusterNetId net_id, + int ipin) { + const auto& cluster_ctx = g_vpr_ctx.clustering(); + + float delay_source_to_sink = 0.; + + if (!cluster_ctx.clb_nlist.net_is_ignored(net_id)) { + ClusterPinId source_pin = cluster_ctx.clb_nlist.net_driver(net_id); + ClusterPinId sink_pin = cluster_ctx.clb_nlist.net_pin(net_id, ipin); + + ClusterBlockId source_block = cluster_ctx.clb_nlist.pin_block(source_pin); + ClusterBlockId sink_block = cluster_ctx.clb_nlist.pin_block(sink_pin); + + int source_block_ipin = cluster_ctx.clb_nlist.pin_logical_index(source_pin); + int sink_block_ipin = cluster_ctx.clb_nlist.pin_logical_index(sink_pin); + + t_pl_loc source_block_loc = block_locs[source_block].loc; + t_pl_loc sink_block_loc = block_locs[sink_block].loc; + + /** + * This heuristic only considers delta_x and delta_y, a much better + * heuristic would be to to create a more comprehensive lookup table. + * + * In particular this approach does not accurately capture the effect + * of fast carry-chain connections. + */ + delay_source_to_sink = delay_model->delay({source_block_loc.x, source_block_loc.y, source_block_loc.layer}, source_block_ipin, + {sink_block_loc.x, sink_block_loc.y, sink_block_loc.layer}, sink_block_ipin); + if (delay_source_to_sink < 0) { + VPR_ERROR(VPR_ERROR_PLACE, + "in comp_td_single_connection_delay: Bad delay_source_to_sink value %g from %s (at %d,%d,%d) to %s (at %d,%d,%d)\n" + "in comp_td_single_connection_delay: Delay is less than 0\n", + block_type_pin_index_to_name(physical_tile_type(source_block_loc), source_block_ipin, false).c_str(), + source_block_loc.x, source_block_loc.y, source_block_loc.layer, + block_type_pin_index_to_name(physical_tile_type(sink_block_loc), sink_block_ipin, false).c_str(), + sink_block_loc.x, sink_block_loc.y, sink_block_loc.layer, + delay_source_to_sink); + } + } + + return (delay_source_to_sink); +} + +///@brief Recompute all point to point delays, updating `connection_delay` matrix. +void comp_td_connection_delays(const PlaceDelayModel* delay_model, + PlacerState& placer_state) { + const auto& cluster_ctx = g_vpr_ctx.clustering(); + auto& p_timing_ctx = placer_state.mutable_timing(); + auto& block_locs = placer_state.block_locs(); + auto& connection_delay = p_timing_ctx.connection_delay; + + for (ClusterNetId net_id : cluster_ctx.clb_nlist.nets()) { + for (size_t ipin = 1; ipin < cluster_ctx.clb_nlist.net_pins(net_id).size(); ++ipin) { + connection_delay[net_id][ipin] = comp_td_single_connection_delay(delay_model, block_locs, net_id, ipin); + } + } +} diff --git a/vpr/src/place/delay_model/place_delay_model.h b/vpr/src/place/delay_model/place_delay_model.h new file mode 100644 index 00000000000..ba22125d712 --- /dev/null +++ b/vpr/src/place/delay_model/place_delay_model.h @@ -0,0 +1,76 @@ +/** + * @file place_delay_model.h + * @brief This file contains all the class and function declarations related to + * the placer delay model. For implementations, see place_delay_model.cpp. + */ + +#pragma once + +#include "vtr_ndmatrix.h" +#include "vtr_flat_map.h" +#include "vpr_types.h" +#include "router_delay_profiling.h" + +#ifndef __has_attribute +#define __has_attribute(x) 0 // Compatibility with non-clang compilers. +#endif + +#if defined(COMPILER_GCC) && defined(NDEBUG) +#define ALWAYS_INLINE inline __attribute__((__always_inline__)) +#elif defined(COMPILER_MSVC) && defined(NDEBUG) +#define ALWAYS_INLINE __forceinline +#elif __has_attribute(always_inline) +#define ALWAYS_INLINE __attribute__((always_inline)) // clang +#else +#define ALWAYS_INLINE inline +#endif + +///@brief Forward declarations. +class PlaceDelayModel; +class PlacerState; + +///@brief Returns the delay of one point to point connection. +float comp_td_single_connection_delay(const PlaceDelayModel* delay_model, + const vtr::vector_map& block_locs, + ClusterNetId net_id, + int ipin); + +///@brief Recompute all point to point delays, updating `connection_delay` matrix. +void comp_td_connection_delays(const PlaceDelayModel* delay_model, + PlacerState& placer_state); + +///@brief Abstract interface to a placement delay model. +class PlaceDelayModel { + public: + virtual ~PlaceDelayModel() = default; + + ///@brief Computes place delay model. + virtual void compute(RouterDelayProfiler& route_profiler, + const t_placer_opts& placer_opts, + const t_router_opts& router_opts, + int longest_length) = 0; + + /** + * @brief Returns the delay estimate between the specified block pins. + * + * Either compute or read methods must be invoked before invoking delay. + */ + virtual float delay(const t_physical_tile_loc& from_loc, int from_pin, const t_physical_tile_loc& to_loc, int to_pin) const = 0; + + ///@brief Dumps the delay model to an echo file. + virtual void dump_echo(std::string filename) const = 0; + + /** + * @brief Write place delay model to specified file. + * + * May be unimplemented, in which case method should throw an exception. + */ + virtual void write(const std::string& file) const = 0; + + /** + * @brief Read place delay model from specified file. + * + * May be unimplemented, in which case method should throw an exception. + */ + virtual void read(const std::string& file) = 0; +}; diff --git a/vpr/src/place/delay_model/simple_delay_model.cpp b/vpr/src/place/delay_model/simple_delay_model.cpp new file mode 100644 index 00000000000..04d94b0fbac --- /dev/null +++ b/vpr/src/place/delay_model/simple_delay_model.cpp @@ -0,0 +1,132 @@ + +#include "simple_delay_model.h" + +#ifdef VTR_ENABLE_CAPNPROTO +#include "capnp/serialize.h" +#include "place_delay_model.capnp.h" +#include "ndmatrix_serdes.h" +#include "mmap_file.h" +#include "serdes_utils.h" +#endif // VTR_ENABLE_CAPNPROTO + +void SimpleDelayModel::compute(RouterDelayProfiler& route_profiler, + const t_placer_opts& /*placer_opts*/, + const t_router_opts& /*router_opts*/, + int /*longest_length*/) { + const auto& grid = g_vpr_ctx.device().grid; + const size_t num_physical_tile_types = g_vpr_ctx.device().physical_tile_types.size(); + const size_t num_layers = grid.get_num_layers(); + + // Initializing the delay matrix to [num_physical_types][num_layers][num_layers][width][height] + // The second index related to the layer that the source location is on and the third index is for the sink layer + delays_ = vtr::NdMatrix({num_physical_tile_types, + num_layers, + num_layers, + grid.width(), + grid.height()}); + + for (size_t physical_tile_type_idx = 0; physical_tile_type_idx < num_physical_tile_types; ++physical_tile_type_idx) { + for (size_t from_layer = 0; from_layer < num_layers; ++from_layer) { + for (size_t to_layer = 0; to_layer < num_layers; ++to_layer) { + for (size_t dx = 0; dx < grid.width(); ++dx) { + for (size_t dy = 0; dy < grid.height(); ++dy) { + float min_delay = route_profiler.get_min_delay(physical_tile_type_idx, + from_layer, + to_layer, + dx, + dy); + delays_[physical_tile_type_idx][from_layer][to_layer][dx][dy] = min_delay; + } + } + } + } + } +} + +float SimpleDelayModel::delay(const t_physical_tile_loc& from_loc, int /*from_pin*/, const t_physical_tile_loc& to_loc, int /*to_pin*/) const { + int delta_x = std::abs(from_loc.x - to_loc.x); + int delta_y = std::abs(from_loc.y - to_loc.y); + + int from_tile_idx = g_vpr_ctx.device().grid.get_physical_type(from_loc)->index; + return delays_[from_tile_idx][from_loc.layer_num][to_loc.layer_num][delta_x][delta_y]; +} + +void SimpleDelayModel::read(const std::string& file) { +#ifndef VTR_ENABLE_CAPNPROTO + (void)file; + VPR_THROW(VPR_ERROR_PLACE, + "SimpleDelayModel::read is disabled because VTR_ENABLE_CAPNPROTO=OFF. " + "Re-compile with CMake option VTR_ENABLE_CAPNPROTO=ON to enable."); +#else + // MmapFile object creates an mmap of the specified path, and will munmap + // when the object leaves scope. + MmapFile f(file); + + /* Increase reader limit to 1G words to allow for large files. */ + ::capnp::ReaderOptions opts = default_large_capnp_opts(); + + // FlatArrayMessageReader is used to read the message from the data array + // provided by MmapFile. + ::capnp::FlatArrayMessageReader reader(f.getData(), opts); + + // When reading capnproto files the Reader object to use is named + // ::Reader. + // + // Initially this object is an empty VprDeltaDelayModel. + VprDeltaDelayModel::Reader model; + + // The reader.getRoot performs a cast from the generic capnproto to fit + // with the specified schema. + // + // Note that capnproto does not validate that the incoming data matches the + // schema. If this property is required, some form of check would be + // required. + model = reader.getRoot(); + + auto toFloat = [](float* out, const VprFloatEntry::Reader& in) -> void { + *out = in.getValue(); + }; + + // ToNdMatrix is a generic function for converting a Matrix capnproto + // to a vtr::NdMatrix. + // + // The user must supply the matrix dimension (5 in this case), the source + // capnproto type (VprFloatEntry), + // target C++ type (flat), and a function to convert from the source capnproto + // type to the target C++ type (ToFloat). + // + // The second argument should be of type Matrix::Reader where X is the + // capnproto element type. + ToNdMatrix<5, VprFloatEntry, float>(&delays_, model.getDelays(), toFloat); +#endif +} + +void SimpleDelayModel::write(const std::string& file) const { +#ifndef VTR_ENABLE_CAPNPROTO + (void)file; + VPR_THROW(VPR_ERROR_PLACE, + "SimpleDelayModel::write is disabled because VTR_ENABLE_CAPNPROTO=OFF. " + "Re-compile with CMake option VTR_ENABLE_CAPNPROTO=ON to enable."); +#else + // MallocMessageBuilder object generates capnproto message builder, + // using malloc for buffer allocation. + ::capnp::MallocMessageBuilder builder; + + // initRoot returns a X::Builder object that can be used to set the + // fields in the message. + auto model = builder.initRoot(); + + auto fromFloat = [](VprFloatEntry::Builder* out, const float& in) -> void { + out->setValue(in); + }; + + // FromNdMatrix is a generic function for converting a vtr::NdMatrix to a + // Matrix message. It is the mirror function of ToNdMatrix described in + // read above. + auto delay_values = model.getDelays(); + FromNdMatrix<5, VprFloatEntry, float>(&delay_values, delays_, fromFloat); + + // writeMessageToFile writes message to the specified file. + writeMessageToFile(file, &builder); +#endif +} diff --git a/vpr/src/place/delay_model/simple_delay_model.h b/vpr/src/place/delay_model/simple_delay_model.h new file mode 100644 index 00000000000..0a7ace6aece --- /dev/null +++ b/vpr/src/place/delay_model/simple_delay_model.h @@ -0,0 +1,39 @@ + +#pragma once + +#include "place_delay_model.h" + +/** + * @class SimpleDelayModel + * @brief A simple delay model based on the information stored in router lookahead + * This is in contrast to other placement delay models that get the cost of getting from one location to another by running the router + */ +class SimpleDelayModel : public PlaceDelayModel { + public: + SimpleDelayModel() {} + + /// @brief Use the information in the router lookahead to fill the delay matrix instead of running the router + void compute(RouterDelayProfiler& router, + const t_placer_opts& placer_opts, + const t_router_opts& router_opts, + int longest_length) override; + + float delay(const t_physical_tile_loc& from_loc, int /*from_pin*/, const t_physical_tile_loc& to_loc, int /*to_pin*/) const override; + + void dump_echo(std::string /*filepath*/) const override {} + + void read(const std::string& /*file*/) override; + void write(const std::string& /*file*/) const override; + + private: + /** + * @brief The matrix to store the minimum delay between different points on different layers. + * + *The matrix used to store delay information is a 5D matrix. This data structure stores the minimum delay for each tile type on each layer to other layers + *for each dx and dy. We decided to separate the delay for each physical type on each die to accommodate cases where the connectivity of a physical type differs + *on each layer. Additionally, instead of using d_layer, we distinguish between the destination layer to handle scenarios where connectivity between layers + *is not uniform. For example, if the number of inter-layer connections between layer 1 and 2 differs from the number of connections between layer 0 and 1. + *One might argue that this variability could also occur for dx and dy. However, we are operating under the assumption that the FPGA fabric architecture is regular. + */ + vtr::NdMatrix delays_; // [0..num_physical_type-1][0..num_layers-1][0..num_layers-1][0..max_dx][0..max_dy] +}; diff --git a/vpr/src/place/grid_tile_lookup.cpp b/vpr/src/place/grid_tile_lookup.cpp index d2236fdbc8a..45aad729647 100644 --- a/vpr/src/place/grid_tile_lookup.cpp +++ b/vpr/src/place/grid_tile_lookup.cpp @@ -1,12 +1,11 @@ #include "grid_tile_lookup.h" +#include "physical_types_util.h" -GridTileLookup::GridTileLookup() { +GridTileLookup::GridTileLookup() + : max_placement_locations(g_vpr_ctx.device().logical_block_types.size()) { const auto& device_ctx = g_vpr_ctx.device(); const int num_layers = device_ctx.grid.get_num_layers(); - //Will store the max number of tile locations for each logical block type - max_placement_locations.resize(device_ctx.logical_block_types.size()); - for (const auto& type : device_ctx.logical_block_types) { vtr::NdMatrix type_count({static_cast(num_layers), device_ctx.grid.width(), device_ctx.grid.height()}); fill_type_matrix(&type, type_count); @@ -85,7 +84,7 @@ int GridTileLookup::region_tile_count(const Region& reg, t_logical_block_type_pt 0, n_layers - 1); Region intersect_reg = intersection(reg, grid_reg); -// VTR_ASSERT(intersect_coord.layer_num == layer_num); + // VTR_ASSERT(intersect_coord.layer_num == layer_num); const auto [xmin, ymin, xmax, ymax] = intersect_reg.get_rect().coordinates(); const auto [layer_low, layer_high] = intersect_reg.get_layer_range(); diff --git a/vpr/src/place/grid_tile_lookup.h b/vpr/src/place/grid_tile_lookup.h index 66d9d372db3..b155bf99410 100644 --- a/vpr/src/place/grid_tile_lookup.h +++ b/vpr/src/place/grid_tile_lookup.h @@ -5,86 +5,86 @@ #include "globals.h" /** -* @class GridTileLookup -* @brief This class is used to store a grid for each logical block type that stores the cumulative number of subtiles -* for that type available at each location in the grid. -* -* The cumulative number of subtiles is the subtiles at the location plus the subtiles available at the grid locations -* above and to the right of the locations. Having these grids allows for O(1) lookups about the number of subtiles -* available for a given type of block in a rectangular region. -* This lookup class is used during initial placement when sorting blocks by the size of their floorplan constraint regions. -*/ + * @class GridTileLookup + * @brief This class is used to store a grid for each logical block type that stores the cumulative number of subtiles + * for that type available at each location in the grid. + * + * The cumulative number of subtiles is the subtiles at the location plus the subtiles available at the grid locations + * above and to the right of the locations. Having these grids allows for O(1) lookups about the number of subtiles + * available for a given type of block in a rectangular region. + * This lookup class is used during initial placement when sorting blocks by the size of their floorplan constraint regions. + */ class GridTileLookup { - public: - /** - * @brief Constructs a new GridTileLookup object. - * - * Creates a grid for each logical type and fills it with the cumulative number - * of subtiles of that type. - */ - GridTileLookup(); + public: + /** + * @brief Constructs a new GridTileLookup object. + * + * Creates a grid for each logical type and fills it with the cumulative number + * of subtiles of that type. + */ + GridTileLookup(); - /** - * @brief Returns the number of subtiles available in the specified region for the given block type. - * - * This routine uses pre-computed values from the grids for each block type to get the number of grid tiles - * covered by a region. - * For a region with no subtiles specified, the number of grid tiles can be calculated by adding - * and subtracting four values from within/at the edge of the region. - * The region with subtile case is taken care of by a helper routine, region_with_subtile_count(). - * - * @param reg The region to be queried. - * @param block_type The type of logical block. - * @return int The number of available subtiles. - */ - int region_tile_count(const Region& reg, t_logical_block_type_ptr block_type) const; + /** + * @brief Returns the number of subtiles available in the specified region for the given block type. + * + * This routine uses pre-computed values from the grids for each block type to get the number of grid tiles + * covered by a region. + * For a region with no subtiles specified, the number of grid tiles can be calculated by adding + * and subtracting four values from within/at the edge of the region. + * The region with subtile case is taken care of by a helper routine, region_with_subtile_count(). + * + * @param reg The region to be queried. + * @param block_type The type of logical block. + * @return int The number of available subtiles. + */ + int region_tile_count(const Region& reg, t_logical_block_type_ptr block_type) const; - /** - * @brief Returns the number of subtiles that can be placed in the specified region for the given block type. - * - * This routine is for the subtile specified case; an O(region_size) scan needs to be done to check whether each grid - * location in the region is compatible for the block at the subtile specified. - * - * @param reg The region to be queried. - * @param block_type The type of logical block. - * @return int The number of subtiles with placement. - */ - int region_with_subtile_count(const Region& reg, t_logical_block_type_ptr block_type) const; + /** + * @brief Returns the number of subtiles that can be placed in the specified region for the given block type. + * + * This routine is for the subtile specified case; an O(region_size) scan needs to be done to check whether each grid + * location in the region is compatible for the block at the subtile specified. + * + * @param reg The region to be queried. + * @param block_type The type of logical block. + * @return int The number of subtiles with placement. + */ + int region_with_subtile_count(const Region& reg, t_logical_block_type_ptr block_type) const; - /** - * @brief Returns the total number of tiles available for the specified block type. - * - * @param block_type The type of logical block. - * @return int The total number of available tiles. - */ - int total_type_tiles(t_logical_block_type_ptr block_type) const; + /** + * @brief Returns the total number of tiles available for the specified block type. + * + * @param block_type The type of logical block. + * @return int The total number of available tiles. + */ + int total_type_tiles(t_logical_block_type_ptr block_type) const; - private: - /** - * @brief Fills the type matrix with cumulative subtiles count for the given block type. - * - * @param block_type The type of logical block. - * @param type_count The matrix to be filled with cumulative subtiles count. - */ - void fill_type_matrix(t_logical_block_type_ptr block_type, vtr::NdMatrix& type_count); + private: + /** + * @brief Fills the type matrix with cumulative subtiles count for the given block type. + * + * @param block_type The type of logical block. + * @param type_count The matrix to be filled with cumulative subtiles count. + */ + void fill_type_matrix(t_logical_block_type_ptr block_type, vtr::NdMatrix& type_count); - /** - * @brief Stores the cumulative total of subtiles available at each (x, y) location in each layer - * for all block types. - * - * Therefore, the length of the vector will be the number of logical block types. To access the cumulative - * number of subtiles at a location in a specific layer, you would use block_type_matrices[iblock_type][layer][x][y]. - * This would give the number of placement locations that are at, or above (larger y) and to the right of the given [x,y] for - * the given block type in the given layer. - */ - std::vector> block_type_matrices; + /** + * @brief Stores the cumulative total of subtiles available at each (x, y) location in each layer + * for all block types. + * + * Therefore, the length of the vector will be the number of logical block types. To access the cumulative + * number of subtiles at a location in a specific layer, you would use block_type_matrices[iblock_type][layer][x][y]. + * This would give the number of placement locations that are at, or above (larger y) and to the right of the given [x,y] for + * the given block type in the given layer. + */ + std::vector> block_type_matrices; - /** - * @brief Stores the total number of placement locations (i.e. compatible subtiles) for each block type. - * - * To access the max_placement locations for a particular block type, use max_placement_locations[block_type->index] - */ - std::vector max_placement_locations; + /** + * @brief Stores the total number of placement locations (i.e. compatible subtiles) for each block type. + * + * To access the max_placement locations for a particular block type, use max_placement_locations[block_type->index] + */ + std::vector max_placement_locations; }; -#endif /* VPR_SRC_PLACE_GRID_TILE_LOOKUP_H_ */ \ No newline at end of file +#endif /* VPR_SRC_PLACE_GRID_TILE_LOOKUP_H_ */ diff --git a/vpr/src/place/initial_noc_placement.cpp b/vpr/src/place/initial_noc_placement.cpp index df1352c98ec..2c97ee4f788 100644 --- a/vpr/src/place/initial_noc_placement.cpp +++ b/vpr/src/place/initial_noc_placement.cpp @@ -1,6 +1,7 @@ #include "initial_noc_placment.h" +#include "place_macro.h" #include "vpr_types.h" #include "initial_placement.h" #include "noc_place_utils.h" @@ -66,6 +67,7 @@ static void place_noc_routers_randomly(std::vector& unfixed_rout */ static void noc_routers_anneal(const t_noc_opts& noc_opts, BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, NocCostHandler& noc_cost_handler, vtr::RngContainer& rng); @@ -210,6 +212,7 @@ static void place_noc_routers_randomly(std::vector& unfixed_rout static void noc_routers_anneal(const t_noc_opts& noc_opts, BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, NocCostHandler& noc_cost_handler, vtr::RngContainer& rng) { auto& noc_ctx = g_vpr_ctx.noc(); @@ -276,6 +279,7 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts, e_create_move create_move_outcome = propose_router_swap(blocks_affected, r_lim_decayed, blk_loc_registry, + place_macros, rng); if (create_move_outcome != e_create_move::ABORT) { @@ -311,9 +315,10 @@ static void noc_routers_anneal(const t_noc_opts& noc_opts, void initial_noc_placement(const t_noc_opts& noc_opts, BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, NocCostHandler& noc_cost_handler, vtr::RngContainer& rng) { - vtr::ScopedStartFinishTimer timer("Initial NoC Placement"); + vtr::ScopedStartFinishTimer timer("Initial NoC Placement"); auto& noc_ctx = g_vpr_ctx.noc(); const auto& block_locs = blk_loc_registry.block_locs(); @@ -343,7 +348,7 @@ void initial_noc_placement(const t_noc_opts& noc_opts, noc_cost_handler.initial_noc_routing({}); // Run the simulated annealing optimizer for NoC routers - noc_routers_anneal(noc_opts, blk_loc_registry, noc_cost_handler, rng); + noc_routers_anneal(noc_opts, blk_loc_registry, place_macros, noc_cost_handler, rng); // check if there is any cycles bool has_cycle = noc_cost_handler.noc_routing_has_cycle(); @@ -352,4 +357,4 @@ void initial_noc_placement(const t_noc_opts& noc_opts, "At least one cycle was found in NoC channel dependency graph. This may cause a deadlock " "when packets wait on each other in a cycle.\n"); } -} \ No newline at end of file +} diff --git a/vpr/src/place/initial_noc_placment.h b/vpr/src/place/initial_noc_placment.h index 79b062909dd..1e62164b549 100644 --- a/vpr/src/place/initial_noc_placment.h +++ b/vpr/src/place/initial_noc_placment.h @@ -5,6 +5,7 @@ struct t_noc_opts; struct t_placer_opts; class BlkLocRegistry; +class PlaceMacros; class NocCostHandler; namespace vtr { @@ -22,6 +23,7 @@ class RngContainer; */ void initial_noc_placement(const t_noc_opts& noc_opts, BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, NocCostHandler& noc_cost_handler, vtr::RngContainer& rng); diff --git a/vpr/src/place/initial_placement.cpp b/vpr/src/place/initial_placement.cpp index e6c8f8e09db..b41564ba715 100644 --- a/vpr/src/place/initial_placement.cpp +++ b/vpr/src/place/initial_placement.cpp @@ -1,4 +1,7 @@ -#include "vtr_memory.h" +#include "flat_placement_types.h" +#include "atom_netlist_fwd.h" +#include "physical_types_util.h" +#include "place_macro.h" #include "vtr_random.h" #include "vtr_time.h" #include "vpr_types.h" @@ -15,9 +18,9 @@ #include "noc_place_utils.h" #include +#include #include - #ifdef VERBOSE void print_clb_placement(const char* fname); #endif @@ -31,6 +34,15 @@ static constexpr int SORT_WEIGHT_PER_FAILED_BLOCK = 10; // The amount of weight that will be added to each tile which is outside the floorplanning constraints static constexpr int SORT_WEIGHT_PER_TILES_OUTSIDE_OF_PR = 100; +// The range limit to be used when searching for a neighbor in the centroid placement. +// The neighbor location should be within the defined range to the calculated centroid location. +static constexpr int CENTROID_NEIGHBOR_SEARCH_RLIM = 15; + +// The range limit to be used when searcing for a neighbor in the centroid placement when AP is used. +// Since AP is assumed to have a better idea of where clusters should be placed, we want to search more +// places to place a cluster near its solved position before giving up. +static constexpr int CENTROID_NEIGHBOR_SEARCH_RLIM_AP = 60; + /** * @brief Control routine for placing a macro. * First iteration of place_marco performs the following steps to place a macro: @@ -38,10 +50,10 @@ static constexpr int SORT_WEIGHT_PER_TILES_OUTSIDE_OF_PR = 100; * 2) try_place_macro_randomly : if no smart location found in the centroid placement, the function tries * to place it randomly for the max number of tries. * 3) try_place_macro_exhaustively : if neither placement algorithms work, the function will find a location - * for the macro by exhaustively searching all available locations. + * for the macro by exhaustively searching all available locations. * If first iteration failed, next iteration calls dense placement for specific block types. - * - * @param macros_max_num_tries Max number of tries for initial placement before switching to exhaustive placement. + * + * @param macros_max_num_tries Max number of tries for initial placement before switching to exhaustive placement. * @param pl_macro The macro to be placed. * @param pad_loc_type Used to check whether an io block needs to be marked as fixed. * @param blk_types_empty_locs_in_grid First location (lowest y) and number of remaining blocks in each column for the blk_id type. @@ -49,7 +61,7 @@ static constexpr int SORT_WEIGHT_PER_TILES_OUTSIDE_OF_PR = 100; * @param blk_loc_registry Placement block location information. To be filled with the location * where pl_macro is placed. * @param rng A random number generator. - * + * * @return true if macro was placed, false if not. */ static bool place_macro(int macros_max_num_tries, @@ -58,6 +70,7 @@ static bool place_macro(int macros_max_num_tries, std::vector* blk_types_empty_locs_in_grid, vtr::vector& block_scores, BlkLocRegistry& blk_loc_registry, + const FlatPlacementInfo& flat_placement_info, vtr::RngContainer& rng); /* @@ -85,7 +98,7 @@ static int get_y_loc_based_on_macro_direction(t_grid_empty_locs_block_type first * * @param loc The first available location that can place the macro blocks. * @param pl_macro The macro to be placed. - * @param blk_types_empty_locs_in_grid first location (lowest y) and number of remaining blocks in each column for the blk_id type + * @param blk_types_empty_locs_in_grid first location (lowest y) and number of remaining blocks in each column for the blk_id type * * @return index to a column of blk_types_empty_locs_in_grid that can accommodate pl_macro and location of first available location returned by reference */ @@ -97,8 +110,8 @@ static int get_blk_type_first_loc(t_pl_loc& loc, const t_pl_macro& pl_macro, std * @param blk_type_column_index Index to a column in blk_types_empty_locs_in_grid that placed pl_macro in itself. * @param block_type Logical block type of the macro blocks. * @param pl_macro The macro to be placed. - * @param blk_types_empty_locs_in_grid first location (lowest y) and number of remaining blocks in each column for the blk_id type - * + * @param blk_types_empty_locs_in_grid first location (lowest y) and number of remaining blocks in each column for the blk_id type + * */ static void update_blk_type_first_loc(int blk_type_column_index, t_logical_block_type_ptr block_type, @@ -106,10 +119,10 @@ static void update_blk_type_first_loc(int blk_type_column_index, std::vector* blk_types_empty_locs_in_grid); /** - * @brief Initializes empty locations of the grid with a specific block type into vector for dense initial placement + * @brief Initializes empty locations of the grid with a specific block type into vector for dense initial placement * * @param block_type_index block type index that failed in previous initial placement iterations - * + * * @return first location (lowest y) and number of remaining blocks in each column for the block_type_index */ static std::vector init_blk_types_empty_locations(int block_type_index); @@ -129,19 +142,38 @@ static inline void fix_IO_block_types(const t_pl_macro& pl_macro, vtr::vector_map& block_locs); /** - * @brief Determine whether a specific macro can be placed in a specific location. - * + * @brief Determine whether a specific macro can be placed in a specific location. + * * @param loc The location at which the macro head member is placed. * @param pr The PartitionRegion of the macro head member - represents its floorplanning constraints, is the size of * the whole chip if the macro is not constrained. * @param block_type Logical block type of the macro head member. - * + * * @return True if the location is legal for the macro head member, false otherwise. */ static bool is_loc_legal(const t_pl_loc& loc, const PartitionRegion& pr, t_logical_block_type_ptr block_type); +/** + * @brief Helper function to choose a subtile in specified location if the type is compatible and an available one exists. + * + * @param centroid The centroid location at which the subtile will be selected using its x, y, and layer. + * @param block_type Logical block type we would like to place here. + * @param block_loc_registry Information on where other blocks have been placed. + * @param pr The PartitionRegion of the block we are trying to place - represents its floorplanning constraints; + * it is the size of the whole chip if the block is not constrained. + * @param rng A random number generator to select a subtile from the available and compatible ones. + * + * @return True if the location is on the chip, legal, and at least one available subtile is found at that location; + * false otherwise. + */ +static bool find_subtile_in_location(t_pl_loc& centroid, + t_logical_block_type_ptr block_type, + const BlkLocRegistry& blk_loc_registry, + const PartitionRegion& pr, + vtr::RngContainer& rng); + /** * @brief Calculates a centroid location for a block based on its placed connections. * @@ -170,6 +202,7 @@ static std::vector find_centroid_loc(const t_pl_macro& pl_macro, static bool find_centroid_neighbor(t_pl_loc& centroid_loc, t_logical_block_type_ptr block_type, bool search_for_empty, + int r_lim, const BlkLocRegistry& blk_loc_registry, vtr::RngContainer& rng); @@ -194,12 +227,13 @@ static bool try_centroid_placement(const t_pl_macro& pl_macro, e_pad_loc_type pad_loc_type, vtr::vector& block_scores, BlkLocRegistry& blk_loc_registry, + const FlatPlacementInfo& flat_placement_info, vtr::RngContainer& rng); /** - * @brief Looks for a valid placement location for macro in second iteration, tries to place as many macros as possible in one column - * and avoids fragmenting the available locations in one column. - * + * @brief Looks for a valid placement location for macro in second iteration, tries to place as many macros as possible in one column + * and avoids fragmenting the available locations in one column. + * * @param pl_macro The macro to be placed. * @param pr The PartitionRegion of the macro - represents its floorplanning constraints, is the size of the whole chip if the macro is not * constrained. @@ -220,7 +254,7 @@ static bool try_dense_placement(const t_pl_macro& pl_macro, /** * @brief Tries for MAX_INIT_PLACE_ATTEMPTS times to place all blocks considering their floorplanning constraints and the device size - * + * * @param pad_loc_type Used to check whether an io block needs to be marked as fixed. * @param constraints_file Used to read block locations if any constraints is available. * @param blk_loc_registry Placement block location information. To be filled with the location @@ -232,24 +266,21 @@ static void place_all_blocks(const t_placer_opts& placer_opts, e_pad_loc_type pad_loc_type, const char* constraints_file, BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, + const FlatPlacementInfo& flat_placement_info, vtr::RngContainer& rng); /** * @brief If any blocks remain unplaced after all initial placement iterations, this routine * throws an error indicating that initial placement can not be done with the current device size or - * floorplanning constraints. - */ -static void check_initial_placement_legality(const vtr::vector_map& block_locs); - -/** - * @brief Fills movable_blocks in global PlacementContext + * floorplanning constraints. */ -static void alloc_and_load_movable_blocks(const vtr::vector_map& block_locs); +static void check_initial_placement_legality(const BlkLocRegistry& blk_loc_registry); -static void check_initial_placement_legality(const vtr::vector_map& block_locs) { - auto& cluster_ctx = g_vpr_ctx.clustering(); - auto& place_ctx = g_vpr_ctx.placement(); - auto& device_ctx = g_vpr_ctx.device(); +static void check_initial_placement_legality(const BlkLocRegistry& blk_loc_registry) { + const auto& cluster_ctx = g_vpr_ctx.clustering(); + const auto& device_ctx = g_vpr_ctx.device(); + const auto& block_locs = blk_loc_registry.block_locs(); int unplaced_blocks = 0; @@ -271,14 +302,14 @@ static void check_initial_placement_legality(const vtr::vector_mapindex]; + const auto& type = device_ctx.grid.get_physical_type({centroid.x, centroid.y, centroid.layer}); + const auto& compatible_sub_tiles = compressed_block_grid.compatible_sub_tile_num(type->index); + + //filter out the occupied subtiles + const GridBlock& grid_blocks = blk_loc_registry.grid_blocks(); + std::vector available_sub_tiles; + available_sub_tiles.reserve(compatible_sub_tiles.size()); + for (int sub_tile : compatible_sub_tiles) { + t_pl_loc pos = {centroid.x, centroid.y, sub_tile, centroid.layer}; + if (!grid_blocks.block_at_location(pos)) { + available_sub_tiles.push_back(sub_tile); + } + } + + if (!available_sub_tiles.empty()) { + centroid.sub_tile = available_sub_tiles[rng.irand((int)available_sub_tiles.size() - 1)]; + return true; + } + } + + return false; +} + static bool find_centroid_neighbor(t_pl_loc& centroid_loc, t_logical_block_type_ptr block_type, bool search_for_empty, + int rlim, const BlkLocRegistry& blk_loc_registry, vtr::RngContainer& rng) { const auto& compressed_block_grid = g_vpr_ctx.placement().compressed_block_grids[block_type->index]; @@ -348,7 +413,7 @@ static bool find_centroid_neighbor(t_pl_loc& centroid_loc, //range limit (rlim) set a limit for the neighbor search in the centroid placement //the neighbor location should be within the defined range to calculated centroid location - int first_rlim = 15; + int first_rlim = rlim; auto search_range = get_compressed_grid_target_search_range(compressed_block_grid, compressed_centroid_loc[centroid_loc_layer_num], @@ -483,19 +548,114 @@ static std::vector find_centroid_loc(const t_pl_macro& pl_macro, return connected_blocks_to_update; } +// TODO: Should this return the unplaced_blocks_to_update_their_score? +static void find_centroid_loc_from_flat_placement(const t_pl_macro& pl_macro, + t_pl_loc& centroid, + const FlatPlacementInfo& flat_placement_info) { + // Use the flat placement to compute the centroid of the given macro. + // TODO: Instead of averaging, maybe use MODE (most frequently placed location). + float acc_weight = 0.f; + float acc_x = 0.f; + float acc_y = 0.f; + float acc_layer = 0.f; + float acc_sub_tile = 0.f; + for (const t_pl_macro_member& member : pl_macro.members) { + const auto& cluster_atoms = g_vpr_ctx.clustering().atoms_lookup[member.blk_index]; + for (AtomBlockId atom_blk_id : cluster_atoms) { + // TODO: We can get away with using less information. + VTR_ASSERT(flat_placement_info.blk_x_pos[atom_blk_id] != FlatPlacementInfo::UNDEFINED_POS && flat_placement_info.blk_y_pos[atom_blk_id] != FlatPlacementInfo::UNDEFINED_POS && flat_placement_info.blk_layer[atom_blk_id] != FlatPlacementInfo::UNDEFINED_POS && flat_placement_info.blk_sub_tile[atom_blk_id] != FlatPlacementInfo::UNDEFINED_SUB_TILE); + // TODO: Make this a debug print. + // VTR_LOG("%s ", g_vpr_ctx.atom().netlist().block_name(atom_blk_id).c_str()); + + // Accumulate the x, y, layer, and sub_tile for each atom in each + // member of the macro. Remove the offset so the centroid would be + // where the head macro should be placed to put the members in the + // correct place. + acc_x += flat_placement_info.blk_x_pos[atom_blk_id] - member.offset.x; + acc_y += flat_placement_info.blk_y_pos[atom_blk_id] - member.offset.y; + acc_layer += flat_placement_info.blk_layer[atom_blk_id] - member.offset.layer; + acc_sub_tile += flat_placement_info.blk_sub_tile[atom_blk_id] - member.offset.sub_tile; + acc_weight++; + } + } + if (acc_weight > 0.f) { + // NOTE: We add an offset of 0.5 to prevent us from moving to the tile + // below / to the left due to tiny numerical changes (this + // pretends that each atom is in the center of the tile). + centroid.x = std::floor(acc_x / acc_weight); + centroid.y = std::floor(acc_y / acc_weight); + centroid.layer = std::floor(acc_layer / acc_weight); + centroid.sub_tile = std::floor(acc_sub_tile / acc_weight); + + // TODO: Make this a debug print. + // VTR_LOG("\n\t(%d, %d, %d, %d)\n", centroid.x, centroid.y, centroid.layer, centroid.sub_tile); + } +} + static bool try_centroid_placement(const t_pl_macro& pl_macro, const PartitionRegion& pr, t_logical_block_type_ptr block_type, e_pad_loc_type pad_loc_type, vtr::vector& block_scores, BlkLocRegistry& blk_loc_registry, + const FlatPlacementInfo& flat_placement_info, vtr::RngContainer& rng) { auto& block_locs = blk_loc_registry.mutable_block_locs(); t_pl_loc centroid_loc(OPEN, OPEN, OPEN, OPEN); std::vector unplaced_blocks_to_update_their_score; - unplaced_blocks_to_update_their_score = find_centroid_loc(pl_macro, centroid_loc, blk_loc_registry); + bool found_legal_subtile = false; + + int rlim = CENTROID_NEIGHBOR_SEARCH_RLIM; + if (!flat_placement_info.valid) { + // If a flat placement is not provided, use the centroid of connected + // blocks which have already been placed. + unplaced_blocks_to_update_their_score = find_centroid_loc(pl_macro, centroid_loc, blk_loc_registry); + found_legal_subtile = find_subtile_in_location(centroid_loc, block_type, blk_loc_registry, pr, rng); + } else { + // Note: AP uses a different rlim than non-AP + rlim = CENTROID_NEIGHBOR_SEARCH_RLIM_AP; + // If a flat placement is provided, use the flat placement to get the + // centroid. + find_centroid_loc_from_flat_placement(pl_macro, centroid_loc, flat_placement_info); + if (!is_loc_on_chip({centroid_loc.x, centroid_loc.y, centroid_loc.layer}) || !is_loc_legal(centroid_loc, pr, block_type)) { + // If the centroid is not legal, check for a neighboring block we + // can use instead. + bool neighbor_legal_loc = find_centroid_neighbor(centroid_loc, + block_type, + false, + rlim, + blk_loc_registry, + rng); + if (!neighbor_legal_loc) { + // If we cannot find a neighboring block, fall back on the + // original find_centroid_loc function. + // FIXME: We should really just skip this block and come back + // to it later. We do not want it taking space from + // someone else! + unplaced_blocks_to_update_their_score = find_centroid_loc(pl_macro, centroid_loc, blk_loc_registry); + found_legal_subtile = find_subtile_in_location(centroid_loc, block_type, blk_loc_registry, pr, rng); + } else { + found_legal_subtile = true; + } + } else { + // If this is a legal location for this block, check if any other + // blocks are at this subtile location. + const GridBlock& grid_blocks = blk_loc_registry.grid_blocks(); + if (grid_blocks.block_at_location(centroid_loc)) { + // If there is a block at this subtile, try to find another + // subtile at this location to be placed in. + found_legal_subtile = find_subtile_in_location(centroid_loc, + block_type, + blk_loc_registry, + pr, + rng); + } else { + found_legal_subtile = true; + } + } + } //no suggestion was available for this block type if (!is_loc_on_chip({centroid_loc.x, centroid_loc.y, centroid_loc.layer})) { @@ -504,9 +664,8 @@ static bool try_centroid_placement(const t_pl_macro& pl_macro, //centroid suggestion was either occupied or does not match block type //try to find a near location that meet these requirements - bool neighbor_legal_loc = false; - if (!is_loc_legal(centroid_loc, pr, block_type)) { - neighbor_legal_loc = find_centroid_neighbor(centroid_loc, block_type, false, blk_loc_registry, rng); + if (!found_legal_subtile) { + bool neighbor_legal_loc = find_centroid_neighbor(centroid_loc, block_type, false, rlim, blk_loc_registry, rng); if (!neighbor_legal_loc) { //no neighbor candidate found return false; } @@ -518,15 +677,6 @@ static bool try_centroid_placement(const t_pl_macro& pl_macro, } auto& device_ctx = g_vpr_ctx.device(); - //choose the location's subtile if the centroid location is legal. - //if the location is found within the "find_centroid_neighbor", it already has a subtile - //we don't need to find one again - if (!neighbor_legal_loc) { - const auto& compressed_block_grid = g_vpr_ctx.placement().compressed_block_grids[block_type->index]; - const auto& type = device_ctx.grid.get_physical_type({centroid_loc.x, centroid_loc.y, centroid_loc.layer}); - const auto& compatible_sub_tiles = compressed_block_grid.compatible_sub_tile_num(type->index); - centroid_loc.sub_tile = compatible_sub_tiles[rng.irand((int)compatible_sub_tiles.size() - 1)]; - } int width_offset = device_ctx.grid.get_width_offset({centroid_loc.x, centroid_loc.y, centroid_loc.layer}); int height_offset = device_ctx.grid.get_height_offset({centroid_loc.x, centroid_loc.y, centroid_loc.layer}); VTR_ASSERT(width_offset == 0); @@ -562,7 +712,8 @@ static int get_y_loc_based_on_macro_direction(t_grid_empty_locs_block_type first static void update_blk_type_first_loc(int blk_type_column_index, t_logical_block_type_ptr block_type, - const t_pl_macro& pl_macro, std::vector* blk_types_empty_locs_in_grid) { + const t_pl_macro& pl_macro, + std::vector* blk_types_empty_locs_in_grid) { //check if dense placement could place macro successfully if (blk_type_column_index == -1 || blk_types_empty_locs_in_grid->size() <= (size_t)abs(blk_type_column_index)) { return; @@ -703,7 +854,6 @@ bool try_place_macro_randomly(const t_pl_macro& pl_macro, blk_loc_registry, rng); - if (!legal) { //No valid position found return false; @@ -894,6 +1044,7 @@ static bool place_macro(int macros_max_num_tries, std::vector* blk_types_empty_locs_in_grid, vtr::vector& block_scores, BlkLocRegistry& blk_loc_registry, + const FlatPlacementInfo& flat_placement_info, vtr::RngContainer& rng) { const auto& block_locs = blk_loc_registry.block_locs(); ClusterBlockId blk_id = pl_macro.members[0].blk_index; @@ -928,7 +1079,7 @@ static bool place_macro(int macros_max_num_tries, if (!macro_placed) { VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\t\t\tTry centroid placement\n"); - macro_placed = try_centroid_placement(pl_macro, pr, block_type, pad_loc_type, block_scores, blk_loc_registry, rng); + macro_placed = try_centroid_placement(pl_macro, pr, block_type, pad_loc_type, block_scores, blk_loc_registry, flat_placement_info, rng); } VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\t\t\tMacro is placed: %d\n", macro_placed); // If macro is not placed yet, try to place the macro randomly for the max number of random tries @@ -953,7 +1104,8 @@ static bool place_macro(int macros_max_num_tries, static vtr::vector assign_block_scores(const PlaceMacros& place_macros) { const auto& cluster_ctx = g_vpr_ctx.clustering(); - const auto& floorplan_ctx = g_vpr_ctx.floorplanning();; + const auto& floorplan_ctx = g_vpr_ctx.floorplanning(); + ; t_block_score score; @@ -993,16 +1145,16 @@ static vtr::vector assign_block_scores(const Plac return block_scores; } - static void place_all_blocks(const t_placer_opts& placer_opts, vtr::vector& block_scores, enum e_pad_loc_type pad_loc_type, const char* constraints_file, BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, + const FlatPlacementInfo& flat_placement_info, vtr::RngContainer& rng) { const auto& cluster_ctx = g_vpr_ctx.clustering(); const auto& device_ctx = g_vpr_ctx.device(); - const auto& place_macros = blk_loc_registry.place_macros(); auto blocks = cluster_ctx.clb_nlist.blocks(); int number_of_unplaced_blks_in_curr_itr; @@ -1059,7 +1211,14 @@ static void place_all_blocks(const t_placer_opts& placer_opts, blocks_placed_since_heap_update++; - bool block_placed = place_one_block(blk_id, pad_loc_type, &blk_types_empty_locs_in_grid[blk_id_type->index], &block_scores, blk_loc_registry, rng); + bool block_placed = place_one_block(blk_id, + pad_loc_type, + &blk_types_empty_locs_in_grid[blk_id_type->index], + &block_scores, + blk_loc_registry, + place_macros, + flat_placement_info, + rng); //update heap based on update_heap_freq calculated above if (blocks_placed_since_heap_update % (update_heap_freq) == 0) { @@ -1100,9 +1259,10 @@ bool place_one_block(const ClusterBlockId blk_id, std::vector* blk_types_empty_locs_in_grid, vtr::vector* block_scores, BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, + const FlatPlacementInfo& flat_placement_info, vtr::RngContainer& rng) { const auto& block_locs = blk_loc_registry.block_locs(); - const auto& place_macros = blk_loc_registry.place_macros(); //Check if block has already been placed if (is_block_placed(blk_id, block_locs)) { @@ -1117,7 +1277,7 @@ bool place_one_block(const ClusterBlockId blk_id, if (imacro != -1) { //If the block belongs to a macro, pass that macro to the placement routines VTR_LOGV_DEBUG(g_vpr_ctx.placement().f_placer_debug, "\tBelongs to a macro %d\n", imacro); const t_pl_macro& pl_macro = place_macros[imacro]; - placed_macro = place_macro(MAX_NUM_TRIES_TO_PLACE_MACROS_RANDOMLY, pl_macro, pad_loc_type, blk_types_empty_locs_in_grid, *block_scores, blk_loc_registry, rng); + placed_macro = place_macro(MAX_NUM_TRIES_TO_PLACE_MACROS_RANDOMLY, pl_macro, pad_loc_type, blk_types_empty_locs_in_grid, *block_scores, blk_loc_registry, flat_placement_info, rng); } else { //If it does not belong to a macro, create a macro with the one block and then pass to the placement routines //This is done so that the initial placement flow can be the same whether the block belongs to a macro or not @@ -1126,74 +1286,41 @@ bool place_one_block(const ClusterBlockId blk_id, macro_member.offset = t_pl_offset(0, 0, 0, 0); t_pl_macro pl_macro; pl_macro.members.push_back(macro_member); - placed_macro = place_macro(MAX_NUM_TRIES_TO_PLACE_MACROS_RANDOMLY, pl_macro, pad_loc_type, blk_types_empty_locs_in_grid, *block_scores, blk_loc_registry, rng); + placed_macro = place_macro(MAX_NUM_TRIES_TO_PLACE_MACROS_RANDOMLY, pl_macro, pad_loc_type, blk_types_empty_locs_in_grid, *block_scores, blk_loc_registry, flat_placement_info, rng); } return placed_macro; } -static void alloc_and_load_movable_blocks(const vtr::vector_map& block_locs) { - auto& place_ctx = g_vpr_ctx.mutable_placement(); - const auto& cluster_ctx = g_vpr_ctx.clustering(); - const auto& device_ctx = g_vpr_ctx.device(); - - place_ctx.movable_blocks.clear(); - place_ctx.movable_blocks_per_type.clear(); - - size_t n_logical_blocks = device_ctx.logical_block_types.size(); - place_ctx.movable_blocks_per_type.resize(n_logical_blocks); - - // iterate over all clustered blocks and store block ids of movable ones - for (ClusterBlockId blk_id : cluster_ctx.clb_nlist.blocks()) { - const auto& loc = block_locs[blk_id]; - if (!loc.is_fixed) { - place_ctx.movable_blocks.push_back(blk_id); - - const t_logical_block_type_ptr block_type = cluster_ctx.clb_nlist.block_type(blk_id); - place_ctx.movable_blocks_per_type[block_type->index].push_back(blk_id); - } - } -} - void initial_placement(const t_placer_opts& placer_opts, const char* constraints_file, const t_noc_opts& noc_opts, BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, std::optional& noc_cost_handler, + const FlatPlacementInfo& flat_placement_info, vtr::RngContainer& rng) { vtr::ScopedStartFinishTimer timer("Initial Placement"); - auto& block_locs = blk_loc_registry.mutable_block_locs(); - const auto& place_macros = blk_loc_registry.place_macros(); - /* Initialize the grid blocks to empty. - * Initialize all the blocks to unplaced. - */ - blk_loc_registry.clear_all_grid_locs(); - - /* Go through cluster blocks to calculate the tightest placement - * floorplan constraint for each constrained block - */ - propagate_place_constraints(place_macros); + // Initialize the block loc registry. + blk_loc_registry.init(); /*Mark the blocks that have already been locked to one spot via floorplan constraints * as fixed, so they do not get moved during initial placement or later during the simulated annealing stage of placement*/ mark_fixed_blocks(blk_loc_registry); - // Compute and store compressed floorplanning constraints - alloc_and_load_compressed_cluster_constraints(); - // read the constraint file and place fixed blocks if (strlen(constraints_file) != 0) { read_constraints(constraints_file, blk_loc_registry); } - if(!placer_opts.read_initial_place_file.empty()) { + if (!placer_opts.read_initial_place_file.empty()) { const auto& grid = g_vpr_ctx.device().grid; read_place(nullptr, placer_opts.read_initial_place_file.c_str(), blk_loc_registry, false, grid); } else { if (noc_opts.noc) { // NoC routers are placed before other blocks - initial_noc_placement(noc_opts, blk_loc_registry, noc_cost_handler.value(), rng); + initial_noc_placement(noc_opts, blk_loc_registry, place_macros, noc_cost_handler.value(), rng); propagate_place_constraints(place_macros); } @@ -1201,11 +1328,14 @@ void initial_placement(const t_placer_opts& placer_opts, vtr::vector block_scores = assign_block_scores(place_macros); //Place all blocks - place_all_blocks(placer_opts, block_scores, placer_opts.pad_loc_type, constraints_file, blk_loc_registry, rng); + place_all_blocks(placer_opts, block_scores, placer_opts.pad_loc_type, + constraints_file, blk_loc_registry, place_macros, + flat_placement_info, rng); } - alloc_and_load_movable_blocks(block_locs); + // Update the movable blocks vectors in the block loc registry. + blk_loc_registry.alloc_and_load_movable_blocks(); // ensure all blocks are placed and that NoC routing has no cycles - check_initial_placement_legality(block_locs); + check_initial_placement_legality(blk_loc_registry); } diff --git a/vpr/src/place/initial_placement.h b/vpr/src/place/initial_placement.h index f2078a3e720..b668ce92075 100644 --- a/vpr/src/place/initial_placement.h +++ b/vpr/src/place/initial_placement.h @@ -11,7 +11,12 @@ class NocCostHandler; #include "vpr_types.h" #include "vtr_vector_map.h" +// Forward declarations class BlkLocRegistry; +class FlatPlacementInfo; +namespace vtr { +class RngContainer; +} // namespace vtr /* The maximum number of tries when trying to place a macro at a * random location before trying exhaustive placement - find the first @@ -74,7 +79,6 @@ bool try_place_macro_randomly(const t_pl_macro& pl_macro, BlkLocRegistry& blk_loc_registry, vtr::RngContainer& rng); - /** * @brief Looks for a valid placement location for macro exhaustively once the maximum number of random locations have been tried. * @@ -143,7 +147,9 @@ void initial_placement(const t_placer_opts& placer_opts, const char* constraints_file, const t_noc_opts& noc_opts, BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, std::optional& noc_cost_handler, + const FlatPlacementInfo& flat_placement_info, vtr::RngContainer& rng); /** @@ -164,8 +170,8 @@ bool place_one_block(const ClusterBlockId blk_id, std::vector* blk_types_empty_locs_in_grid, vtr::vector* block_scores, BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, + const FlatPlacementInfo& flat_placement_info, vtr::RngContainer& rng); - - #endif diff --git a/vpr/src/place/move_generators/centroid_move_generator.cpp b/vpr/src/place/move_generators/centroid_move_generator.cpp index 45ba9121719..c144e2f2ccf 100644 --- a/vpr/src/place/move_generators/centroid_move_generator.cpp +++ b/vpr/src/place/move_generators/centroid_move_generator.cpp @@ -1,4 +1,6 @@ #include "centroid_move_generator.h" +#include "physical_types_util.h" +#include "place_macro.h" #include "vpr_types.h" #include "globals.h" #include "place_constraints.h" @@ -8,19 +10,21 @@ #include CentroidMoveGenerator::CentroidMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng) - : MoveGenerator(placer_state, reward_function, rng) + : MoveGenerator(placer_state, place_macros, reward_function, rng) , weighted_(false) , noc_attraction_weight_(0.0f) , noc_attraction_enabled_(false) {} CentroidMoveGenerator::CentroidMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng, float noc_attraction_weight, size_t high_fanout_net) - : MoveGenerator(placer_state, reward_function, rng) + : MoveGenerator(placer_state, place_macros, reward_function, rng) , noc_attraction_weight_(noc_attraction_weight) , noc_attraction_enabled_(true) { VTR_ASSERT(noc_attraction_weight > 0.0 && noc_attraction_weight <= 1.0); @@ -44,6 +48,7 @@ e_create_move CentroidMoveGenerator::propose_move(t_pl_blocks_to_be_moved& block ClusterBlockId b_from = propose_block_to_move(placer_opts, proposed_action.logical_blk_type_index, /*highly_crit_block=*/false, + /*placer_criticalities=*/nullptr, /*net_from=*/nullptr, /*pin_from=*/nullptr, placer_state, @@ -82,7 +87,7 @@ e_create_move CentroidMoveGenerator::propose_move(t_pl_blocks_to_be_moved& block return e_create_move::ABORT; } - e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry); + e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry, place_macros_); //Check that all the blocks affected by the move would still be in a legal floorplan region after the swap if (!floorplan_legal(blocks_affected)) { @@ -308,4 +313,4 @@ t_pl_loc CentroidMoveGenerator::calculate_centroid_loc_(ClusterBlockId b_from, centroid.layer = (int)std::round(acc_layer / acc_weight); return centroid; -} \ No newline at end of file +} diff --git a/vpr/src/place/move_generators/centroid_move_generator.h b/vpr/src/place/move_generators/centroid_move_generator.h index 17d5ec82924..64577178f4a 100644 --- a/vpr/src/place/move_generators/centroid_move_generator.h +++ b/vpr/src/place/move_generators/centroid_move_generator.h @@ -3,6 +3,8 @@ #include "move_generator.h" +class PlaceMacros; + /** * @file * @author M. Elgammal @@ -30,6 +32,7 @@ class CentroidMoveGenerator : public MoveGenerator { * of the RL agent. */ CentroidMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng); @@ -49,12 +52,12 @@ class CentroidMoveGenerator : public MoveGenerator { * ignored when forming NoC groups. */ CentroidMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng, float noc_attraction_weight, size_t high_fanout_net); - /** * Returns all NoC routers that are in the NoC group with a given ID. * @param noc_grp_id The NoC group ID whose NoC routers are requested. diff --git a/vpr/src/place/move_generators/critical_uniform_move_generator.cpp b/vpr/src/place/move_generators/critical_uniform_move_generator.cpp index 7a1d39ed308..d076f16a948 100644 --- a/vpr/src/place/move_generators/critical_uniform_move_generator.cpp +++ b/vpr/src/place/move_generators/critical_uniform_move_generator.cpp @@ -1,20 +1,25 @@ + #include "critical_uniform_move_generator.h" + #include "globals.h" +#include "physical_types_util.h" #include "place_constraints.h" +#include "place_macro.h" #include "placer_state.h" #include "move_utils.h" CriticalUniformMoveGenerator::CriticalUniformMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng) - : MoveGenerator(placer_state, reward_function, rng) {} + : MoveGenerator(placer_state, place_macros, reward_function, rng) {} e_create_move CriticalUniformMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_affected, t_propose_action& proposed_action, float rlim, const t_placer_opts& placer_opts, - const PlacerCriticalities* /*criticalities*/) { - auto& cluster_ctx = g_vpr_ctx.clustering(); + const PlacerCriticalities* criticalities) { + const auto& cluster_ctx = g_vpr_ctx.clustering(); const auto& placer_state = placer_state_.get(); const auto& block_locs = placer_state.block_locs(); const auto& blk_loc_registry = placer_state.blk_loc_registry(); @@ -25,6 +30,7 @@ e_create_move CriticalUniformMoveGenerator::propose_move(t_pl_blocks_to_be_moved ClusterBlockId b_from = propose_block_to_move(placer_opts, proposed_action.logical_blk_type_index, /*highly_crit_block=*/true, + criticalities, &net_from, &pin_from, placer_state, @@ -48,7 +54,7 @@ e_create_move CriticalUniformMoveGenerator::propose_move(t_pl_blocks_to_be_moved return e_create_move::ABORT; } - e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry); + e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry, place_macros_); //Check that all the blocks affected by the move would still be in a legal floorplan region after the swap if (!floorplan_legal(blocks_affected)) { @@ -57,4 +63,3 @@ e_create_move CriticalUniformMoveGenerator::propose_move(t_pl_blocks_to_be_moved return create_move; } - diff --git a/vpr/src/place/move_generators/critical_uniform_move_generator.h b/vpr/src/place/move_generators/critical_uniform_move_generator.h index dd4e5391474..b49535a4198 100644 --- a/vpr/src/place/move_generators/critical_uniform_move_generator.h +++ b/vpr/src/place/move_generators/critical_uniform_move_generator.h @@ -1,7 +1,9 @@ #ifndef VPR_CRITICAL_UNIFORM_MOVE_GEN_H #define VPR_CRITICAL_UNIFORM_MOVE_GEN_H + #include "move_generator.h" -#include "timing_place.h" + +class PlaceMacros; /** * @file @@ -18,6 +20,7 @@ class CriticalUniformMoveGenerator : public MoveGenerator { public: CriticalUniformMoveGenerator() = delete; CriticalUniformMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng); diff --git a/vpr/src/place/move_generators/feasible_region_move_generator.cpp b/vpr/src/place/move_generators/feasible_region_move_generator.cpp index 75210dafd43..e9758bf6391 100644 --- a/vpr/src/place/move_generators/feasible_region_move_generator.cpp +++ b/vpr/src/place/move_generators/feasible_region_move_generator.cpp @@ -1,7 +1,9 @@ #include "feasible_region_move_generator.h" #include "globals.h" +#include "physical_types_util.h" #include "place_constraints.h" +#include "place_macro.h" #include "placer_state.h" #include "move_utils.h" @@ -9,9 +11,10 @@ #include FeasibleRegionMoveGenerator::FeasibleRegionMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng) - : MoveGenerator(placer_state, reward_function, rng) {} + : MoveGenerator(placer_state, place_macros, reward_function, rng) {} e_create_move FeasibleRegionMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_affected, t_propose_action& proposed_action, @@ -30,6 +33,7 @@ e_create_move FeasibleRegionMoveGenerator::propose_move(t_pl_blocks_to_be_moved& ClusterBlockId b_from = propose_block_to_move(placer_opts, proposed_action.logical_blk_type_index, /*highly_crit_block=*/true, + criticalities, &net_from, &pin_from, placer_state, @@ -141,7 +145,7 @@ e_create_move FeasibleRegionMoveGenerator::propose_move(t_pl_blocks_to_be_moved& return e_create_move::ABORT; } - e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry); + e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry, place_macros_); //Check that all the blocks affected by the move would still be in a legal floorplan region after the swap if (!floorplan_legal(blocks_affected)) { @@ -149,4 +153,4 @@ e_create_move FeasibleRegionMoveGenerator::propose_move(t_pl_blocks_to_be_moved& } return create_move; -} \ No newline at end of file +} diff --git a/vpr/src/place/move_generators/feasible_region_move_generator.h b/vpr/src/place/move_generators/feasible_region_move_generator.h index 702f8bdd26c..cf869f86b78 100644 --- a/vpr/src/place/move_generators/feasible_region_move_generator.h +++ b/vpr/src/place/move_generators/feasible_region_move_generator.h @@ -1,10 +1,12 @@ #ifndef VPR_FEASIBLE_REGION_MOVE_GEN_H #define VPR_FEASIBLE_REGION_MOVE_GEN_H + #include "move_generator.h" -#include "timing_place.h" + +class PlaceMacros; /** - * @brief Feasible Reion (FR) move genrator + * @brief Feasible Region (FR) move generator * * This move was originally defined by Chen et al . in "Simultaneous timing-driven placement and duplication", FPGA 2005 * @@ -22,6 +24,7 @@ class FeasibleRegionMoveGenerator : public MoveGenerator { public: FeasibleRegionMoveGenerator() = delete; FeasibleRegionMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng); diff --git a/vpr/src/place/move_generators/manual_move_generator.cpp b/vpr/src/place/move_generators/manual_move_generator.cpp index f320d9ef1ea..9d3e310a67a 100644 --- a/vpr/src/place/move_generators/manual_move_generator.cpp +++ b/vpr/src/place/move_generators/manual_move_generator.cpp @@ -12,14 +12,18 @@ #include "manual_move_generator.h" #include "manual_moves.h" +#include "physical_types_util.h" +#include "place_macro.h" #include "placer_state.h" #ifndef NO_GRAPHICS -# include "draw.h" +#include "draw.h" #endif //NO_GRAPHICS -ManualMoveGenerator::ManualMoveGenerator(PlacerState& placer_state, vtr::RngContainer& rng) - : MoveGenerator(placer_state, e_reward_function::UNDEFINED_REWARD, rng) {} +ManualMoveGenerator::ManualMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, + vtr::RngContainer& rng) + : MoveGenerator(placer_state, place_macros, e_reward_function::UNDEFINED_REWARD, rng) {} //Manual Move Generator function e_create_move ManualMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_affected, @@ -66,7 +70,6 @@ e_create_move ManualMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ return e_create_move::ABORT; } - e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry); + e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry, place_macros_); return create_move; } - diff --git a/vpr/src/place/move_generators/manual_move_generator.h b/vpr/src/place/move_generators/manual_move_generator.h index 12c71dc0ce9..108bce80827 100644 --- a/vpr/src/place/move_generators/manual_move_generator.h +++ b/vpr/src/place/move_generators/manual_move_generator.h @@ -9,15 +9,8 @@ #define VPR_MANUAL_MOVE_GEN_H #include "move_generator.h" -#include "median_move_generator.h" -#include "weighted_median_move_generator.h" -#include "weighted_centroid_move_generator.h" -#include "feasible_region_move_generator.h" -#include "uniform_move_generator.h" -#include "critical_uniform_move_generator.h" -#include "centroid_move_generator.h" -#include "simpleRL_move_generator.h" -#include + +class PlaceMacros; /** * @brief Manual Moves Generator, inherits from MoveGenerator class. @@ -27,7 +20,9 @@ class ManualMoveGenerator : public MoveGenerator { public: ManualMoveGenerator() = delete; - ManualMoveGenerator(PlacerState& placer_state, vtr::RngContainer& rng); + ManualMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, + vtr::RngContainer& rng); //Evaluates if move is successful and legal or unable to do. e_create_move propose_move(t_pl_blocks_to_be_moved& blocks_affected, diff --git a/vpr/src/place/move_generators/median_move_generator.cpp b/vpr/src/place/move_generators/median_move_generator.cpp index 2e982ac6425..0efde29fd04 100644 --- a/vpr/src/place/move_generators/median_move_generator.cpp +++ b/vpr/src/place/move_generators/median_move_generator.cpp @@ -1,16 +1,19 @@ #include "median_move_generator.h" #include "globals.h" +#include "physical_types_util.h" #include "place_constraints.h" +#include "place_macro.h" #include "placer_state.h" #include "move_utils.h" #include MedianMoveGenerator::MedianMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng) - : MoveGenerator(placer_state, reward_function, rng) {} + : MoveGenerator(placer_state, place_macros, reward_function, rng) {} e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_affected, t_propose_action& proposed_action, @@ -28,6 +31,7 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ ClusterBlockId b_from = propose_block_to_move(placer_opts, proposed_action.logical_blk_type_index, /*highly_crit_block=*/false, + /*placer_criticalities=*/nullptr, /*net_from=*/nullptr, /*pin_from=*/nullptr, placer_state, @@ -42,7 +46,6 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ const int num_layers = device_ctx.grid.get_num_layers(); - t_pl_loc from = block_locs[b_from].loc; int from_layer = from.layer; auto cluster_from_type = cluster_ctx.clb_nlist.block_type(b_from); @@ -112,7 +115,7 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ } else { new_pin_loc.layer_num = net_bb_coords.layer_min; } - + // If the moving block is on the border of the bounding box, we cannot get // the bounding box incrementally. In that case, bounding box should be calculated // from scratch. @@ -165,7 +168,7 @@ e_create_move MedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_ return e_create_move::ABORT; } - e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry); + e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry, place_macros_); //Check that all the blocks affected by the move would still be in a legal floorplan region after the swap if (!floorplan_legal(blocks_affected)) { @@ -275,11 +278,11 @@ bool MedianMoveGenerator::get_bb_incrementally(ClusterNetId net_id, t_bb union_bb; const bool cube_bb = g_vpr_ctx.placement().cube_bb; /* Calculating per-layer bounding box is more time-consuming compared to cube bounding box. To speed up - * this move, the bounding box used for this move is of the type cube bounding box even if the per-layer - * bounding box is used by placement SA engine. - * If per-layer bounding box is used, we take a union of bounding boxes on each layer to make a cube bounding box. - * For example, the xmax of this cube bounding box is determined by the maximum x coordinate across all blocks on all layers. - */ + * this move, the bounding box used for this move is of the type cube bounding box even if the per-layer + * bounding box is used by placement SA engine. + * If per-layer bounding box is used, we take a union of bounding boxes on each layer to make a cube bounding box. + * For example, the xmax of this cube bounding box is determined by the maximum x coordinate across all blocks on all layers. + */ if (!cube_bb) { std::tie(union_bb_edge, union_bb) = union_2d_bb_incr(place_move_ctx.layer_bb_num_on_edges[net_id], place_move_ctx.layer_bb_coords[net_id]); diff --git a/vpr/src/place/move_generators/median_move_generator.h b/vpr/src/place/move_generators/median_move_generator.h index 516fcfb573d..4dc037350f9 100644 --- a/vpr/src/place/move_generators/median_move_generator.h +++ b/vpr/src/place/move_generators/median_move_generator.h @@ -1,7 +1,10 @@ #ifndef VPR_MEDIAN_MOVE_GEN_H #define VPR_MEDIAN_MOVE_GEN_H + #include "move_generator.h" +class PlaceMacros; + /** * @brief Median move generator * @@ -19,6 +22,7 @@ class MedianMoveGenerator : public MoveGenerator { public: MedianMoveGenerator() = delete; MedianMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng); @@ -41,11 +45,11 @@ class MedianMoveGenerator : public MoveGenerator { * can be used. Essentially, I am assuming the pins always lie on the outside of the bounding box. * The x and y coordinates are the pin's x and y coordinates. IO blocks are considered to be * one cell in for simplicity. */ - bool get_bb_incrementally(ClusterNetId net_id, t_bb& bb_coord_new, + bool get_bb_incrementally(ClusterNetId net_id, + t_bb& bb_coord_new, t_physical_tile_loc old_pin_loc, t_physical_tile_loc new_pin_loc); - /** * @brief Finds the bounding box of a net and stores its coordinates in the bb_coord_new data structure. * diff --git a/vpr/src/place/move_generators/move_generator.cpp b/vpr/src/place/move_generators/move_generator.cpp index fd22e8d8b34..b627b7ef9e0 100644 --- a/vpr/src/place/move_generators/move_generator.cpp +++ b/vpr/src/place/move_generators/move_generator.cpp @@ -34,7 +34,6 @@ void MoveGenerator::calculate_reward_and_process_outcome(const MoveOutcomeStats& process_outcome(-1 * delta_c, reward_func_); break; - case e_reward_function::NON_PENALIZING_BASIC: case e_reward_function::RUNTIME_AWARE: if (delta_c < 0) { @@ -51,7 +50,7 @@ void MoveGenerator::calculate_reward_and_process_outcome(const MoveOutcomeStats& } } -void MoveTypeStat::print_placement_move_types_stats() const { +void MoveTypeStat::print_placement_move_types_stats(const std::vector>& movable_blocks_per_type) const { VTR_LOG("\n\nPlacement perturbation distribution by block and move type: \n"); VTR_LOG( @@ -71,9 +70,9 @@ void MoveTypeStat::print_placement_move_types_stats() const { int num_of_avail_moves = blk_type_moves.size() / device_ctx.logical_block_types.size(); //Print placement information for each block type - for (const auto& itype : device_ctx.logical_block_types) { + for (const t_logical_block_type& itype : device_ctx.logical_block_types) { //Skip non-existing block types in the netlist - if (itype.index == 0 || movable_blocks_per_type(itype).empty()) { + if (itype.index == 0 || movable_blocks_per_type[itype.index].empty()) { continue; } diff --git a/vpr/src/place/move_generators/move_generator.h b/vpr/src/place/move_generators/move_generator.h index e39493e16c6..2fc4cb8931d 100644 --- a/vpr/src/place/move_generators/move_generator.h +++ b/vpr/src/place/move_generators/move_generator.h @@ -1,12 +1,14 @@ -#ifndef VPR_MOVE_GENERATOR_H -#define VPR_MOVE_GENERATOR_H + +#pragma once #include "vpr_types.h" #include "move_utils.h" -#include "timing_place.h" +#include "PlacerCriticalities.h" +#include #include +class PlaceMacros; class PlacerState; struct MoveOutcomeStats { @@ -35,9 +37,13 @@ struct MoveTypeStat { vtr::NdMatrix rejected_moves; /** - * @brief Prints placement perturbation distribution by block and move type. + * @brief Prints statistics on the distribution of placement perturbations, + * categorized by block type and move type. + * @param movable_blocks_per_type A vector of vectors, where each inner vector contains ClusterBlockIds of + * all movable blocks belonging to a specific logical type. The outer vector + * is indexed by the logical type index. */ - void print_placement_move_types_stats() const; + void print_placement_move_types_stats(const std::vector>& movable_blocks_per_type) const; inline void incr_blk_type_moves(const t_propose_action& proposed_action) { if (proposed_action.logical_blk_type_index != -1) { //if the agent proposed the block type, then collect the block type stat @@ -65,11 +71,11 @@ struct MoveTypeStat { * @brief enum represents the different reward functions */ enum class e_reward_function { - BASIC, ///@ directly uses the change of the annealing cost function - NON_PENALIZING_BASIC, ///@ same as basic reward function but with 0 reward if it's a hill-climbing one - RUNTIME_AWARE, ///@ same as NON_PENALIZING_BASIC but with normalizing with the runtime factor of each move type - WL_BIASED_RUNTIME_AWARE, ///@ same as RUNTIME_AWARE but more biased to WL cost (the factor of the bias is REWARD_BB_TIMING_RELATIVE_WEIGHT) - UNDEFINED_REWARD ///@ Used for manual moves + BASIC, ///@ directly uses the change of the annealing cost function + NON_PENALIZING_BASIC, ///@ same as basic reward function but with 0 reward if it's a hill-climbing one + RUNTIME_AWARE, ///@ same as NON_PENALIZING_BASIC but with normalizing with the runtime factor of each move type + WL_BIASED_RUNTIME_AWARE, ///@ same as RUNTIME_AWARE but more biased to WL cost (the factor of the bias is REWARD_BB_TIMING_RELATIVE_WEIGHT) + UNDEFINED_REWARD ///@ Used for manual moves }; e_reward_function string_to_reward(const std::string& st); @@ -81,19 +87,24 @@ e_reward_function string_to_reward(const std::string& st); */ class MoveGenerator { public: - /** * @brief Initializes some protected member variables that are used * by inheriting classes. * * @param placer_state A mutable reference to the placement state which will * be stored in this object. + * @param place_macros An immutable reference to the placement macros which + * will be stored in this object. * @param reward_function Specifies the reward function to update q-tables * of the RL agent. * @param rng A random number generator to be used for block and location selection. */ - MoveGenerator(PlacerState& placer_state, e_reward_function reward_function, vtr::RngContainer& rng) + MoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, + e_reward_function reward_function, + vtr::RngContainer& rng) : placer_state_(placer_state) + , place_macros_(place_macros) , reward_func_(reward_function) , rng_(rng) {} @@ -124,7 +135,7 @@ class MoveGenerator { const PlacerCriticalities* criticalities) = 0; /** - * @brief Recieves feedback about the outcome of the previously proposed move + * @brief Receives feedback about the outcome of the previously proposed move * * This function is very useful for RL agent to get the feedback to the agent * @@ -148,8 +159,7 @@ class MoveGenerator { protected: std::reference_wrapper placer_state_; + const PlaceMacros& place_macros_; e_reward_function reward_func_; vtr::RngContainer& rng_; }; - -#endif diff --git a/vpr/src/place/move_generators/simpleRL_move_generator.cpp b/vpr/src/place/move_generators/simpleRL_move_generator.cpp index f0ab253c680..12e56704a81 100644 --- a/vpr/src/place/move_generators/simpleRL_move_generator.cpp +++ b/vpr/src/place/move_generators/simpleRL_move_generator.cpp @@ -9,7 +9,6 @@ #include #include - /* File-scope routines */ //a scaled and clipped exponential function static float scaled_clipped_exp(float x) { return std::exp(std::min(1000 * x, float(3.0))); } @@ -37,11 +36,14 @@ void SimpleRLMoveGenerator::process_outcome(double reward, e_reward_function rew * K-Armed bandit agent implementation * * * * */ -KArmedBanditAgent::KArmedBanditAgent(std::vector available_moves, e_agent_space agent_space, vtr::RngContainer& rng) +KArmedBanditAgent::KArmedBanditAgent(std::vector available_moves, + e_agent_space agent_space, + vtr::RngContainer& rng, + const std::vector& num_movable_blocks_per_type) : available_moves_(std::move(available_moves)) , propose_blk_type_(agent_space == e_agent_space::MOVE_BLOCK_TYPE) , rng_(rng) { - std::vector available_logical_block_types = get_available_logical_blk_types_(); + std::vector available_logical_block_types = get_available_logical_blk_types_(num_movable_blocks_per_type); num_available_types_ = available_logical_block_types.size(); size_t num_available_moves = available_moves_.size(); @@ -89,7 +91,7 @@ int KArmedBanditAgent::action_to_blk_type_(const size_t action_idx) { } } -std::vector KArmedBanditAgent::get_available_logical_blk_types_() { +std::vector KArmedBanditAgent::get_available_logical_blk_types_(const std::vector& num_movable_blocks_per_type) { const auto& device_ctx = g_vpr_ctx.device(); std::vector available_blk_types; @@ -99,9 +101,9 @@ std::vector KArmedBanditAgent::get_available_logical_blk_types_() { continue; } - const auto& blk_per_type = movable_blocks_per_type(logical_blk_type); + int num_blk_per_type = num_movable_blocks_per_type[logical_blk_type.index]; - if (!blk_per_type.empty()) { + if (num_blk_per_type > 0) { available_blk_types.push_back(logical_blk_type.index); } } @@ -192,8 +194,12 @@ int KArmedBanditAgent::agent_to_phy_blk_type(const int idx) { * E-greedy agent implementation * * * * */ -EpsilonGreedyAgent::EpsilonGreedyAgent(std::vector available_moves, e_agent_space agent_space, float epsilon, vtr::RngContainer& rng) - : KArmedBanditAgent(std::move(available_moves), agent_space, rng) { +EpsilonGreedyAgent::EpsilonGreedyAgent(std::vector available_moves, + e_agent_space agent_space, + float epsilon, + vtr::RngContainer& rng, + const std::vector& num_movable_blocks_per_type) + : KArmedBanditAgent(std::move(available_moves), agent_space, rng, num_movable_blocks_per_type) { set_epsilon(epsilon); init_q_scores_(); } @@ -267,16 +273,19 @@ void EpsilonGreedyAgent::set_epsilon_action_prob() { * Softmax agent implementation * * * * */ -SoftmaxAgent::SoftmaxAgent(std::vector available_moves, e_agent_space agent_space, vtr::RngContainer& rng) - : KArmedBanditAgent(std::move(available_moves), agent_space, rng) { - init_q_scores_(); +SoftmaxAgent::SoftmaxAgent(std::vector available_moves, + e_agent_space agent_space, + vtr::RngContainer& rng, + const std::vector& num_movable_blocks_per_type) + : KArmedBanditAgent(std::move(available_moves), agent_space, rng, num_movable_blocks_per_type) { + init_q_scores_(num_movable_blocks_per_type); } SoftmaxAgent::~SoftmaxAgent() { if (agent_info_file_) vtr::fclose(agent_info_file_); } -void SoftmaxAgent::init_q_scores_() { +void SoftmaxAgent::init_q_scores_(const std::vector& num_movable_blocks_per_type) { q_ = std::vector(num_available_actions_, 0.); exp_q_ = std::vector(num_available_actions_, 0.); num_action_chosen_ = std::vector(num_available_actions_, 0); @@ -297,7 +306,7 @@ void SoftmaxAgent::init_q_scores_() { * it will use the block ratio to calculate action probability for each q_table entry. */ if (propose_blk_type_) { - set_block_ratio_(); + set_block_ratio_(num_movable_blocks_per_type); } set_action_prob_(); } @@ -320,11 +329,8 @@ t_propose_action SoftmaxAgent::propose_action() { return proposed_action; } -void SoftmaxAgent::set_block_ratio_() { - const auto& place_ctx = g_vpr_ctx.placement(); - size_t num_movable_total_blocks = place_ctx.movable_blocks.size(); - - num_movable_total_blocks = std::max(num_movable_total_blocks, 1); +void SoftmaxAgent::set_block_ratio_(const std::vector& num_movable_blocks_per_type) { + size_t num_movable_total_blocks = std::max(1, std::accumulate(num_movable_blocks_per_type.begin(), num_movable_blocks_per_type.end(), 0)); // allocate enough space for available block types in the netlist block_type_ratio_.resize(num_available_types_); @@ -336,7 +342,7 @@ void SoftmaxAgent::set_block_ratio_() { for (size_t itype = 0; itype < num_available_types_; itype++) { t_logical_block_type blk_type; blk_type.index = agent_to_phy_blk_type(itype); - auto num_blocks = movable_blocks_per_type(blk_type).size(); + int num_blocks = num_movable_blocks_per_type[blk_type.index]; block_type_ratio_[itype] = (float)num_blocks / num_movable_total_blocks; block_type_ratio_[itype] /= available_moves_.size(); } @@ -377,4 +383,4 @@ void SoftmaxAgent::set_action_prob_() { accum += action_prob_[i]; cumm_action_prob_[i] = accum; } -} \ No newline at end of file +} diff --git a/vpr/src/place/move_generators/simpleRL_move_generator.h b/vpr/src/place/move_generators/simpleRL_move_generator.h index eacf736e79b..1959f1cec80 100644 --- a/vpr/src/place/move_generators/simpleRL_move_generator.h +++ b/vpr/src/place/move_generators/simpleRL_move_generator.h @@ -9,12 +9,17 @@ #include "critical_uniform_move_generator.h" #include "centroid_move_generator.h" +class PlaceMacros; + /** * @brief KArmedBanditAgent is the base class for RL agents that target the k-armed bandit problems */ class KArmedBanditAgent { public: - KArmedBanditAgent(std::vector available_moves, e_agent_space agent_space, vtr::RngContainer& rng); + KArmedBanditAgent(std::vector available_moves, + e_agent_space agent_space, + vtr::RngContainer& rng, + const std::vector& num_movable_blocks_per_type); virtual ~KArmedBanditAgent() = default; /** @@ -84,14 +89,14 @@ class KArmedBanditAgent { inline int agent_to_phy_blk_type(int idx); protected: - float exp_alpha_ = -1; //Step size for q_ updates (< 0 implies use incremental average) - std::vector available_moves_; //All available moves from which the agent can choose - size_t num_available_types_; //Number of block types that exist in the netlist. Agent may not choose the block type. - size_t num_available_actions_; //Total number of available actions - bool propose_blk_type_ = false; //Check if agent should propose both move and block type or only move type - std::vector num_action_chosen_; //Number of times each arm has been pulled (n) - std::vector q_; //Estimated value of each arm (Q) - size_t last_action_; //type of the last action (move type) proposed + float exp_alpha_ = -1; //Step size for q_ updates (< 0 implies use incremental average) + std::vector available_moves_; //All available moves from which the agent can choose + size_t num_available_types_; //Number of block types that exist in the netlist. Agent may not choose the block type. + size_t num_available_actions_; //Total number of available actions + bool propose_blk_type_ = false; //Check if agent should propose both move and block type or only move type + std::vector num_action_chosen_; //Number of times each arm has been pulled (n) + std::vector q_; //Estimated value of each arm (Q) + size_t last_action_; //type of the last action (move type) proposed /* Ratios of the average runtime to calculate each move type */ /* These ratios are useful for different reward functions * * The vector is calculated by averaging many runs on different circuits */ @@ -103,11 +108,13 @@ class KArmedBanditAgent { private: /** * @brief Iterates over all logical block types and check whether they exist in the - * netlist. Then, returns the logical block type indices found in the netlist. - * + * netlist. Then, returns the logical block type indices found in the netlist. + * @param movable_blocks_per_type A vector of vectors, where each inner vector contains ClusterBlockIds of + * all movable blocks belonging to a specific logical type. The outer vector + * is indexed by the logical type index. * @return A vector containing all logical block type indices that exist in the netlist. */ - static std::vector get_available_logical_blk_types_(); + static std::vector get_available_logical_blk_types_(const std::vector& num_movable_blocks_per_type); private: std::vector action_logical_blk_type_; @@ -122,7 +129,11 @@ class KArmedBanditAgent { */ class EpsilonGreedyAgent : public KArmedBanditAgent { public: - EpsilonGreedyAgent(std::vector available_moves, e_agent_space agent_space, float epsilon, vtr::RngContainer& rng); + EpsilonGreedyAgent(std::vector available_moves, + e_agent_space agent_space, + float epsilon, + vtr::RngContainer& rng, + const std::vector& num_movable_blocks_per_type); ~EpsilonGreedyAgent() override; t_propose_action propose_action() override; //Returns the type of the next action as well as the block type the agent wishes to perform @@ -161,7 +172,10 @@ class EpsilonGreedyAgent : public KArmedBanditAgent { */ class SoftmaxAgent : public KArmedBanditAgent { public: - SoftmaxAgent(std::vector available_moves, e_agent_space agent_space, vtr::RngContainer& rng); + SoftmaxAgent(std::vector available_moves, + e_agent_space agent_space, + vtr::RngContainer& rng, + const std::vector& num_movable_blocks_per_type); ~SoftmaxAgent() override; t_propose_action propose_action() override; //Returns the type of the next action as well as the block type the agent wishes to perform @@ -169,13 +183,19 @@ class SoftmaxAgent : public KArmedBanditAgent { private: /** * @brief Initialize agent's Q-table and internal variable to zero (RL-agent learns everything throughout the placement run and has no prior knowledge) + * @param movable_blocks_per_type A vector of vectors, where each inner vector contains ClusterBlockIds of + * all movable blocks belonging to a specific logical type. The outer vector + * is indexed by the logical type index. */ - void init_q_scores_(); + void init_q_scores_(const std::vector& num_movable_blocks_per_type); /** * @brief Calculate the fraction of total netlist blocks for each agent block type and will be used by the "set_action_prob" function. + * @param movable_blocks_per_type A vector of vectors, where each inner vector contains ClusterBlockIds of + * all movable blocks belonging to a specific logical type. The outer vector + * is indexed by the logical type index. */ - void set_block_ratio_(); + void set_block_ratio_(const std::vector& num_movable_blocks_per_type); /** * @brief Set action probability for all available actions. @@ -217,6 +237,7 @@ class SimpleRLMoveGenerator : public MoveGenerator { template::value || std::is_same::value>::type> explicit SimpleRLMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng, std::unique_ptr& agent, @@ -236,27 +257,28 @@ class SimpleRLMoveGenerator : public MoveGenerator { template SimpleRLMoveGenerator::SimpleRLMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng, std::unique_ptr& agent, float noc_attraction_weight, size_t high_fanout_thresh) - : MoveGenerator(placer_state, reward_function, rng) { + : MoveGenerator(placer_state, place_macros, reward_function, rng) { if (noc_attraction_weight > 0.0f) { all_moves.resize((int)e_move_type::NUMBER_OF_AUTO_MOVES); } else { all_moves.resize((int)e_move_type::NUMBER_OF_AUTO_MOVES - 1); } - all_moves[e_move_type::UNIFORM] = std::make_unique(placer_state, reward_function, rng); - all_moves[e_move_type::MEDIAN] = std::make_unique(placer_state, reward_function, rng); - all_moves[e_move_type::CENTROID] = std::make_unique(placer_state, reward_function, rng); - all_moves[e_move_type::W_CENTROID] = std::make_unique(placer_state, reward_function, rng); - all_moves[e_move_type::W_MEDIAN] = std::make_unique(placer_state, reward_function, rng); - all_moves[e_move_type::CRIT_UNIFORM] = std::make_unique(placer_state, reward_function, rng); - all_moves[e_move_type::FEASIBLE_REGION] = std::make_unique(placer_state, reward_function, rng); + all_moves[e_move_type::UNIFORM] = std::make_unique(placer_state, place_macros_, reward_function, rng); + all_moves[e_move_type::MEDIAN] = std::make_unique(placer_state, place_macros_, reward_function, rng); + all_moves[e_move_type::CENTROID] = std::make_unique(placer_state, place_macros_, reward_function, rng); + all_moves[e_move_type::W_CENTROID] = std::make_unique(placer_state, place_macros_, reward_function, rng); + all_moves[e_move_type::W_MEDIAN] = std::make_unique(placer_state, place_macros_, reward_function, rng); + all_moves[e_move_type::CRIT_UNIFORM] = std::make_unique(placer_state, place_macros_, reward_function, rng); + all_moves[e_move_type::FEASIBLE_REGION] = std::make_unique(placer_state, place_macros_, reward_function, rng); if (noc_attraction_weight > 0.0f) { - all_moves[e_move_type::NOC_ATTRACTION_CENTROID] = std::make_unique(placer_state, reward_function, rng, + all_moves[e_move_type::NOC_ATTRACTION_CENTROID] = std::make_unique(placer_state, place_macros_, reward_function, rng, noc_attraction_weight, high_fanout_thresh); } diff --git a/vpr/src/place/move_generators/static_move_generator.cpp b/vpr/src/place/move_generators/static_move_generator.cpp index 6a3de5b4a2a..facfc979b80 100644 --- a/vpr/src/place/move_generators/static_move_generator.cpp +++ b/vpr/src/place/move_generators/static_move_generator.cpp @@ -2,6 +2,7 @@ #include "static_move_generator.h" #include "median_move_generator.h" +#include "place_macro.h" #include "weighted_median_move_generator.h" #include "weighted_centroid_move_generator.h" #include "feasible_region_move_generator.h" @@ -13,19 +14,20 @@ #include "vtr_assert.h" StaticMoveGenerator::StaticMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng, const vtr::vector& move_probs) - : MoveGenerator(placer_state, reward_function, rng) { + : MoveGenerator(placer_state, place_macros, reward_function, rng) { all_moves.resize((int)e_move_type::NUMBER_OF_AUTO_MOVES); - all_moves[e_move_type::UNIFORM] = std::make_unique(placer_state, reward_function, rng); - all_moves[e_move_type::MEDIAN] = std::make_unique(placer_state, reward_function, rng); - all_moves[e_move_type::CENTROID] = std::make_unique(placer_state, reward_function, rng); - all_moves[e_move_type::W_CENTROID] = std::make_unique(placer_state, reward_function, rng); - all_moves[e_move_type::W_MEDIAN] = std::make_unique(placer_state, reward_function, rng); - all_moves[e_move_type::CRIT_UNIFORM] = std::make_unique(placer_state, reward_function, rng); - all_moves[e_move_type::FEASIBLE_REGION] = std::make_unique(placer_state, reward_function, rng); + all_moves[e_move_type::UNIFORM] = std::make_unique(placer_state, place_macros_, reward_function, rng); + all_moves[e_move_type::MEDIAN] = std::make_unique(placer_state, place_macros_, reward_function, rng); + all_moves[e_move_type::CENTROID] = std::make_unique(placer_state, place_macros_, reward_function, rng); + all_moves[e_move_type::W_CENTROID] = std::make_unique(placer_state, place_macros_, reward_function, rng); + all_moves[e_move_type::W_MEDIAN] = std::make_unique(placer_state, place_macros_, reward_function, rng); + all_moves[e_move_type::CRIT_UNIFORM] = std::make_unique(placer_state, place_macros_, reward_function, rng); + all_moves[e_move_type::FEASIBLE_REGION] = std::make_unique(placer_state, place_macros_, reward_function, rng); initialize_move_prob(move_probs); } @@ -34,7 +36,7 @@ void StaticMoveGenerator::initialize_move_prob(const vtr::vector> all_moves; // list of pointers to the different available move type generators - vtr::vector cumm_move_probs; // accumulative probabilities for different move types - float total_prob; // sum of the input probabilities from the use + vtr::vector> all_moves; // list of pointers to the different available move type generators + vtr::vector cumm_move_probs; // accumulative probabilities for different move types + float total_prob; // sum of the input probabilities from the use void initialize_move_prob(const vtr::vector& move_probs); public: StaticMoveGenerator() = delete; StaticMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng, const vtr::vector& move_probs); diff --git a/vpr/src/place/move_generators/uniform_move_generator.cpp b/vpr/src/place/move_generators/uniform_move_generator.cpp index 6c6e283ba94..216ef8a1a36 100644 --- a/vpr/src/place/move_generators/uniform_move_generator.cpp +++ b/vpr/src/place/move_generators/uniform_move_generator.cpp @@ -1,14 +1,17 @@ #include "uniform_move_generator.h" #include "globals.h" +#include "physical_types_util.h" #include "place_constraints.h" +#include "place_macro.h" #include "placer_state.h" #include "move_utils.h" UniformMoveGenerator::UniformMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng) - : MoveGenerator(placer_state, reward_function, rng) {} + : MoveGenerator(placer_state, place_macros, reward_function, rng) {} e_create_move UniformMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_affected, t_propose_action& proposed_action, @@ -24,6 +27,7 @@ e_create_move UniformMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks ClusterBlockId b_from = propose_block_to_move(placer_opts, proposed_action.logical_blk_type_index, /*highly_crit_block=*/false, + /*placer_criticalities=*/nullptr, /*net_from=*/nullptr, /*pin_from=*/nullptr, placer_state, @@ -60,7 +64,7 @@ e_create_move UniformMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks VTR_LOG("\n"); #endif - e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry); + e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry, place_macros_); //Check that all the blocks affected by the move would still be in a legal floorplan region after the swap if (!floorplan_legal(blocks_affected)) { diff --git a/vpr/src/place/move_generators/uniform_move_generator.h b/vpr/src/place/move_generators/uniform_move_generator.h index 02414c3140e..5513c728d38 100644 --- a/vpr/src/place/move_generators/uniform_move_generator.h +++ b/vpr/src/place/move_generators/uniform_move_generator.h @@ -1,7 +1,10 @@ #ifndef VPR_UNIFORM_MOVE_GEN_H #define VPR_UNIFORM_MOVE_GEN_H + #include "move_generator.h" +class PlaceMacros; + /** * @brief The classic VPR move generator * @@ -12,6 +15,7 @@ class UniformMoveGenerator : public MoveGenerator { public: UniformMoveGenerator() = delete; UniformMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng); diff --git a/vpr/src/place/move_generators/weighted_centroid_move_generator.cpp b/vpr/src/place/move_generators/weighted_centroid_move_generator.cpp index a2b99cb1d86..3250e48a3b7 100644 --- a/vpr/src/place/move_generators/weighted_centroid_move_generator.cpp +++ b/vpr/src/place/move_generators/weighted_centroid_move_generator.cpp @@ -1,8 +1,10 @@ #include "weighted_centroid_move_generator.h" +#include "place_macro.h" WeightedCentroidMoveGenerator::WeightedCentroidMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng) - : CentroidMoveGenerator(placer_state, reward_function, rng) { + : CentroidMoveGenerator(placer_state, place_macros, reward_function, rng) { weighted_ = true; } diff --git a/vpr/src/place/move_generators/weighted_centroid_move_generator.h b/vpr/src/place/move_generators/weighted_centroid_move_generator.h index 9a13ebabf08..cd8ec1e4943 100644 --- a/vpr/src/place/move_generators/weighted_centroid_move_generator.h +++ b/vpr/src/place/move_generators/weighted_centroid_move_generator.h @@ -3,6 +3,8 @@ #include "centroid_move_generator.h" +class PlaceMacros; + /** * @brief Weighted Centroid move generator * @@ -18,6 +20,7 @@ class WeightedCentroidMoveGenerator : public CentroidMoveGenerator { public: WeightedCentroidMoveGenerator() = delete; WeightedCentroidMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng); }; diff --git a/vpr/src/place/move_generators/weighted_median_move_generator.cpp b/vpr/src/place/move_generators/weighted_median_move_generator.cpp index b391509f5c3..a063cdab3ac 100644 --- a/vpr/src/place/move_generators/weighted_median_move_generator.cpp +++ b/vpr/src/place/move_generators/weighted_median_move_generator.cpp @@ -1,7 +1,9 @@ #include "weighted_median_move_generator.h" #include "globals.h" +#include "physical_types_util.h" #include "place_constraints.h" +#include "place_macro.h" #include "placer_state.h" #include "move_utils.h" @@ -11,9 +13,10 @@ #define CRIT_MULT_FOR_W_MEDIAN 10 WeightedMedianMoveGenerator::WeightedMedianMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng) - : MoveGenerator(placer_state, reward_function, rng) {} + : MoveGenerator(placer_state, place_macros, reward_function, rng) {} e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& blocks_affected, t_propose_action& proposed_action, @@ -30,6 +33,7 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& ClusterBlockId b_from = propose_block_to_move(placer_opts, proposed_action.logical_blk_type_index, /*highly_crit_block=*/false, + /*placer_criticalities=*/nullptr, /*net_from=*/nullptr, /*pin_from=*/nullptr, placer_state, @@ -142,7 +146,7 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& return e_create_move::ABORT; } - e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry); + e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry, place_macros_); //Check that all the blocks affected by the move would still be in a legal floorplan region after the swap if (!floorplan_legal(blocks_affected)) { @@ -153,9 +157,9 @@ e_create_move WeightedMedianMoveGenerator::propose_move(t_pl_blocks_to_be_moved& } bool WeightedMedianMoveGenerator::get_bb_cost_for_net_excluding_block(ClusterNetId net_id, - ClusterPinId moving_pin_id, - const PlacerCriticalities* criticalities, - t_bb_cost* coords) { + ClusterPinId moving_pin_id, + const PlacerCriticalities* criticalities, + t_bb_cost* coords) { const auto& blk_loc_registry = placer_state_.get().blk_loc_registry(); const auto& cluster_ctx = g_vpr_ctx.clustering(); @@ -253,4 +257,3 @@ bool WeightedMedianMoveGenerator::get_bb_cost_for_net_excluding_block(ClusterNet return skip_net; } - diff --git a/vpr/src/place/move_generators/weighted_median_move_generator.h b/vpr/src/place/move_generators/weighted_median_move_generator.h index a6041f13e87..fc1a61394d1 100644 --- a/vpr/src/place/move_generators/weighted_median_move_generator.h +++ b/vpr/src/place/move_generators/weighted_median_move_generator.h @@ -2,7 +2,8 @@ #define VPR_WEIGHTED_MEDIAN_MOVE_GEN_H #include "move_generator.h" -#include "timing_place.h" + +class PlaceMacros; /** * @brief The weighted median move generator @@ -17,6 +18,7 @@ class WeightedMedianMoveGenerator : public MoveGenerator { public: WeightedMedianMoveGenerator() = delete; WeightedMedianMoveGenerator(PlacerState& placer_state, + const PlaceMacros& place_macros, e_reward_function reward_function, vtr::RngContainer& rng); diff --git a/vpr/src/place/move_transactions.cpp b/vpr/src/place/move_transactions.cpp index d51e0236cbf..b64117848cb 100644 --- a/vpr/src/place/move_transactions.cpp +++ b/vpr/src/place/move_transactions.cpp @@ -5,8 +5,8 @@ #include "grid_block.h" #include "vtr_assert.h" -t_pl_blocks_to_be_moved::t_pl_blocks_to_be_moved(size_t max_blocks){ - moved_blocks.reserve(max_blocks); +t_pl_blocks_to_be_moved::t_pl_blocks_to_be_moved(size_t max_blocks) { + moved_blocks.reserve(max_blocks); } size_t t_pl_blocks_to_be_moved::get_size_and_increment() { diff --git a/vpr/src/place/move_transactions.h b/vpr/src/place/move_transactions.h index 6670a012bb0..ce1095c365d 100644 --- a/vpr/src/place/move_transactions.h +++ b/vpr/src/place/move_transactions.h @@ -62,14 +62,14 @@ struct t_pl_blocks_to_be_moved { t_pl_blocks_to_be_moved(t_pl_blocks_to_be_moved&&) = delete; /** - * @brief This function increments the size of the moved_blocks vector and return the index - * of the newly added last elements. - */ + * @brief This function increments the size of the moved_blocks vector and return the index + * of the newly added last elements. + */ size_t get_size_and_increment(); /** - * @brief This function clears all data structures of this struct. - */ + * @brief This function clears all data structures of this struct. + */ void clear_move_blocks(); /** @@ -81,11 +81,10 @@ struct t_pl_blocks_to_be_moved { */ bool driven_by_moved_block(const ClusterNetId net) const; - e_block_move_result record_block_move(ClusterBlockId blk, t_pl_loc to, const BlkLocRegistry& blk_loc_registry); - + std::set determine_locations_emptied_by_move(); std::vector moved_blocks; diff --git a/vpr/src/place/move_utils.cpp b/vpr/src/place/move_utils.cpp index b5efb699fc7..5e941e2f3c1 100644 --- a/vpr/src/place/move_utils.cpp +++ b/vpr/src/place/move_utils.cpp @@ -3,6 +3,8 @@ #include "move_transactions.h" #include "globals.h" +#include "physical_types_util.h" +#include "place_macro.h" #include "vtr_random.h" #include "draw_debug.h" @@ -10,6 +12,7 @@ #include "place_constraints.h" #include "placer_state.h" +#include "PlacerCriticalities.h" //f_placer_breakpoint_reached is used to stop the placer when a breakpoint is reached. // When this flag is true, it stops the placer after the current perturbation. Thus, when a breakpoint is reached, this flag is set to true. @@ -28,10 +31,11 @@ void set_placer_breakpoint_reached(bool flag) { e_create_move create_move(t_pl_blocks_to_be_moved& blocks_affected, ClusterBlockId b_from, t_pl_loc to, - const BlkLocRegistry& blk_loc_registry) { + const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros) { const auto& block_locs = blk_loc_registry.block_locs(); const GridBlock& grid_blocks = blk_loc_registry.grid_blocks(); - e_block_move_result outcome = find_affected_blocks(blocks_affected, b_from, to, blk_loc_registry); + e_block_move_result outcome = find_affected_blocks(blocks_affected, b_from, to, blk_loc_registry, place_macros); if (outcome == e_block_move_result::INVERT) { //Try inverting the swap direction @@ -44,7 +48,7 @@ e_create_move create_move(t_pl_blocks_to_be_moved& blocks_affected, } else { t_pl_loc from = block_locs[b_from].loc; - outcome = find_affected_blocks(blocks_affected, b_to, from, blk_loc_registry); + outcome = find_affected_blocks(blocks_affected, b_to, from, blk_loc_registry, place_macros); if (outcome == e_block_move_result::INVERT) { blocks_affected.move_abortion_logger.log_move_abort("inverted move recursion"); @@ -64,14 +68,14 @@ e_create_move create_move(t_pl_blocks_to_be_moved& blocks_affected, e_block_move_result find_affected_blocks(t_pl_blocks_to_be_moved& blocks_affected, ClusterBlockId b_from, t_pl_loc to, - const BlkLocRegistry& blk_loc_registry) { + const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros) { /* Finds and set ups the affected_blocks array. * Returns abort_swap. */ VTR_ASSERT_SAFE(b_from); const auto& block_locs = blk_loc_registry.block_locs(); const GridBlock& grid_blocks = blk_loc_registry.grid_blocks(); - const auto& place_macros = blk_loc_registry.place_macros(); e_block_move_result outcome = e_block_move_result::VALID; @@ -85,7 +89,7 @@ e_block_move_result find_affected_blocks(t_pl_blocks_to_be_moved& blocks_affecte t_pl_offset swap_offset = to - from; int imember_from = 0; - outcome = record_macro_swaps(blocks_affected, imacro_from, imember_from, swap_offset, blk_loc_registry); + outcome = record_macro_swaps(blocks_affected, imacro_from, imember_from, swap_offset, blk_loc_registry, place_macros); VTR_ASSERT_SAFE(outcome != e_block_move_result::VALID || imember_from == int(place_macros[imacro_from].members.size())); @@ -142,14 +146,13 @@ e_block_move_result record_single_block_swap(t_pl_blocks_to_be_moved& blocks_aff return e_block_move_result::ABORT; } - // Sets up the blocks moved outcome = blocks_affected.record_block_move(b_from, to, blk_loc_registry); if (outcome != e_block_move_result::VALID) { return outcome; } - + t_pl_loc from = block_locs[b_from].loc; outcome = blocks_affected.record_block_move(b_to, from, blk_loc_registry); @@ -165,8 +168,8 @@ e_block_move_result record_macro_swaps(t_pl_blocks_to_be_moved& blocks_affected, const int imacro_from, int& imember_from, t_pl_offset swap_offset, - const BlkLocRegistry& blk_loc_registry) { - const auto& place_macros = blk_loc_registry.place_macros(); + const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros) { const auto& block_locs = blk_loc_registry.block_locs(); const GridBlock& grid_blocks = blk_loc_registry.grid_blocks(); @@ -199,11 +202,11 @@ e_block_move_result record_macro_swaps(t_pl_blocks_to_be_moved& blocks_affected, //To block is a macro if (imacro_from == imacro_to) { - outcome = record_macro_self_swaps(blocks_affected, imacro_from, swap_offset, blk_loc_registry); + outcome = record_macro_self_swaps(blocks_affected, imacro_from, swap_offset, blk_loc_registry, place_macros); imember_from = place_macros[imacro_from].members.size(); break; //record_macro_self_swaps() handles this case completely, so we don't need to continue the loop } else { - outcome = record_macro_macro_swaps(blocks_affected, imacro_from, imember_from, imacro_to, b_to, swap_offset, blk_loc_registry); + outcome = record_macro_macro_swaps(blocks_affected, imacro_from, imember_from, imacro_to, b_to, swap_offset, blk_loc_registry, place_macros); if (outcome == e_block_move_result::INVERT_VALID) { break; //The move was inverted and successfully proposed, don't need to continue the loop } @@ -227,7 +230,8 @@ e_block_move_result record_macro_macro_swaps(t_pl_blocks_to_be_moved& blocks_aff const int imacro_to, ClusterBlockId blk_to, t_pl_offset swap_offset, - const BlkLocRegistry& blk_loc_registry) { + const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& pl_macros) { //Adds the macro imacro_to to the set of affected block caused by swapping 'blk_to' to its //new position. // @@ -235,7 +239,6 @@ e_block_move_result record_macro_macro_swaps(t_pl_blocks_to_be_moved& blocks_aff //The position in the from macro ('imacro_from') is specified by 'imember_from', and the relevant //macro fro the to block is 'imacro_to'. - const auto& pl_macros = blk_loc_registry.place_macros().macros(); const auto& block_locs = blk_loc_registry.block_locs(); //At the moment, we only support blk_to being the first element of the 'to' macro. @@ -245,7 +248,7 @@ e_block_move_result record_macro_macro_swaps(t_pl_blocks_to_be_moved& blocks_aff //allows these blocks to swap) if (pl_macros[imacro_to].members[0].blk_index != blk_to) { int imember_to = 0; - auto outcome = record_macro_swaps(blocks_affected, imacro_to, imember_to, -swap_offset, blk_loc_registry); + auto outcome = record_macro_swaps(blocks_affected, imacro_to, imember_to, -swap_offset, blk_loc_registry, pl_macros); if (outcome == e_block_move_result::INVERT) { blocks_affected.move_abortion_logger.log_move_abort("invert recursion2"); outcome = e_block_move_result::ABORT; @@ -314,7 +317,7 @@ e_block_move_result record_macro_macro_swaps(t_pl_blocks_to_be_moved& blocks_aff // //Swap the remainder of the 'to' macro to locations after the 'from' macro. //Note that we are swapping in the opposite direction so the swap offsets are inverted. - return record_macro_swaps(blocks_affected, imacro_to, imember_to, -swap_offset, blk_loc_registry); + return record_macro_swaps(blocks_affected, imacro_to, imember_to, -swap_offset, blk_loc_registry, pl_macros); } return e_block_move_result::VALID; @@ -330,8 +333,8 @@ e_block_move_result record_macro_move(t_pl_blocks_to_be_moved& blocks_affected, std::vector& displaced_blocks, const int imacro, t_pl_offset swap_offset, - const BlkLocRegistry& blk_loc_registry) { - const auto& place_macros = blk_loc_registry.place_macros(); + const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros) { const auto& block_locs = blk_loc_registry.block_locs(); const GridBlock& grid_blocks = blk_loc_registry.grid_blocks(); @@ -364,8 +367,8 @@ e_block_move_result identify_macro_self_swap_affected_macros(std::vector& m const int imacro, t_pl_offset swap_offset, const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, MoveAbortionLogger& move_abortion_logger) { - const auto& place_macros = blk_loc_registry.place_macros(); const auto& block_locs = blk_loc_registry.block_locs(); const GridBlock& grid_blocks = blk_loc_registry.grid_blocks(); @@ -390,7 +393,7 @@ e_block_move_result identify_macro_self_swap_affected_macros(std::vector& m auto itr = std::find(macros.begin(), macros.end(), imacro_to); if (itr == macros.end()) { macros.push_back(imacro_to); - outcome = identify_macro_self_swap_affected_macros(macros, imacro_to, swap_offset, blk_loc_registry, move_abortion_logger); + outcome = identify_macro_self_swap_affected_macros(macros, imacro_to, swap_offset, blk_loc_registry, place_macros, move_abortion_logger); } } } @@ -400,15 +403,14 @@ e_block_move_result identify_macro_self_swap_affected_macros(std::vector& m e_block_move_result record_macro_self_swaps(t_pl_blocks_to_be_moved& blocks_affected, const int imacro, t_pl_offset swap_offset, - const BlkLocRegistry& blk_loc_registry) { - const auto& place_macros = blk_loc_registry.place_macros(); - + const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros) { //Reset any partial move blocks_affected.clear_move_blocks(); //Collect the macros affected std::vector affected_macros; - auto outcome = identify_macro_self_swap_affected_macros(affected_macros, imacro, swap_offset, blk_loc_registry, blocks_affected.move_abortion_logger); + auto outcome = identify_macro_self_swap_affected_macros(affected_macros, imacro, swap_offset, blk_loc_registry, place_macros, blocks_affected.move_abortion_logger); if (outcome != e_block_move_result::VALID) { return outcome; @@ -421,7 +423,7 @@ e_block_move_result record_macro_self_swaps(t_pl_blocks_to_be_moved& blocks_affe //Move all the affected macros by the offset for (int imacro_affected : affected_macros) { - outcome = record_macro_move(blocks_affected, displaced_blocks, imacro_affected, swap_offset, blk_loc_registry); + outcome = record_macro_move(blocks_affected, displaced_blocks, imacro_affected, swap_offset, blk_loc_registry, place_macros); if (outcome != e_block_move_result::VALID) { return outcome; @@ -470,7 +472,6 @@ bool is_legal_swap_to_location(ClusterBlockId blk, const auto& block_locs = blk_loc_registry.block_locs(); const GridBlock& grid_blocks = blk_loc_registry.grid_blocks(); - if (to.x < 0 || to.x >= int(device_ctx.grid.width()) || to.y < 0 || to.y >= int(device_ctx.grid.height()) || to.layer < 0 @@ -547,30 +548,25 @@ void enable_placer_debug(const t_placer_opts& placer_opts, ClusterBlockId propose_block_to_move(const t_placer_opts& placer_opts, int& logical_blk_type_index, bool highly_crit_block, + const PlacerCriticalities* placer_criticalities, ClusterNetId* net_from, int* pin_from, const PlacerState& placer_state, vtr::RngContainer& rng) { + const auto& cluster_ctx = g_vpr_ctx.clustering(); + const auto& blk_loc_registry = placer_state.blk_loc_registry(); + ClusterBlockId b_from = ClusterBlockId::INVALID(); - auto& cluster_ctx = g_vpr_ctx.clustering(); - if (logical_blk_type_index == -1) { //If the block type is unspecified, choose any random block to be swapped with another random block - if (highly_crit_block) { - b_from = pick_from_highly_critical_block(*net_from, *pin_from, placer_state, rng); - } else { - b_from = pick_from_block(rng); - } + if (highly_crit_block) { + b_from = pick_from_highly_critical_block(*net_from, *pin_from, logical_blk_type_index, placer_state, *placer_criticalities, rng); + } else { + b_from = pick_from_block(logical_blk_type_index, rng, blk_loc_registry); + } - //if a movable block found, set the block type - if (b_from) { - logical_blk_type_index = cluster_ctx.clb_nlist.block_type(b_from)->index; - } - } else { //If the block type is specified, choose a random block with blk_type to be swapped with another random block - if (highly_crit_block) { - b_from = pick_from_highly_critical_block(*net_from, *pin_from, logical_blk_type_index, placer_state, rng); - } else { - b_from = pick_from_block(logical_blk_type_index, rng); - } + //if a movable block found, set the block type + if (b_from) { + logical_blk_type_index = cluster_ctx.clb_nlist.block_type(b_from)->index; } if constexpr (VTR_ENABLE_DEBUG_LOGGING_CONST_EXPR) { @@ -580,110 +576,54 @@ ClusterBlockId propose_block_to_move(const t_placer_opts& placer_opts, return b_from; } -const std::vector& movable_blocks_per_type(const t_logical_block_type& blk_type) { - const auto& place_ctx = g_vpr_ctx.placement(); - - // the vector is returned as const reference to avoid unnecessary copies, - // especially that returned vectors may be very large as they contain - // all clustered blocks with a specific block type - return place_ctx.movable_blocks_per_type[blk_type.index]; -} - -ClusterBlockId pick_from_block(vtr::RngContainer& rng) { - auto& place_ctx = g_vpr_ctx.placement(); - - // get the number of movable clustered blocks - const size_t n_movable_blocks = place_ctx.movable_blocks.size(); - - if (n_movable_blocks > 0) { - //Pick a movable block at random and return it - auto b_from = ClusterBlockId(rng.irand((int)n_movable_blocks - 1)); - return b_from; - } else { - //No movable blocks found - return ClusterBlockId::INVALID(); - } -} - -ClusterBlockId pick_from_block(const int logical_blk_type_index, vtr::RngContainer& rng) { - auto& place_ctx = g_vpr_ctx.placement(); - - const auto& movable_blocks_of_type = place_ctx.movable_blocks_per_type[logical_blk_type_index]; - - if (movable_blocks_of_type.empty()) { - return ClusterBlockId::INVALID(); - } - - auto b_from = ClusterBlockId(movable_blocks_of_type[rng.irand((int)movable_blocks_of_type.size() - 1)]); - - return b_from; -} - -//Pick a random highly critical block to be swapped with another random block. -//If none is found return ClusterBlockId::INVALID() -ClusterBlockId pick_from_highly_critical_block(ClusterNetId& net_from, - int& pin_from, - const PlacerState& placer_state, - vtr::RngContainer& rng) { - auto& cluster_ctx = g_vpr_ctx.clustering(); - auto& place_move_ctx = placer_state.move(); - auto& block_locs = placer_state.block_locs(); - - //Initialize critical net and pin to be invalid - net_from = ClusterNetId::INVALID(); - pin_from = -1; +ClusterBlockId pick_from_block(const int logical_blk_type_index, + vtr::RngContainer& rng, + const BlkLocRegistry& blk_loc_registry) { + // if logical block type is specified, pick the 'from' block from blocks of that type; + // otherwise, select it randomly from all blocks + const auto& movable_blocks = (logical_blk_type_index < 0) ? blk_loc_registry.movable_blocks() : blk_loc_registry.movable_blocks_per_type()[logical_blk_type_index]; - //check if any critical block is available - if (place_move_ctx.highly_crit_pins.empty()) { + if (movable_blocks.empty()) { return ClusterBlockId::INVALID(); } - //pick a random highly critical pin and find the nets driver block - std::pair crit_pin = place_move_ctx.highly_crit_pins[rng.irand(place_move_ctx.highly_crit_pins.size() - 1)]; - ClusterBlockId b_from = cluster_ctx.clb_nlist.net_driver_block(crit_pin.first); - - if (block_locs[b_from].is_fixed) { - return ClusterBlockId::INVALID(); //Block is fixed, cannot move - } + ClusterBlockId b_from = movable_blocks[rng.irand((int)movable_blocks.size() - 1)]; - net_from = crit_pin.first; - pin_from = crit_pin.second; return b_from; - - //Unreachable statement - return ClusterBlockId::INVALID(); } -//Pick a random highly critical block with a specified block type to be swapped with another random block. -//If none is found return ClusterBlockId::INVALID() ClusterBlockId pick_from_highly_critical_block(ClusterNetId& net_from, int& pin_from, const int logical_blk_type_index, const PlacerState& placer_state, + const PlacerCriticalities& placer_criticalities, vtr::RngContainer& rng) { - auto& cluster_ctx = g_vpr_ctx.clustering(); - auto& place_move_ctx = placer_state.move(); - auto& block_locs = placer_state.block_locs(); + const auto& cluster_ctx = g_vpr_ctx.clustering(); + const auto& block_locs = placer_state.block_locs(); //Initialize critical net and pin to be invalid net_from = ClusterNetId::INVALID(); pin_from = -1; + const auto& highly_crit_pins = placer_criticalities.get_highly_critical_pins(); + //check if any critical block is available - if (place_move_ctx.highly_crit_pins.empty()) { + if (highly_crit_pins.empty()) { return ClusterBlockId::INVALID(); } //pick a random highly critical pin and find the nets driver block - std::pair crit_pin = place_move_ctx.highly_crit_pins[rng.irand(place_move_ctx.highly_crit_pins.size() - 1)]; + std::pair crit_pin = highly_crit_pins[rng.irand(highly_crit_pins.size() - 1)]; ClusterBlockId b_from = cluster_ctx.clb_nlist.net_driver_block(crit_pin.first); - //Check if picked block type matches with the blk_type specified, and it is not fixed - //blk_type from propose move doesn't account for the EMPTY type auto b_from_type = cluster_ctx.clb_nlist.block_type(b_from); - if (b_from_type->index == logical_blk_type_index) { + + // check if the type of the picked block matches with the specified block type + // when a block type is specified, i.e. when logical_blk_type_index >= 0 + if (b_from_type->index == logical_blk_type_index || logical_blk_type_index < 0) { + // ensure that the selected block is not fixed if (block_locs[b_from].is_fixed) { - return ClusterBlockId::INVALID(); //Block is fixed, cannot move + return ClusterBlockId::INVALID(); // a fixed block can't be moved } net_from = crit_pin.first; @@ -692,7 +632,6 @@ ClusterBlockId pick_from_highly_critical_block(ClusterNetId& net_from, } //No critical block with 'blk_type' found - //Unreachable statement return ClusterBlockId::INVALID(); } @@ -707,7 +646,7 @@ bool find_to_loc_uniform(t_logical_block_type_ptr type, // //Note that the range limit (rlim) is applied in a logical sense (i.e. 'compressed' grid space consisting //of the same block types, and not the physical grid space). This means, for example, that columns of 'rare' - //blocks (e.g. DSPs/RAMs) which are physically far appart but logically adjacent will be swappable even + //blocks (e.g. DSPs/RAMs) which are physically far apart but logically adjacent will be swappable even //at an rlim fo 1. // //This ensures that such blocks don't get locked down too early during placement (as would be the @@ -1252,7 +1191,7 @@ bool intersect_range_limit_with_floorplan_constraints(ClusterBlockId b_from, const auto [layer_low, layer_high] = compressed_intersect_reg.get_layer_range(); VTR_ASSERT(layer_low == layer_num && layer_high == layer_num); - delta_cx = intersect_rect.xmax() - intersect_rect.xmin(); + delta_cx = intersect_rect.xmax() - intersect_rect.xmin(); std::tie(search_range.xmin, search_range.ymin, search_range.xmax, search_range.ymax) = intersect_rect.coordinates(); search_range.layer_min = layer_low; diff --git a/vpr/src/place/move_utils.h b/vpr/src/place/move_utils.h index de3d771e7ae..2b0fa65bba7 100644 --- a/vpr/src/place/move_utils.h +++ b/vpr/src/place/move_utils.h @@ -1,5 +1,5 @@ -#ifndef VPR_MOVE_UTILS_H -#define VPR_MOVE_UTILS_H + +#pragma once #include "vpr_types.h" #include "move_transactions.h" @@ -7,6 +7,8 @@ class PlacerState; class BlkLocRegistry; +class PlaceMacros; +class PlacerCriticalities; namespace vtr { class RngContainer; } @@ -97,7 +99,8 @@ struct t_range_limiters { e_create_move create_move(t_pl_blocks_to_be_moved& blocks_affected, ClusterBlockId b_from, t_pl_loc to, - const BlkLocRegistry& blk_loc_registry); + const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros); /** * @brief Find the blocks that will be affected by a move of b_from to to_loc @@ -110,7 +113,8 @@ e_create_move create_move(t_pl_blocks_to_be_moved& blocks_affected, e_block_move_result find_affected_blocks(t_pl_blocks_to_be_moved& blocks_affected, ClusterBlockId b_from, t_pl_loc to, - const BlkLocRegistry& blk_loc_registry); + const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros); e_block_move_result record_single_block_swap(t_pl_blocks_to_be_moved& blocks_affected, ClusterBlockId b_from, @@ -121,7 +125,8 @@ e_block_move_result record_macro_swaps(t_pl_blocks_to_be_moved& blocks_affected, const int imacro_from, int& imember_from, t_pl_offset swap_offset, - const BlkLocRegistry& blk_loc_registry); + const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros); e_block_move_result record_macro_macro_swaps(t_pl_blocks_to_be_moved& blocks_affected, const int imacro_from, @@ -129,24 +134,28 @@ e_block_move_result record_macro_macro_swaps(t_pl_blocks_to_be_moved& blocks_aff const int imacro_to, ClusterBlockId blk_to, t_pl_offset swap_offset, - const BlkLocRegistry& blk_loc_registry); + const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& pl_macros); e_block_move_result record_macro_move(t_pl_blocks_to_be_moved& blocks_affected, std::vector& displaced_blocks, const int imacro, t_pl_offset swap_offset, - const BlkLocRegistry& blk_loc_registry); + const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros); e_block_move_result identify_macro_self_swap_affected_macros(std::vector& macros, const int imacro, t_pl_offset swap_offset, const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, MoveAbortionLogger& move_abortion_logger); e_block_move_result record_macro_self_swaps(t_pl_blocks_to_be_moved& blocks_affected, const int imacro, t_pl_offset swap_offset, - const BlkLocRegistry& blk_loc_registry); + const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros); /** * @brief Check whether the "to" location is legal for the given "blk" @@ -171,55 +180,46 @@ bool is_legal_swap_to_location(ClusterBlockId blk, ClusterBlockId propose_block_to_move(const t_placer_opts& placer_opts, int& logical_blk_type_index, bool highly_crit_block, + const PlacerCriticalities* placer_criticalities, ClusterNetId* net_from, int* pin_from, const PlacerState& placer_state, vtr::RngContainer& rng); -/** - * Returns all movable clustered blocks with a specified logical block type. - * @param blk_type Specifies the logical block block type. - * @return A const reference to a vector containing all movable blocks with the specified logical block type. - */ -const std::vector& movable_blocks_per_type(const t_logical_block_type& blk_type); - -/** - * @brief Select a random block to be swapped with another block - * - * @return BlockId of the selected block, ClusterBlockId::INVALID() if no block with specified block type found - */ -ClusterBlockId pick_from_block(vtr::RngContainer& rng); - /** * @brief Find a block with a specific block type to be swapped with another block * - * @param logical_blk_type_index: the agent type of the moving block. + * @param logical_blk_type_index The logical type of the moving block. If a negative value is passed, + * the block is selected randomly from all movable blocks and not from a specific type. + * @param rng A random number generator used to select a random block. + * @param blk_loc_registry Contains movable blocks and movable blocks per type. * * @return BlockId of the selected block, ClusterBlockId::INVALID() if no block with specified block type found */ -ClusterBlockId pick_from_block(int logical_blk_type_index, vtr::RngContainer& rng); - -/** - * @brief Select a random highly critical block to be swapped with another block - * - * @return BlockId of the selected block, ClusterBlockId::INVALID() if no block with specified block type found - */ -ClusterBlockId pick_from_highly_critical_block(ClusterNetId& net_from, - int& pin_from, - const PlacerState& placer_state, - vtr::RngContainer& rng); +ClusterBlockId pick_from_block(int logical_blk_type_index, + vtr::RngContainer& rng, + const BlkLocRegistry& blk_loc_registry); /** - * @brief Find a block with a specific block type to be swapped with another block + * @brief Find a highly critical block with a specific block type to be swapped with another block. * - * @param logical_blk_type_index: the agent type of the moving block. + * @param net_from The clustered net id of the critical connection of the selected block by this function. + * To be filled by this function. + * @param pin_from The pin id of the critical connection of the selected block by this function. + * To be filled by this function. + * @param logical_blk_type_index The logical type of the moving block. If a negative value is passed, + * the block is selected randomly from all movable blocks and not from a specific type. + * @param placer_state Used to access the current placement's info, e.g. block locations and if they are fixed. + * @param placer_criticalities Holds the clustered netlist connection criticalities. + * @param rng A random number generator used to select a random highly critical block. * - * @return BlockId of the selected block, ClusterBlockId::INVALID() if no block with specified block type found + * @return BlockId of the selected block, ClusterBlockId::INVALID() if no block with specified block type found. */ ClusterBlockId pick_from_highly_critical_block(ClusterNetId& net_from, int& pin_from, int logical_blk_type_index, const PlacerState& placer_state, + const PlacerCriticalities& placer_criticalities, vtr::RngContainer& rng); bool find_to_loc_uniform(t_logical_block_type_ptr type, @@ -461,5 +461,3 @@ std::pair union_2d_bb_incr(const std::vector& num_edge_vec, */ void enable_placer_debug(const t_placer_opts& placer_opts, ClusterBlockId blk_id); - -#endif diff --git a/vpr/src/place/net_cost_handler.cpp b/vpr/src/place/net_cost_handler.cpp index ac049995347..65ec74dbb47 100644 --- a/vpr/src/place/net_cost_handler.cpp +++ b/vpr/src/place/net_cost_handler.cpp @@ -33,7 +33,8 @@ #include "place_timing_update.h" #include "vtr_math.h" #include "vtr_ndmatrix.h" -#include "vtr_ndoffsetmatrix.h" +#include "PlacerCriticalities.h" +#include "vtr_prefix_sum.h" #include @@ -68,8 +69,6 @@ static void update_bb_pin_sink_count(const t_physical_tile_loc& pin_old_loc, vtr::NdMatrixProxy bb_pin_sink_count_new, bool is_output_pin); - - /** * @brief When BB is being updated incrementally, the pin is moving to a new layer, and the BB is of the type "per-layer, * use this function to update the BB on the new layer. @@ -102,7 +101,6 @@ static double wirelength_crossing_count(size_t fanout); /******************************* End of Function definitions ************************************/ - NetCostHandler::NetCostHandler(const t_placer_opts& placer_opts, PlacerState& placer_state, bool cube_bb) @@ -118,7 +116,7 @@ NetCostHandler::NetCostHandler(const t_placer_opts& placer_opts, if (cube_bb_) { ts_bb_edge_new_.resize(num_nets, t_bb()); ts_bb_coord_new_.resize(num_nets, t_bb()); - comp_bb_cost_functor_ = std::bind(&NetCostHandler::comp_cube_bb_cost_, this, std::placeholders::_1); + comp_bb_cost_functor_ = std::bind(&NetCostHandler::comp_cube_bb_cost_, this, std::placeholders::_1); update_bb_functor_ = std::bind(&NetCostHandler::update_bb_, this, std::placeholders::_1, std::placeholders::_2, std::placeholders::_3, std::placeholders::_4); get_net_bb_cost_functor_ = std::bind(&NetCostHandler::get_net_cube_bb_cost_, this, std::placeholders::_1, /*use_ts=*/true); @@ -126,7 +124,7 @@ NetCostHandler::NetCostHandler(const t_placer_opts& placer_opts, } else { layer_ts_bb_edge_new_.resize(num_nets, std::vector(num_layers, t_2D_bb())); layer_ts_bb_coord_new_.resize(num_nets, std::vector(num_layers, t_2D_bb())); - comp_bb_cost_functor_ = std::bind(&NetCostHandler::comp_per_layer_bb_cost_, this, std::placeholders::_1); + comp_bb_cost_functor_ = std::bind(&NetCostHandler::comp_per_layer_bb_cost_, this, std::placeholders::_1); update_bb_functor_ = std::bind(&NetCostHandler::update_layer_bb_, this, std::placeholders::_1, std::placeholders::_2, std::placeholders::_3, std::placeholders::_4); get_net_bb_cost_functor_ = std::bind(&NetCostHandler::get_net_per_layer_bb_cost_, this, std::placeholders::_1, /*use_ts=*/true); @@ -153,8 +151,8 @@ NetCostHandler::NetCostHandler(const t_placer_opts& placer_opts, void NetCostHandler::alloc_and_load_chan_w_factors_for_place_cost_() { const auto& device_ctx = g_vpr_ctx.device(); - const int grid_height = (int)device_ctx.grid.height(); - const int grid_width = (int)device_ctx.grid.width(); + const size_t grid_height = device_ctx.grid.height(); + const size_t grid_width = device_ctx.grid.width(); /* These arrays contain accumulative channel width between channel zero and * the channel specified by the given index. The accumulated channel width @@ -164,38 +162,29 @@ void NetCostHandler::alloc_and_load_chan_w_factors_for_place_cost_() { * acc_chan?_width_[high] - acc_chan?_width_[low - 1] * This returns the total number of tracks between channels 'low' and 'high', * including tracks in these channels. - * - * Channel -1 doesn't exist, so we can say it has zero tracks. We need to be able - * to access these arrays with index -1 to handle cases where the lower channel is 0. */ - acc_chanx_width_ = vtr::NdOffsetMatrix({{{-1, grid_height}}}); - acc_chany_width_ = vtr::NdOffsetMatrix({{{-1, grid_width}}}); - - // initialize the first element (index -1) with zero - acc_chanx_width_[-1] = 0; - for (int y = 0; y < grid_height; y++) { - acc_chanx_width_[y] = acc_chanx_width_[y - 1] + device_ctx.chan_width.x_list[y]; + acc_chanx_width_ = vtr::PrefixSum1D(grid_height, [&](size_t y) noexcept { + int chan_x_width = device_ctx.chan_width.x_list[y]; /* If the number of tracks in a channel is zero, two consecutive elements take the same * value. This can lead to a division by zero in get_chanxy_cost_fac_(). To avoid this * potential issue, we assume that the channel width is at least 1. */ - if (acc_chanx_width_[y] == acc_chanx_width_[y - 1]) { - acc_chanx_width_[y]++; - } - } + if (chan_x_width == 0) + return 1; - // initialize the first element (index -1) with zero - acc_chany_width_[-1] = 0; - for (int x = 0; x < grid_width; x++) { - acc_chany_width_[x] = acc_chany_width_[x - 1] + device_ctx.chan_width.y_list[x]; + return chan_x_width; + }); + acc_chany_width_ = vtr::PrefixSum1D(grid_width, [&](size_t x) noexcept { + int chan_y_width = device_ctx.chan_width.y_list[x]; // to avoid a division by zero - if (acc_chany_width_[x] == acc_chany_width_[x - 1]) { - acc_chany_width_[x]++; - } - } - + if (chan_y_width == 0) + return 1; + + return chan_y_width; + }); + if (is_multi_layer_) { alloc_and_load_for_fast_vertical_cost_update_(); } @@ -204,13 +193,11 @@ void NetCostHandler::alloc_and_load_chan_w_factors_for_place_cost_() { void NetCostHandler::alloc_and_load_for_fast_vertical_cost_update_() { const auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; - + const size_t grid_height = device_ctx.grid.height(); const size_t grid_width = device_ctx.grid.width(); - acc_tile_num_inter_die_conn_ = vtr::NdMatrix({grid_width, grid_height}, 0); - - vtr::NdMatrix tile_num_inter_die_conn({grid_width, grid_height}, 0.); + vtr::NdMatrix tile_num_inter_die_conn({grid_width, grid_height}, 0.); /* * Step 1: iterate over the rr-graph, recording how many edges go between layers at each (x,y) location @@ -249,46 +236,31 @@ void NetCostHandler::alloc_and_load_for_fast_vertical_cost_update_() { int num_layers = device_ctx.grid.get_num_layers(); for (size_t x = 0; x < device_ctx.grid.width(); x++) { for (size_t y = 0; y < device_ctx.grid.height(); y++) { - tile_num_inter_die_conn[x][y] /= (num_layers-1); + tile_num_inter_die_conn[x][y] /= (num_layers - 1); } } // Step 2: Calculate prefix sum of the inter-die connectivity up to and including the channel at (x, y). - acc_tile_num_inter_die_conn_[0][0] = tile_num_inter_die_conn[0][0]; - // Initialize the first row and column - for (size_t x = 1; x < device_ctx.grid.width(); x++) { - acc_tile_num_inter_die_conn_[x][0] = acc_tile_num_inter_die_conn_[x-1][0] + - tile_num_inter_die_conn[x][0]; - } - - for (size_t y = 1; y < device_ctx.grid.height(); y++) { - acc_tile_num_inter_die_conn_[0][y] = acc_tile_num_inter_die_conn_[0][y-1] + - tile_num_inter_die_conn[0][y]; - } - - for (size_t x_high = 1; x_high < device_ctx.grid.width(); x_high++) { - for (size_t y_high = 1; y_high < device_ctx.grid.height(); y_high++) { - acc_tile_num_inter_die_conn_[x_high][y_high] = acc_tile_num_inter_die_conn_[x_high-1][y_high] + - acc_tile_num_inter_die_conn_[x_high][y_high-1] + - tile_num_inter_die_conn[x_high][y_high] - - acc_tile_num_inter_die_conn_[x_high-1][y_high-1]; - } - } + acc_tile_num_inter_die_conn_ = vtr::PrefixSum2D(grid_width, + grid_height, + [&](size_t x, size_t y) { + return (int)tile_num_inter_die_conn[x][y]; + }); } -double NetCostHandler::comp_bb_cost(e_cost_methods method) { +std::pair NetCostHandler::comp_bb_cost(e_cost_methods method) { return comp_bb_cost_functor_(method); } -double NetCostHandler::comp_cube_bb_cost_(e_cost_methods method) { +std::pair NetCostHandler::comp_cube_bb_cost_(e_cost_methods method) { const auto& cluster_ctx = g_vpr_ctx.clustering(); auto& place_move_ctx = placer_state_.mutable_move(); double cost = 0; double expected_wirelength = 0.0; - for (ClusterNetId net_id : cluster_ctx.clb_nlist.nets()) { /* for each net ... */ - if (!cluster_ctx.clb_nlist.net_is_ignored(net_id)) { /* Do only if not ignored. */ + for (ClusterNetId net_id : cluster_ctx.clb_nlist.nets()) { /* for each net ... */ + if (!cluster_ctx.clb_nlist.net_is_ignored(net_id)) { /* Do only if not ignored. */ /* Small nets don't use incremental updating on their bounding boxes, * * so they can use a fast bounding box calculator. */ if (cluster_ctx.clb_nlist.net_sinks(net_id).size() >= SMALL_NET && method == e_cost_methods::NORMAL) { @@ -308,24 +280,18 @@ double NetCostHandler::comp_cube_bb_cost_(e_cost_methods method) { } } - if (method == e_cost_methods::CHECK) { - VTR_LOG("\n"); - VTR_LOG("BB estimate of min-dist (placement) wire length: %.0f\n", - expected_wirelength); - } - - return cost; + return {cost, expected_wirelength}; } -double NetCostHandler::comp_per_layer_bb_cost_(e_cost_methods method) { +std::pair NetCostHandler::comp_per_layer_bb_cost_(e_cost_methods method) { const auto& cluster_ctx = g_vpr_ctx.clustering(); auto& place_move_ctx = placer_state_.mutable_move(); double cost = 0; double expected_wirelength = 0.0; - for (ClusterNetId net_id : cluster_ctx.clb_nlist.nets()) { /* for each net ... */ - if (!cluster_ctx.clb_nlist.net_is_ignored(net_id)) { /* Do only if not ignored. */ + for (ClusterNetId net_id : cluster_ctx.clb_nlist.nets()) { /* for each net ... */ + if (!cluster_ctx.clb_nlist.net_is_ignored(net_id)) { /* Do only if not ignored. */ /* Small nets don't use incremental updating on their bounding boxes, * * so they can use a fast bounding box calculator. */ if (cluster_ctx.clb_nlist.net_sinks(net_id).size() >= SMALL_NET && method == e_cost_methods::NORMAL) { @@ -345,13 +311,7 @@ double NetCostHandler::comp_per_layer_bb_cost_(e_cost_methods method) { } } - if (method == e_cost_methods::CHECK) { - VTR_LOG("\n"); - VTR_LOG("BB estimate of min-dist (placement) wire length: %.0f\n", - expected_wirelength); - } - - return cost; + return {cost, expected_wirelength}; } void NetCostHandler::update_net_bb_(const ClusterNetId net, @@ -960,13 +920,13 @@ void NetCostHandler::update_layer_bb_(ClusterNetId net_id, } inline void NetCostHandler::update_bb_same_layer_(ClusterNetId net_id, - const t_physical_tile_loc& pin_old_loc, - const t_physical_tile_loc& pin_new_loc, - const std::vector& curr_bb_edge, - const std::vector& curr_bb_coord, - vtr::NdMatrixProxy bb_pin_sink_count_new, - std::vector& bb_edge_new, - std::vector& bb_coord_new) { + const t_physical_tile_loc& pin_old_loc, + const t_physical_tile_loc& pin_new_loc, + const std::vector& curr_bb_edge, + const std::vector& curr_bb_coord, + vtr::NdMatrixProxy bb_pin_sink_count_new, + std::vector& bb_edge_new, + std::vector& bb_coord_new) { int x_old = pin_old_loc.x; int x_new = pin_new_loc.x; @@ -979,13 +939,13 @@ inline void NetCostHandler::update_bb_same_layer_(ClusterNetId net_id, if (x_new < x_old) { if (x_old == curr_bb_coord[layer_num].xmax) { update_bb_edge_(net_id, - bb_edge_new, - bb_coord_new, - bb_pin_sink_count_new, - curr_bb_edge[layer_num].xmax, - curr_bb_coord[layer_num].xmax, - bb_edge_new[layer_num].xmax, - bb_coord_new[layer_num].xmax); + bb_edge_new, + bb_coord_new, + bb_pin_sink_count_new, + curr_bb_edge[layer_num].xmax, + curr_bb_coord[layer_num].xmax, + bb_edge_new[layer_num].xmax, + bb_coord_new[layer_num].xmax); if (bb_update_status_[net_id] == NetUpdateState::GOT_FROM_SCRATCH) { return; } @@ -1002,13 +962,13 @@ inline void NetCostHandler::update_bb_same_layer_(ClusterNetId net_id, } else if (x_new > x_old) { if (x_old == curr_bb_coord[layer_num].xmin) { update_bb_edge_(net_id, - bb_edge_new, - bb_coord_new, - bb_pin_sink_count_new, - curr_bb_edge[layer_num].xmin, - curr_bb_coord[layer_num].xmin, - bb_edge_new[layer_num].xmin, - bb_coord_new[layer_num].xmin); + bb_edge_new, + bb_coord_new, + bb_pin_sink_count_new, + curr_bb_edge[layer_num].xmin, + curr_bb_coord[layer_num].xmin, + bb_edge_new[layer_num].xmin, + bb_coord_new[layer_num].xmin); if (bb_update_status_[net_id] == NetUpdateState::GOT_FROM_SCRATCH) { return; } @@ -1026,13 +986,13 @@ inline void NetCostHandler::update_bb_same_layer_(ClusterNetId net_id, if (y_new < y_old) { if (y_old == curr_bb_coord[layer_num].ymax) { update_bb_edge_(net_id, - bb_edge_new, - bb_coord_new, - bb_pin_sink_count_new, - curr_bb_edge[layer_num].ymax, - curr_bb_coord[layer_num].ymax, - bb_edge_new[layer_num].ymax, - bb_coord_new[layer_num].ymax); + bb_edge_new, + bb_coord_new, + bb_pin_sink_count_new, + curr_bb_edge[layer_num].ymax, + curr_bb_coord[layer_num].ymax, + bb_edge_new[layer_num].ymax, + bb_coord_new[layer_num].ymax); if (bb_update_status_[net_id] == NetUpdateState::GOT_FROM_SCRATCH) { return; } @@ -1049,13 +1009,13 @@ inline void NetCostHandler::update_bb_same_layer_(ClusterNetId net_id, } else if (y_new > y_old) { if (y_old == curr_bb_coord[layer_num].ymin) { update_bb_edge_(net_id, - bb_edge_new, - bb_coord_new, - bb_pin_sink_count_new, - curr_bb_edge[layer_num].ymin, - curr_bb_coord[layer_num].ymin, - bb_edge_new[layer_num].ymin, - bb_coord_new[layer_num].ymin); + bb_edge_new, + bb_coord_new, + bb_pin_sink_count_new, + curr_bb_edge[layer_num].ymin, + curr_bb_coord[layer_num].ymin, + bb_edge_new[layer_num].ymin, + bb_coord_new[layer_num].ymin); if (bb_update_status_[net_id] == NetUpdateState::GOT_FROM_SCRATCH) { return; } @@ -1072,13 +1032,13 @@ inline void NetCostHandler::update_bb_same_layer_(ClusterNetId net_id, } inline void NetCostHandler::update_bb_layer_changed_(ClusterNetId net_id, - const t_physical_tile_loc& pin_old_loc, - const t_physical_tile_loc& pin_new_loc, - const std::vector& curr_bb_edge, - const std::vector& curr_bb_coord, - vtr::NdMatrixProxy bb_pin_sink_count_new, - std::vector& bb_edge_new, - std::vector& bb_coord_new) { + const t_physical_tile_loc& pin_old_loc, + const t_physical_tile_loc& pin_new_loc, + const std::vector& curr_bb_edge, + const std::vector& curr_bb_coord, + vtr::NdMatrixProxy bb_pin_sink_count_new, + std::vector& bb_edge_new, + std::vector& bb_coord_new) { int x_old = pin_old_loc.x; int y_old = pin_old_loc.y; @@ -1088,31 +1048,31 @@ inline void NetCostHandler::update_bb_layer_changed_(ClusterNetId net_id, VTR_ASSERT_SAFE(old_layer_num != new_layer_num); /* - This funcitn is called when BB per layer is used and when the moving block is moving from one layer to another. - Thus, we need to update bounding box on both "from" and "to" layer. Here, we update the bounding box on "from" or - "old_layer". Then, "add_block_to_bb" is called to update the bounding box on the new layer. - */ + * This funcitn is called when BB per layer is used and when the moving block is moving from one layer to another. + * Thus, we need to update bounding box on both "from" and "to" layer. Here, we update the bounding box on "from" or + * "old_layer". Then, "add_block_to_bb" is called to update the bounding box on the new layer. + */ if (x_old == curr_bb_coord[old_layer_num].xmax) { update_bb_edge_(net_id, - bb_edge_new, - bb_coord_new, - bb_pin_sink_count_new, - curr_bb_edge[old_layer_num].xmax, - curr_bb_coord[old_layer_num].xmax, - bb_edge_new[old_layer_num].xmax, - bb_coord_new[old_layer_num].xmax); + bb_edge_new, + bb_coord_new, + bb_pin_sink_count_new, + curr_bb_edge[old_layer_num].xmax, + curr_bb_coord[old_layer_num].xmax, + bb_edge_new[old_layer_num].xmax, + bb_coord_new[old_layer_num].xmax); if (bb_update_status_[net_id] == NetUpdateState::GOT_FROM_SCRATCH) { return; } } else if (x_old == curr_bb_coord[old_layer_num].xmin) { update_bb_edge_(net_id, - bb_edge_new, - bb_coord_new, - bb_pin_sink_count_new, - curr_bb_edge[old_layer_num].xmin, - curr_bb_coord[old_layer_num].xmin, - bb_edge_new[old_layer_num].xmin, - bb_coord_new[old_layer_num].xmin); + bb_edge_new, + bb_coord_new, + bb_pin_sink_count_new, + curr_bb_edge[old_layer_num].xmin, + curr_bb_coord[old_layer_num].xmin, + bb_edge_new[old_layer_num].xmin, + bb_coord_new[old_layer_num].xmin); if (bb_update_status_[net_id] == NetUpdateState::GOT_FROM_SCRATCH) { return; } @@ -1120,25 +1080,25 @@ inline void NetCostHandler::update_bb_layer_changed_(ClusterNetId net_id, if (y_old == curr_bb_coord[old_layer_num].ymax) { update_bb_edge_(net_id, - bb_edge_new, - bb_coord_new, - bb_pin_sink_count_new, - curr_bb_edge[old_layer_num].ymax, - curr_bb_coord[old_layer_num].ymax, - bb_edge_new[old_layer_num].ymax, - bb_coord_new[old_layer_num].ymax); + bb_edge_new, + bb_coord_new, + bb_pin_sink_count_new, + curr_bb_edge[old_layer_num].ymax, + curr_bb_coord[old_layer_num].ymax, + bb_edge_new[old_layer_num].ymax, + bb_coord_new[old_layer_num].ymax); if (bb_update_status_[net_id] == NetUpdateState::GOT_FROM_SCRATCH) { return; } } else if (y_old == curr_bb_coord[old_layer_num].ymin) { update_bb_edge_(net_id, - bb_edge_new, - bb_coord_new, - bb_pin_sink_count_new, - curr_bb_edge[old_layer_num].ymin, - curr_bb_coord[old_layer_num].ymin, - bb_edge_new[old_layer_num].ymin, - bb_coord_new[old_layer_num].ymin); + bb_edge_new, + bb_coord_new, + bb_pin_sink_count_new, + curr_bb_edge[old_layer_num].ymin, + curr_bb_coord[old_layer_num].ymin, + bb_edge_new[old_layer_num].ymin, + bb_coord_new[old_layer_num].ymin); if (bb_update_status_[net_id] == NetUpdateState::GOT_FROM_SCRATCH) { return; } @@ -1167,18 +1127,18 @@ static void update_bb_pin_sink_count(const t_physical_tile_loc& pin_old_loc, } inline void NetCostHandler::update_bb_edge_(ClusterNetId net_id, - std::vector& bb_edge_new, - std::vector& bb_coord_new, - vtr::NdMatrixProxy bb_layer_pin_sink_count, - const int& old_num_block_on_edge, - const int& old_edge_coord, - int& new_num_block_on_edge, - int& new_edge_coord) { + std::vector& bb_edge_new, + std::vector& bb_coord_new, + vtr::NdMatrixProxy bb_layer_pin_sink_count, + const int& old_num_block_on_edge, + const int& old_edge_coord, + int& new_num_block_on_edge, + int& new_edge_coord) { if (old_num_block_on_edge == 1) { get_layer_bb_from_scratch_(net_id, - bb_edge_new, - bb_coord_new, - bb_layer_pin_sink_count); + bb_edge_new, + bb_coord_new, + bb_layer_pin_sink_count); bb_update_status_[net_id] = NetUpdateState::GOT_FROM_SCRATCH; return; } else { @@ -1196,10 +1156,10 @@ static void add_block_to_bb(const t_physical_tile_loc& new_pin_loc, int y_new = new_pin_loc.y; /* - This function is called to only update the bounding box on the new layer from a block - moving to this layer from another layer. Thus, we only need to assess the effect of this - new block on the edges. - */ + * This function is called to only update the bounding box on the new layer from a block + * moving to this layer from another layer. Thus, we only need to assess the effect of this + * new block on the edges. + */ if (x_new > bb_coord_old.xmax) { bb_edge_new.xmax = 1; @@ -1382,7 +1342,6 @@ void NetCostHandler::get_layer_bb_from_scratch_(ClusterNetId net_id, } } - double NetCostHandler::get_net_cube_bb_cost_(ClusterNetId net_id, bool use_ts) { // Finds the cost due to one net by looking at its coordinate bounding box. auto& cluster_ctx = g_vpr_ctx.clustering(); @@ -1417,8 +1376,7 @@ double NetCostHandler::get_net_cube_bb_cost_(ClusterNetId net_id, bool use_ts) { return ncost; } - -double NetCostHandler::get_net_per_layer_bb_cost_(ClusterNetId net_id , bool use_ts) { +double NetCostHandler::get_net_per_layer_bb_cost_(ClusterNetId net_id, bool use_ts) { const auto& move_ctx = placer_state_.move(); // Per-layer bounding box of the net @@ -1429,8 +1387,6 @@ double NetCostHandler::get_net_per_layer_bb_cost_(ClusterNetId net_id , bool use double ncost = 0.; int num_layers = g_vpr_ctx.device().grid.get_num_layers(); - - for (int layer_num = 0; layer_num < num_layers; layer_num++) { VTR_ASSERT(layer_pin_sink_count[layer_num] != OPEN); if (layer_pin_sink_count[layer_num] == 0) { @@ -1454,7 +1410,7 @@ double NetCostHandler::get_net_per_layer_bb_cost_(ClusterNetId net_id , bool use * chan?_place_cost_fac_ objects can handle -1 indices internally. */ - const auto[chanx_cost_fac, chany_cost_fac] = get_chanxy_cost_fac_(bb[layer_num]); + const auto [chanx_cost_fac, chany_cost_fac] = get_chanxy_cost_fac_(bb[layer_num]); ncost += (bb[layer_num].xmax - bb[layer_num].xmin + 1) * chanx_cost_fac; ncost += (bb[layer_num].ymax - bb[layer_num].ymin + 1) * chany_cost_fac; ncost *= crossing; @@ -1515,23 +1471,11 @@ double NetCostHandler::get_net_wirelength_from_layer_bb_(ClusterNetId net_id) { } float NetCostHandler::get_chanz_cost_factor_(const t_bb& bb) { - int num_inter_dir_conn; - - if (bb.xmin == 0 && bb.ymin == 0) { - num_inter_dir_conn = acc_tile_num_inter_die_conn_[bb.xmax][bb.ymax]; - } else if (bb.xmin == 0) { - num_inter_dir_conn = acc_tile_num_inter_die_conn_[bb.xmax][bb.ymax] - - acc_tile_num_inter_die_conn_[bb.xmax][bb.ymin-1]; - } else if (bb.ymin == 0) { - num_inter_dir_conn = acc_tile_num_inter_die_conn_[bb.xmax][bb.ymax] - - acc_tile_num_inter_die_conn_[bb.xmin-1][bb.ymax]; - } else { - num_inter_dir_conn = acc_tile_num_inter_die_conn_[bb.xmax][bb.ymax] - - acc_tile_num_inter_die_conn_[bb.xmin-1][bb.ymax] - - acc_tile_num_inter_die_conn_[bb.xmax][bb.ymin-1] + - acc_tile_num_inter_die_conn_[bb.xmin-1][bb.ymin-1]; - } - + int num_inter_dir_conn = acc_tile_num_inter_die_conn_.get_sum(bb.xmin, + bb.ymin, + bb.xmax, + bb.ymax); + float z_cost_factor; if (num_inter_dir_conn == 0) { return 1.0f; @@ -1541,7 +1485,6 @@ float NetCostHandler::get_chanz_cost_factor_(const t_bb& bb) { } return z_cost_factor; - } double NetCostHandler::recompute_bb_cost_() { @@ -1549,8 +1492,8 @@ double NetCostHandler::recompute_bb_cost_() { auto& cluster_ctx = g_vpr_ctx.clustering(); - for (ClusterNetId net_id : cluster_ctx.clb_nlist.nets()) { /* for each net ... */ - if (!cluster_ctx.clb_nlist.net_is_ignored(net_id)) { /* Do only if not ignored. */ + for (ClusterNetId net_id : cluster_ctx.clb_nlist.nets()) { /* for each net ... */ + if (!cluster_ctx.clb_nlist.net_is_ignored(net_id)) { /* Do only if not ignored. */ /* Bounding boxes don't have to be recomputed; they're correct. */ cost += net_cost_[net_id]; } @@ -1571,7 +1514,7 @@ static double wirelength_crossing_count(size_t fanout) { } void NetCostHandler::set_bb_delta_cost_(double& bb_delta_c) { - for (const ClusterNetId ts_net: ts_nets_to_update_) { + for (const ClusterNetId ts_net : ts_nets_to_update_) { ClusterNetId net_id = ts_net; proposed_net_cost_[net_id] = get_net_bb_cost_functor_(net_id); @@ -1682,6 +1625,20 @@ void NetCostHandler::recompute_costs_from_scratch(const PlaceDelayModel* delay_m } } +double NetCostHandler::get_total_wirelength_estimate() const { + const auto& clb_nlist = g_vpr_ctx.clustering().clb_nlist; + const auto& bb_coords = placer_state_.move().bb_coords; + + double estimated_wirelength = 0.0; + for (ClusterNetId net_id : clb_nlist.nets()) { /* for each net ... */ + if (!clb_nlist.net_is_ignored(net_id)) { /* Do only if not ignored. */ + estimated_wirelength += get_net_wirelength_estimate(net_id, bb_coords[net_id]); + } + } + + return estimated_wirelength; +} + void NetCostHandler::set_ts_bb_coord_(const ClusterNetId net_id) { auto& place_move_ctx = placer_state_.mutable_move(); if (cube_bb_) { diff --git a/vpr/src/place/net_cost_handler.h b/vpr/src/place/net_cost_handler.h index 2b8e59af88f..510ffa60653 100644 --- a/vpr/src/place/net_cost_handler.h +++ b/vpr/src/place/net_cost_handler.h @@ -7,14 +7,14 @@ #pragma once #include "place_delay_model.h" -#include "timing_place.h" #include "move_transactions.h" #include "place_util.h" -#include "vtr_ndoffsetmatrix.h" +#include "vtr_prefix_sum.h" #include class PlacerState; +class PlacerCriticalities; /** * @brief The method used to calculate placement cost @@ -29,7 +29,6 @@ enum class e_cost_methods { CHECK }; - class NetCostHandler { public: NetCostHandler() = delete; @@ -59,33 +58,35 @@ class NetCostHandler { * non_updateable_bb routine, to provide a cost which can be * used to check the correctness of the other routine. * @param method The method used to calculate placement cost. - * @return The bounding box cost of the placement. - */ - double comp_bb_cost(e_cost_methods method); - - /** - * @brief Find all the nets and pins affected by this swap and update costs. - * - * Find all the nets affected by this swap and update the bounding box (wiring) - * costs. This cost function doesn't depend on the timing info. - * - * Find all the connections affected by this swap and update the timing cost. - * For a connection to be affected, it not only needs to be on or driven by - * a block, but it also needs to have its delay changed. Otherwise, it will - * not be added to the affected_pins structure. - * - * For more, see update_td_delta_costs(). - * - * The timing costs are calculated by getting the new connection delays, - * multiplied by the connection criticalities returned by the timing - * analyzer. These timing costs are stored in the proposed_* data structures. - * - * The change in the bounding box cost is stored in `bb_delta_c`. - * The change in the timing cost is stored in `timing_delta_c`. - * ts_nets_to_update is also extended with the latest net. - * - * @return The number of affected nets. - */ + * @return (bounding box cost of the placement, estimated wirelength) + * + * @note The returned estimated wirelength is valid only when method == CHECK + */ + std::pair comp_bb_cost(e_cost_methods method); + + /** + * @brief Find all the nets and pins affected by this swap and update costs. + * + * Find all the nets affected by this swap and update the bounding box (wiring) + * costs. This cost function doesn't depend on the timing info. + * + * Find all the connections affected by this swap and update the timing cost. + * For a connection to be affected, it not only needs to be on or driven by + * a block, but it also needs to have its delay changed. Otherwise, it will + * not be added to the affected_pins structure. + * + * For more, see update_td_delta_costs(). + * + * The timing costs are calculated by getting the new connection delays, + * multiplied by the connection criticalities returned by the timing + * analyzer. These timing costs are stored in the proposed_* data structures. + * + * The change in the bounding box cost is stored in `bb_delta_c`. + * The change in the timing cost is stored in `timing_delta_c`. + * ts_nets_to_update is also extended with the latest net. + * + * @return The number of affected nets. + */ void find_affected_nets_and_update_costs(const PlaceDelayModel* delay_model, const PlacerCriticalities* criticalities, t_pl_blocks_to_be_moved& blocks_affected, @@ -120,6 +121,11 @@ class NetCostHandler { const PlacerCriticalities* criticalities, t_placer_costs& costs); + /** + * @brief Get the total wirelength estimate of all nets. + */ + double get_total_wirelength_estimate() const; + private: ///@brief Specifies whether the bounding box is computed using cube method or per-layer method. bool cube_bb_; @@ -130,7 +136,7 @@ class NetCostHandler { ///@brief Contains some parameter that determine how the placement cost is computed. const t_placer_opts& placer_opts_; ///@brief Points to the proper method for computing the bounding box cost from scratch. - std::function comp_bb_cost_functor_; + std::function(e_cost_methods method)> comp_bb_cost_functor_; ///@brief Points to the proper method for updating the bounding box of a net. std::function update_bb_functor_; ///@brief Points to the proper method for getting the bounding box cost of a net @@ -163,7 +169,6 @@ class NetCostHandler { /* [0...num_affected_nets] -> net_id of the affected nets */ std::vector ts_nets_to_update_; - /** * @brief In each of these vectors, there is one entry per cluster level net: * [0...cluster_ctx.clb_nlist.nets().size()-1]. @@ -195,8 +200,8 @@ class NetCostHandler { * number of tracks in that direction; for other cost functions they * will never be used. */ - vtr::NdOffsetMatrix acc_chanx_width_; // [-1...device_ctx.grid.width()-1] - vtr::NdOffsetMatrix acc_chany_width_; // [-1...device_ctx.grid.height()-1] + vtr::PrefixSum1D acc_chanx_width_; // [0..device_ctx.grid.width()-1] + vtr::PrefixSum1D acc_chany_width_; // [0..device_ctx.grid.height()-1] /** * @brief The matrix below is used to calculate a chanz_place_cost_fac based on the average channel width in @@ -206,31 +211,30 @@ class NetCostHandler { * (x=0,y=0) to (x,y). Given this, we can compute the average number of inter-die connections over a (xlow,ylow) to (xhigh,yhigh) * region in O(1) (by adding and subtracting 4 entries) */ - vtr::NdMatrix acc_tile_num_inter_die_conn_; // [0..grid_width-1][0..grid_height-1] - + vtr::PrefixSum2D acc_tile_num_inter_die_conn_; // [0..grid_width-1][0..grid_height-1] private: /** - * @brief Update the bounding box (3D) of the net connected to blk_pin. The old and new locations of the pin are - * stored in pl_moved_block. The updated bounding box will be stored in ts data structures. Do not update the net - * cost here since it should only be updated once per net, not once per pin. - */ + * @brief Update the bounding box (3D) of the net connected to blk_pin. The old and new locations of the pin are + * stored in pl_moved_block. The updated bounding box will be stored in ts data structures. Do not update the net + * cost here since it should only be updated once per net, not once per pin. + */ void update_net_bb_(const ClusterNetId net, const ClusterBlockId blk, const ClusterPinId blk_pin, const t_pl_moved_block& pl_moved_block); /** - * @brief Call suitable function based on the bounding box type to update the bounding box of the net connected to pin_id. Also, - * call the function to update timing information if the placement algorithm is timing-driven. - * @param delay_model Timing delay model used by placer - * @param criticalities Connections timing criticalities - * @param pin_id Pin ID of the moving pin - * @param moving_blk_inf Data structure that holds information, e.g., old location and new location, about all moving blocks - * @param affected_pins Netlist pins which are affected, in terms placement cost, by the proposed move. - * @param timing_delta_c Timing cost change based on the proposed move - * @param is_src_moving Is the moving pin the source of a net. - */ + * @brief Call suitable function based on the bounding box type to update the bounding box of the net connected to pin_id. Also, + * call the function to update timing information if the placement algorithm is timing-driven. + * @param delay_model Timing delay model used by placer + * @param criticalities Connections timing criticalities + * @param pin_id Pin ID of the moving pin + * @param moving_blk_inf Data structure that holds information, e.g., old location and new location, about all moving blocks + * @param affected_pins Netlist pins which are affected, in terms placement cost, by the proposed move. + * @param timing_delta_c Timing cost change based on the proposed move + * @param is_src_moving Is the moving pin the source of a net. + */ void update_net_info_on_pin_move_(const PlaceDelayModel* delay_model, const PlacerCriticalities* criticalities, const ClusterPinId pin_id, @@ -240,10 +244,10 @@ class NetCostHandler { bool is_src_moving); /** - * @brief Calculates and returns the total bb (wirelength) cost change that would result from moving the blocks - * indicated in the blocks_affected data structure. - * @param bb_delta_c Cost difference after and before moving the block - */ + * @brief Calculates and returns the total bb (wirelength) cost change that would result from moving the blocks + * indicated in the blocks_affected data structure. + * @param bb_delta_c Cost difference after and before moving the block + */ void set_bb_delta_cost_(double& bb_delta_c); /** @@ -253,18 +257,16 @@ class NetCostHandler { * @details This is only useful for the cost function that takes the length of the net bounding box in each * dimension divided by the average number of tracks in that direction. For other cost functions, you don't * have to bother calling this routine; when using the cost function described above, however, you must always - * call this routine before you do any placement cost determination. The place_cost_exp factor specifies to - * what power the width of the channel should be taken -- larger numbers make narrower channels more expensive. + * call this routine before you do any placement cost determination. */ void alloc_and_load_chan_w_factors_for_place_cost_(); /** - * @brief Allocates and loads acc_tile_num_inter_die_conn_ which contains the accumulative number of inter-die - * conntections. - * - * @details This is only useful for multi-die FPGAs. The place_cost_exp factor specifies to - * what power the average number of inter-die connections should be take -- larger numbers make narrower channels more expensive. - */ + * @brief Allocates and loads acc_tile_num_inter_die_conn_ which contains the accumulative number of inter-die + * conntections. + * + * @details This is only useful for multi-die FPGAs. + */ void alloc_and_load_for_fast_vertical_cost_update_(); /** @@ -413,8 +415,8 @@ class NetCostHandler { int& new_edge_coord); /** - * @brief This function is called in update_layer_bb to update the net's bounding box incrementally if - * the pin under consideration change layer. + * @brief This function is called in update_layer_bb to update the net's bounding box incrementally if + * the pin under consideration change layer. * @param net_id ID of the net which the moving pin belongs to * @param pin_old_loc Old location of the moving pin * @param pin_new_loc New location of the moving pin @@ -445,62 +447,66 @@ class NetCostHandler { * @param bb_edge_new The new bb edge calculated by this function * @param bb_coord_new The new bb calculated by this function */ - inline void update_bb_same_layer_(ClusterNetId net_id, - const t_physical_tile_loc& pin_old_loc, - const t_physical_tile_loc& pin_new_loc, - const std::vector& curr_bb_edge, - const std::vector& curr_bb_coord, - vtr::NdMatrixProxy bb_pin_sink_count_new, - std::vector& bb_edge_new, - std::vector& bb_coord_new); - - /** - * @brief Computes the bounding box from scratch using 2D bounding boxes (per-layer mode) - * @param method The method used to calculate placement cost. Specifies whether the cost is - * computed from scratch or incrementally. - * @return Computed bounding box cost. - */ - double comp_per_layer_bb_cost_(e_cost_methods method); - - /** - * @brief Computes the bounding box from scratch using 3D bounding boxes (cube mode) - * @param method The method used to calculate placement cost. Specifies whether the cost is - * computed from scratch or incrementally. - * @return Computed bounding box cost. - */ - double comp_cube_bb_cost_(e_cost_methods method); - - /** - * @brief if "net" is not already stored as an affected net, add it in ts_nets_to_update. - * @param net ID of a net affected by a move - */ - void record_affected_net_(const ClusterNetId net); - - /** - * @brief To mitigate round-off errors, every once in a while, the costs of nets are summed up from scratch. - * This functions is called to do that for bb cost. It doesn't calculate the BBs from scratch, it would only add the costs again. - * @return Total bb (wirelength) cost for the placement - */ - double recompute_bb_cost_(); - - /** - * @brief Given the 3D BB, calculate the wire-length cost of the net - * @param net_id ID of the net which cost is requested. - * @param use_ts Specifies if the bounding box is retrieved from ts data structures - * or move context. - * @return Wirelength cost of the net - */ - double get_net_cube_bb_cost_(ClusterNetId net_id, bool use_ts); - - /** - * @brief Given the per-layer BB, calculate the wire-length cost of the net on each layer - * and return the sum of the costs - * @param net_id ID of the net which cost is requested. Currently unused - * @param use_ts Specifies whether the 'ts` bounding box is used to compute the - * cost or the one stored in placer_state_ - * @return Wirelength cost of the net - */ - double get_net_per_layer_bb_cost_(ClusterNetId net_id, bool use_ts); + inline void update_bb_same_layer_(ClusterNetId net_id, + const t_physical_tile_loc& pin_old_loc, + const t_physical_tile_loc& pin_new_loc, + const std::vector& curr_bb_edge, + const std::vector& curr_bb_coord, + vtr::NdMatrixProxy bb_pin_sink_count_new, + std::vector& bb_edge_new, + std::vector& bb_coord_new); + + /** + * @brief Computes the bounding box from scratch using 2D bounding boxes (per-layer mode) + * @param method The method used to calculate placement cost. Specifies whether the cost is + * computed from scratch or incrementally. + * @return (bounding box cost of the placement, estimated wirelength) + * + * @note The returned estimated wirelength is valid only when method == CHECK + */ + std::pair comp_per_layer_bb_cost_(e_cost_methods method); + + /** + * @brief Computes the bounding box from scratch using 3D bounding boxes (cube mode) + * @param method The method used to calculate placement cost. Specifies whether the cost is + * computed from scratch or incrementally. + * @return (bounding box cost of the placement, estimated wirelength) + * + * @note The returned estimated wirelength is valid only when method == CHECK + */ + std::pair comp_cube_bb_cost_(e_cost_methods method); + + /** + * @brief if "net" is not already stored as an affected net, add it in ts_nets_to_update. + * @param net ID of a net affected by a move + */ + void record_affected_net_(const ClusterNetId net); + + /** + * @brief To mitigate round-off errors, every once in a while, the costs of nets are summed up from scratch. + * This functions is called to do that for bb cost. It doesn't calculate the BBs from scratch, it would only add the costs again. + * @return Total bb (wirelength) cost for the placement + */ + double recompute_bb_cost_(); + + /** + * @brief Given the 3D BB, calculate the wire-length cost of the net + * @param net_id ID of the net which cost is requested. + * @param use_ts Specifies if the bounding box is retrieved from ts data structures + * or move context. + * @return Wirelength cost of the net + */ + double get_net_cube_bb_cost_(ClusterNetId net_id, bool use_ts); + + /** + * @brief Given the per-layer BB, calculate the wire-length cost of the net on each layer + * and return the sum of the costs + * @param net_id ID of the net which cost is requested. Currently unused + * @param use_ts Specifies whether the 'ts` bounding box is used to compute the + * cost or the one stored in placer_state_ + * @return Wirelength cost of the net + */ + double get_net_per_layer_bb_cost_(ClusterNetId net_id, bool use_ts); /** * @brief Given the per-layer BB, calculate the wire-length estimate of the net on each layer @@ -522,10 +528,10 @@ class NetCostHandler { */ template std::pair get_chanxy_cost_fac_(const BBT& bb) { - const int total_chanx_width = acc_chanx_width_[bb.ymax] - acc_chanx_width_[bb.ymin - 1]; + const int total_chanx_width = acc_chanx_width_.get_sum(bb.ymin, bb.ymax); const double inverse_average_chanx_width = (bb.ymax - bb.ymin + 1.0) / total_chanx_width; - const int total_chany_width = acc_chany_width_[bb.xmax] - acc_chany_width_[bb.xmin - 1]; + const int total_chany_width = acc_chany_width_.get_sum(bb.xmin, bb.xmax); const double inverse_average_chany_width = (bb.xmax - bb.xmin + 1.0) / total_chany_width; return {inverse_average_chanx_width, inverse_average_chany_width}; @@ -541,6 +547,5 @@ class NetCostHandler { * @param bb Bounding box of the net which chanz cost factor is to be calculated * @return ChanZ cost factor */ - float get_chanz_cost_factor_(const t_bb& bb); - + float get_chanz_cost_factor_(const t_bb& bb); }; diff --git a/vpr/src/place/noc_place_utils.cpp b/vpr/src/place/noc_place_utils.cpp index 9f5fbb6045d..569408bb354 100644 --- a/vpr/src/place/noc_place_utils.cpp +++ b/vpr/src/place/noc_place_utils.cpp @@ -2,6 +2,8 @@ #include "noc_place_utils.h" #include "globals.h" +#include "physical_types_util.h" +#include "place_macro.h" #include "vtr_log.h" #include "vtr_assert.h" #include "vtr_random.h" @@ -20,7 +22,6 @@ #include #include - /** * @brief Randomly select a movable NoC router cluster blocks * @@ -79,8 +80,8 @@ void NocCostHandler::initial_noc_routing(const vtr::vector calculate_traffic_flow_latency_cost(const std::vector< noc_router_latency_component = noc_router_latency * num_of_routers_in_traffic_flow; } - // calculate the total traffic flow latency double latency = noc_router_latency_component + noc_link_latency_component; @@ -686,10 +686,10 @@ double NocCostHandler::get_link_congestion_cost(const NocLink& link) const { void normalize_noc_cost_weighting_factor(t_noc_opts& noc_opts) { // calculate the sum of all weighting factors - double weighting_factor_sum = noc_opts.noc_latency_weighting + - noc_opts.noc_latency_constraints_weighting + - noc_opts.noc_congestion_weighting + - noc_opts.noc_aggregate_bandwidth_weighting; + double weighting_factor_sum = noc_opts.noc_latency_weighting + + noc_opts.noc_latency_constraints_weighting + + noc_opts.noc_congestion_weighting + + noc_opts.noc_aggregate_bandwidth_weighting; // Normalize weighting factor so they add up to 1 noc_opts.noc_aggregate_bandwidth_weighting /= weighting_factor_sum; @@ -871,6 +871,7 @@ static bool select_random_router_cluster(ClusterBlockId& b_from, e_create_move propose_router_swap(t_pl_blocks_to_be_moved& blocks_affected, float rlim, const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, vtr::RngContainer& rng) { // block ID for the randomly selected router cluster ClusterBlockId b_from; @@ -898,7 +899,7 @@ e_create_move propose_router_swap(t_pl_blocks_to_be_moved& blocks_affected, return e_create_move::ABORT; } - e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry); + e_create_move create_move = ::create_move(blocks_affected, b_from, to, blk_loc_registry, place_macros); //Check that all the blocks affected by the move would still be in a legal floorplan region after the swap if (!floorplan_legal(blocks_affected)) { @@ -1000,26 +1001,25 @@ void NocCostHandler::print_noc_costs(std::string_view header, const t_placer_costs& costs, const t_noc_opts& noc_opts) const { VTR_LOG("%s. " - "cost: %g, " - "aggregate_bandwidth_cost: %g, " - "latency_cost: %g, " - "n_met_latency_constraints: %d, " - "latency_overrun_cost: %g, " - "congestion_cost: %g, " - "accum_congested_ratio: %g, " - "n_congested_links: %d \n", - header.data(), - calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts), - costs.noc_cost_terms.aggregate_bandwidth, - costs.noc_cost_terms.latency, - get_number_of_traffic_flows_with_latency_cons_met(), - costs.noc_cost_terms.latency_overrun, - costs.noc_cost_terms.congestion, - get_total_congestion_bandwidth_ratio(), - get_number_of_congested_noc_links()); + "cost: %g, " + "aggregate_bandwidth_cost: %g, " + "latency_cost: %g, " + "n_met_latency_constraints: %d, " + "latency_overrun_cost: %g, " + "congestion_cost: %g, " + "accum_congested_ratio: %g, " + "n_congested_links: %d \n", + header.data(), + calculate_noc_cost(costs.noc_cost_terms, costs.noc_cost_norm_factors, noc_opts), + costs.noc_cost_terms.aggregate_bandwidth, + costs.noc_cost_terms.latency, + get_number_of_traffic_flows_with_latency_cons_met(), + costs.noc_cost_terms.latency_overrun, + costs.noc_cost_terms.congestion, + get_total_congestion_bandwidth_ratio(), + get_number_of_congested_noc_links()); } - static std::vector find_affected_links_by_flow_reroute(std::vector& prev_links, std::vector& curr_links) { // Sort both link containers diff --git a/vpr/src/place/noc_place_utils.h b/vpr/src/place/noc_place_utils.h index 0224ef78af8..a71963b8f10 100644 --- a/vpr/src/place/noc_place_utils.h +++ b/vpr/src/place/noc_place_utils.h @@ -5,6 +5,8 @@ #include "move_utils.h" #include "place_util.h" +class PlaceMacros; + /** * @class NocCostHandler is responsible for computing NoC-related costs terms. * @@ -192,7 +194,7 @@ class NocCostHandler { * * @param costs Contains the normalization factors which need to be updated */ - static void update_noc_normalization_factors(t_placer_costs& costs) ; + static void update_noc_normalization_factors(t_placer_costs& costs); /** * @brief Calculates the aggregate bandwidth of each traffic flow in the NoC @@ -443,7 +445,6 @@ class NocCostHandler { NocRouting& noc_flows_router, std::unordered_set& updated_traffic_flows); - /** * @brief Removes the route of a traffic flow and updates the links to indicate * that the traffic flow does not use them. And then finds @@ -486,7 +487,6 @@ class NocCostHandler { */ static constexpr double MAX_INV_NOC_LATENCY_COST = 1.e12; - /** * @brief Represents the minimum link bandwidth over-utilization for that link to be considered congested. * @details If a link is overloaded by less than 0.1% of the link bandwidth capacity, @@ -626,8 +626,6 @@ double calculate_noc_cost(const NocCostTerms& cost_terms, const NocCostTerms& norm_factors, const t_noc_opts& noc_opts); - - /* Below are functions related to the feature that forces to the placer to swap router blocks for a certain percentage of the total number of swaps */ /** @@ -662,6 +660,7 @@ bool check_for_router_swap(int user_supplied_noc_router_swap_percentage, e_create_move propose_router_swap(t_pl_blocks_to_be_moved& blocks_affected, float rlim, const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, vtr::RngContainer& rng); /** @@ -683,8 +682,6 @@ e_create_move propose_router_swap(t_pl_blocks_to_be_moved& blocks_affected, void write_noc_placement_file(const std::string& file_name, const vtr::vector_map& block_locs); - - /** * @brief Check if the channel dependency graph created from the given traffic flow routes * has any cycles. @@ -708,5 +705,4 @@ bool noc_routing_has_cycle(const vtr::vector - +#include + +#include "flat_placement_types.h" +#include "initial_placement.h" +#include "load_flat_place.h" +#include "noc_place_utils.h" +#include "pack.h" +#include "vpr_context.h" #include "vtr_assert.h" #include "vtr_log.h" #include "vtr_time.h" @@ -10,17 +17,9 @@ #include "globals.h" #include "place.h" #include "annealer.h" -#include "read_xml_arch_file.h" #include "echo_files.h" -#include "histogram.h" -#include "place_delay_model.h" -#include "move_utils.h" -#include "buttons.h" - -#include "VprTimingGraphResolver.h" -#include "tatum/TimingReporter.hpp" +#include "PlacementDelayModelCreator.h" -#include "RL_agent_util.h" #include "placer.h" /********************* Static subroutines local to place.c *******************/ @@ -28,15 +27,6 @@ void print_clb_placement(const char* fname); #endif -/** - * @brief determine the type of the bounding box used by the placer to predict the wirelength - * - * @param place_bb_mode The bounding box mode passed by the CLI - * @param rr_graph The routing resource graph - */ -static bool is_cube_bb(const e_place_bounding_box_mode place_bb_mode, - const RRGraphView& rr_graph); - /*****************************************************************************/ void try_place(const Netlist<>& net_list, const t_placer_opts& placer_opts, @@ -47,6 +37,7 @@ void try_place(const Netlist<>& net_list, t_det_routing_arch* det_routing_arch, std::vector& segment_inf, const std::vector& directs, + const FlatPlacementInfo& flat_placement_info, bool is_flat) { /* Currently, the functions that require is_flat as their parameter and are called during placement should @@ -58,6 +49,33 @@ void try_place(const Netlist<>& net_list, const auto& cluster_ctx = g_vpr_ctx.clustering(); const auto& atom_ctx = g_vpr_ctx.atom(); + // Initialize the variables in the placement context. + g_vpr_ctx.mutable_placement().init_placement_context(placer_opts, directs); + + // Update the floorplanning constraints with the macro information from the + // placement context. + g_vpr_ctx.mutable_floorplanning().update_floorplanning_context_pre_place(*g_vpr_ctx.placement().place_macros); + + const bool cube_bb = g_vpr_ctx.placement().cube_bb; + + VTR_LOG("\n"); + VTR_LOG("Bounding box mode is %s\n", (cube_bb ? "Cube" : "Per-layer")); + VTR_LOG("\n"); + + /* To make sure the importance of NoC-related cost terms compared to + * BB and timing cost is determine only through NoC placement weighting factor, + * we normalize NoC-related cost weighting factors so that they add up to 1. + * With this normalization, NoC-related cost weighting factors only determine + * the relative importance of NoC cost terms with respect to each other, while + * the importance of total NoC cost to conventional placement cost is determined + * by NoC placement weighting factor. + * FIXME: This should not be modifying the NoC Opts here, this normalization + * should occur when these Opts are loaded in. + */ + if (noc_opts.noc) { + normalize_noc_cost_weighting_factor(const_cast(noc_opts)); + } + /* Placement delay model is independent of the placement and can be shared across * multiple placers if we are performing parallel annealing. * So, it is created and initialized once. */ @@ -65,35 +83,25 @@ void try_place(const Netlist<>& net_list, if (placer_opts.place_algorithm.is_timing_driven()) { /*do this before the initial placement to avoid messing up the initial placement */ - place_delay_model = alloc_lookups_and_delay_model(net_list, - chan_width_dist, - placer_opts, - router_opts, - det_routing_arch, - segment_inf, - directs, - is_flat); + place_delay_model = PlacementDelayModelCreator::create_delay_model(placer_opts, + router_opts, + net_list, + det_routing_arch, + segment_inf, + chan_width_dist, + directs, + is_flat); if (isEchoFileEnabled(E_ECHO_PLACEMENT_DELTA_DELAY_MODEL)) { place_delay_model->dump_echo(getEchoFileName(E_ECHO_PLACEMENT_DELTA_DELAY_MODEL)); } } - g_vpr_ctx.mutable_placement().cube_bb = is_cube_bb(placer_opts.place_bounding_box_mode, device_ctx.rr_graph); - const bool cube_bb = g_vpr_ctx.placement().cube_bb; - - VTR_LOG("\n"); - VTR_LOG("Bounding box mode is %s\n", (cube_bb ? "Cube" : "Per-layer")); - VTR_LOG("\n"); - - auto& place_ctx = g_vpr_ctx.mutable_placement(); - /* Make the global instance of BlkLocRegistry inaccessible through the getter methods of the * placement context. This is done to make sure that the placement stage only accesses its * own local instances of BlkLocRegistry. */ - place_ctx.lock_loc_vars(); - place_ctx.compressed_block_grids = create_compressed_block_grids(); + g_vpr_ctx.mutable_placement().lock_loc_vars(); /* Start measuring placement time. The measured execution time will be printed * when this object goes out of scope at the end of this function. @@ -103,45 +111,24 @@ void try_place(const Netlist<>& net_list, // Enables fast look-up pb graph pins from block pin indices IntraLbPbPinLookup pb_gpin_lookup(device_ctx.logical_block_types); // Enables fast look-up of atom pins connect to CLB pins - ClusteredPinAtomPinsLookup netlist_pin_lookup(cluster_ctx.clb_nlist, atom_ctx.nlist, pb_gpin_lookup); + ClusteredPinAtomPinsLookup netlist_pin_lookup(cluster_ctx.clb_nlist, atom_ctx.netlist(), pb_gpin_lookup); - Placer placer(net_list, placer_opts, analysis_opts, noc_opts, pb_gpin_lookup, netlist_pin_lookup, - directs, place_delay_model, cube_bb, is_flat, /*quiet=*/false); + Placer placer(net_list, {}, placer_opts, analysis_opts, noc_opts, pb_gpin_lookup, netlist_pin_lookup, + flat_placement_info, place_delay_model, cube_bb, is_flat, /*quiet=*/false); placer.place(); - vtr::release_memory(place_ctx.compressed_block_grids); - /* The placer object has its own copy of block locations and doesn't update * the global context directly. We need to copy its internal data structures * to the global placement context before it goes out of scope. */ - placer.copy_locs_to_global_state(place_ctx); -} - -static bool is_cube_bb(const e_place_bounding_box_mode place_bb_mode, - const RRGraphView& rr_graph) { - bool cube_bb; - const int number_layers = g_vpr_ctx.device().grid.get_num_layers(); - - if (place_bb_mode == e_place_bounding_box_mode::AUTO_BB) { - // If the auto_bb is used, we analyze the RR graph to see whether is there any inter-layer connection that is not - // originated from OPIN. If there is any, cube BB is chosen, otherwise, per-layer bb is chosen. - if (number_layers > 1 && inter_layer_connections_limited_to_opin(rr_graph)) { - cube_bb = false; - } else { - cube_bb = true; - } - } else if (place_bb_mode == e_place_bounding_box_mode::CUBE_BB) { - // The user has specifically asked for CUBE_BB - cube_bb = true; - } else { - // The user has specifically asked for PER_LAYER_BB - VTR_ASSERT_SAFE(place_bb_mode == e_place_bounding_box_mode::PER_LAYER_BB); - cube_bb = false; - } + placer.copy_locs_to_global_state(g_vpr_ctx.mutable_placement()); - return cube_bb; + // Clean the variables in the placement context. This will deallocate memory + // used by variables which were allocated in the placement context and are + // never used outside of placement. + g_vpr_ctx.mutable_placement().clean_placement_context_post_place(); + g_vpr_ctx.mutable_floorplanning().clean_floorplanning_context_post_place(); } #ifdef VERBOSE @@ -173,4 +160,3 @@ static void update_screen_debug() { update_screen(ScreenUpdatePriority::MAJOR, "DEBUG", PLACEMENT, nullptr); } #endif - diff --git a/vpr/src/place/place.h b/vpr/src/place/place.h index e4a0172ba4e..c7c3d9f8758 100644 --- a/vpr/src/place/place.h +++ b/vpr/src/place/place.h @@ -3,6 +3,8 @@ #include "vpr_types.h" +class FlatPlacementInfo; + void try_place(const Netlist<>& net_list, const t_placer_opts& placer_opts, const t_router_opts& router_opts, @@ -12,4 +14,5 @@ void try_place(const Netlist<>& net_list, t_det_routing_arch* det_routing_arch, std::vector& segment_inf, const std::vector& directs, + const FlatPlacementInfo& flat_placement_info, bool is_flat); diff --git a/vpr/src/place/place_checkpoint.cpp b/vpr/src/place/place_checkpoint.cpp index 60b009d85ae..a6e2858e577 100644 --- a/vpr/src/place/place_checkpoint.cpp +++ b/vpr/src/place/place_checkpoint.cpp @@ -1,7 +1,11 @@ + #include "place_checkpoint.h" + #include "noc_place_utils.h" #include "placer_state.h" #include "grid_block.h" +#include "PlacerCriticalities.h" +#include "PlacerSetupSlacks.h" float t_placement_checkpoint::get_cp_cpd() const { return cpd_; } diff --git a/vpr/src/place/place_constraints.cpp b/vpr/src/place/place_constraints.cpp index 2fee09e9d7b..ef867ce5b1a 100644 --- a/vpr/src/place/place_constraints.cpp +++ b/vpr/src/place/place_constraints.cpp @@ -10,6 +10,7 @@ #include "globals.h" #include "place_constraints.h" +#include "physical_types_util.h" #include "place_util.h" #include "vpr_context.h" @@ -117,7 +118,6 @@ PartitionRegion update_macro_member_pr(const PartitionRegion& head_pr, macro_pr.add_to_part_region(modified_reg); } - //intersect to ensure the macro pr does not go outside of grid dimensions macro_pr = intersection(macro_pr, grid_pr); @@ -282,8 +282,6 @@ void alloc_and_load_compressed_cluster_constraints() { // Get the compressed grid for NoC const auto& compressed_grid = place_ctx.compressed_block_grids[block_type->index]; - - for (const Region& region : pr.get_regions()) { const auto [layer_low, layer_high] = region.get_layer_range(); const vtr::Rect& rect = region.get_rect(); @@ -310,14 +308,12 @@ void alloc_and_load_compressed_cluster_constraints() { } } - for (int l = 0 ; l < n_layers; l++) { + for (int l = 0; l < n_layers; l++) { if (floorplanning_ctx.compressed_cluster_constraints[l][blk_id].empty()) { floorplanning_ctx.compressed_cluster_constraints[l][blk_id].add_to_part_region(Region{}); } } - } - } /* diff --git a/vpr/src/place/place_constraints.h b/vpr/src/place/place_constraints.h index 9e045178e77..77952144795 100644 --- a/vpr/src/place/place_constraints.h +++ b/vpr/src/place/place_constraints.h @@ -34,7 +34,6 @@ bool is_cluster_constrained(ClusterBlockId blk_id); */ bool cluster_floorplanning_legal(ClusterBlockId blk_id, const t_pl_loc& loc); - /** * @brief Check whether any member of the macro has floorplan constraints. * @@ -107,7 +106,6 @@ inline bool floorplan_legal(const t_pl_blocks_to_be_moved& blocks_affected) { return true; } - /** * @brief Load cluster_constraints if the pack stage of VPR is skipped. * @@ -185,7 +183,6 @@ int get_part_reg_size(const PartitionRegion& pr, t_logical_block_type_ptr block_type, const GridTileLookup& grid_tiles); - /** * @brief Return the floorplan score that will be used for sorting blocks during initial placement. * @@ -205,5 +202,4 @@ double get_floorplan_score(ClusterBlockId blk_id, t_logical_block_type_ptr block_type, const GridTileLookup& grid_tiles); - #endif /* VPR_SRC_PLACE_PLACE_CONSTRAINTS_H_ */ diff --git a/vpr/src/place/place_delay_model.cpp b/vpr/src/place/place_delay_model.cpp deleted file mode 100644 index 4cbf5be1b6a..00000000000 --- a/vpr/src/place/place_delay_model.cpp +++ /dev/null @@ -1,469 +0,0 @@ -/** - * @file place_delay_model.cpp - * @brief This file implements all the class methods and individual - * routines related to the placer delay model. - */ - -#include -#include "place_delay_model.h" -#include "globals.h" -#include "router_lookahead_map.h" -#include "rr_graph2.h" - -#include "timing_place_lookup.h" -#include "placer_state.h" - -#include "vtr_log.h" -#include "vtr_math.h" -#include "vpr_error.h" - -#ifdef VTR_ENABLE_CAPNPROTO -# include "capnp/serialize.h" -# include "place_delay_model.capnp.h" -# include "ndmatrix_serdes.h" -# include "mmap_file.h" -# include "serdes_utils.h" -#endif /* VTR_ENABLE_CAPNPROTO */ - -///@brief DeltaDelayModel methods. -float DeltaDelayModel::delay(const t_physical_tile_loc& from_loc, int /*from_pin*/, const t_physical_tile_loc& to_loc, int /*to_pin*/) const { - int delta_x = std::abs(from_loc.x - to_loc.x); - int delta_y = std::abs(from_loc.y - to_loc.y); - - return delays_[from_loc.layer_num][to_loc.layer_num][delta_x][delta_y]; -} - -void DeltaDelayModel::dump_echo(std::string filepath) const { - FILE* f = vtr::fopen(filepath.c_str(), "w"); - fprintf(f, " "); - for (size_t from_layer_num = 0; from_layer_num < delays_.dim_size(0); ++from_layer_num) { - for (size_t to_layer_num = 0; to_layer_num < delays_.dim_size(1); ++to_layer_num) { - fprintf(f, " %9zu", from_layer_num); - fprintf(f, "\n"); - for (size_t dx = 0; dx < delays_.dim_size(2); ++dx) { - fprintf(f, " %9zu", dx); - } - fprintf(f, "\n"); - for (size_t dy = 0; dy < delays_.dim_size(3); ++dy) { - fprintf(f, "%9zu", dy); - for (size_t dx = 0; dx < delays_.dim_size(2); ++dx) { - fprintf(f, " %9.2e", delays_[from_layer_num][to_layer_num][dx][dy]); - } - fprintf(f, "\n"); - } - } - } - vtr::fclose(f); -} - -const DeltaDelayModel* OverrideDelayModel::base_delay_model() const { - return base_delay_model_.get(); -} - -///@brief OverrideDelayModel methods. -float OverrideDelayModel::delay(const t_physical_tile_loc& from_loc, int from_pin, const t_physical_tile_loc& to_loc, int to_pin) const { - //First check to if there is an override delay value - auto& device_ctx = g_vpr_ctx.device(); - auto& grid = device_ctx.grid; - - t_physical_tile_type_ptr from_type_ptr = grid.get_physical_type(from_loc); - t_physical_tile_type_ptr to_type_ptr = grid.get_physical_type(to_loc); - - t_override override_key; - override_key.from_type = from_type_ptr->index; - override_key.from_class = from_type_ptr->pin_class[from_pin]; - override_key.to_type = to_type_ptr->index; - override_key.to_class = to_type_ptr->pin_class[to_pin]; - - //Delay overrides may be different for +/- delta so do not use - //an absolute delta for the look-up - override_key.delta_x = to_loc.x - from_loc.x; - override_key.delta_y = to_loc.y - from_loc.y; - - float delay_val = std::numeric_limits::quiet_NaN(); - auto override_iter = delay_overrides_.find(override_key); - if (override_iter != delay_overrides_.end()) { - //Found an override - delay_val = override_iter->second; - } else { - //Fall back to the base delay model if no override was found - delay_val = base_delay_model_->delay(from_loc, from_pin, to_loc, to_pin); - } - - return delay_val; -} - -void OverrideDelayModel::set_delay_override(int from_type, int from_class, int to_type, int to_class, int delta_x, int delta_y, float delay_val) { - t_override override_key; - override_key.from_type = from_type; - override_key.from_class = from_class; - override_key.to_type = to_type; - override_key.to_class = to_class; - override_key.delta_x = delta_x; - override_key.delta_y = delta_y; - - auto res = delay_overrides_.insert(std::make_pair(override_key, delay_val)); - if (!res.second) { //Key already exists - res.first->second = delay_val; //Overwrite existing delay - } -} - -void OverrideDelayModel::dump_echo(std::string filepath) const { - base_delay_model_->dump_echo(filepath); - - FILE* f = vtr::fopen(filepath.c_str(), "a"); - - fprintf(f, "\n"); - fprintf(f, "# Delay Overrides\n"); - auto& device_ctx = g_vpr_ctx.device(); - for (auto kv : delay_overrides_) { - auto override_key = kv.first; - float delay_val = kv.second; - fprintf(f, "from_type: %s to_type: %s from_pin_class: %d to_pin_class: %d delta_x: %d delta_y: %d -> delay: %g\n", - device_ctx.physical_tile_types[override_key.from_type].name.c_str(), - device_ctx.physical_tile_types[override_key.to_type].name.c_str(), - override_key.from_class, - override_key.to_class, - override_key.delta_x, - override_key.delta_y, - delay_val); - } - - vtr::fclose(f); -} - -float OverrideDelayModel::get_delay_override(int from_type, int from_class, int to_type, int to_class, int delta_x, int delta_y) const { - t_override key; - key.from_type = from_type; - key.from_class = from_class; - key.to_type = to_type; - key.to_class = to_class; - key.delta_x = delta_x; - key.delta_y = delta_y; - - auto iter = delay_overrides_.find(key); - if (iter == delay_overrides_.end()) { - VPR_THROW(VPR_ERROR_PLACE, "Key not found."); - } - return iter->second; -} - -void OverrideDelayModel::set_base_delay_model(std::unique_ptr base_delay_model_obj) { - base_delay_model_ = std::move(base_delay_model_obj); -} - -float SimpleDelayModel::delay(const t_physical_tile_loc& from_loc, int /*from_pin*/, const t_physical_tile_loc& to_loc, int /*to_pin*/) const { - int delta_x = std::abs(from_loc.x - to_loc.x); - int delta_y = std::abs(from_loc.y - to_loc.y); - - int from_tile_idx = g_vpr_ctx.device().grid.get_physical_type(from_loc)->index; - return delays_[from_tile_idx][from_loc.layer_num][to_loc.layer_num][delta_x][delta_y]; -} - -/** - * When writing capnp targetted serialization, always allow compilation when - * VTR_ENABLE_CAPNPROTO=OFF. Generally this means throwing an exception instead. - */ -#ifndef VTR_ENABLE_CAPNPROTO - -# define DISABLE_ERROR \ - "is disable because VTR_ENABLE_CAPNPROTO=OFF." \ - "Re-compile with CMake option VTR_ENABLE_CAPNPROTO=ON to enable." - -void SimpleDelayModel::read(const std::string& /*file*/) { - VPR_THROW(VPR_ERROR_PLACE, "SimpleDelayModel::read " DISABLE_ERROR); -} - -void SimpleDelayModel::write(const std::string& /*file*/) const { - VPR_THROW(VPR_ERROR_PLACE, "SimpleDelayModel::write " DISABLE_ERROR); -} - -void DeltaDelayModel::read(const std::string& /*file*/) { - VPR_THROW(VPR_ERROR_PLACE, "DeltaDelayModel::read " DISABLE_ERROR); -} - -void DeltaDelayModel::write(const std::string& /*file*/) const { - VPR_THROW(VPR_ERROR_PLACE, "DeltaDelayModel::write " DISABLE_ERROR); -} - -void OverrideDelayModel::read(const std::string& /*file*/) { - VPR_THROW(VPR_ERROR_PLACE, "OverrideDelayModel::read " DISABLE_ERROR); -} - -void OverrideDelayModel::write(const std::string& /*file*/) const { - VPR_THROW(VPR_ERROR_PLACE, "OverrideDelayModel::write " DISABLE_ERROR); -} - -#else /* VTR_ENABLE_CAPNPROTO */ - -static void ToFloat(float* out, const VprFloatEntry::Reader& in) { - // Getting a scalar field is always "get()". - *out = in.getValue(); -} - -static void FromFloat(VprFloatEntry::Builder* out, const float& in) { - // Setting a scalar field is always "set(value)". - out->setValue(in); -} - -void SimpleDelayModel::read(const std::string& file) { - // MmapFile object creates an mmap of the specified path, and will munmap - // when the object leaves scope. - MmapFile f(file); - - /* Increase reader limit to 1G words to allow for large files. */ - ::capnp::ReaderOptions opts = default_large_capnp_opts(); - - // FlatArrayMessageReader is used to read the message from the data array - // provided by MmapFile. - ::capnp::FlatArrayMessageReader reader(f.getData(), opts); - - // When reading capnproto files the Reader object to use is named - // ::Reader. - // - // Initially this object is an empty VprDeltaDelayModel. - VprDeltaDelayModel::Reader model; - - // The reader.getRoot performs a cast from the generic capnproto to fit - // with the specified schema. - // - // Note that capnproto does not validate that the incoming data matches the - // schema. If this property is required, some form of check would be - // required. - model = reader.getRoot(); - - // ToNdMatrix is a generic function for converting a Matrix capnproto - // to a vtr::NdMatrix. - // - // The user must supply the matrix dimension (5 in this case), the source - // capnproto type (VprFloatEntry), - // target C++ type (flat), and a function to convert from the source capnproto - // type to the target C++ type (ToFloat). - // - // The second argument should be of type Matrix::Reader where X is the - // capnproto element type. - ToNdMatrix<5, VprFloatEntry, float>(&delays_, model.getDelays(), ToFloat); -} - -void SimpleDelayModel::write(const std::string& file) const { - // MallocMessageBuilder object generates capnproto message builder, - // using malloc for buffer allocation. - ::capnp::MallocMessageBuilder builder; - - // initRoot returns a X::Builder object that can be used to set the - // fields in the message. - auto model = builder.initRoot(); - - // FromNdMatrix is a generic function for converting a vtr::NdMatrix to a - // Matrix message. It is the mirror function of ToNdMatrix described in - // read above. - auto delay_values = model.getDelays(); - FromNdMatrix<5, VprFloatEntry, float>(&delay_values, delays_, FromFloat); - - // writeMessageToFile writes message to the specified file. - writeMessageToFile(file, &builder); -} - -void DeltaDelayModel::read(const std::string& file) { - // MmapFile object creates an mmap of the specified path, and will munmap - // when the object leaves scope. - MmapFile f(file); - - /* Increase reader limit to 1G words to allow for large files. */ - ::capnp::ReaderOptions opts = default_large_capnp_opts(); - - // FlatArrayMessageReader is used to read the message from the data array - // provided by MmapFile. - ::capnp::FlatArrayMessageReader reader(f.getData(), opts); - - // When reading capnproto files the Reader object to use is named - // ::Reader. - // - // Initially this object is an empty VprDeltaDelayModel. - VprDeltaDelayModel::Reader model; - - // The reader.getRoot performs a cast from the generic capnproto to fit - // with the specified schema. - // - // Note that capnproto does not validate that the incoming data matches the - // schema. If this property is required, some form of check would be - // required. - model = reader.getRoot(); - - // ToNdMatrix is a generic function for converting a Matrix capnproto - // to a vtr::NdMatrix. - // - // The use must supply the matrix dimension (2 in this case), the source - // capnproto type (VprFloatEntry), - // target C++ type (flat), and a function to convert from the source capnproto - // type to the target C++ type (ToFloat). - // - // The second argument should be of type Matrix::Reader where X is the - // capnproto element type. - ToNdMatrix<4, VprFloatEntry, float>(&delays_, model.getDelays(), ToFloat); -} - -void DeltaDelayModel::write(const std::string& file) const { - // MallocMessageBuilder object is the generate capnproto message builder, - // using malloc for buffer allocation. - ::capnp::MallocMessageBuilder builder; - - // initRoot returns a X::Builder object that can be used to set the - // fields in the message. - auto model = builder.initRoot(); - - // FromNdMatrix is a generic function for converting a vtr::NdMatrix to a - // Matrix message. It is the mirror function of ToNdMatrix described in - // read above. - auto delay_values = model.getDelays(); - FromNdMatrix<4, VprFloatEntry, float>(&delay_values, delays_, FromFloat); - - // writeMessageToFile writes message to the specified file. - writeMessageToFile(file, &builder); -} - -void OverrideDelayModel::read(const std::string& file) { - MmapFile f(file); - - /* Increase reader limit to 1G words to allow for large files. */ - ::capnp::ReaderOptions opts = default_large_capnp_opts(); - ::capnp::FlatArrayMessageReader reader(f.getData(), opts); - - vtr::NdMatrix delays; - auto model = reader.getRoot(); - ToNdMatrix<4, VprFloatEntry, float>(&delays, model.getDelays(), ToFloat); - - base_delay_model_ = std::make_unique(cross_layer_delay_, delays, is_flat_); - - // Reading non-scalar capnproto fields is roughly equivilant to using - // a std::vector of the field type. Actual type is capnp::List::Reader. - auto overrides = model.getDelayOverrides(); - std::vector > overrides_arr(overrides.size()); - for (size_t i = 0; i < overrides.size(); ++i) { - const auto& elem = overrides[i]; - overrides_arr[i].first.from_type = elem.getFromType(); - overrides_arr[i].first.to_type = elem.getToType(); - overrides_arr[i].first.from_class = elem.getFromClass(); - overrides_arr[i].first.to_class = elem.getToClass(); - overrides_arr[i].first.delta_x = elem.getDeltaX(); - overrides_arr[i].first.delta_y = elem.getDeltaY(); - - overrides_arr[i].second = elem.getDelay(); - } - - delay_overrides_ = vtr::make_flat_map2(std::move(overrides_arr)); -} - -void OverrideDelayModel::write(const std::string& file) const { - ::capnp::MallocMessageBuilder builder; - auto model = builder.initRoot(); - - auto delays = model.getDelays(); - FromNdMatrix<4, VprFloatEntry, float>(&delays, base_delay_model_->delays(), FromFloat); - - // Non-scalar capnproto fields should be first initialized with - // init(count), and then accessed from the returned - // std::vector-like Builder object (specifically capnp::List::Builder). - auto overrides = model.initDelayOverrides(delay_overrides_.size()); - auto dst_iter = overrides.begin(); - for (const auto& src : delay_overrides_) { - auto elem = *dst_iter++; - elem.setFromType(src.first.from_type); - elem.setToType(src.first.to_type); - elem.setFromClass(src.first.from_class); - elem.setToClass(src.first.to_class); - elem.setDeltaX(src.first.delta_x); - elem.setDeltaY(src.first.delta_y); - - elem.setDelay(src.second); - } - - writeMessageToFile(file, &builder); -} - -#endif - -///@brief Initialize the placer delay model. -std::unique_ptr alloc_lookups_and_delay_model(const Netlist<>& net_list, - t_chan_width_dist chan_width_dist, - const t_placer_opts& placer_opts, - const t_router_opts& router_opts, - t_det_routing_arch* det_routing_arch, - std::vector& segment_inf, - const std::vector& directs, - bool is_flat) { - return compute_place_delay_model(placer_opts, - router_opts, - net_list, - det_routing_arch, - segment_inf, - chan_width_dist, - directs, - is_flat); -} - -/** - * @brief Returns the delay of one point to point connection. - * - * Only estimate delay for signals routed through the inter-block routing network. - * TODO: Do how should we compute the delay for globals. "Global signals are assumed to have zero delay." - */ -float comp_td_single_connection_delay(const PlaceDelayModel* delay_model, - const vtr::vector_map& block_locs, - ClusterNetId net_id, - int ipin) { - auto& cluster_ctx = g_vpr_ctx.clustering(); - - float delay_source_to_sink = 0.; - - if (!cluster_ctx.clb_nlist.net_is_ignored(net_id)) { - ClusterPinId source_pin = cluster_ctx.clb_nlist.net_driver(net_id); - ClusterPinId sink_pin = cluster_ctx.clb_nlist.net_pin(net_id, ipin); - - ClusterBlockId source_block = cluster_ctx.clb_nlist.pin_block(source_pin); - ClusterBlockId sink_block = cluster_ctx.clb_nlist.pin_block(sink_pin); - - int source_block_ipin = cluster_ctx.clb_nlist.pin_logical_index(source_pin); - int sink_block_ipin = cluster_ctx.clb_nlist.pin_logical_index(sink_pin); - - t_pl_loc source_block_loc = block_locs[source_block].loc; - t_pl_loc sink_block_loc = block_locs[sink_block].loc; - - /** - * This heuristic only considers delta_x and delta_y, a much better - * heuristic would be to to create a more comprehensive lookup table. - * - * In particular this approach does not accurately capture the effect - * of fast carry-chain connections. - */ - delay_source_to_sink = delay_model->delay({source_block_loc.x, source_block_loc.y, source_block_loc.layer}, source_block_ipin, - {sink_block_loc.x, sink_block_loc.y, sink_block_loc.layer}, sink_block_ipin); - if (delay_source_to_sink < 0) { - VPR_ERROR(VPR_ERROR_PLACE, - "in comp_td_single_connection_delay: Bad delay_source_to_sink value %g from %s (at %d,%d,%d) to %s (at %d,%d,%d)\n" - "in comp_td_single_connection_delay: Delay is less than 0\n", - block_type_pin_index_to_name(physical_tile_type(source_block_loc), source_block_ipin, false).c_str(), - source_block_loc.x, source_block_loc.y, source_block_loc.layer, - block_type_pin_index_to_name(physical_tile_type(sink_block_loc), sink_block_ipin, false).c_str(), - sink_block_loc.x, sink_block_loc.y, sink_block_loc.layer, - delay_source_to_sink); - } - } - - return (delay_source_to_sink); -} - -///@brief Recompute all point to point delays, updating `connection_delay` matrix. -void comp_td_connection_delays(const PlaceDelayModel* delay_model, - PlacerState& placer_state) { - const auto& cluster_ctx = g_vpr_ctx.clustering(); - auto& p_timing_ctx = placer_state.mutable_timing(); - auto& block_locs = placer_state.block_locs(); - auto& connection_delay = p_timing_ctx.connection_delay; - - for (ClusterNetId net_id : cluster_ctx.clb_nlist.nets()) { - for (size_t ipin = 1; ipin < cluster_ctx.clb_nlist.net_pins(net_id).size(); ++ipin) { - connection_delay[net_id][ipin] = comp_td_single_connection_delay(delay_model, block_locs, net_id, ipin); - } - } -} diff --git a/vpr/src/place/place_delay_model.h b/vpr/src/place/place_delay_model.h deleted file mode 100644 index d9df7a18e90..00000000000 --- a/vpr/src/place/place_delay_model.h +++ /dev/null @@ -1,275 +0,0 @@ -/** - * @file place_delay_model.h - * @brief This file contains all the class and function declarations related to - * the placer delay model. For implementations, see place_delay_model.cpp. - */ - -#pragma once -#include "vtr_ndmatrix.h" -#include "vtr_flat_map.h" -#include "vpr_types.h" -#include "router_delay_profiling.h" - -#ifndef __has_attribute -# define __has_attribute(x) 0 // Compatibility with non-clang compilers. -#endif - -#if defined(COMPILER_GCC) && defined(NDEBUG) -# define ALWAYS_INLINE inline __attribute__((__always_inline__)) -#elif defined(COMPILER_MSVC) && defined(NDEBUG) -# define ALWAYS_INLINE __forceinline -#elif __has_attribute(always_inline) -# define ALWAYS_INLINE __attribute__((always_inline)) // clang -#else -# define ALWAYS_INLINE inline -#endif - -///@brief Forward declarations. -class PlaceDelayModel; -class PlacerState; - -///@brief Initialize the placer delay model. -std::unique_ptr alloc_lookups_and_delay_model(const Netlist<>& net_list, - t_chan_width_dist chan_width_dist, - const t_placer_opts& place_opts, - const t_router_opts& router_opts, - t_det_routing_arch* det_routing_arch, - std::vector& segment_inf, - const std::vector& directs, - bool is_flat); - -///@brief Returns the delay of one point to point connection. -float comp_td_single_connection_delay(const PlaceDelayModel* delay_model, - const vtr::vector_map& block_locs, - ClusterNetId net_id, - int ipin); - -///@brief Recompute all point to point delays, updating `connection_delay` matrix. -void comp_td_connection_delays(const PlaceDelayModel* delay_model, - PlacerState& placer_state); - -///@brief Abstract interface to a placement delay model. -class PlaceDelayModel { - public: - virtual ~PlaceDelayModel() = default; - - ///@brief Computes place delay model. - virtual void compute( - RouterDelayProfiler& route_profiler, - const t_placer_opts& placer_opts, - const t_router_opts& router_opts, - int longest_length) - = 0; - - /** - * @brief Returns the delay estimate between the specified block pins. - * - * Either compute or read methods must be invoked before invoking delay. - */ - virtual float delay(const t_physical_tile_loc& from_loc, int from_pin, const t_physical_tile_loc& to_loc, int to_pin) const = 0; - - ///@brief Dumps the delay model to an echo file. - virtual void dump_echo(std::string filename) const = 0; - - /** - * @brief Write place delay model to specified file. - * - * May be unimplemented, in which case method should throw an exception. - */ - virtual void write(const std::string& file) const = 0; - - /** - * @brief Read place delay model from specified file. - * - * May be unimplemented, in which case method should throw an exception. - */ - virtual void read(const std::string& file) = 0; -}; - -///@brief A simple delay model based on the distance (delta) between block locations. -class DeltaDelayModel : public PlaceDelayModel { - public: - DeltaDelayModel(float min_cross_layer_delay, - bool is_flat) - : cross_layer_delay_(min_cross_layer_delay) - , is_flat_(is_flat) {} - DeltaDelayModel(float min_cross_layer_delay, - vtr::NdMatrix delta_delays, - bool is_flat) - : delays_(std::move(delta_delays)) - , cross_layer_delay_(min_cross_layer_delay) - , is_flat_(is_flat) {} - - void compute( - RouterDelayProfiler& router, - const t_placer_opts& placer_opts, - const t_router_opts& router_opts, - int longest_length) override; - float delay(const t_physical_tile_loc& from_loc, int /*from_pin*/, const t_physical_tile_loc& to_loc, int /*to_pin*/) const override; - void dump_echo(std::string filepath) const override; - - void read(const std::string& file) override; - void write(const std::string& file) const override; - const vtr::NdMatrix& delays() const { - return delays_; - } - - private: - vtr::NdMatrix delays_; // [0..num_layers-1][0..max_dx][0..max_dy] - float cross_layer_delay_; - /** - * @brief Indicates whether the router is a two-stage or run-flat - */ - bool is_flat_; -}; - -class OverrideDelayModel : public PlaceDelayModel { - public: - OverrideDelayModel(float min_cross_layer_delay, - bool is_flat) - : cross_layer_delay_(min_cross_layer_delay) - , is_flat_(is_flat) {} - void compute( - RouterDelayProfiler& route_profiler, - const t_placer_opts& placer_opts, - const t_router_opts& router_opts, - int longest_length) override; - // returns delay from the specified (x,y) to the specified (x,y) with both endpoints on layer_num and the - // specified from and to pins - float delay(const t_physical_tile_loc& from_loc, int from_pin, const t_physical_tile_loc& to_loc, int to_pin) const override; - void dump_echo(std::string filepath) const override; - - void read(const std::string& file) override; - void write(const std::string& file) const override; - - public: //Mutators - void set_base_delay_model(std::unique_ptr base_delay_model); - const DeltaDelayModel* base_delay_model() const; - float get_delay_override(int from_type, int from_class, int to_type, int to_class, int delta_x, int delta_y) const; - void set_delay_override(int from_type, int from_class, int to_type, int to_class, int delta_x, int delta_y, float delay); - - private: - std::unique_ptr base_delay_model_; - /** - * @brief Minimum delay of cross-layer connections - */ - float cross_layer_delay_; - /** - * @brief Indicates whether the router is a two-stage or run-flat - */ - bool is_flat_; - - void compute_override_delay_model(RouterDelayProfiler& router, - const t_router_opts& router_opts); - - /** - * @brief Structure that allows delays to be queried from the delay model. - * - * Delay is calculated given the origin physical tile, the origin - * pin, the destination physical tile, and the destination pin. - * This structure encapsulates all these information. - * - * @param from_type, to_type - * Physical tile index (for easy array access) - * @param from_class, to_class - * The class that the pins belongs to. - * @param to_x, to_y - * The horizontal and vertical displacement - * between two physical tiles. - */ - struct t_override { - short from_type; - short to_type; - short from_class; - short to_class; - short delta_x; - short delta_y; - - /** - * @brief Comparison operator designed for performance. - * - * Operator< is important since t_override serves as the key into the - * map structure delay_overrides_. A default comparison operator would - * not be inlined by the compiler. - * - * A combination of ALWAYS_INLINE attribute and std::lexicographical_compare - * is required for operator< to be inlined by compiler. Proper inlining of - * the function reduces place time by around 5%. - * - * For more information: https://github.com/verilog-to-routing/vtr-verilog-to-routing/issues/1225 - */ - friend ALWAYS_INLINE bool operator<(const t_override& lhs, const t_override& rhs) { - const short* left = reinterpret_cast(&lhs); - const short* right = reinterpret_cast(&rhs); - constexpr size_t NUM_T_OVERRIDE_MEMBERS = sizeof(t_override) / sizeof(short); - return std::lexicographical_compare(left, left + NUM_T_OVERRIDE_MEMBERS, right, right + NUM_T_OVERRIDE_MEMBERS); - } - }; - - /** - * @brief Map data structure that returns delay values according to - * specific delay model queries. - * - * Delay model queries are provided by the t_override structure, which - * encapsulates the information regarding the origin and the destination. - */ - vtr::flat_map2 delay_overrides_; - - /** - * operator< treats memory layout of t_override as an array of short. - * This requires all members of t_override are shorts and there is no - * padding between members of t_override. - */ - static_assert(sizeof(t_override) == sizeof(t_override::from_type) + sizeof(t_override::to_type) + sizeof(t_override::from_class) + sizeof(t_override::to_class) + sizeof(t_override::delta_x) + sizeof(t_override::delta_y), "Expect t_override to have a memory layout equivalent to an array of short (no padding)"); - static_assert(sizeof(t_override::from_type) == sizeof(short), "Expect all t_override data members to be shorts"); - static_assert(sizeof(t_override::to_type) == sizeof(short), "Expect all t_override data members to be shorts"); - static_assert(sizeof(t_override::from_class) == sizeof(short), "Expect all t_override data members to be shorts"); - static_assert(sizeof(t_override::to_class) == sizeof(short), "Expect all t_override data members to be shorts"); - static_assert(sizeof(t_override::delta_x) == sizeof(short), "Expect all t_override data members to be shorts"); - static_assert(sizeof(t_override::delta_y) == sizeof(short), "Expect all t_override data members to be shorts"); -}; - -///@brief A simple delay model based on the information stored in router lookahead -/// This is in contrast to other placement delay models that get the cost of getting from one location to another by running the router -class SimpleDelayModel : public PlaceDelayModel { - public: - SimpleDelayModel() {} - - /** - * @brief Initializes the `delays_` data structure. This involves retrieving the corresponding delays for each entry from - * the router lookahead and storing the minimum among them. - * - * @param router The router used to retrieve information from the router lookahead. - * @param placer_opts Placment parameters. - * @param router_opts Routing parameters. - * @param longest_length The length of the longest routing track. - */ - void compute( - RouterDelayProfiler& router, - const t_placer_opts& placer_opts, - const t_router_opts& router_opts, - int longest_length) override; - float delay(const t_physical_tile_loc& from_loc, int /*from_pin*/, const t_physical_tile_loc& to_loc, int /*to_pin*/) const override; - void dump_echo(std::string /*filepath*/) const override {} - - void read(const std::string& /*file*/) override; - void write(const std::string& /*file*/) const override; - /** - @brief Returns a reference to the array containing the placement delay matrix. - */ - const vtr::NdMatrix& delays() const { - return delays_; - } - - private: - /** - * @brief The matrix to store the minimum delay between different points on different layers. - * - *The matrix used to store delay information is a 5D matrix. This data structure stores the minimum delay for each tile type on each layer to other layers - *for each dx and dy. We decided to separate the delay for each physical type on each die to accommodate cases where the connectivity of a physical type differs - *on each layer. Additionally, instead of using d_layer, we distinguish between the destination layer to handle scenarios where connectivity between layers - *is not uniform. For example, if the number of inter-layer connections between layer 1 and 2 differs from the number of connections between layer 0 and 1. - *One might argue that this variability could also occur for dx and dy. However, we are operating under the assumption that the FPGA fabric architecture is regular. - */ - vtr::NdMatrix delays_; // [0..num_physical_type-1][0..num_layers-1][0..num_layers-1][0..max_dx][0..max_dy] -}; diff --git a/vpr/src/place/place_macro.cpp b/vpr/src/place/place_macro.cpp index 3568405290c..ff564fd656c 100644 --- a/vpr/src/place/place_macro.cpp +++ b/vpr/src/place/place_macro.cpp @@ -7,13 +7,16 @@ #include #include +#include "atom_lookup.h" +#include "atom_netlist.h" +#include "clustered_netlist.h" +#include "physical_types_util.h" #include "vtr_assert.h" #include "vtr_util.h" #include "vpr_utils.h" #include "vpr_types.h" #include "vpr_error.h" #include "physical_types.h" -#include "globals.h" #include "echo_files.h" /** @@ -21,14 +24,17 @@ * @param clb_net The unique id of a cluster net. * @return True if the net is constant; otherwise false. */ -static bool is_constant_clb_net(ClusterNetId clb_net); +static bool is_constant_clb_net(ClusterNetId clb_net, + const AtomLookup& atom_lookup, + const AtomNetlist& atom_nlist); /** * @brief Performs a sanity check on macros by making sure that * each block appears in at most one macro. * @param macros All placement macros in the netlist. */ -static void validate_macros(const std::vector& macros); +static void validate_macros(const std::vector& macros, + const ClusteredNetlist& clb_nlist); /** * @brief Tries to combine two placement macros. @@ -62,6 +68,7 @@ static void mark_direct_of_ports(int idirect, int line, std::vector>& idirect_from_blk_pin, std::vector>& direct_type_from_blk_pin, + const std::vector& physical_tile_types, const PortPinToBlockPinConverter& port_pin_to_block_pin); /** @@ -79,13 +86,18 @@ static void mark_direct_of_pins(int start_pin_index, int direct_type, int line, std::string_view src_string, + const std::vector& physical_tile_types, const PortPinToBlockPinConverter& port_pin_to_block_pin); const std::vector& PlaceMacros::macros() const { return pl_macros_; } -void PlaceMacros::alloc_and_load_placement_macros(const std::vector& directs) { +PlaceMacros::PlaceMacros(const std::vector& directs, + const std::vector& physical_tile_types, + const ClusteredNetlist& clb_nlist, + const AtomNetlist& atom_nlist, + const AtomLookup& atom_lookup) { /* Allocates allocates and loads placement macros and returns * the total number of macros in 2 steps. * 1) Allocate temporary data structure for maximum possible @@ -96,16 +108,17 @@ void PlaceMacros::alloc_and_load_placement_macros(const std::vector pl_macro_idirect(cluster_ctx.clb_nlist.blocks().size()); - std::vector pl_macro_num_members(cluster_ctx.clb_nlist.blocks().size()); + std::vector pl_macro_idirect(num_clusters); + std::vector pl_macro_num_members(num_clusters); /* For pl_macro_member_blk_num, Allocate for the first dimension only at first. Allocate for the second dimension * when I know the size. Otherwise, the array is going to be of size cluster_ctx.clb_nlist.blocks().size()^2 */ - std::vector> pl_macro_member_blk_num(cluster_ctx.clb_nlist.blocks().size()); + std::vector> pl_macro_member_blk_num(num_clusters); - alloc_and_load_idirect_from_blk_pin_(directs); + alloc_and_load_idirect_from_blk_pin_(directs, physical_tile_types); /* Compute required size: * Go through all the pins with possible direct connections in @@ -114,7 +127,9 @@ void PlaceMacros::alloc_and_load_placement_macros(const std::vector& pl_macro_idirect, +int PlaceMacros::find_all_the_macro_(const ClusteredNetlist& clb_nlist, + const AtomNetlist& atom_nlist, + const AtomLookup& atom_lookup, + std::vector& pl_macro_idirect, std::vector& pl_macro_num_members, std::vector>& pl_macro_member_blk_num) { /* Compute required size: * @@ -161,8 +182,7 @@ int PlaceMacros::find_all_the_macro_(std::vector& pl_macro_idirect, * as the number macros) and also the length of each macro * * Head - blocks with to_pin OPEN and from_pin connected * * Tail - blocks with to_pin connected and from_pin OPEN */ - const auto& cluster_ctx = g_vpr_ctx.clustering(); - std::vector pl_macro_member_blk_num_of_this_blk(cluster_ctx.clb_nlist.blocks().size()); + std::vector pl_macro_member_blk_num_of_this_blk(clb_nlist.blocks().size()); // Hash table holding the unique cluster ids and the macro id it belongs to std::unordered_map clusters_macro; @@ -170,15 +190,15 @@ int PlaceMacros::find_all_the_macro_(std::vector& pl_macro_idirect, // counts the total number of macros int num_macro = 0; - for (ClusterBlockId blk_id : cluster_ctx.clb_nlist.blocks()) { - t_logical_block_type_ptr logical_block = cluster_ctx.clb_nlist.block_type(blk_id); + for (ClusterBlockId blk_id : clb_nlist.blocks()) { + t_logical_block_type_ptr logical_block = clb_nlist.block_type(blk_id); t_physical_tile_type_ptr physical_tile = pick_physical_type(logical_block); - int num_blk_pins = cluster_ctx.clb_nlist.block_type(blk_id)->pb_type->num_pins; + int num_blk_pins = clb_nlist.block_type(blk_id)->pb_type->num_pins; for (int to_iblk_pin = 0; to_iblk_pin < num_blk_pins; to_iblk_pin++) { int to_physical_pin = get_physical_pin(physical_tile, logical_block, to_iblk_pin); - ClusterNetId to_net_id = cluster_ctx.clb_nlist.block_net(blk_id, to_iblk_pin); + ClusterNetId to_net_id = clb_nlist.block_net(blk_id, to_iblk_pin); int to_idirect = idirect_from_blk_pin_[physical_tile->index][to_physical_pin]; int to_src_or_sink = direct_type_from_blk_pin_[physical_tile->index][to_physical_pin]; @@ -191,12 +211,12 @@ int PlaceMacros::find_all_the_macro_(std::vector& pl_macro_idirect, // Note that the restriction that constant nets are not driven from another direct ensures that // blocks in the middle of a chain with internal constant signals are not detected as potential // head blocks. - if (to_src_or_sink == SINK && to_idirect != OPEN && - (to_net_id == ClusterNetId::INVALID() || (is_constant_clb_net(to_net_id) && !net_is_driven_by_direct_(to_net_id)))) { + if (to_src_or_sink == SINK && to_idirect != OPEN + && (to_net_id == ClusterNetId::INVALID() || (is_constant_clb_net(to_net_id, atom_lookup, atom_nlist) && !net_is_driven_by_direct_(to_net_id, clb_nlist)))) { for (int from_iblk_pin = 0; from_iblk_pin < num_blk_pins; from_iblk_pin++) { int from_physical_pin = get_physical_pin(physical_tile, logical_block, from_iblk_pin); - ClusterNetId from_net_id = cluster_ctx.clb_nlist.block_net(blk_id, from_iblk_pin); + ClusterNetId from_net_id = clb_nlist.block_net(blk_id, from_iblk_pin); int from_idirect = idirect_from_blk_pin_[physical_tile->index][from_physical_pin]; int from_src_or_sink = direct_type_from_blk_pin_[physical_tile->index][from_physical_pin]; @@ -224,13 +244,13 @@ int PlaceMacros::find_all_the_macro_(std::vector& pl_macro_idirect, ClusterNetId curr_net_id = next_net_id; // Assume that carry chains only has 1 sink - direct connection - VTR_ASSERT(cluster_ctx.clb_nlist.net_sinks(curr_net_id).size() == 1); - next_blk_id = cluster_ctx.clb_nlist.net_pin_block(curr_net_id, 1); + VTR_ASSERT(clb_nlist.net_sinks(curr_net_id).size() == 1); + next_blk_id = clb_nlist.net_pin_block(curr_net_id, 1); // Assume that the from_iblk_pin index is the same for the next block VTR_ASSERT(idirect_from_blk_pin_[physical_tile->index][from_physical_pin] == from_idirect && direct_type_from_blk_pin_[physical_tile->index][from_physical_pin] == SOURCE); - next_net_id = cluster_ctx.clb_nlist.block_net(next_blk_id, from_iblk_pin); + next_net_id = clb_nlist.block_net(next_blk_id, from_iblk_pin); // Mark down this block as a member of the macro int imember = pl_macro_num_members[num_macro]; @@ -395,13 +415,12 @@ int PlaceMacros::get_imacro_from_iblk(ClusterBlockId iblk) const { return imacro; } -void PlaceMacros::alloc_and_load_idirect_from_blk_pin_(const std::vector& directs) { - const auto& device_ctx = g_vpr_ctx.device(); - +void PlaceMacros::alloc_and_load_idirect_from_blk_pin_(const std::vector& directs, + const std::vector& physical_tile_types) { // Allocate and initialize the values to OPEN (-1). - idirect_from_blk_pin_.resize(device_ctx.physical_tile_types.size()); - direct_type_from_blk_pin_.resize(device_ctx.physical_tile_types.size()); - for (const t_physical_tile_type& type : device_ctx.physical_tile_types) { + idirect_from_blk_pin_.resize(physical_tile_types.size()); + direct_type_from_blk_pin_.resize(physical_tile_types.size()); + for (const t_physical_tile_type& type : physical_tile_types) { if (is_empty_type(&type)) { continue; } @@ -433,6 +452,7 @@ void PlaceMacros::alloc_and_load_idirect_from_blk_pin_(const std::vector>& idirect_from_blk_pin, std::vector>& direct_type_from_blk_pin, + const std::vector& physical_tile_types, const PortPinToBlockPinConverter& port_pin_to_block_pin) { /* Go through all the ports in all the blocks to find the port that has the same * * name as port_name and belongs to the block type that has the name pb_type_name. * @@ -462,11 +484,9 @@ static void mark_direct_of_ports(int idirect, * they are, mark down the pins from start_pin_index to end_pin_index, inclusive. * * Otherwise, mark down all the pins in that port. */ - auto& device_ctx = g_vpr_ctx.device(); - // Go through all the block types - for (int itype = 1; itype < (int)device_ctx.physical_tile_types.size(); itype++) { - auto& physical_tile = device_ctx.physical_tile_types[itype]; + for (int itype = 1; itype < (int)physical_tile_types.size(); itype++) { + auto& physical_tile = physical_tile_types[itype]; // Find blocks with the same pb_type_name if (pb_type_name == physical_tile.name) { int num_sub_tiles = physical_tile.sub_tiles.size(); @@ -493,11 +513,13 @@ static void mark_direct_of_ports(int idirect, mark_direct_of_pins(start_pin_index, end_pin_index, itype, isub_tile, iport, idirect_from_blk_pin, idirect, direct_type_from_blk_pin, direct_type, line, src_string, + physical_tile_types, port_pin_to_block_pin); } else { mark_direct_of_pins(0, num_port_pins - 1, itype, isub_tile, iport, idirect_from_blk_pin, idirect, direct_type_from_blk_pin, direct_type, line, src_string, + physical_tile_types, port_pin_to_block_pin); } } // Do nothing if port_name does not match @@ -518,16 +540,15 @@ static void mark_direct_of_pins(int start_pin_index, int direct_type, int line, std::string_view src_string, + const std::vector& physical_tile_types, const PortPinToBlockPinConverter& port_pin_to_block_pin) { - const auto& device_ctx = g_vpr_ctx.device(); - // Mark pins with indices from start_pin_index to end_pin_index, inclusive for (int iport_pin = start_pin_index; iport_pin <= end_pin_index; iport_pin++) { int iblk_pin = port_pin_to_block_pin.get_blk_pin_from_port_pin(itype, isub_tile, iport, iport_pin); // iterate through all segment connections and check if all Fc's are 0 bool all_fcs_0 = true; - for (const auto& fc_spec : device_ctx.physical_tile_types[itype].fc_specs) { + for (const auto& fc_spec : physical_tile_types[itype].fc_specs) { for (int ipin : fc_spec.pins) { if (iblk_pin == ipin && fc_spec.fc_value > 0) { all_fcs_0 = false; @@ -554,13 +575,12 @@ static void mark_direct_of_pins(int start_pin_index, } /* Allocates and loads imacro_from_iblk array. */ -void PlaceMacros::alloc_and_load_imacro_from_iblk_(const std::vector& macros) { - auto& cluster_ctx = g_vpr_ctx.clustering(); - - imacro_from_iblk_.resize(cluster_ctx.clb_nlist.blocks().size()); +void PlaceMacros::alloc_and_load_imacro_from_iblk_(const std::vector& macros, + const ClusteredNetlist& clb_nlist) { + imacro_from_iblk_.resize(clb_nlist.blocks().size()); /* Allocate and initialize the values to OPEN (-1). */ - for (auto blk_id : cluster_ctx.clb_nlist.blocks()) { + for (auto blk_id : clb_nlist.blocks()) { imacro_from_iblk_.insert(blk_id, OPEN); } @@ -573,11 +593,12 @@ void PlaceMacros::alloc_and_load_imacro_from_iblk_(const std::vector } } -void PlaceMacros::write_place_macros_(std::string filename, const std::vector& macros) { +void PlaceMacros::write_place_macros_(std::string filename, + const std::vector& macros, + const std::vector& physical_tile_types, + const ClusteredNetlist& clb_nlist) { FILE* f = vtr::fopen(filename.c_str(), "w"); - auto& cluster_ctx = g_vpr_ctx.clustering(); - fprintf(f, "#Identified Placement macros\n"); fprintf(f, "Num_Macros: %zu\n", macros.size()); for (size_t imacro = 0; imacro < macros.size(); ++imacro) { @@ -588,7 +609,7 @@ void PlaceMacros::write_place_macros_(std::string filename, const std::vectormembers[imember]; fprintf(f, "Block_Id: %zu (%s), x_offset: %d, y_offset: %d, z_offset: %d\n", size_t(macro_memb->blk_index), - cluster_ctx.clb_nlist.block_name(macro_memb->blk_index).c_str(), + clb_nlist.block_name(macro_memb->blk_index).c_str(), macro_memb->offset.x, macro_memb->offset.y, macro_memb->offset.sub_tile); @@ -601,8 +622,7 @@ void PlaceMacros::write_place_macros_(std::string filename, const std::vector& macros) { +static void validate_macros(const std::vector& macros, + const ClusteredNetlist& clb_nlist) { //Perform sanity checks on macros - const auto& cluster_ctx = g_vpr_ctx.clustering(); //Verify that blocks only appear in a single macro std::multimap block_to_macro; @@ -667,13 +685,13 @@ static void validate_macros(const std::vector& macros) { } } - for (ClusterBlockId blk_id : cluster_ctx.clb_nlist.blocks()) { + for (ClusterBlockId blk_id : clb_nlist.blocks()) { auto range = block_to_macro.equal_range(blk_id); int blk_macro_cnt = std::distance(range.first, range.second); if (blk_macro_cnt > 1) { std::stringstream msg; - msg << "Block #" << size_t(blk_id) << " '" << cluster_ctx.clb_nlist.block_name(blk_id) << "'" + msg << "Block #" << size_t(blk_id) << " '" << clb_nlist.block_name(blk_id) << "'" << " appears in " << blk_macro_cnt << " placement macros (should appear in at most one). Related Macros:\n"; for (auto iter = range.first; iter != range.second; ++iter) { @@ -685,4 +703,3 @@ static void validate_macros(const std::vector& macros) { } } } - diff --git a/vpr/src/place/place_macro.h b/vpr/src/place/place_macro.h index ed6a2fc82c5..0b5988c7c61 100644 --- a/vpr/src/place/place_macro.h +++ b/vpr/src/place/place_macro.h @@ -125,6 +125,9 @@ #include "physical_types.h" #include "vpr_types.h" +class AtomLookup; +class AtomNetlist; + /** * @struct t_pl_macro_member * @brief The placement macro structure. @@ -143,8 +146,6 @@ struct t_pl_macro { class PlaceMacros { public: - PlaceMacros() = default; - /** * @brief Allocates and loads the placement macros. * @details The following steps are taken in this methodL @@ -162,7 +163,11 @@ class PlaceMacros { * carry_in's is connected to the netlist which has only 1 SINK. * @param directs */ - void alloc_and_load_placement_macros(const std::vector& directs); + PlaceMacros(const std::vector& directs, + const std::vector& physical_tile_types, + const ClusteredNetlist& clb_nlist, + const AtomNetlist& atom_nlist, + const AtomLookup& atom_lookup); /** * @brief Returns the placement macro index to which the given block belongs. @@ -194,7 +199,6 @@ class PlaceMacros { const t_pl_macro& operator[](int idx) const; private: - /** * @brief This array allow us to quickly find pins that could be in a direct connection. * @details Values stored is the index of the possible direct connection as specified in the arch file, @@ -222,15 +226,23 @@ class PlaceMacros { std::vector pl_macros_; private: - int find_all_the_macro_(std::vector& pl_macro_idirect, + int find_all_the_macro_(const ClusteredNetlist& clb_nlist, + const AtomNetlist& atom_nlist, + const AtomLookup& atom_lookup, + std::vector& pl_macro_idirect, std::vector& pl_macro_num_members, std::vector>& pl_macro_member_blk_num); - void alloc_and_load_imacro_from_iblk_(const std::vector& macros); + void alloc_and_load_imacro_from_iblk_(const std::vector& macros, + const ClusteredNetlist& clb_nlist); - void write_place_macros_(std::string filename, const std::vector& macros); + void write_place_macros_(std::string filename, + const std::vector& macros, + const std::vector& physical_tile_types, + const ClusteredNetlist& clb_nlist); - bool net_is_driven_by_direct_(ClusterNetId clb_net); + bool net_is_driven_by_direct_(ClusterNetId clb_net, + const ClusteredNetlist& clb_nlist); /** * @brief Allocates and loads idirect_from_blk_pin and direct_type_from_blk_pin arrays. @@ -246,8 +258,10 @@ class PlaceMacros { * the arch file, OPEN (-1) is stored for pins that could not be part of a direct * chain connection. * @param directs Contains information about all direct connections in the architecture. + * @param physical_tile_types A list of the physical tile types on the device. */ - void alloc_and_load_idirect_from_blk_pin_(const std::vector& directs); + void alloc_and_load_idirect_from_blk_pin_(const std::vector& directs, + const std::vector& physical_tile_types); }; #endif diff --git a/vpr/src/place/place_util.cpp b/vpr/src/place/place_util.cpp index e3f3d9da567..1ac0899fbdf 100644 --- a/vpr/src/place/place_util.cpp +++ b/vpr/src/place/place_util.cpp @@ -6,55 +6,10 @@ #include "place_util.h" #include "globals.h" -#include "draw_global.h" +#include "physical_types_util.h" #include "place_constraints.h" #include "noc_place_utils.h" -/** - * @brief Initialize `grid_blocks`, the inverse structure of `block_locs`. - * - * The container at each grid block location should have a length equal to the - * subtile capacity of that block. Unused subtile would be marked ClusterBlockId::INVALID(). - */ -static GridBlock init_grid_blocks(); - -void init_placement_context(BlkLocRegistry& blk_loc_registry, - const std::vector& directs) { - auto& cluster_ctx = g_vpr_ctx.clustering(); - - auto& block_locs = blk_loc_registry.mutable_block_locs(); - auto& grid_blocks = blk_loc_registry.mutable_grid_blocks(); - auto& place_macros = blk_loc_registry.mutable_place_macros(); - - /* Initialize the lookup of CLB block positions */ - block_locs.clear(); - block_locs.resize(cluster_ctx.clb_nlist.blocks().size()); - - /* Initialize the reverse lookup of CLB block positions */ - grid_blocks = init_grid_blocks(); - - place_macros.alloc_and_load_placement_macros(directs); -} - -static GridBlock init_grid_blocks() { - auto& device_ctx = g_vpr_ctx.device(); - int num_layers = device_ctx.grid.get_num_layers(); - - /* Structure should have the same dimensions as the grid. */ - auto grid_blocks = GridBlock(device_ctx.grid.width(), device_ctx.grid.height(), num_layers); - - for (int layer_num = 0; layer_num < num_layers; ++layer_num) { - for (int x = 0; x < (int)device_ctx.grid.width(); ++x) { - for (int y = 0; y < (int)device_ctx.grid.height(); ++y) { - auto type = device_ctx.grid.get_physical_type({x, y, layer_num}); - grid_blocks.initialized_grid_block_at_location({x, y, layer_num}, type->capacity); - } - } - } - - return grid_blocks; -} - void t_placer_costs::update_norm_factors() { if (place_algorithm.is_timing_driven()) { bb_cost_norm = 1 / bb_cost; @@ -95,7 +50,7 @@ t_placer_costs& t_placer_costs::operator+=(const NocCostTerms& noc_delta_cost) { return *this; } -int get_initial_move_lim(const t_placer_opts& placer_opts, const t_annealing_sched& annealing_sched) { +int get_place_inner_loop_num_move(const t_placer_opts& placer_opts, const t_annealing_sched& annealing_sched) { const auto& device_ctx = g_vpr_ctx.device(); const auto& cluster_ctx = g_vpr_ctx.clustering(); @@ -113,8 +68,6 @@ int get_initial_move_lim(const t_placer_opts& placer_opts, const t_annealing_sch /* Avoid having a non-positive move_lim */ move_lim = std::max(move_lim, 1); - VTR_LOG("Moves per temperature: %d\n", move_lim); - return move_lim; } @@ -165,7 +118,6 @@ double get_std_dev(int n, double sum_x_squared, double av_x) { return (std_dev > 0.) ? sqrt(std_dev) : 0.; } - void alloc_and_load_legal_placement_locations(std::vector>>& legal_pos) { auto& device_ctx = g_vpr_ctx.device(); diff --git a/vpr/src/place/place_util.h b/vpr/src/place/place_util.h index 2a816e01350..14cf44455c6 100644 --- a/vpr/src/place/place_util.h +++ b/vpr/src/place/place_util.h @@ -25,6 +25,8 @@ constexpr double PL_INCREMENTAL_COST_TOLERANCE = .01; class t_placer_costs; class BlkLocRegistry; +struct t_pl_macro; + /** * @brief Data structure that stores different cost terms for NoC placement. * This data structure can also be used to store normalization and weighting @@ -195,19 +197,8 @@ class t_placer_statistics { }; /** - * @brief Initialize the placer's block-grid dual direction mapping. - * - * Forward direction - block to grid: place_ctx.block_locs. - * Reverse direction - grid to block: place_ctx.grid_blocks. - * Allocates and load placement macros. - * - * Initialize both of them to empty states. - */ -void init_placement_context(BlkLocRegistry& blk_loc_registry, - const std::vector& directs); - -/** - * @brief Get the initial limit for inner loop block move attempt limit. + * @brief Get the number of moves attempted by the annealer's inner + * loop in each outer loop iteration. * * There are two ways to scale the move limit. * e_place_effort_scaling::CIRCUIT @@ -220,7 +211,7 @@ void init_placement_context(BlkLocRegistry& blk_loc_registry, * (device_size >> num_blocks), the search space is larger, so the second method * performs more moves to ensure better optimization. */ -int get_initial_move_lim(const t_placer_opts& placer_opts, const t_annealing_sched& annealing_sched); +int get_place_inner_loop_num_move(const t_placer_opts& placer_opts, const t_annealing_sched& annealing_sched); /** * @brief Returns the standard deviation of data set x. diff --git a/vpr/src/place/placement_log_printer.cpp b/vpr/src/place/placement_log_printer.cpp index a4eafb3b30f..1ce8c239dc7 100644 --- a/vpr/src/place/placement_log_printer.cpp +++ b/vpr/src/place/placement_log_printer.cpp @@ -1,6 +1,7 @@ #include "placement_log_printer.h" +#include "place_macro.h" #include "vtr_log.h" #include "annealer.h" #include "place_util.h" @@ -92,10 +93,10 @@ void PlacementLogPrinter::print_place_status(float elapsed_sec) const { VTR_LOG("\n"); fflush(stdout); - sprintf(msg_.data(), "Cost: %g BB Cost %g TD Cost %g Temperature: %g", - costs.cost, costs.bb_cost, costs.timing_cost, annealing_state.t); + sprintf(msg_.data(), "Cost: %g BB Cost %g TD Cost %g Temperature: %g", + costs.cost, costs.bb_cost, costs.timing_cost, annealing_state.t); - update_screen(ScreenUpdatePriority::MINOR, msg_.data(), PLACEMENT, timing_info); + update_screen(ScreenUpdatePriority::MINOR, msg_.data(), PLACEMENT, timing_info); } void PlacementLogPrinter::print_resources_utilization() const { @@ -176,7 +177,10 @@ void PlacementLogPrinter::print_initial_placement_stats() const { std::shared_ptr timing_info = placer_.timing_info_; VTR_LOG("Initial placement cost: %g bb_cost: %g td_cost: %g\n", - costs.cost, costs.bb_cost, costs.timing_cost); + costs.cost, costs.bb_cost, costs.timing_cost); + + double wirelength = placer_.net_cost_handler_.get_total_wirelength_estimate(); + VTR_LOG("Initial placement BB estimate of wirelength: %g\n", wirelength); if (placer_.noc_opts_.noc) { VTR_ASSERT(placer_.noc_cost_handler_.has_value()); @@ -196,13 +200,14 @@ void PlacementLogPrinter::print_initial_placement_stats() const { } const BlkLocRegistry& blk_loc_registry = placer_.placer_state_.blk_loc_registry(); + const PlaceMacros& place_macros = *g_vpr_ctx.placement().place_macros; size_t num_macro_members = 0; - for (const t_pl_macro& macro : blk_loc_registry.place_macros().macros()) { + for (const t_pl_macro& macro : place_macros.macros()) { num_macro_members += macro.members.size(); } VTR_LOG("Placement contains %zu placement macros involving %zu blocks (average macro size %f)\n", - blk_loc_registry.place_macros().macros().size(), num_macro_members, - float(num_macro_members) / blk_loc_registry.place_macros().macros().size()); + place_macros.macros().size(), num_macro_members, + float(num_macro_members) / place_macros.macros().size()); VTR_LOG("\n"); sprintf(msg_.data(), @@ -231,6 +236,10 @@ void PlacementLogPrinter::print_post_placement_stats() const { VTR_LOG("Swaps called: %d\n", swap_stats.num_ts_called); placer_.annealer_->get_move_abortion_logger().report_aborted_moves(); + VTR_LOG("\n"); + double estimated_wirelength = placer_.net_cost_handler_.get_total_wirelength_estimate(); + VTR_LOG("BB estimate of min-dist (placement) wire length: %.0f\n", estimated_wirelength); + if (placer_.placer_opts_.place_algorithm.is_timing_driven()) { //Final timing estimate VTR_ASSERT(placer_.timing_info_); @@ -279,7 +288,7 @@ void PlacementLogPrinter::print_post_placement_stats() const { print_resources_utilization(); print_placement_swaps_stats(); - move_type_stats.print_placement_move_types_stats(); + move_type_stats.print_placement_move_types_stats(placer_.placer_state_.blk_loc_registry().movable_blocks_per_type()); if (placer_.noc_opts_.noc) { write_noc_placement_file(placer_.noc_opts_.noc_placement_file_name, @@ -306,7 +315,7 @@ void generate_post_place_timing_reports(const t_placer_opts& placer_opts, const auto& timing_ctx = g_vpr_ctx.timing(); const auto& atom_ctx = g_vpr_ctx.atom(); - VprTimingGraphResolver resolver(atom_ctx.nlist, atom_ctx.lookup, *timing_ctx.graph, + VprTimingGraphResolver resolver(atom_ctx.netlist(), atom_ctx.lookup(), *timing_ctx.graph, delay_calc, is_flat, blk_loc_registry); resolver.set_detail_level(analysis_opts.timing_report_detail); @@ -317,4 +326,3 @@ void generate_post_place_timing_reports(const t_placer_opts& placer_opts, placer_opts.post_place_timing_report_file, *timing_info.setup_analyzer(), analysis_opts.timing_report_npaths); } - diff --git a/vpr/src/place/placement_log_printer.h b/vpr/src/place/placement_log_printer.h index d538c20d895..e349fbe051f 100644 --- a/vpr/src/place/placement_log_printer.h +++ b/vpr/src/place/placement_log_printer.h @@ -2,7 +2,7 @@ * @file placement_log_printer.h * @brief Declares the PlacementLogPrinter class and associated utilities for logging * and reporting placement-related statistics and timing analysis results. - + * * ### Integration: * The PlacementLogPrinter class integrates with the Placer class to provide information about * the placement process for debugging, optimization, and analysis purposes. @@ -61,6 +61,8 @@ class PlacementLogPrinter { void print_initial_placement_stats() const; /// Prints final placement metrics and generates timing reports. void print_post_placement_stats() const; + /// Returns a bool to indicate whether the instance is in quiet mode. + bool quiet() const { return quiet_; } private: /** diff --git a/vpr/src/place/placer.cpp b/vpr/src/place/placer.cpp index 37b48f11d0d..52f68a442e2 100644 --- a/vpr/src/place/placer.cpp +++ b/vpr/src/place/placer.cpp @@ -1,13 +1,19 @@ #include "placer.h" +#include +#include #include +#include "flat_placement_types.h" +#include "blk_loc_registry.h" +#include "place_macro.h" #include "vtr_time.h" #include "draw.h" #include "read_place.h" #include "analytic_placer.h" #include "initial_placement.h" +#include "load_flat_place.h" #include "concrete_timing_info.h" #include "verify_placement.h" #include "place_timing_update.h" @@ -17,12 +23,13 @@ #include "tatum/echo_writer.hpp" Placer::Placer(const Netlist<>& net_list, + std::optional> init_place, const t_placer_opts& placer_opts, const t_analysis_opts& analysis_opts, const t_noc_opts& noc_opts, const IntraLbPbPinLookup& pb_gpin_lookup, const ClusteredPinAtomPinsLookup& netlist_pin_lookup, - const std::vector& directs, + const FlatPlacementInfo& flat_placement_info, std::shared_ptr place_delay_model, bool cube_bb, bool is_flat, @@ -38,37 +45,45 @@ Placer::Placer(const Netlist<>& net_list, , net_cost_handler_(placer_opts, placer_state_, cube_bb) , place_delay_model_(std::move(place_delay_model)) , log_printer_(*this, quiet) + , quench_only_(placer_opts.place_quench_only) , is_flat_(is_flat) { const auto& cluster_ctx = g_vpr_ctx.clustering(); pre_place_timing_stats_ = g_vpr_ctx.timing().stats; - init_placement_context(placer_state_.mutable_blk_loc_registry(), directs); + const PlaceMacros& place_macros = *g_vpr_ctx.placement().place_macros; // create a NoC cost handler if NoC optimization is enabled if (noc_opts.noc) { noc_cost_handler_.emplace(placer_state_.block_locs()); } - /* To make sure the importance of NoC-related cost terms compared to - * BB and timing cost is determine only through NoC placement weighting factor, - * we normalize NoC-related cost weighting factors so that they add up to 1. - * With this normalization, NoC-related cost weighting factors only determine - * the relative importance of NoC cost terms with respect to each other, while - * the importance of total NoC cost to conventional placement cost is determined - * by NoC placement weighting factor. - */ - if (noc_opts.noc) { - normalize_noc_cost_weighting_factor(const_cast(noc_opts)); - } - + // Initialize the placement for the Simulated Annealer. BlkLocRegistry& blk_loc_registry = placer_state_.mutable_blk_loc_registry(); - initial_placement(placer_opts, placer_opts.constraints_file.c_str(), - noc_opts, blk_loc_registry, noc_cost_handler_, rng_); + if (init_place.has_value()) { + // If an initial placement has been provided, use that. + blk_loc_registry = *init_place; + } else { + // If an initial placement has not been provided, run the initial placer. + initial_placement(placer_opts, placer_opts.constraints_file.c_str(), + noc_opts, blk_loc_registry, place_macros, noc_cost_handler_, + flat_placement_info, rng_); + + // After initial placement, if a flat placement is being reconstructed, + // print flat placement reconstruction info. + if (flat_placement_info.valid) { + log_flat_placement_reconstruction_info(flat_placement_info, + blk_loc_registry.block_locs(), + g_vpr_ctx.clustering().atoms_lookup, + g_vpr_ctx.atom().lookup(), + g_vpr_ctx.atom().netlist(), + g_vpr_ctx.clustering().clb_nlist); + } + } const int move_lim = (int)(placer_opts.anneal_sched.inner_num * pow(net_list.blocks().size(), 1.3333)); //create the move generator based on the chosen placement strategy - auto [move_generator, move_generator2] = create_move_generators(placer_state_, placer_opts, move_lim, noc_opts.noc_centroid_weight, rng_); + auto [move_generator, move_generator2] = create_move_generators(placer_state_, place_macros, placer_opts, move_lim, noc_opts.noc_centroid_weight, rng_); if (!placer_opts.write_initial_place_file.empty()) { print_place(nullptr, nullptr, placer_opts.write_initial_place_file.c_str(), placer_state_.block_locs()); @@ -82,251 +97,250 @@ Placer::Placer(const Netlist<>& net_list, * Most of anneal is disabled later by setting initial temperature to 0 and only further optimizes in quench */ if (placer_opts.enable_analytic_placer) { - AnalyticPlacer{blk_loc_registry}.ap_place(); + AnalyticPlacer{blk_loc_registry, place_macros}.ap_place(); } #endif /* ENABLE_ANALYTIC_PLACE */ // Update physical pin values - for (const ClusterBlockId block_id : cluster_ctx.clb_nlist.blocks()) { - blk_loc_registry.place_sync_external_block_connections(block_id); - } + for (const ClusterBlockId block_id : cluster_ctx.clb_nlist.blocks()) { + blk_loc_registry.place_sync_external_block_connections(block_id); + } - if (!quiet) { + if (!quiet) { #ifndef NO_GRAPHICS - if (noc_cost_handler_.has_value()) { - get_draw_state_vars()->set_noc_link_bandwidth_usages_ref(noc_cost_handler_->get_link_bandwidth_usages()); - } + if (noc_cost_handler_.has_value()) { + get_draw_state_vars()->set_noc_link_bandwidth_usages_ref(noc_cost_handler_->get_link_bandwidth_usages()); + } #endif - // width_fac gives the width of the widest channel - const int width_fac = placer_opts.place_chan_width; - init_draw_coords((float)width_fac, placer_state_.blk_loc_registry()); - } - - // Gets initial cost and loads bounding boxes. - costs_.bb_cost = net_cost_handler_.comp_bb_cost(e_cost_methods::NORMAL); - costs_.bb_cost_norm = 1 / costs_.bb_cost; - - if (placer_opts.place_algorithm.is_timing_driven()) { - alloc_and_init_timing_objects_(net_list, analysis_opts); - } else { - VTR_ASSERT(placer_opts.place_algorithm == e_place_algorithm::BOUNDING_BOX_PLACE); - // Timing cost and normalization factors are not used - constexpr double INVALID_COST = std::numeric_limits::quiet_NaN(); - costs_.timing_cost = INVALID_COST; - costs_.timing_cost_norm = INVALID_COST; - } - - if (noc_opts.noc) { - VTR_ASSERT(noc_cost_handler_.has_value()); - - // get the costs associated with the NoC - costs_.noc_cost_terms.aggregate_bandwidth = noc_cost_handler_->comp_noc_aggregate_bandwidth_cost(); - std::tie(costs_.noc_cost_terms.latency, costs_.noc_cost_terms.latency_overrun) = noc_cost_handler_->comp_noc_latency_cost(); - costs_.noc_cost_terms.congestion = noc_cost_handler_->comp_noc_congestion_cost(); - - // initialize all the noc normalization factors - noc_cost_handler_->update_noc_normalization_factors(costs_); - } - - // set the starting total placement cost - costs_.cost = costs_.get_total_cost(placer_opts, noc_opts); - - // Sanity check that initial placement is legal - check_place_(); - - log_printer_.print_initial_placement_stats(); - - annealer_ = std::make_unique(placer_opts_, placer_state_, costs_, net_cost_handler_, noc_cost_handler_, - noc_opts_, rng_, std::move(move_generator), std::move(move_generator2), place_delay_model_.get(), - placer_criticalities_.get(), placer_setup_slacks_.get(), timing_info_.get(), pin_timing_invalidator_.get(), - move_lim); + // width_fac gives the width of the widest channel + const int width_fac = placer_opts.place_chan_width; + init_draw_coords((float)width_fac, placer_state_.blk_loc_registry()); + } + + // Gets initial cost and loads bounding boxes. + costs_.bb_cost = net_cost_handler_.comp_bb_cost(e_cost_methods::NORMAL).first; + costs_.bb_cost_norm = 1 / costs_.bb_cost; + + if (placer_opts.place_algorithm.is_timing_driven()) { + alloc_and_init_timing_objects_(net_list, analysis_opts); + } else { + VTR_ASSERT(placer_opts.place_algorithm == e_place_algorithm::BOUNDING_BOX_PLACE); + // Timing cost and normalization factors are not used + constexpr double INVALID_COST = std::numeric_limits::quiet_NaN(); + costs_.timing_cost = INVALID_COST; + costs_.timing_cost_norm = INVALID_COST; + } + + if (noc_opts.noc) { + VTR_ASSERT(noc_cost_handler_.has_value()); + + // get the costs associated with the NoC + costs_.noc_cost_terms.aggregate_bandwidth = noc_cost_handler_->comp_noc_aggregate_bandwidth_cost(); + std::tie(costs_.noc_cost_terms.latency, costs_.noc_cost_terms.latency_overrun) = noc_cost_handler_->comp_noc_latency_cost(); + costs_.noc_cost_terms.congestion = noc_cost_handler_->comp_noc_congestion_cost(); + + // initialize all the noc normalization factors + noc_cost_handler_->update_noc_normalization_factors(costs_); + } + + // set the starting total placement cost + costs_.cost = costs_.get_total_cost(placer_opts, noc_opts); + + // Sanity check that initial placement is legal + check_place_(); + + log_printer_.print_initial_placement_stats(); + + annealer_ = std::make_unique(placer_opts_, placer_state_, place_macros, costs_, net_cost_handler_, noc_cost_handler_, + noc_opts_, rng_, std::move(move_generator), std::move(move_generator2), place_delay_model_.get(), + placer_criticalities_.get(), placer_setup_slacks_.get(), timing_info_.get(), pin_timing_invalidator_.get(), + move_lim); } void Placer::alloc_and_init_timing_objects_(const Netlist<>& net_list, const t_analysis_opts& analysis_opts) { - const auto& atom_ctx = g_vpr_ctx.atom(); - const auto& cluster_ctx = g_vpr_ctx.clustering(); - const auto& timing_ctx = g_vpr_ctx.timing(); - const auto& p_timing_ctx = placer_state_.timing(); + const auto& atom_ctx = g_vpr_ctx.atom(); + const auto& cluster_ctx = g_vpr_ctx.clustering(); + const auto& timing_ctx = g_vpr_ctx.timing(); + const auto& p_timing_ctx = placer_state_.timing(); - // Update the point-to-point delays from the initial placement - comp_td_connection_delays(place_delay_model_.get(), placer_state_); + // Update the point-to-point delays from the initial placement + comp_td_connection_delays(place_delay_model_.get(), placer_state_); - // Initialize timing analysis - placement_delay_calc_ = std::make_shared(atom_ctx.nlist, - atom_ctx.lookup, - p_timing_ctx.connection_delay, - is_flat_); - placement_delay_calc_->set_tsu_margin_relative(placer_opts_.tsu_rel_margin); - placement_delay_calc_->set_tsu_margin_absolute(placer_opts_.tsu_abs_margin); + // Initialize timing analysis + placement_delay_calc_ = std::make_shared(atom_ctx.netlist(), + atom_ctx.lookup(), + p_timing_ctx.connection_delay, + is_flat_); + placement_delay_calc_->set_tsu_margin_relative(placer_opts_.tsu_rel_margin); + placement_delay_calc_->set_tsu_margin_absolute(placer_opts_.tsu_abs_margin); - timing_info_ = make_setup_timing_info(placement_delay_calc_, placer_opts_.timing_update_type); + timing_info_ = make_setup_timing_info(placement_delay_calc_, placer_opts_.timing_update_type); - placer_setup_slacks_ = std::make_unique(cluster_ctx.clb_nlist, - netlist_pin_lookup_, - timing_info_); + placer_setup_slacks_ = std::make_unique(cluster_ctx.clb_nlist, + netlist_pin_lookup_, + timing_info_); - placer_criticalities_ = std::make_unique(cluster_ctx.clb_nlist, - netlist_pin_lookup_, - timing_info_); + placer_criticalities_ = std::make_unique(cluster_ctx.clb_nlist, + netlist_pin_lookup_, + timing_info_); - pin_timing_invalidator_ = make_net_pin_timing_invalidator(placer_opts_.timing_update_type, - net_list, - netlist_pin_lookup_, - atom_ctx.nlist, - atom_ctx.lookup, - timing_info_, - is_flat_); + pin_timing_invalidator_ = make_net_pin_timing_invalidator(placer_opts_.timing_update_type, + net_list, + netlist_pin_lookup_, + atom_ctx.netlist(), + atom_ctx.lookup(), + timing_info_, + is_flat_); - // First time compute timing and costs, compute from scratch - PlaceCritParams crit_params; - crit_params.crit_exponent = placer_opts_.td_place_exp_first; - crit_params.crit_limit = placer_opts_.place_crit_limit; + // First time compute timing and costs, compute from scratch + PlaceCritParams crit_params; + crit_params.crit_exponent = placer_opts_.td_place_exp_first; + crit_params.crit_limit = placer_opts_.place_crit_limit; - initialize_timing_info(crit_params, place_delay_model_.get(), placer_criticalities_.get(), - placer_setup_slacks_.get(), pin_timing_invalidator_.get(), - timing_info_.get(), &costs_, placer_state_); + initialize_timing_info(crit_params, place_delay_model_.get(), placer_criticalities_.get(), + placer_setup_slacks_.get(), pin_timing_invalidator_.get(), + timing_info_.get(), &costs_, placer_state_); - critical_path_ = timing_info_->least_slack_critical_path(); + critical_path_ = timing_info_->least_slack_critical_path(); - // Write out the initial timing echo file - if (isEchoFileEnabled(E_ECHO_INITIAL_PLACEMENT_TIMING_GRAPH)) { - tatum::write_echo(getEchoFileName(E_ECHO_INITIAL_PLACEMENT_TIMING_GRAPH), - *timing_ctx.graph, *timing_ctx.constraints, - *placement_delay_calc_, timing_info_->analyzer()); + // Write out the initial timing echo file + if (isEchoFileEnabled(E_ECHO_INITIAL_PLACEMENT_TIMING_GRAPH)) { + tatum::write_echo(getEchoFileName(E_ECHO_INITIAL_PLACEMENT_TIMING_GRAPH), + *timing_ctx.graph, *timing_ctx.constraints, + *placement_delay_calc_, timing_info_->analyzer()); - tatum::NodeId debug_tnode = id_or_pin_name_to_tnode(analysis_opts.echo_dot_timing_graph_node); + tatum::NodeId debug_tnode = id_or_pin_name_to_tnode(analysis_opts.echo_dot_timing_graph_node); - write_setup_timing_graph_dot(getEchoFileName(E_ECHO_INITIAL_PLACEMENT_TIMING_GRAPH) + std::string(".dot"), - *timing_info_, debug_tnode); - } + write_setup_timing_graph_dot(getEchoFileName(E_ECHO_INITIAL_PLACEMENT_TIMING_GRAPH) + std::string(".dot"), + *timing_info_, debug_tnode); + } - costs_.timing_cost_norm = 1 / costs_.timing_cost; + costs_.timing_cost_norm = 1 / costs_.timing_cost; } void Placer::check_place_() { - const ClusteredNetlist& clb_nlist = g_vpr_ctx.clustering().clb_nlist; - const DeviceGrid& device_grid = g_vpr_ctx.device().grid; - const auto& cluster_constraints = g_vpr_ctx.floorplanning().cluster_constraints; - - int error = 0; - - // Verify the placement invariants independent to the placement flow. - error += verify_placement(placer_state_.blk_loc_registry(), - clb_nlist, - device_grid, - cluster_constraints); - - error += check_placement_costs_(); - - if (noc_opts_.noc) { - // check the NoC costs during placement if the user is using the NoC supported flow - error += noc_cost_handler_->check_noc_placement_costs(costs_, PL_INCREMENTAL_COST_TOLERANCE, noc_opts_); - // make sure NoC routing configuration does not create any cycles in CDG - error += (int)noc_cost_handler_->noc_routing_has_cycle(); - } - - if (error == 0) { - VTR_LOG("\n"); - VTR_LOG("Completed placement consistency check successfully.\n"); - - } else { - VPR_ERROR(VPR_ERROR_PLACE, - "\nCompleted placement consistency check, %d errors found.\n" - "Aborting program.\n", - error); - } + const ClusteredNetlist& clb_nlist = g_vpr_ctx.clustering().clb_nlist; + const DeviceGrid& device_grid = g_vpr_ctx.device().grid; + const auto& cluster_constraints = g_vpr_ctx.floorplanning().cluster_constraints; + const PlaceMacros& place_macros = *g_vpr_ctx.placement().place_macros; + + int error = 0; + + // Verify the placement invariants independent to the placement flow. + error += verify_placement(placer_state_.blk_loc_registry(), + place_macros, + clb_nlist, + device_grid, + cluster_constraints); + + error += check_placement_costs_(); + + if (noc_opts_.noc) { + // check the NoC costs during placement if the user is using the NoC supported flow + error += noc_cost_handler_->check_noc_placement_costs(costs_, PL_INCREMENTAL_COST_TOLERANCE, noc_opts_); + // make sure NoC routing configuration does not create any cycles in CDG + error += (int)noc_cost_handler_->noc_routing_has_cycle(); + } + + if (error == 0) { + VTR_LOGV(!log_printer_.quiet(), + "\nCompleted placement consistency check successfully.\n"); + + } else { + VPR_ERROR(VPR_ERROR_PLACE, + "\nCompleted placement consistency check, %d errors found.\n" + "Aborting program.\n", + error); + } } int Placer::check_placement_costs_() { - int error = 0; - double timing_cost_check; - - double bb_cost_check = net_cost_handler_.comp_bb_cost(e_cost_methods::CHECK); - - if (fabs(bb_cost_check - costs_.bb_cost) > costs_.bb_cost * PL_INCREMENTAL_COST_TOLERANCE) { - VTR_LOG_ERROR( - "bb_cost_check: %g and bb_cost: %g differ in check_place.\n", - bb_cost_check, costs_.bb_cost); - error++; - } - - if (placer_opts_.place_algorithm.is_timing_driven()) { - comp_td_costs(place_delay_model_.get(), *placer_criticalities_, placer_state_, &timing_cost_check); - //VTR_LOG("timing_cost recomputed from scratch: %g\n", timing_cost_check); - if (fabs(timing_cost_check - costs_.timing_cost) > costs_.timing_cost * PL_INCREMENTAL_COST_TOLERANCE) { - VTR_LOG_ERROR( - "timing_cost_check: %g and timing_cost: %g differ in check_place.\n", - timing_cost_check, costs_.timing_cost); - error++; - } - } - return error; -} + int error = 0; + double timing_cost_check; -void Placer::place() { - const auto& timing_ctx = g_vpr_ctx.timing(); - const auto& cluster_ctx = g_vpr_ctx.clustering(); + const auto [bb_cost_check, expected_wirelength] = net_cost_handler_.comp_bb_cost(e_cost_methods::CHECK); + if (fabs(bb_cost_check - costs_.bb_cost) > costs_.bb_cost * PL_INCREMENTAL_COST_TOLERANCE) { + VTR_LOG_ERROR( + "bb_cost_check: %g and bb_cost: %g differ in check_place.\n", + bb_cost_check, costs_.bb_cost); + error++; + } + + if (placer_opts_.place_algorithm.is_timing_driven()) { + comp_td_costs(place_delay_model_.get(), *placer_criticalities_, placer_state_, &timing_cost_check); + if (fabs(timing_cost_check - costs_.timing_cost) > costs_.timing_cost * PL_INCREMENTAL_COST_TOLERANCE) { + VTR_LOG_ERROR( + "timing_cost_check: %g and timing_cost: %g differ in check_place.\n", + timing_cost_check, costs_.timing_cost); + error++; + } + } + return error; +} - bool skip_anneal = false; +void Placer::place() { + const auto& timing_ctx = g_vpr_ctx.timing(); + const auto& cluster_ctx = g_vpr_ctx.clustering(); + bool analytic_place_enabled = false; #ifdef ENABLE_ANALYTIC_PLACE - // Cluster-level analytic placer: when enabled, skip most of the annealing and go straight to quench - if (placer_opts_.enable_analytic_placer) { - skip_anneal = true; - } + // Cluster-level analytic placer: when enabled, skip most of the annealing and go straight to quench + if (placer_opts_.enable_analytic_placer) { + analytic_place_enabled = true; + } #endif - if (!skip_anneal) { - // Table header - log_printer_.print_place_status_header(); + if (!analytic_place_enabled && !quench_only_) { + // Table header + log_printer_.print_place_status_header(); - // Outer loop of the simulated annealing begins - do { - vtr::Timer temperature_timer; + // Outer loop of the simulated annealing begins + do { + vtr::Timer temperature_timer; - annealer_->outer_loop_update_timing_info(); + annealer_->outer_loop_update_timing_info(); - if (placer_opts_.place_algorithm.is_timing_driven()) { - critical_path_ = timing_info_->least_slack_critical_path(); + if (placer_opts_.place_algorithm.is_timing_driven()) { + critical_path_ = timing_info_->least_slack_critical_path(); - // see if we should save the current placement solution as a checkpoint - if (placer_opts_.place_checkpointing && annealer_->get_agent_state() == e_agent_state::LATE_IN_THE_ANNEAL) { - save_placement_checkpoint_if_needed(placer_state_.mutable_block_locs(), - placement_checkpoint_, - timing_info_, costs_, critical_path_.delay()); - } - } + // see if we should save the current placement solution as a checkpoint + if (placer_opts_.place_checkpointing && annealer_->get_agent_state() == e_agent_state::LATE_IN_THE_ANNEAL) { + save_placement_checkpoint_if_needed(placer_state_.mutable_block_locs(), + placement_checkpoint_, + timing_info_, costs_, critical_path_.delay()); + } + } - // do a complete inner loop iteration - annealer_->placement_inner_loop(); + // do a complete inner loop iteration + annealer_->placement_inner_loop(); - log_printer_.print_place_status(temperature_timer.elapsed_sec()); + log_printer_.print_place_status(temperature_timer.elapsed_sec()); - // Outer loop of the simulated annealing ends - } while (annealer_->outer_loop_update_state()); - } //skip_anneal ends + // Outer loop of the simulated annealing ends + } while (annealer_->outer_loop_update_state()); + } //skip_anneal ends // Start Quench annealer_->start_quench(); pre_quench_timing_stats_ = timing_ctx.stats; { // Quench - vtr::ScopedFinishTimer temperature_timer("Placement Quench"); + vtr::ScopedFinishTimer temperature_timer("Placement Quench"); - annealer_->outer_loop_update_timing_info(); + annealer_->outer_loop_update_timing_info(); - /* Run inner loop again with temperature = 0 so as to accept only swaps - * which reduce the cost of the placement */ - annealer_->placement_inner_loop(); + /* Run inner loop again with temperature = 0 so as to accept only swaps + * which reduce the cost of the placement */ + annealer_->placement_inner_loop(); - if (placer_opts_.place_quench_algorithm.is_timing_driven()) { - critical_path_ = timing_info_->least_slack_critical_path(); - } + if (placer_opts_.place_quench_algorithm.is_timing_driven()) { + critical_path_ = timing_info_->least_slack_critical_path(); + } - log_printer_.print_place_status(temperature_timer.elapsed_sec()); + log_printer_.print_place_status(temperature_timer.elapsed_sec()); } post_quench_timing_stats_ = timing_ctx.stats; @@ -337,34 +351,34 @@ void Placer::place() { crit_params.crit_limit = placer_opts_.place_crit_limit; if (placer_opts_.place_algorithm.is_timing_driven()) { - perform_full_timing_update(crit_params, place_delay_model_.get(), placer_criticalities_.get(), - placer_setup_slacks_.get(), pin_timing_invalidator_.get(), - timing_info_.get(), &costs_, placer_state_); + perform_full_timing_update(crit_params, place_delay_model_.get(), placer_criticalities_.get(), + placer_setup_slacks_.get(), pin_timing_invalidator_.get(), + timing_info_.get(), &costs_, placer_state_); - critical_path_ = timing_info_->least_slack_critical_path(); + critical_path_ = timing_info_->least_slack_critical_path(); - VTR_LOG("post-quench CPD = %g (ns) \n", - 1e9 * critical_path_.delay()); + VTR_LOGV(!log_printer_.quiet(), + "post-quench CPD = %g (ns) \n", 1e9 * critical_path_.delay()); } // See if our latest checkpoint is better than the current placement solution if (placer_opts_.place_checkpointing) { - restore_best_placement(placer_state_, - placement_checkpoint_, timing_info_, costs_, - placer_criticalities_, placer_setup_slacks_, place_delay_model_, - pin_timing_invalidator_, crit_params, noc_cost_handler_); + restore_best_placement(placer_state_, + placement_checkpoint_, timing_info_, costs_, + placer_criticalities_, placer_setup_slacks_, place_delay_model_, + pin_timing_invalidator_, crit_params, noc_cost_handler_); } if (placer_opts_.placement_saves_per_temperature >= 1) { - std::string filename = vtr::string_fmt("placement_%03d_%03d.place", - annealing_state.num_temps + 1, 0); - VTR_LOG("Saving final placement to file: %s\n", filename.c_str()); - print_place(nullptr, nullptr, filename.c_str(), placer_state_.mutable_block_locs()); + std::string filename = vtr::string_fmt("placement_%03d_%03d.place", + annealing_state.num_temps + 1, 0); + VTR_LOGV(!log_printer_.quiet(), "Saving final placement to file: %s\n", filename.c_str()); + print_place(nullptr, nullptr, filename.c_str(), placer_state_.mutable_block_locs()); } // Update physical pin values for (const ClusterBlockId block_id : cluster_ctx.clb_nlist.blocks()) { - placer_state_.mutable_blk_loc_registry().place_sync_external_block_connections(block_id); + placer_state_.mutable_blk_loc_registry().place_sync_external_block_connections(block_id); } check_place_(); diff --git a/vpr/src/place/placer.h b/vpr/src/place/placer.h index 99c00d7e8e5..61a8301818c 100644 --- a/vpr/src/place/placer.h +++ b/vpr/src/place/placer.h @@ -17,31 +17,37 @@ #pragma once +#include #include #include -#include "timing_place.h" +#include "annealer.h" #include "place_checkpoint.h" #include "PlacementDelayCalculator.h" #include "placer_state.h" #include "noc_place_utils.h" #include "net_cost_handler.h" #include "placement_log_printer.h" +#include "PlacerSetupSlacks.h" +#include "PlacerCriticalities.h" +#include "NetPinTimingInvalidator.h" -class PlacementAnnealer; -namespace vtr{ +class BlkLocRegistry; +class FlatPlacementInfo; +namespace vtr { class ScopedStartFinishTimer; } class Placer { public: Placer(const Netlist<>& net_list, + std::optional> init_place, const t_placer_opts& placer_opts, const t_analysis_opts& analysis_opts, const t_noc_opts& noc_opts, const IntraLbPbPinLookup& pb_gpin_lookup, const ClusteredPinAtomPinsLookup& netlist_pin_lookup, - const std::vector& directs, + const FlatPlacementInfo& flat_placement_info, std::shared_ptr place_delay_model, bool cube_bb, bool is_flat, @@ -91,6 +97,8 @@ class Placer { std::shared_ptr place_delay_model_; /// Prints logs during placement const PlacementLogPrinter log_printer_; + /// Indicates if the placement quench phase should be skipped. + const bool quench_only_; /// Indicates if flat routing resource graph and delay model is used. It should be false. const bool is_flat_; diff --git a/vpr/src/place/placer_breakpoint.cpp b/vpr/src/place/placer_breakpoint.cpp index a31a0add053..d71430f2090 100644 --- a/vpr/src/place/placer_breakpoint.cpp +++ b/vpr/src/place/placer_breakpoint.cpp @@ -1,6 +1,5 @@ #include "placer_breakpoint.h" - //map of the available move types and their corresponding type number std::map available_move_types = { {0, "Uniform"}}; @@ -17,8 +16,11 @@ void transform_blocks_affected(const t_pl_blocks_to_be_moved& blocksAffected) { } } -void stop_placement_and_check_breakpoints(t_pl_blocks_to_be_moved& blocks_affected, e_move_result move_outcome, - double delta_c, double bb_delta_c, double timing_delta_c) { +void stop_placement_and_check_breakpoints(t_pl_blocks_to_be_moved& blocks_affected, + e_move_result move_outcome, + double delta_c, + double bb_delta_c, + double timing_delta_c) { t_draw_state* draw_state = get_draw_state_vars(); BreakpointState* bp_state = get_bp_state_globals()->get_glob_breakpoint_state(); diff --git a/vpr/src/place/placer_breakpoint.h b/vpr/src/place/placer_breakpoint.h index 510b7071e0d..159e9c40b38 100644 --- a/vpr/src/place/placer_breakpoint.h +++ b/vpr/src/place/placer_breakpoint.h @@ -1,5 +1,5 @@ -#ifndef PLACER_BREAKPOINT_H -#define PLACER_BREAKPOINT_H + +#pragma once #include "globals.h" #include "vpr_types.h" @@ -7,13 +7,12 @@ #include "breakpoint.h" #include "draw.h" - //transforms the vector moved_blocks to a vector of ints and adds it in glob_breakpoint_state void transform_blocks_affected(const t_pl_blocks_to_be_moved& blocksAffected); //checks the breakpoint and see whether one of them was reached and pause place,emt accordingly -void stop_placement_and_check_breakpoints(t_pl_blocks_to_be_moved& blocks_affected, e_move_result move_outcome, - double delta_c, double bb_delta_c, double timing_delta_c); - - -#endif +void stop_placement_and_check_breakpoints(t_pl_blocks_to_be_moved& blocks_affected, + e_move_result move_outcome, + double delta_c, + double bb_delta_c, + double timing_delta_c); diff --git a/vpr/src/place/placer_state.cpp b/vpr/src/place/placer_state.cpp index 998c097b4ca..d85572f6d9c 100644 --- a/vpr/src/place/placer_state.cpp +++ b/vpr/src/place/placer_state.cpp @@ -85,7 +85,6 @@ void PlacerTimingContext::revert_td_cost(const t_pl_blocks_to_be_moved& blocks_a auto& cluster_ctx = g_vpr_ctx.clustering(); auto& clb_nlist = cluster_ctx.clb_nlist; - for (ClusterPinId pin : blocks_affected.affected_pins) { ClusterNetId net = clb_nlist.pin_net(pin); int ipin = clb_nlist.pin_net_index(pin); @@ -98,4 +97,3 @@ void PlacerTimingContext::revert_td_cost(const t_pl_blocks_to_be_moved& blocks_a PlacerState::PlacerState(bool placement_is_timing_driven, bool cube_bb) : timing_(placement_is_timing_driven) , move_(cube_bb) {} - diff --git a/vpr/src/place/placer_state.h b/vpr/src/place/placer_state.h index 8f3b966a56d..9e8d9ee0ca3 100644 --- a/vpr/src/place/placer_state.h +++ b/vpr/src/place/placer_state.h @@ -12,7 +12,7 @@ #include "vpr_context.h" #include "vpr_net_pins_matrix.h" #include "vpr_types.h" -#include "timing_place.h" +#include "PlacerTimingCosts.h" /** * @brief State relating to the timing driven data. @@ -140,18 +140,13 @@ struct PlacerMoveContext : public Context { // Scratch vectors that are used by different directed moves for temporary calculations // These vectors will grow up with the net size as it is mostly used to save coords of the net pins or net bb edges - // Given that placement moves involve operations on each coordinate independently, we chose to + // Given that placement moves involve operations on each coordinate independently, we chose to // utilize a Struct of Arrays (SoA) rather than an Array of Struct (AoS). std::vector X_coord; std::vector Y_coord; std::vector layer_coord; - - // Container to save the highly critical pins (higher than a timing criticality limit set by commandline option) - std::vector> highly_crit_pins; }; - - /** * @brief This object encapsulates VPR placer's state. * diff --git a/vpr/src/place/timing/PlacerCriticalities.cpp b/vpr/src/place/timing/PlacerCriticalities.cpp new file mode 100644 index 00000000000..4cbf1ec66ec --- /dev/null +++ b/vpr/src/place/timing/PlacerCriticalities.cpp @@ -0,0 +1,117 @@ + +#include "PlacerCriticalities.h" + +#include "timing_info.h" +#include "timing_util.h" + +PlacerCriticalities::PlacerCriticalities(const ClusteredNetlist& clb_nlist, + const ClusteredPinAtomPinsLookup& netlist_pin_lookup, + std::shared_ptr timing_info) + : clb_nlist_(clb_nlist) + , pin_lookup_(netlist_pin_lookup) + , timing_info_(std::move(timing_info)) + , timing_place_crit_(make_net_pins_matrix(clb_nlist_, std::numeric_limits::quiet_NaN())) { +} + +void PlacerCriticalities::update_criticalities(const PlaceCritParams& crit_params) { + // If update is not enabled, exit the routine. + if (!update_enabled) { + // re-computation is required on the next iteration + recompute_required = true; + return; + } + + // Determine what pins need updating + if (!recompute_required && crit_params.crit_exponent == last_crit_exponent_) { + incr_update_criticalities(); + } else { + recompute_criticalities(); + + // Record new criticality exponent + last_crit_exponent_ = crit_params.crit_exponent; + } + + /* Performs a 1-to-1 mapping from criticality to timing_place_crit_. + * For every pin on every net (or, equivalently, for every tedge ending + * in that pin), timing_place_crit_ = criticality^(criticality exponent) */ + + // Update the affected pins + for (ClusterPinId clb_pin : cluster_pins_with_modified_criticality_) { + ClusterNetId clb_net = clb_nlist_.pin_net(clb_pin); + int pin_index_in_net = clb_nlist_.pin_net_index(clb_pin); + + float clb_pin_crit = calculate_clb_net_pin_criticality(*timing_info_, pin_lookup_, ParentPinId(size_t(clb_pin)), /*is_flat=*/false); + float new_crit = pow(clb_pin_crit, crit_params.crit_exponent); + + /* Update the highly critical pins container + * + * If the old criticality < limit and the new criticality > limit --> add this pin to the highly critical pins + * If the old criticality > limit and the new criticality < limit --> remove this pin from the highly critical pins + */ + if (!first_time_update_criticality) { + if (new_crit > crit_params.crit_limit && timing_place_crit_[clb_net][pin_index_in_net] < crit_params.crit_limit) { + highly_crit_pins.emplace_back(clb_net, pin_index_in_net); + } else if (new_crit < crit_params.crit_limit && timing_place_crit_[clb_net][pin_index_in_net] > crit_params.crit_limit) { + highly_crit_pins.erase(std::remove(highly_crit_pins.begin(), highly_crit_pins.end(), std::make_pair(clb_net, pin_index_in_net)), + highly_crit_pins.end()); + } + } else { + if (new_crit > crit_params.crit_limit) { + highly_crit_pins.emplace_back(clb_net, pin_index_in_net); + } + } + + /* The placer likes a great deal of contrast between criticalities. + * Since path criticality varies much more than timing, we "sharpen" timing + * criticality by taking it to some power, crit_exponent (between 1 and 8 by default). */ + timing_place_crit_[clb_net][pin_index_in_net] = new_crit; + } + + /* Criticalities updated. In sync with timing info. + * Can be incrementally updated on the next iteration */ + recompute_required = false; + + first_time_update_criticality = false; +} + +void PlacerCriticalities::set_recompute_required() { + recompute_required = true; +} + +void PlacerCriticalities::incr_update_criticalities() { + cluster_pins_with_modified_criticality_.clear(); + + for (AtomPinId atom_pin : timing_info_->pins_with_modified_setup_criticality()) { + ClusterPinId clb_pin = pin_lookup_.connected_clb_pin(atom_pin); + + /* Some atom pins correspond to connections which are completely + * contained within a cluster, and hence have no corresponding + * clustered pin. */ + if (!clb_pin) continue; + + cluster_pins_with_modified_criticality_.insert(clb_pin); + } +} + +void PlacerCriticalities::recompute_criticalities() { + cluster_pins_with_modified_criticality_.clear(); + + // Non-incremental: all sink pins need updating + for (ClusterNetId net_id : clb_nlist_.nets()) { + for (ClusterPinId pin_id : clb_nlist_.net_sinks(net_id)) { + cluster_pins_with_modified_criticality_.insert(pin_id); + } + } +} + +///@brief Override the criticality of a particular connection. +void PlacerCriticalities::set_criticality(ClusterNetId net_id, int ipin, float crit_val) { + VTR_ASSERT_SAFE_MSG(ipin > 0, "The pin should not be a driver pin (ipin != 0)"); + VTR_ASSERT_SAFE_MSG(ipin < int(clb_nlist_.net_pins(net_id).size()), "The pin index in net should be smaller than fanout"); + + timing_place_crit_[net_id][ipin] = crit_val; +} + +PlacerCriticalities::pin_range PlacerCriticalities::pins_with_modified_criticality() const { + return vtr::make_range(cluster_pins_with_modified_criticality_); +} diff --git a/vpr/src/place/timing/PlacerCriticalities.h b/vpr/src/place/timing/PlacerCriticalities.h new file mode 100644 index 00000000000..5ad0ef80735 --- /dev/null +++ b/vpr/src/place/timing/PlacerCriticalities.h @@ -0,0 +1,214 @@ + +#pragma once + +#include "vtr_vec_id_set.h" +#include "timing_info_fwd.h" +#include "clustered_netlist_utils.h" +#include "place_delay_model.h" +#include "vpr_net_pins_matrix.h" + +/** + * @brief Saves the placement criticality parameters + * + * crit_exponent: The criticality exponent used to sharpen the criticalities + * crit_limit: The limit to consider a pin as timing critical + */ +struct PlaceCritParams { + float crit_exponent; + float crit_limit; +}; + +/** + * @brief PlacerCriticalities returns the clustered netlist connection criticalities + * used by the placer ('sharpened' by a criticality exponent). + * + * Usage + * ===== + * This class also serves to map atom netlist level criticalites (i.e. on AtomPinIds) + * to the clustered netlist (i.e. ClusterPinIds) used during placement. + * + * Criticalities are updated by update_criticalities(), given that `update_enabled` is + * set to true. It will update criticalities based on the atom netlist connection + * criticalities provided by the passed in SetupTimingInfo. + * + * This process can be done incrementally, based on the modified connections/AtomPinIds + * returned by SetupTimingInfo. However, the set returned only reflects the connections + * changed by the last call to the timing info update (update_setup() method of SetupTimingInfo). + * + * Therefore, if SetupTimingInfo is updated twice in a row without criticalities + * getting updated after the first update of SetupTimingInfo (PlacerCriticalities::update_enabled = false), + * the returned set of modified connections/AtomPinIds by SetupTimingInfo after its second update does not + * account for all the connections that have been modified. + * To address this issue, whenever update_criticalities() is called with flag update_enabled = false, + * we don't update criticalities and set flag recompute_required to true to remember that criticalities + * need to be recomputed from scratch in the first call to update_criticalities() with update_enabled = true. + * + * This class also maps/transforms the modified atom connections/pins returned by the + * timing info into modified clustered netlist connections/pins after calling + * update_criticalities(). The interface then enables users to iterate over this range + * via pins_with_modified_criticalities(). This is useful for incrementally re-calculating + * the timing costs. + * + * The criticalities of individual connections can then be queried by calling the + * criticality() member function. + * + * Implementation + * ============== + * To support incremental re-calculation, the class saves the last criticality exponent + * passed to PlacerCriticalities::update_criticalites(). If the next update uses the same + * exponent, criticalities can be incrementally updated. Otherwise, they must be re-calculated + * from scratch, since a change in exponent changes *all* criticalities. + * + * Calculating criticalities: + * All the raw setup slack values across a single clock domain are gathered + * and rated from the best to the worst in terms of criticalities. In order + * to calculate criticalities, all the slack values need to be non-negative. + * Hence, if the worst slack is negative, all the slack values are shifted + * by the value of the worst slack so that the value is at least 0. If the + * worst slack is positive, then no shift happens. + * + * The best (shifted) slack (the most positive one) will have a criticality of 0. + * The worst (shifted) slack value will have a criticality of 1. + * + * Criticalities are used to calculated timing costs for each connection. + * The formula is cost = delay * criticality. + * + * For a more detailed description on how criticalities are calculated, see + * calc_relaxed_criticality() in `timing_util.cpp`. + */ +class PlacerCriticalities { + public: //Types + typedef vtr::vec_id_set::iterator pin_iterator; + typedef vtr::vec_id_set::iterator net_iterator; + + typedef vtr::Range pin_range; + typedef vtr::Range net_range; + + public: //Lifetime + /** + * @brief Allocates space for the timing_place_crit_ data structure. + * @param clb_nlist Used to lookup and iterate clustered netlist connections. + * @param netlist_pin_lookup Used to lookup Atom/Clustered pins connected to a Clustered/Atom pin. + * @param timing_info Holds setup timing info. + * + * @note timing_info may be shared by multiple objects with different lifetimes. + * To ensure timing_info is destroyed only after all its user object are destructed, + * each user object should hold a shared_ptr to it. + */ + PlacerCriticalities(const ClusteredNetlist& clb_nlist, + const ClusteredPinAtomPinsLookup& netlist_pin_lookup, + std::shared_ptr timing_info); + + PlacerCriticalities(const PlacerCriticalities&) = delete; + PlacerCriticalities& operator=(const PlacerCriticalities&) = delete; + + public: //Accessors + ///@brief Returns the criticality of the specified connection. + float criticality(ClusterNetId net, int ipin) const { return timing_place_crit_[net][ipin]; } + + /** + * @brief Returns the range of clustered netlist pins (i.e. ClusterPinIds) which + * were modified by the last call to PlacerCriticalities::update_criticalities(). + */ + pin_range pins_with_modified_criticality() const; + + /// @brief Returns a constant reference to highly critical pins + const std::vector>& get_highly_critical_pins() const { return highly_crit_pins; } + + public: //Modifiers + /** + * @brief Updates criticalities based on the atom netlist criticalities + * provided by timing_info and the provided criticality_exponent. + * + * Should consistently call this method after the most recent timing analysis to + * keep the criticalities stored in this class in sync with the timing analyzer. + * If out of sync, then the criticalities cannot be incrementally updated on + * during the next timing analysis iteration. + * + * If the criticalities are not updated immediately after each time we call + * timing_info->update(), then timing_info->pins_with_modified_setup_criticality() + * cannot accurately account for all the pins that need to be updated. In this case, + * `recompute_required` would be true, and we update all criticalities from scratch. + * If the criticality exponent has changed, we also need to update from scratch. + */ + void update_criticalities(const PlaceCritParams& crit_params); + + ///@bried Enable the recompute_required flag to enforce from scratch update. + void set_recompute_required(); + + /** + * @brief Collect all the sink pins in the netlist and prepare them update. + * + * For the incremental version, see PlacerCriticalities::incr_update_criticalities(). + */ + void recompute_criticalities(); + + ///@brief Override the criticality of a particular connection. + void set_criticality(ClusterNetId net, int ipin, float crit_val); + + ///@brief Set `update_enabled` to true. + void enable_update() { update_enabled = true; } + + ///@brief Set `update_enabled` to true. + void disable_update() { update_enabled = false; } + + private: //Data + ///@brief The clb netlist in the placement context. + const ClusteredNetlist& clb_nlist_; + + ///@brief The lookup table that maps atom pins to clb pins. + const ClusteredPinAtomPinsLookup& pin_lookup_; + + ///@brief A pointer to the setup timing analyzer + std::shared_ptr timing_info_; + + /** + * @brief The matrix that stores criticality value for each connection. + * + * Index range: [0..cluster_ctx.clb_nlist.nets().size()-1][1..num_pins-1] + */ + ClbNetPinsMatrix timing_place_crit_; + + /** + * The criticality exponent when update_criticalites() was last called + * (used to detect if incremental update can be used). + */ + float last_crit_exponent_ = std::numeric_limits::quiet_NaN(); + + ///@brief Set of pins with criticalities modified by last call to update_criticalities(). + vtr::vec_id_set cluster_pins_with_modified_criticality_; + + /** + * @brief Collect the cluster pins which need to be updated based on the latest timing + * analysis so that incremental updates to criticalities can be performed. + * + * Note we use the set of pins reported by the *timing_info* as having modified + * criticality, rather than those marked as modified by the timing analyzer. + * + * Since timing_info uses shifted/relaxed criticality (which depends on max required + * time and worst case slacks), additional nodes may be modified when updating the + * atom pin criticalities. + */ + void incr_update_criticalities(); + + ///@brief Flag that turns on/off the update_criticalities() routine. + bool update_enabled = true; + + /** + * @brief Flag that checks if criticalities need to be recomputed for all connections. + * + * Used by the method update_criticalities(). They incremental update is not possible + * if this method wasn't called updated after the previous timing info update. + */ + bool recompute_required = true; + + /** + * @brief if this is first time to call update_criticality + * + * This can be used for incremental criticality update and also incrementally update the highly critical pins + */ + bool first_time_update_criticality = true; + + /// @brief Saves the highly critical pins (higher than a timing criticality limit set by commandline option) + std::vector> highly_crit_pins; +}; diff --git a/vpr/src/place/timing/PlacerSetupSlacks.cpp b/vpr/src/place/timing/PlacerSetupSlacks.cpp new file mode 100644 index 00000000000..ac8f74d2d7e --- /dev/null +++ b/vpr/src/place/timing/PlacerSetupSlacks.cpp @@ -0,0 +1,81 @@ + +#include "PlacerSetupSlacks.h" + +#include "timing_util.h" +#include "timing_info.h" + +PlacerSetupSlacks::PlacerSetupSlacks(const ClusteredNetlist& clb_nlist, + const ClusteredPinAtomPinsLookup& netlist_pin_lookup, + std::shared_ptr timing_info) + : clb_nlist_(clb_nlist) + , pin_lookup_(netlist_pin_lookup) + , timing_info_(std::move(timing_info)) + , timing_place_setup_slacks_(make_net_pins_matrix(clb_nlist_, std::numeric_limits::quiet_NaN())) { +} + +void PlacerSetupSlacks::update_setup_slacks() { + // If update is not enabled, exit the routine. + if (!update_enabled) { + // re-computation is required on the next iteration + recompute_required = true; + return; + } + + // Determine what pins need updating + if (!recompute_required) { + incr_update_setup_slacks(); + } else { + recompute_setup_slacks(); + } + + // Update the affected pins + for (ClusterPinId clb_pin : cluster_pins_with_modified_setup_slack_) { + ClusterNetId clb_net = clb_nlist_.pin_net(clb_pin); + int pin_index_in_net = clb_nlist_.pin_net_index(clb_pin); + + float clb_pin_setup_slack = calculate_clb_net_pin_setup_slack(*timing_info_, pin_lookup_, clb_pin); + + timing_place_setup_slacks_[clb_net][pin_index_in_net] = clb_pin_setup_slack; + } + + /* Setup slacks updated. In sync with timing info. + * Can be incrementally updated on the next iteration. */ + recompute_required = false; +} + +void PlacerSetupSlacks::incr_update_setup_slacks() { + cluster_pins_with_modified_setup_slack_.clear(); + + for (AtomPinId atom_pin : timing_info_->pins_with_modified_setup_slack()) { + ClusterPinId clb_pin = pin_lookup_.connected_clb_pin(atom_pin); + + //Some atom pins correspond to connections which are completely + //contained within a cluster, and hence have no corresponding + //clustered pin. + if (!clb_pin) continue; + + cluster_pins_with_modified_setup_slack_.insert(clb_pin); + } +} + +void PlacerSetupSlacks::recompute_setup_slacks() { + cluster_pins_with_modified_setup_slack_.clear(); + + // Non-incremental: all sink pins need updating + for (ClusterNetId net_id : clb_nlist_.nets()) { + for (ClusterPinId pin_id : clb_nlist_.net_sinks(net_id)) { + cluster_pins_with_modified_setup_slack_.insert(pin_id); + } + } +} + +void PlacerSetupSlacks::set_setup_slack(ClusterNetId net_id, int ipin, float slack_val) { + VTR_ASSERT_SAFE_MSG(ipin > 0, "The pin should not be a driver pin (ipin != 0)"); + VTR_ASSERT_SAFE_MSG(ipin < int(clb_nlist_.net_pins(net_id).size()), "The pin index in net should be smaller than fanout"); + + timing_place_setup_slacks_[net_id][ipin] = slack_val; +} + +PlacerSetupSlacks::pin_range PlacerSetupSlacks::pins_with_modified_setup_slack() const { + return vtr::make_range(cluster_pins_with_modified_setup_slack_); +} diff --git a/vpr/src/place/timing/PlacerSetupSlacks.h b/vpr/src/place/timing/PlacerSetupSlacks.h new file mode 100644 index 00000000000..521d83809ca --- /dev/null +++ b/vpr/src/place/timing/PlacerSetupSlacks.h @@ -0,0 +1,128 @@ + +#pragma once + +#include "vtr_vec_id_set.h" +#include "timing_info_fwd.h" +#include "clustered_netlist_utils.h" +#include "place_delay_model.h" +#include "vpr_net_pins_matrix.h" + +/** + * @brief PlacerSetupSlacks returns the RAW setup slacks of clustered netlist connection. + * + * Usage + * ===== + * This class mirrors PlacerCriticalities by both its methods and its members. The only + * difference is that this class deals with RAW setup slacks returned by SetupTimingInfo + * rather than criticalities. See the documentation on PlacerCriticalities for more. + * + * RAW setup slacks are unlike criticalities. Their values are not confined between + * 0 and 1. Their values can be either positive or negative. + * + * This class also provides iterating over the clustered netlist connections/pins that + * have modified setup slacks by the last call to update_setup_slacks(). However, this + * utility is mainly used for incrementally committing the setup slack values into the + * structure `connection_setup_slack` used by many placer routines. + */ +class PlacerSetupSlacks { + public: //Types + typedef vtr::vec_id_set::iterator pin_iterator; + typedef vtr::vec_id_set::iterator net_iterator; + + typedef vtr::Range pin_range; + typedef vtr::Range net_range; + + public: //Lifetime + ///@brief Allocates space for the timing_place_setup_slacks_ data structure. + PlacerSetupSlacks(const ClusteredNetlist& clb_nlist, + const ClusteredPinAtomPinsLookup& netlist_pin_lookup, + std::shared_ptr timing_info); + + PlacerSetupSlacks(const PlacerSetupSlacks& clb_nlist) = delete; + PlacerSetupSlacks& operator=(const PlacerSetupSlacks& clb_nlist) = delete; + + public: //Accessors + ///@brief Returns the setup slack of the specified connection. + float setup_slack(ClusterNetId net, int ipin) const { return timing_place_setup_slacks_[net][ipin]; } + + /** + * @brief Returns the range of clustered netlist pins (i.e. ClusterPinIds) + * which were modified by the last call to PlacerSetupSlacks::update_setup_slacks(). + */ + pin_range pins_with_modified_setup_slack() const; + + public: //Modifiers + /** + * @brief Updates setup slacks based on the atom netlist setup slacks provided + * by timing_info_. + * + * @note This function updates the setup slacks in the timing_place_setup_slacks_ + * data structure. + * + * Should consistently call this method after the most recent timing analysis to + * keep the setup slacks stored in this class in sync with the timing analyzer. + * If out of sync, then the setup slacks cannot be incrementally updated during + * the next timing analysis iteration. + * + * If the setup slacks are not updated immediately after each time we cal + * timing_info->update(), then timing_info->pins_with_modified_setup_slack() + * cannot accurately account for all the pins that need to be updated. + * In this case, `recompute_required` would be true, and we update all setup slacks + * from scratch. + */ + void update_setup_slacks(); + + ///@bried Enable the recompute_required flag to enforce from scratch update. + void set_recompute_required() { recompute_required = true; } + + ///@brief Override the setup slack of a particular connection. + void set_setup_slack(ClusterNetId net, int ipin, float slack_val); + + ///@brief Set `update_enabled` to true. + void enable_update() { update_enabled = true; } + + ///@brief Set `update_enabled` to true. + void disable_update() { update_enabled = false; } + + private: //Data + const ClusteredNetlist& clb_nlist_; + const ClusteredPinAtomPinsLookup& pin_lookup_; + std::shared_ptr timing_info_; + + /** + * @brief The matrix that stores raw setup slack values for each connection. + * + * Index range: [0..cluster_ctx.clb_nlist.nets().size()-1][1..num_pins-1] + */ + ClbNetPinsMatrix timing_place_setup_slacks_; + + ///@brief Set of pins with raw setup slacks modified by last call to update_setup_slacks() + vtr::vec_id_set cluster_pins_with_modified_setup_slack_; + + /** + * @brief Collect the cluster pins which need to be updated based on the latest timing + * analysis so that incremental updates to setup slacks can be performed. + * + * Note we use the set of pins reported by the *timing_info* as having modified + * setup slacks, rather than those marked as modified by the timing analyzer. + */ + void incr_update_setup_slacks(); + + /** + * @brief Collect all the sink pins in the netlist and prepare them update. + * + * For the incremental version, see PlacerSetupSlacks::incr_update_setup_slacks(). + */ + void recompute_setup_slacks(); + + ///@brief Flag that turns on/off the update_setup_slacks() routine. + bool update_enabled = true; + + /** + * @brief Flag that checks if setup slacks need to be recomputed for all connections. + * + * Used by the method update_setup_slacks(). They incremental update is not possible + * if this method wasn't called updated after the previous timing info update. + */ + bool recompute_required = true; +}; diff --git a/vpr/src/place/timing/PlacerTimingCosts.cpp b/vpr/src/place/timing/PlacerTimingCosts.cpp new file mode 100644 index 00000000000..483111a14e6 --- /dev/null +++ b/vpr/src/place/timing/PlacerTimingCosts.cpp @@ -0,0 +1,126 @@ + +#include "PlacerTimingCosts.h" + +PlacerTimingCosts::PlacerTimingCosts(const ClusteredNetlist& nlist) { + auto nets = nlist.nets(); + + net_start_indices_.resize(nets.size()); + + // Walk through the netlist to determine how many connections there are. + size_t iconn = 0; + for (ClusterNetId net : nets) { + // The placer always skips 'ignored' nets, so they don't affect timing + // costs, so we also skip them here + if (nlist.net_is_ignored(net)) { + net_start_indices_[net] = OPEN; + continue; + } + + // Save the starting index of the current net's connections. + // We use a -1 offset, since sinks indexed from [1..num_net_pins-1] + // (there is no timing cost associated with net drivers) + net_start_indices_[net] = iconn - 1; + + // Reserve space for all this net's connections + iconn += nlist.net_sinks(net).size(); + } + + const size_t num_connections = iconn; + + // Determine how many binary tree levels we need to have a leaf for each connection cost + size_t ilevel = 0; + while (num_nodes_in_level(ilevel) < num_connections) { + ++ilevel; + } + num_levels_ = ilevel + 1; + + size_t num_leaves = num_nodes_in_level(ilevel); + size_t num_nodes_in_previous_level = num_nodes_in_level(ilevel - 1); + + VTR_ASSERT_MSG(num_leaves >= num_connections, "Need at least as many leaves as connections"); + VTR_ASSERT_MSG(num_connections == 0 || num_nodes_in_previous_level < num_connections, + "Level before should have fewer nodes than connections (to ensure using the smallest binary tree)"); + + // We don't need to store all possible leaves if we have fewer connections (i.e. bottom-right of tree is empty) + size_t last_level_unused_nodes = num_nodes_in_level(ilevel) - num_connections; + size_t num_nodes = num_nodes_up_to_level(ilevel) - last_level_unused_nodes; + + // Reserve space for connection costs and intermediate node values + connection_costs_ = std::vector(num_nodes, std::numeric_limits::quiet_NaN()); + + // The net start indices we calculated earlier didn't account for intermediate binary tree nodes + // Shift the start indices after the intermediate nodes + size_t num_intermediate_nodes = num_nodes_up_to_level(ilevel - 1); + for (ClusterNetId net : nets) { + if (nlist.net_is_ignored(net)) continue; + + net_start_indices_[net] = net_start_indices_[net] + num_intermediate_nodes; + } +} + +double PlacerTimingCosts::total_cost_recurr(size_t inode) { + // Prune out-of-tree + if (inode > connection_costs_.size() - 1) { + return 0.; + } + + //Valid pre-calculated intermediate result or valid leaf + if (!std::isnan(connection_costs_[inode])) { + return connection_costs_[inode]; + } + + //Recompute recursively + double node_cost = total_cost_recurr(left_child(inode)) + + total_cost_recurr(right_child(inode)); + + //Save intermediate cost at this node + connection_costs_[inode] = node_cost; + + return node_cost; +} + +double PlacerTimingCosts::total_cost_from_scratch(size_t inode) const { + // Prune out-of-tree + if (inode > connection_costs_.size() - 1) { + return 0.; + } + + //Recompute recursively + double node_cost = total_cost_from_scratch(left_child(inode)) + + total_cost_from_scratch(right_child(inode)); + + return node_cost; +} + +void PlacerTimingCosts::invalidate(const double* invalidated_cost) { + //Check pointer within range of internal storage + VTR_ASSERT_SAFE_MSG( + invalidated_cost >= &connection_costs_[0], + "Connection cost pointer should be after start of internal storage"); + + VTR_ASSERT_SAFE_MSG( + invalidated_cost <= &connection_costs_[connection_costs_.size() - 1], + "Connection cost pointer should be before end of internal storage"); + + size_t icost = invalidated_cost - &connection_costs_[0]; + + VTR_ASSERT_SAFE(icost >= num_nodes_up_to_level(num_levels_ - 2)); + + //Invalidate parent intermediate costs up to root or first + //already-invalidated parent + size_t iparent = parent(icost); + + while (!std::isnan(connection_costs_[iparent])) { + //Invalidate + connection_costs_[iparent] = std::numeric_limits::quiet_NaN(); + + if (iparent == 0) { + break; //At root + } else { + //Next parent + iparent = parent(iparent); + } + } + + VTR_ASSERT_SAFE_MSG(std::isnan(connection_costs_[0]), "Invalidating any connection should have invalidated the root"); +} diff --git a/vpr/src/place/timing/PlacerTimingCosts.h b/vpr/src/place/timing/PlacerTimingCosts.h new file mode 100644 index 00000000000..5e1415581c3 --- /dev/null +++ b/vpr/src/place/timing/PlacerTimingCosts.h @@ -0,0 +1,242 @@ + +#pragma once +#include "vtr_vec_id_set.h" +#include "timing_info_fwd.h" +#include "clustered_netlist_utils.h" +#include "place_delay_model.h" +#include "vpr_net_pins_matrix.h" + +/** + * @brief PlacerTimingCosts mimics a 2D array of connection timing costs running from: + * [0..cluster_ctx.clb_nlist.nets().size()-1][1..num_pins-1]. + * + * It can be used similar to: + * + * PlacerTimingCosts connection_timing_costs(cluster_ctx.clb_nlist); //Construct + * + * //... + * + * //Modify a connection cost + * connection_timing_costs[net_id][ipin] = new_cost; + * + * //Potentially other modifications... + * + * //Calculate the updated timing cost, of all connections, + * //incrementally based on modifications + * float total_timing_cost = connection_timing_costs.total_cost(); + * + * However behind the scenes PlacerTimingCosts tracks when connection costs are modified, + * and efficiently re-calculates the total timing cost incrementally based on the connections + * which have had their cost modified. + * + * Implementation + * ============== + * Internally, PlacerTimingCosts stores all connection costs in a flat array in the last part + * of connection_costs_. To mimic 2d-array like access PlacerTimingCosts also uses two proxy + * classes which allow indexing in the net and pin dimensions (NetProxy and ConnectionProxy + * respectively). + * + * The first part of connection_costs_ stores intermediate sums of the connection costs for + * efficient incremental re-calculation. More concretely, connection_costs_ stores a binary + * tree, where leaves correspond to individual connection costs and intermediate nodes the + * partial sums of the connection costs. (The binary tree is stored implicitly in the + * connection_costs_ vector, using Eytzinger's/BFS layout.) By summing the entire binary + * tree we calculate the total timing cost over all connections. + * + * Using a binary tree allows us to efficiently re-calculate the timing costs when only a subset + * of connections are changed. This is done by 'invalidating' intermediate nodes (from leaves up + * to the root) which have ancestors (leaves) with modified connection costs. When the + * total_cost() method is called, it recursively walks the binary tree to re-calculate the cost. + * Only invalidated nodes are traversed, with valid nodes just returning their previously + * calculated (and unchanged) value. + * + * For a circuit with 'K' connections, of which 'k' have changed (typically k << K), this can + * be done in O(k log K) time. + * + * It is important to note that due to limited floating point precision, floating point + * arithmetic has an order dependence (due to round-off). Using a binary tree to total + * the timing connection costs allows us to incrementally update the total timing cost while + * maintaining the *same order of operations* as if it was re-computed from scratch. This + * ensures we *always* get consistent results regardless of what/when connections are changed. + * + * Proxy Classes + * ============= + * NetProxy is returned by PlacerTimingCost's operator[], and stores a pointer to the start of + * internal storage of that net's connection costs. + * + * ConnectionProxy is returned by NetProxy's operator[], and holds a reference to a particular + * element of the internal storage pertaining to a specific connection's cost. ConnectionProxy + * supports assignment, allowing clients to modify the connection cost. It also detects if the + * assigned value differs from the previous value and if so, calls PlacerTimingCosts's + * invalidate() method on that connection cost. + * + * PlacerTimingCosts's invalidate() method marks the cost element's ancestors as invalid (NaN) + * so they will be re-calculated by PlacerTimingCosts' total_cost() method. + */ +class PlacerTimingCosts { + public: + PlacerTimingCosts() = default; + + PlacerTimingCosts(const ClusteredNetlist& nlist); + + /** + * @brief Proxy class representing a connection cost. + * + * Supports modification of connection cost while detecting + * changes and reporting them up to PlacerTimingCosts. + */ + class ConnectionProxy { + public: + ConnectionProxy(PlacerTimingCosts* timing_costs, double& connection_cost) + : timing_costs_(timing_costs) + , connection_cost_(connection_cost) {} + + ///@brief Allow clients to modify the connection cost via assignment. + ConnectionProxy& operator=(double new_cost) { + if (new_cost != connection_cost_) { + //If connection cost changed, update it, and mark it + //as invalidated + connection_cost_ = new_cost; + timing_costs_->invalidate(&connection_cost_); + } + return *this; + } + + /** + * @brief Support getting the current connection cost as a double. + * + * Useful for client code operating on the cost values (e.g. difference between costs). + */ + operator double() const { + return connection_cost_; + } + + private: + PlacerTimingCosts* timing_costs_; + double& connection_cost_; + }; + + /** + * @brief Proxy class representing the connection costs of a net. + * + * Supports indexing by pin index to retrieve the ConnectionProxy for that pin/connection. + */ + class NetProxy { + public: + NetProxy(PlacerTimingCosts* timing_costs, double* net_sink_costs) + : timing_costs_(timing_costs) + , net_sink_costs_(net_sink_costs) {} + + ///@brief Indexes into the specific net pin/connection. + ConnectionProxy operator[](size_t ipin) { + return ConnectionProxy(timing_costs_, net_sink_costs_[ipin]); + } + + const ConnectionProxy operator[](size_t ipin) const { + return ConnectionProxy(timing_costs_, net_sink_costs_[ipin]); + } + + private: + PlacerTimingCosts* timing_costs_; + double* net_sink_costs_; + }; + + ///@brief Indexes into the specific net. + NetProxy operator[](ClusterNetId net_id) { + VTR_ASSERT_SAFE(net_start_indices_[net_id] >= 0); + + double* net_connection_costs = &connection_costs_[net_start_indices_[net_id]]; + return NetProxy(this, net_connection_costs); + } + + NetProxy operator[](ClusterNetId net_id) const { + VTR_ASSERT_SAFE(net_start_indices_[net_id] >= 0); + + const double* net_connection_costs = &connection_costs_[net_start_indices_[net_id]]; + return NetProxy(const_cast(this), const_cast(net_connection_costs)); + } + + void clear() { + connection_costs_.clear(); + net_start_indices_.clear(); + } + + void swap(PlacerTimingCosts& other) { + std::swap(connection_costs_, other.connection_costs_); + std::swap(net_start_indices_, other.net_start_indices_); + std::swap(num_levels_, other.num_levels_); + } + + /** + * @brief Calculates the total cost of all connections efficiently + * in the face of modified connection costs. + */ + double total_cost() { + float cost = total_cost_recurr(0); //Root + + VTR_ASSERT_DEBUG_MSG(cost == total_cost_from_scratch(0), + "Expected incremental and from-scratch costs to be consistent"); + + return cost; + } + + private: + ///@brief Recursively calculate and update the timing cost rooted at inode. + double total_cost_recurr(size_t inode); + + double total_cost_from_scratch(size_t inode) const; + + ///@brief Friend-ed so it can call invalidate(). + friend ConnectionProxy; + + void invalidate(const double* invalidated_cost); + + static size_t left_child(size_t i) { + return 2 * i + 1; + } + + static size_t right_child(size_t i) { + return 2 * i + 2; + } + + static size_t parent(size_t i) { + return (i - 1) / 2; + } + + /** + * @brief Returns the number of nodes in ilevel'th level. + * + * If ilevel is negative, return 0, since the root shouldn't + * be counted as a leaf node candidate. + */ + static size_t num_nodes_in_level(int ilevel) { + return ilevel < 0 ? 0 : (2 << (ilevel)); + } + + ///@brief Returns the total number of nodes in levels [0..ilevel] (inclusive). + static size_t num_nodes_up_to_level(int ilevel) { + return (2 << (ilevel + 1)) - 1; + } + + private: + /** + * @brief Vector storing the implicit binary tree of connection costs. + * + * The actual connections are stored at the end of the vector + * (last level of the binary tree). The earlier portions of + * the tree are the intermediate nodes. + * + * The methods left_child()/right_child()/parent() can be used + * to traverse the tree by indices into this vector. + */ + std::vector connection_costs_; + + /** + * @brief Vector storing the indices of the first connection + * for each net in the netlist, used for indexing by net. + */ + vtr::vector net_start_indices_; + + ///@brief Number of levels in the binary tree. + size_t num_levels_ = 0; +}; diff --git a/vpr/src/place/place_timing_update.cpp b/vpr/src/place/timing/place_timing_update.cpp similarity index 98% rename from vpr/src/place/place_timing_update.cpp rename to vpr/src/place/timing/place_timing_update.cpp index c9c53b88f90..e038af00860 100644 --- a/vpr/src/place/place_timing_update.cpp +++ b/vpr/src/place/timing/place_timing_update.cpp @@ -3,10 +3,14 @@ * @brief Defines the routines declared in place_timing_update.h. */ -#include "vtr_time.h" - #include "place_timing_update.h" + +#include "NetPinTimingInvalidator.h" +#include "PlacerCriticalities.h" +#include "PlacerSetupSlacks.h" #include "placer_state.h" +#include "place_util.h" +#include "vtr_time.h" /* Routines local to place_timing_update.cpp */ static double comp_td_connection_cost(const PlaceDelayModel* delay_model, @@ -94,8 +98,7 @@ void perform_full_timing_update(const PlaceCritParams& crit_params, timing_info, criticalities, setup_slacks, - pin_timing_invalidator, - placer_state); + pin_timing_invalidator); /* Update the timing cost with new connection criticalities. */ update_timing_cost(delay_model, @@ -136,13 +139,12 @@ void update_timing_classes(const PlaceCritParams& crit_params, SetupTimingInfo* timing_info, PlacerCriticalities* criticalities, PlacerSetupSlacks* setup_slacks, - NetPinTimingInvalidator* pin_timing_invalidator, - PlacerState& placer_state) { + NetPinTimingInvalidator* pin_timing_invalidator) { /* Run STA to update slacks and adjusted/relaxed criticalities. */ timing_info->update(); /* Update the placer's criticalities (e.g. sharpen with crit_exponent). */ - criticalities->update_criticalities(crit_params, placer_state); + criticalities->update_criticalities(crit_params); /* Update the placer's raw setup slacks. */ setup_slacks->update_setup_slacks(); diff --git a/vpr/src/place/place_timing_update.h b/vpr/src/place/timing/place_timing_update.h similarity index 93% rename from vpr/src/place/place_timing_update.h rename to vpr/src/place/timing/place_timing_update.h index 7944c4a7552..8e7a0dc1f46 100644 --- a/vpr/src/place/place_timing_update.h +++ b/vpr/src/place/timing/place_timing_update.h @@ -4,10 +4,15 @@ */ #pragma once -#include "timing_place.h" -#include "place_util.h" -#include "NetPinTimingInvalidator.h" +class PlacerState; +class PlaceCritParams; +class PlacerCriticalities; +class PlacerSetupSlacks; +class NetPinTimingInvalidator; +class PlaceDelayModel; +class SetupTimingInfo; +struct t_placer_costs; ///@brief Initialize the timing information and structures in the placer. void initialize_timing_info(const PlaceCritParams& crit_params, @@ -34,8 +39,7 @@ void update_timing_classes(const PlaceCritParams& crit_params, SetupTimingInfo* timing_info, PlacerCriticalities* criticalities, PlacerSetupSlacks* setup_slacks, - NetPinTimingInvalidator* pin_timing_invalidator, - PlacerState& placer_state); + NetPinTimingInvalidator* pin_timing_invalidator); ///@brief Updates the timing driven (td) costs. void update_timing_cost(const PlaceDelayModel* delay_model, diff --git a/vpr/src/place/timing_place.cpp b/vpr/src/place/timing_place.cpp deleted file mode 100644 index badd9d1fb61..00000000000 --- a/vpr/src/place/timing_place.cpp +++ /dev/null @@ -1,270 +0,0 @@ -/** - * @file timing_place.cpp - * @brief Stores the method definitions of classes defined in timing_place.h. - */ - -#include - -#include "vtr_util.h" - -#include "vpr_types.h" -#include "vpr_utils.h" -#include "net_delay.h" -#include "timing_place.h" -#include "placer_state.h" - -#include "timing_info.h" - -///@brief Allocates space for the timing_place_crit_ data structure. -PlacerCriticalities::PlacerCriticalities(const ClusteredNetlist& clb_nlist, - const ClusteredPinAtomPinsLookup& netlist_pin_lookup, - std::shared_ptr timing_info) - : clb_nlist_(clb_nlist) - , pin_lookup_(netlist_pin_lookup) - , timing_info_(std::move(timing_info)) - , timing_place_crit_(make_net_pins_matrix(clb_nlist_, std::numeric_limits::quiet_NaN())) { -} - -/** - * @brief Updated the criticalities in the timing_place_crit_ data structure. - * - * If the criticalities are not updated immediately after each time we call - * timing_info->update(), then timing_info->pins_with_modified_setup_criticality() - * cannot accurately account for all the pins that need to be updated. In this case, - * `recompute_required` would be true, and we update all criticalities from scratch. - * - * If the criticality exponent has changed, we also need to update from scratch. - */ -void PlacerCriticalities::update_criticalities(const PlaceCritParams& crit_params, - PlacerState& placer_state) { - /* If update is not enabled, exit the routine. */ - if (!update_enabled) { - /* re-computation is required on the next iteration */ - recompute_required = true; - return; - } - - /* Determine what pins need updating */ - if (!recompute_required && crit_params.crit_exponent == last_crit_exponent_) { - incr_update_criticalities(); - } else { - recompute_criticalities(); - - /* Record new criticality exponent */ - last_crit_exponent_ = crit_params.crit_exponent; - } - - auto& place_move_ctx = placer_state.mutable_move(); - - /* Performs a 1-to-1 mapping from criticality to timing_place_crit_. - * For every pin on every net (or, equivalently, for every tedge ending - * in that pin), timing_place_crit_ = criticality^(criticality exponent) */ - - /* Update the affected pins */ - for (ClusterPinId clb_pin : cluster_pins_with_modified_criticality_) { - ClusterNetId clb_net = clb_nlist_.pin_net(clb_pin); - int pin_index_in_net = clb_nlist_.pin_net_index(clb_pin); - // Routing for placement is not flat (at least for the time being) - float clb_pin_crit = calculate_clb_net_pin_criticality(*timing_info_, pin_lookup_, ParentPinId(size_t(clb_pin)), /*is_flat=*/false); - - float new_crit = pow(clb_pin_crit, crit_params.crit_exponent); - /* - * Update the highly critical pins container - * - * If the old criticality < limit and the new criticality > limit --> add this pin to the highly critical pins - * If the old criticality > limit and the new criticality < limit --> remove this pin from the highly critical pins - */ - if (!first_time_update_criticality) { - if (new_crit > crit_params.crit_limit && timing_place_crit_[clb_net][pin_index_in_net] < crit_params.crit_limit) { - place_move_ctx.highly_crit_pins.emplace_back(clb_net, pin_index_in_net); - } else if (new_crit < crit_params.crit_limit && timing_place_crit_[clb_net][pin_index_in_net] > crit_params.crit_limit) { - place_move_ctx.highly_crit_pins.erase(std::remove(place_move_ctx.highly_crit_pins.begin(), place_move_ctx.highly_crit_pins.end(), std::make_pair(clb_net, pin_index_in_net)), - place_move_ctx.highly_crit_pins.end()); - } - } else { - if (new_crit > crit_params.crit_limit) { - place_move_ctx.highly_crit_pins.emplace_back(clb_net, pin_index_in_net); - } - } - - /* The placer likes a great deal of contrast between criticalities. - * Since path criticality varies much more than timing, we "sharpen" timing - * criticality by taking it to some power, crit_exponent (between 1 and 8 by default). */ - timing_place_crit_[clb_net][pin_index_in_net] = new_crit; - } - - /* Criticalities updated. In sync with timing info. */ - /* Can be incrementally updated on the next iteration */ - recompute_required = false; - - first_time_update_criticality = false; -} - -void PlacerCriticalities::set_recompute_required() { - recompute_required = true; -} - -/** - * @brief Collect the cluster pins which need to be updated based on the latest timing - * analysis so that incremental updates to criticalities can be performed. - * - * Note we use the set of pins reported by the *timing_info* as having modified - * criticality, rather than those marked as modified by the timing analyzer. - * - * Since timing_info uses shifted/relaxed criticality (which depends on max required - * time and worst case slacks), additional nodes may be modified when updating the - * atom pin criticalities. - */ - -void PlacerCriticalities::incr_update_criticalities() { - cluster_pins_with_modified_criticality_.clear(); - - for (AtomPinId atom_pin : timing_info_->pins_with_modified_setup_criticality()) { - ClusterPinId clb_pin = pin_lookup_.connected_clb_pin(atom_pin); - - //Some atom pins correspond to connections which are completely - //contained within a cluster, and hence have no corresponding - //clustered pin. - if (!clb_pin) continue; - - cluster_pins_with_modified_criticality_.insert(clb_pin); - } -} - -/** - * @brief Collect all the sink pins in the netlist and prepare them update. - * - * For the incremental version, see PlacerCriticalities::incr_update_criticalities(). - */ -void PlacerCriticalities::recompute_criticalities() { - cluster_pins_with_modified_criticality_.clear(); - - /* Non-incremental: all sink pins need updating */ - for (ClusterNetId net_id : clb_nlist_.nets()) { - for (ClusterPinId pin_id : clb_nlist_.net_sinks(net_id)) { - cluster_pins_with_modified_criticality_.insert(pin_id); - } - } -} - -///@brief Override the criticality of a particular connection. -void PlacerCriticalities::set_criticality(ClusterNetId net_id, int ipin, float crit_val) { - VTR_ASSERT_SAFE_MSG(ipin > 0, "The pin should not be a driver pin (ipin != 0)"); - VTR_ASSERT_SAFE_MSG(ipin < int(clb_nlist_.net_pins(net_id).size()), "The pin index in net should be smaller than fanout"); - - timing_place_crit_[net_id][ipin] = crit_val; -} - -/** - * @brief Returns the range of clustered netlist pins (i.e. ClusterPinIds) which - * were modified by the last call to PlacerCriticalities::update_criticalities(). - */ -PlacerCriticalities::pin_range PlacerCriticalities::pins_with_modified_criticality() const { - return vtr::make_range(cluster_pins_with_modified_criticality_); -} - -/**************************************/ - -///@brief Allocates space for the timing_place_setup_slacks_ data structure. -PlacerSetupSlacks::PlacerSetupSlacks(const ClusteredNetlist& clb_nlist, - const ClusteredPinAtomPinsLookup& netlist_pin_lookup, - std::shared_ptr timing_info) - : clb_nlist_(clb_nlist) - , pin_lookup_(netlist_pin_lookup) - , timing_info_(std::move(timing_info)) - , timing_place_setup_slacks_(make_net_pins_matrix(clb_nlist_, std::numeric_limits::quiet_NaN())) { -} - -/** - * @brief Updated the setup slacks in the timing_place_setup_slacks_ data structure. - * - * If the setup slacks are not updated immediately after each time we call - * timing_info->update(), then timing_info->pins_with_modified_setup_slack() - * cannot accurately account for all the pins that need to be updated. - * - * In this case, `recompute_required` would be true, and we update all setup slacks - * from scratch. - */ -void PlacerSetupSlacks::update_setup_slacks() { - /* If update is not enabled, exit the routine. */ - if (!update_enabled) { - /* re-computation is required on the next iteration */ - recompute_required = true; - return; - } - - /* Determine what pins need updating */ - if (!recompute_required) { - incr_update_setup_slacks(); - } else { - recompute_setup_slacks(); - } - - /* Update the affected pins */ - for (ClusterPinId clb_pin : cluster_pins_with_modified_setup_slack_) { - ClusterNetId clb_net = clb_nlist_.pin_net(clb_pin); - int pin_index_in_net = clb_nlist_.pin_net_index(clb_pin); - - float clb_pin_setup_slack = calculate_clb_net_pin_setup_slack(*timing_info_, pin_lookup_, clb_pin); - - timing_place_setup_slacks_[clb_net][pin_index_in_net] = clb_pin_setup_slack; - } - - /* Setup slacks updated. In sync with timing info. */ - /* Can be incrementally updated on the next iteration. */ - recompute_required = false; -} - -/** - * @brief Collect the cluster pins which need to be updated based on the latest timing - * analysis so that incremental updates to setup slacks can be performed. - * - * Note we use the set of pins reported by the *timing_info* as having modified - * setup slacks, rather than those marked as modified by the timing analyzer. - */ -void PlacerSetupSlacks::incr_update_setup_slacks() { - cluster_pins_with_modified_setup_slack_.clear(); - - for (AtomPinId atom_pin : timing_info_->pins_with_modified_setup_slack()) { - ClusterPinId clb_pin = pin_lookup_.connected_clb_pin(atom_pin); - - //Some atom pins correspond to connections which are completely - //contained within a cluster, and hence have no corresponding - //clustered pin. - if (!clb_pin) continue; - - cluster_pins_with_modified_setup_slack_.insert(clb_pin); - } -} - -/** - * @brief Collect all the sink pins in the netlist and prepare them update. - * - * For the incremental version, see PlacerSetupSlacks::incr_update_setup_slacks(). - */ -void PlacerSetupSlacks::recompute_setup_slacks() { - cluster_pins_with_modified_setup_slack_.clear(); - - /* Non-incremental: all sink pins need updating */ - for (ClusterNetId net_id : clb_nlist_.nets()) { - for (ClusterPinId pin_id : clb_nlist_.net_sinks(net_id)) { - cluster_pins_with_modified_setup_slack_.insert(pin_id); - } - } -} - -///@brief Override the setup slack of a particular connection. -void PlacerSetupSlacks::set_setup_slack(ClusterNetId net_id, int ipin, float slack_val) { - VTR_ASSERT_SAFE_MSG(ipin > 0, "The pin should not be a driver pin (ipin != 0)"); - VTR_ASSERT_SAFE_MSG(ipin < int(clb_nlist_.net_pins(net_id).size()), "The pin index in net should be smaller than fanout"); - - timing_place_setup_slacks_[net_id][ipin] = slack_val; -} - -/** - * @brief Returns the range of clustered netlist pins (i.e. ClusterPinIds) - * which were modified by the last call to PlacerSetupSlacks::update_setup_slacks(). - */ -PlacerSetupSlacks::pin_range PlacerSetupSlacks::pins_with_modified_setup_slack() const { - return vtr::make_range(cluster_pins_with_modified_setup_slack_); -} diff --git a/vpr/src/place/timing_place.h b/vpr/src/place/timing_place.h deleted file mode 100644 index 71e144334ad..00000000000 --- a/vpr/src/place/timing_place.h +++ /dev/null @@ -1,647 +0,0 @@ -/** - * @file timing_place.h - * @brief Interface used by the VPR placer to query information - * from the Tatum timing analyzer. - * - * @class PlacerSetupSlacks - * Queries connection **RAW** setup slacks, which can - * range from negative to positive values. Also maps - * atom pin setup slacks to clb pin setup slacks. - * @class PlacerCriticalities - * Query connection criticalities, which are calculuated - * based on the raw setup slacks and ranges from 0 to 1. - * Also maps atom pin crit. to clb pin crit. - * @class PlacerTimingCosts - * Hierarchical structure used by update_td_costs() to - * maintain the order of addition operation of float values - * (to avoid round-offs) while doing incremental updates. - * - * Calculating criticalities: - * All the raw setup slack values across a single clock domain are gathered - * and rated from the best to the worst in terms of criticalities. In order - * to calculate criticalities, all the slack values need to be non-negative. - * Hence, if the worst slack is negative, all the slack values are shifted - * by the value of the worst slack so that the value is at least 0. If the - * worst slack is positive, then no shift happens. - * - * The best (shifted) slack (the most positive one) will have a criticality of 0. - * The worst (shifted) slack value will have a criticality of 1. - * - * Criticalities are used to calculated timing costs for each connection. - * The formula is cost = delay * criticality. - * - * For a more detailed description on how criticalities are calculated, see - * calc_relaxed_criticality() in `timing_util.cpp`. - */ - -#pragma once -#include "vtr_vec_id_set.h" -#include "timing_info_fwd.h" -#include "clustered_netlist_utils.h" -#include "place_delay_model.h" -#include "vpr_net_pins_matrix.h" - -/** - * @brief Saves the placement criticality parameters - * - * crit_exponent: The criticality exponent used to sharpen the criticalities - * crit_limit: The limit to consider a pin as timing critical - */ -struct PlaceCritParams { - float crit_exponent; - float crit_limit; -}; - -/** - * @brief PlacerCriticalities returns the clustered netlist connection criticalities - * used by the placer ('sharpened' by a criticality exponent). - * - * Usage - * ===== - * This class also serves to map atom netlist level criticalites (i.e. on AtomPinIds) - * to the clustered netlist (i.e. ClusterPinIds) used during placement. - * - * Criticalities are updated by update_criticalities(), given that `update_enabled` is - * set to true. It will update criticalities based on the atom netlist connection - * criticalities provided by the passed in SetupTimingInfo. - * - * This process can be done incrementally, based on the modified connections/AtomPinIds - * returned by SetupTimingInfo. However, the set returned only reflects the connections - * changed by the last call to the timing info update. - * - * Therefore, if SetupTimingInfo is updated twice in succession without criticalities - * getting updated (update_enabled = false), the returned set cannot account for all - * the connections that have been modified. In this case, we flag `recompute_required` - * as false, and we recompute the criticalities for every connection to ensure that - * they are all up to date. Hence, each time update_setup_slacks_and_criticalities() - * is called, we assign `recompute_required` the opposite value of `update_enabled`. - * - * This class also maps/transforms the modified atom connections/pins returned by the - * timing info into modified clustered netlist connections/pins after calling - * update_criticalities(). The interface then enables users to iterate over this range - * via pins_with_modified_criticalities(). This is useful for incrementally re-calculating - * the timing costs. - * - * The criticalities of individual connections can then be queried by calling the - * criticality() member function. - * - * Implementation - * ============== - * To support incremental re-calculation, the class saves the last criticality exponent - * passed to PlacerCriticalities::update_criticalites(). If the next update uses the same - * exponent, criticalities can be incrementally updated. Otherwise, they must be re-calculated - * from scratch, since a change in exponent changes *all* criticalities. - */ -class PlacerCriticalities { - public: //Types - typedef vtr::vec_id_set::iterator pin_iterator; - typedef vtr::vec_id_set::iterator net_iterator; - - typedef vtr::Range pin_range; - typedef vtr::Range net_range; - - public: //Lifetime - PlacerCriticalities(const ClusteredNetlist& clb_nlist, - const ClusteredPinAtomPinsLookup& netlist_pin_lookup, - std::shared_ptr timing_info); - PlacerCriticalities(const PlacerCriticalities&) = delete; - PlacerCriticalities& operator=(const PlacerCriticalities&) = delete; - - public: //Accessors - ///@brief Returns the criticality of the specified connection. - float criticality(ClusterNetId net, int ipin) const { return timing_place_crit_[net][ipin]; } - - /** - * @brief Returns the range of clustered netlist pins (i.e. ClusterPinIds) which - * were modified by the last call to PlacerCriticalities::update_criticalities(). - */ - pin_range pins_with_modified_criticality() const; - - public: //Modifiers - /** - * @brief Updates criticalities based on the atom netlist criticalitites - * provided by timing_info and the provided criticality_exponent. - * - * Should consistently call this method after the most recent timing analysis to - * keep the criticalities stored in this class in sync with the timing analyzer. - * If out of sync, then the criticalities cannot be incrementally updated on - * during the next timing analysis iteration. - */ - void update_criticalities(const PlaceCritParams& crit_params, - PlacerState& placer_state); - - ///@bried Enable the recompute_required flag to enforce from scratch update. - void set_recompute_required(); - - ///@brief From scratch update. See timing_place.cpp for more. - void recompute_criticalities(); - - ///@brief Override the criticality of a particular connection. - void set_criticality(ClusterNetId net, int ipin, float crit_val); - - ///@brief Set `update_enabled` to true. - void enable_update() { update_enabled = true; } - - ///@brief Set `update_enabled` to true. - void disable_update() { update_enabled = false; } - - private: //Data - ///@brief The clb netlist in the placement context. - const ClusteredNetlist& clb_nlist_; - - ///@brief The lookup table that maps atom pins to clb pins. - const ClusteredPinAtomPinsLookup& pin_lookup_; - - ///@brief A pointer to the setup timing analyzer - std::shared_ptr timing_info_; - - /** - * @brief The matrix that stores criticality value for each connection. - * - * Index range: [0..cluster_ctx.clb_nlist.nets().size()-1][1..num_pins-1] - */ - ClbNetPinsMatrix timing_place_crit_; - - /** - * The criticality exponent when update_criticalites() was last called - * (used to detect if incremental update can be used). - */ - float last_crit_exponent_ = std::numeric_limits::quiet_NaN(); - - ///@brief Set of pins with criticaltites modified by last call to update_criticalities(). - vtr::vec_id_set cluster_pins_with_modified_criticality_; - - ///@brief Incremental update. See timing_place.cpp for more. - void incr_update_criticalities(); - - ///@brief Flag that turns on/off the update_criticalities() routine. - bool update_enabled = true; - - /** - * @brief Flag that checks if criticalities need to be recomputed for all connections. - * - * Used by the method update_criticalities(). They incremental update is not possible - * if this method wasn't called updated after the previous timing info update. - */ - bool recompute_required = true; - - /** - * @brief if this is first time to call update_criticality - * - * This can be used for incremental criticality update and also incrementally update the highly critical pins - */ - bool first_time_update_criticality = true; -}; - -/** - * @brief PlacerSetupSlacks returns the RAW setup slacks of clustered netlist connection. - * - * Usage - * ===== - * This class mirrors PlacerCriticalities by both its methods and its members. The only - * difference is that this class deals with RAW setup slacks returned by SetupTimingInfo - * rather than criticalities. See the documentation on PlacerCriticalities for more. - * - * RAW setup slacks are unlike criticalities. Their values are not confined between - * 0 and 1. Their values can be either positive or negative. - * - * This class also provides iterating over the clustered netlist connections/pins that - * have modified setup slacks by the last call to update_setup_slacks(). However, this - * utility is mainly used for incrementally committing the setup slack values into the - * structure `connection_setup_slack` used by many placer routines. - */ -class PlacerSetupSlacks { - public: //Types - typedef vtr::vec_id_set::iterator pin_iterator; - typedef vtr::vec_id_set::iterator net_iterator; - - typedef vtr::Range pin_range; - typedef vtr::Range net_range; - - public: //Lifetime - PlacerSetupSlacks(const ClusteredNetlist& clb_nlist, - const ClusteredPinAtomPinsLookup& netlist_pin_lookup, - std::shared_ptr timing_info); - PlacerSetupSlacks(const PlacerSetupSlacks& clb_nlist) = delete; - PlacerSetupSlacks& operator=(const PlacerSetupSlacks& clb_nlist) = delete; - - public: //Accessors - ///@brief Returns the setup slack of the specified connection. - float setup_slack(ClusterNetId net, int ipin) const { return timing_place_setup_slacks_[net][ipin]; } - - /** - * @brief Returns the range of clustered netlist pins (i.e. ClusterPinIds) - * which were modified by the last call to PlacerSetupSlacks::update_setup_slacks(). - */ - pin_range pins_with_modified_setup_slack() const; - - public: //Modifiers - /** - * @brief Updates setup slacks based on the atom netlist setup slacks provided - * by timing_info_. - * - * Should consistently call this method after the most recent timing analysis to - * keep the setup slacks stored in this class in sync with the timing analyzer. - * If out of sync, then the setup slacks cannot be incrementally updated on - * during the next timing analysis iteration. - */ - void update_setup_slacks(); - - ///@bried Enable the recompute_required flag to enforce from scratch update. - void set_recompute_required() { recompute_required = true; } - - ///@brief Override the setup slack of a particular connection. - void set_setup_slack(ClusterNetId net, int ipin, float slack_val); - - ///@brief Set `update_enabled` to true. - void enable_update() { update_enabled = true; } - - ///@brief Set `update_enabled` to true. - void disable_update() { update_enabled = false; } - - private: //Data - const ClusteredNetlist& clb_nlist_; - const ClusteredPinAtomPinsLookup& pin_lookup_; - std::shared_ptr timing_info_; - - /** - * @brief The matrix that stores raw setup slack values for each connection. - * - * Index range: [0..cluster_ctx.clb_nlist.nets().size()-1][1..num_pins-1] - */ - ClbNetPinsMatrix timing_place_setup_slacks_; - - ///@brief Set of pins with raw setup slacks modified by last call to update_setup_slacks() - vtr::vec_id_set cluster_pins_with_modified_setup_slack_; - - ///@brief Incremental update. See timing_place.cpp for more. - void incr_update_setup_slacks(); - - ///@brief Incremental update. See timing_place.cpp for more. - void recompute_setup_slacks(); - - ///@brief Flag that turns on/off the update_setup_slacks() routine. - bool update_enabled = true; - - /** - * @brief Flag that checks if setup slacks need to be recomputed for all connections. - * - * Used by the method update_setup_slacks(). They incremental update is not possible - * if this method wasn't called updated after the previous timing info update. - */ - bool recompute_required = true; -}; - -/** - * @brief PlacerTimingCosts mimics a 2D array of connection timing costs running from: - * [0..cluster_ctx.clb_nlist.nets().size()-1][1..num_pins-1]. - * - * It can be used similar to: - * - * PlacerTimingCosts connection_timing_costs(cluster_ctx.clb_nlist); //Construct - * - * //... - * - * //Modify a connection cost - * connection_timing_costs[net_id][ipin] = new_cost; - * - * //Potentially other modifications... - * - * //Calculate the updated timing cost, of all connections, - * //incrementally based on modifications - * float total_timing_cost = connection_timing_costs.total_cost(); - * - * However behind the scenes PlacerTimingCosts tracks when connection costs are modified, - * and efficiently re-calculates the total timing cost incrementally based on the connections - * which have had their cost modified. - * - * Implementation - * ============== - * Internally, PlacerTimingCosts stores all connection costs in a flat array in the last part - * of connection_costs_. To mimic 2d-array like access PlacerTimingCosts also uses two proxy - * classes which allow indexing in the net and pin dimensions (NetProxy and ConnectionProxy - * respectively). - * - * The first part of connection_costs_ stores intermediate sums of the connection costs for - * efficient incremental re-calculation. More concretely, connection_costs_ stores a binary - * tree, where leaves correspond to individual connection costs and intermediate nodes the - * partial sums of the connection costs. (The binary tree is stored implicitly in the - * connection_costs_ vector, using Eytzinger's/BFS layout.) By summing the entire binary - * tree we calculate the total timing cost over all connections. - * - * Using a binary tree allows us to efficiently re-calculate the timing costs when only a subset - * of connections are changed. This is done by 'invalidating' intermediate nodes (from leaves up - * to the root) which have ancestors (leaves) with modified connection costs. When the - * total_cost() method is called, it recursively walks the binary tree to re-calculate the cost. - * Only invalidated nodes are traversed, with valid nodes just returning their previously - * calculated (and unchanged) value. - * - * For a circuit with 'K' connections, of which 'k' have changed (typically k << K), this can - * be done in O(k log K) time. - * - * It is important to note that due to limited floating point precision, floating point - * arithmetic has an order dependence (due to round-off). Using a binary tree to total - * the timing connection costs allows us to incrementally update the total timing cost while - * maintianing the *same order of operations* as if it was re-computed from scratch. This - * ensures we *always* get consistent results regardless of what/when connections are changed. - * - * Proxy Classes - * ============= - * NetProxy is returned by PlacerTimingCost's operator[], and stores a pointer to the start of - * internal storage of that net's connection costs. - * - * ConnectionProxy is returned by NetProxy's operator[], and holds a reference to a particular - * element of the internal storage pertaining to a specific connection's cost. ConnectionProxy - * supports assignment, allowing clients to modify the connection cost. It also detects if the - * assigned value differs from the previous value and if so, calls PlacerTimingCosts's - * invalidate() method on that connection cost. - * - * PlacerTimingCosts's invalidate() method marks the cost element's ancestors as invalid (NaN) - * so they will be re-calculated by PlacerTimingCosts' total_cost() method. - */ -class PlacerTimingCosts { - public: - PlacerTimingCosts() = default; - - PlacerTimingCosts(const ClusteredNetlist& nlist) { - auto nets = nlist.nets(); - - net_start_indicies_.resize(nets.size()); - - //Walk through the netlist to determine how many connections there are. - size_t iconn = 0; - for (ClusterNetId net : nets) { - //The placer always skips 'ignored' nets, so they don't affect timing - //costs, so we also skip them here - if (nlist.net_is_ignored(net)) { - net_start_indicies_[net] = OPEN; - continue; - } - - //Save the startind index of the current net's connections. - // We use a -1 offset, since sinks indexed from [1..num_net_pins-1] - // (there is no timing cost associated with net drivers) - net_start_indicies_[net] = iconn - 1; - - //Reserve space for all this net's connections - iconn += nlist.net_sinks(net).size(); - } - - size_t num_connections = iconn; - - //Determine how many binary tree levels we need to have a leaf - //for each connection cost - size_t ilevel = 0; - while (num_nodes_in_level(ilevel) < num_connections) { - ++ilevel; - } - num_levels_ = ilevel + 1; - - size_t num_leaves = num_nodes_in_level(ilevel); - size_t num_level_before_leaves = num_nodes_in_level(ilevel - 1); - - VTR_ASSERT_MSG(num_leaves >= num_connections, "Need at least as many leaves as connections"); - VTR_ASSERT_MSG( - num_connections == 0 || num_level_before_leaves < num_connections, - "Level before should have fewer nodes than connections (to ensure using the smallest binary tree)"); - - //We don't need to store all possible leaves if we have fewer connections - //(i.e. bottom-right of tree is empty) - size_t last_level_unused_nodes = num_nodes_in_level(ilevel) - num_connections; - size_t num_nodes = num_nodes_up_to_level(ilevel) - last_level_unused_nodes; - - //Reserve space for connection costs and intermediate node values - connection_costs_ = std::vector(num_nodes, std::numeric_limits::quiet_NaN()); - - //The net start indicies we calculated earlier didn't account for intermediate binary tree nodes - //Shift the start indicies after the intermediate nodes - size_t num_intermediate_nodes = num_nodes_up_to_level(ilevel - 1); - for (ClusterNetId net : nets) { - if (nlist.net_is_ignored(net)) continue; - - net_start_indicies_[net] = net_start_indicies_[net] + num_intermediate_nodes; - } - } - - /** - * @brief Proxy class representing a connection cost. - * - * Supports modification of connection cost while detecting - * changes and reporting them up to PlacerTimingCosts. - */ - class ConnectionProxy { - public: - ConnectionProxy(PlacerTimingCosts* timing_costs, double& connection_cost) - : timing_costs_(timing_costs) - , connection_cost_(connection_cost) {} - - ///@brief Allow clients to modify the connection cost via assignment. - ConnectionProxy& operator=(double new_cost) { - if (new_cost != connection_cost_) { - //If connection cost changed, update it, and mark it - //as invalidated - connection_cost_ = new_cost; - timing_costs_->invalidate(&connection_cost_); - } - return *this; - } - - /** - * @brief Support getting the current connection cost as a double. - * - * Useful for client code operating on the cost values (e.g. difference between costs). - */ - operator double() const { - return connection_cost_; - } - - private: - PlacerTimingCosts* timing_costs_; - double& connection_cost_; - }; - - /** - * @brief Proxy class representing the connection costs of a net. - * - * Supports indexing by pin index to retrieve the ConnectionProxy for that pin/connection. - */ - class NetProxy { - public: - NetProxy(PlacerTimingCosts* timing_costs, double* net_sink_costs) - : timing_costs_(timing_costs) - , net_sink_costs_(net_sink_costs) {} - - ///@brief Indexes into the specific net pin/connection. - ConnectionProxy operator[](size_t ipin) { - return ConnectionProxy(timing_costs_, net_sink_costs_[ipin]); - } - - const ConnectionProxy operator[](size_t ipin) const { - return ConnectionProxy(timing_costs_, net_sink_costs_[ipin]); - } - - private: - PlacerTimingCosts* timing_costs_; - double* net_sink_costs_; - }; - - ///@brief Indexes into the specific net. - NetProxy operator[](ClusterNetId net_id) { - VTR_ASSERT_SAFE(net_start_indicies_[net_id] >= 0); - - double* net_connection_costs = &connection_costs_[net_start_indicies_[net_id]]; - return NetProxy(this, net_connection_costs); - } - - NetProxy operator[](ClusterNetId net_id) const { - VTR_ASSERT_SAFE(net_start_indicies_[net_id] >= 0); - - const double* net_connection_costs = &connection_costs_[net_start_indicies_[net_id]]; - return NetProxy(const_cast(this), const_cast(net_connection_costs)); - } - - void clear() { - connection_costs_.clear(); - net_start_indicies_.clear(); - } - - void swap(PlacerTimingCosts& other) { - std::swap(connection_costs_, other.connection_costs_); - std::swap(net_start_indicies_, other.net_start_indicies_); - std::swap(num_levels_, other.num_levels_); - } - - /** - * @brief Calculates the total cost of all connections efficiently - * in the face of modified connection costs. - */ - double total_cost() { - float cost = total_cost_recurr(0); //Root - - VTR_ASSERT_DEBUG_MSG(cost == total_cost_from_scratch(0), - "Expected incremental and from-scratch costs to be consistent"); - - return cost; - } - - private: - ///@brief Recursively calculate and update the timing cost rooted at inode. - double total_cost_recurr(size_t inode) { - //Prune out-of-tree - if (inode > connection_costs_.size() - 1) { - return 0.; - } - - //Valid pre-calculated intermediate result or valid leaf - if (!std::isnan(connection_costs_[inode])) { - return connection_costs_[inode]; - } - - //Recompute recursively - double node_cost = total_cost_recurr(left_child(inode)) - + total_cost_recurr(right_child(inode)); - - //Save intermedate cost at this node - connection_costs_[inode] = node_cost; - - return node_cost; - } - - double total_cost_from_scratch(size_t inode) const { - //Prune out-of-tree - if (inode > connection_costs_.size() - 1) { - return 0.; - } - - //Recompute recursively - double node_cost = total_cost_from_scratch(left_child(inode)) - + total_cost_from_scratch(right_child(inode)); - - return node_cost; - } - - ///@brief Friend-ed so it can call invalidate(). - friend ConnectionProxy; - - void invalidate(double* invalidated_cost) { - //Check pointer within range of internal storage - VTR_ASSERT_SAFE_MSG( - invalidated_cost >= &connection_costs_[0], - "Connection cost pointer should be after start of internal storage"); - - VTR_ASSERT_SAFE_MSG( - invalidated_cost <= &connection_costs_[connection_costs_.size() - 1], - "Connection cost pointer should be before end of internal storage"); - - size_t icost = invalidated_cost - &connection_costs_[0]; - - VTR_ASSERT_SAFE(icost >= num_nodes_up_to_level(num_levels_ - 2)); - - //Invalidate parent intermediate costs up to root or first - //already-invalidated parent - size_t iparent = parent(icost); - - while (!std::isnan(connection_costs_[iparent])) { - //Invalidate - connection_costs_[iparent] = std::numeric_limits::quiet_NaN(); - - if (iparent == 0) { - break; //At root - } else { - //Next parent - iparent = parent(iparent); - } - } - - VTR_ASSERT_SAFE_MSG(std::isnan(connection_costs_[0]), "Invalidating any connection should have invalidated the root"); - } - - size_t left_child(size_t i) const { - return 2 * i + 1; - } - - size_t right_child(size_t i) const { - return 2 * i + 2; - } - - size_t parent(size_t i) const { - return (i - 1) / 2; - } - - /** - * @brief Returns the number of nodes in ilevel'th level. - * - * If ilevel is negative, return 0, since the root shouldn't - * be counted as a leaf node candidate. - */ - size_t num_nodes_in_level(int ilevel) const { - return ilevel < 0 ? 0 : (2 << (ilevel)); - } - - ///@brief Returns the total number of nodes in levels [0..ilevel] (inclusive). - size_t num_nodes_up_to_level(int ilevel) const { - return (2 << (ilevel + 1)) - 1; - } - - private: - /** - * @brief Vector storing the implicit binary tree of connection costs. - * - * The actual connections are stored at the end of the vector - * (last level of the binary tree). The earlier portions of - * the tree are the intermediate nodes. - * - * The methods left_child()/right_child()/parent() can be used - * to traverse the tree by indicies into this vector. - */ - std::vector connection_costs_; - - /** - * @brief Vector storing the indicies of the first connection - * for each net in the netlist, used for indexing by net. - */ - vtr::vector net_start_indicies_; - - ///@brief Number of levels in the binary tree. - size_t num_levels_ = 0; -}; diff --git a/vpr/src/place/timing_place_lookup.cpp b/vpr/src/place/timing_place_lookup.cpp deleted file mode 100644 index 86dc396e2b8..00000000000 --- a/vpr/src/place/timing_place_lookup.cpp +++ /dev/null @@ -1,1319 +0,0 @@ - -#include -#include - -#include "rr_graph_fwd.h" -#include "vtr_assert.h" -#include "vtr_ndmatrix.h" -#include "vtr_log.h" -#include "vtr_util.h" -#include "vtr_math.h" -#include "vtr_memory.h" -#include "vtr_time.h" -#include "vtr_geometry.h" - -#include "arch_util.h" -#include "vpr_types.h" -#include "globals.h" -#include "place_and_route.h" -#include "route_net.h" -#include "timing_place_lookup.h" -#include "read_xml_arch_file.h" -#include "atom_netlist.h" - -// all functions in profiling:: namespace, which are only activated if PROFILE is defined -#include "route_profiling.h" -#include "router_delay_profiling.h" -#include "place_delay_model.h" - -/*To compute delay between blocks we calculate the delay between */ -/*different nodes in the FPGA. From this procedure we generate - * a lookup table which tells us the delay between different locations in*/ -/*the FPGA */ - -/*the delta arrays are used to contain the best case routing delay */ -/*between different locations on the FPGA. */ - -//#define VERBOSE - -constexpr float UNINITIALIZED_DELTA = -1; //Indicates the delta delay value has not been calculated -constexpr float EMPTY_DELTA = -2; //Indicates delta delay from/to an EMPTY block -constexpr float IMPOSSIBLE_DELTA = std::numeric_limits::infinity(); //Indicates there is no valid delta delay - -struct t_profile_loc { - t_profile_loc(int x, int y, std::vector> delta_values) - : root(x, y) - , deltas(delta_values) {} - - vtr::Point root; - std::vector> deltas; -}; - -struct t_profile_info { - std::vector locations; - - int max_delta_x; - int max_delta_y; -}; - -/*** Function Prototypes *****/ -static t_chan_width setup_chan_width(const t_router_opts& router_opts, - t_chan_width_dist chan_width_dist); - -static float route_connection_delay( - RouterDelayProfiler& route_profiler, - int from_layer_num, - int to_layer_num, - int source_x_loc, - int source_y_loc, - int sink_x_loc, - int sink_y_loc, - const t_router_opts& router_opts, - bool measure_directconnect); - -// Prototype for computing delta delay matrix. -typedef std::function>&, - int, - int, - int, - int, - int, - int, - int, - int, - const t_router_opts&, - bool, - const std::set&, - bool)> - t_compute_delta_delay_matrix; - -static void generic_compute_matrix_iterative_astar( - RouterDelayProfiler& route_profiler, - vtr::Matrix>& matrix, - int from_layer_num, - int to_layer_num, - int source_x, - int source_y, - int start_x, - int start_y, - int end_x, - int end_y, - const t_router_opts& router_opts, - bool measure_directconnect, - const std::set& allowed_types, - bool /***/); - -static void generic_compute_matrix_dijkstra_expansion( - RouterDelayProfiler& route_profiler, - vtr::Matrix>& matrix, - int from_layer_num, - int to_layer_num, - int source_x, - int source_y, - int start_x, - int start_y, - int end_x, - int end_y, - const t_router_opts& router_opts, - bool measure_directconnect, - const std::set& allowed_types, - bool is_flat); - -static vtr::NdMatrix compute_delta_delays( - RouterDelayProfiler& route_profiler, - const t_placer_opts& palcer_opts, - const t_router_opts& router_opts, - bool measure_directconnect, - size_t longest_length, - bool is_flat); - -float delay_reduce(std::vector& delays, e_reducer reducer); - -static vtr::NdMatrix compute_delta_delay_model( - RouterDelayProfiler& route_profiler, - const t_placer_opts& placer_opts, - const t_router_opts& router_opts, - bool measure_directconnect, - int longest_length, - bool is_flat); - -/** - * @brief Use the information in the router lookahead to fill the delay matrix instead of running the router - * @param route_profiler - * @return The delay matrix that contain the minimum cost between two locations - */ -static vtr::NdMatrix compute_simple_delay_model(RouterDelayProfiler& route_profiler); - -static bool find_direct_connect_sample_locations(const t_direct_inf* direct, - t_physical_tile_type_ptr from_type, - int from_pin, - int from_pin_class, - t_physical_tile_type_ptr to_type, - int to_pin, - int to_pin_class, - RRNodeId& out_src_node, - RRNodeId& out_sink_node); - -static bool verify_delta_delays(const vtr::NdMatrix& delta_delays); - -static int get_longest_segment_length(std::vector& segment_inf); - -static void fix_empty_coordinates(vtr::NdMatrix& delta_delays); -static void fix_uninitialized_coordinates(vtr::NdMatrix& delta_delays); - -static float find_neighboring_average(vtr::NdMatrix& matrix, - int from_layer, - t_physical_tile_loc to_tile_loc, - int max_distance); - -/******* Globally Accessible Functions **********/ - -std::unique_ptr compute_place_delay_model(const t_placer_opts& placer_opts, - const t_router_opts& router_opts, - const Netlist<>& net_list, - t_det_routing_arch* det_routing_arch, - std::vector& segment_inf, - t_chan_width_dist chan_width_dist, - const std::vector& directs, - bool is_flat) { - vtr::ScopedStartFinishTimer timer("Computing placement delta delay look-up"); - - t_chan_width chan_width = setup_chan_width(router_opts, chan_width_dist); - - alloc_routing_structs(chan_width, router_opts, det_routing_arch, segment_inf, directs, is_flat); - - const RouterLookahead* router_lookahead = get_cached_router_lookahead(*det_routing_arch, - router_opts.lookahead_type, - router_opts.write_router_lookahead, - router_opts.read_router_lookahead, - segment_inf, - is_flat); - - RouterDelayProfiler route_profiler(net_list, router_lookahead, is_flat); - - int longest_length = get_longest_segment_length(segment_inf); - - /*now setup and compute the actual arrays */ - std::unique_ptr place_delay_model; - float min_cross_layer_delay = get_min_cross_layer_delay(); - - if (placer_opts.delay_model_type == PlaceDelayModelType::SIMPLE) { - place_delay_model = std::make_unique(); - } else if (placer_opts.delay_model_type == PlaceDelayModelType::DELTA) { - place_delay_model = std::make_unique(min_cross_layer_delay, is_flat); - } else if (placer_opts.delay_model_type == PlaceDelayModelType::DELTA_OVERRIDE) { - place_delay_model = std::make_unique(min_cross_layer_delay, is_flat); - } else { - VTR_ASSERT_MSG(false, "Invalid placer delay model"); - } - - if (placer_opts.read_placement_delay_lookup.empty()) { - place_delay_model->compute(route_profiler, placer_opts, router_opts, longest_length); - } else { - place_delay_model->read(placer_opts.read_placement_delay_lookup); - } - - if (!placer_opts.write_placement_delay_lookup.empty()) { - place_delay_model->write(placer_opts.write_placement_delay_lookup); - } - - /*free all data structures that are no longer needed */ - free_routing_structs(); - - return place_delay_model; -} - -void DeltaDelayModel::compute( - RouterDelayProfiler& route_profiler, - const t_placer_opts& placer_opts, - const t_router_opts& router_opts, - int longest_length) { - delays_ = compute_delta_delay_model( - route_profiler, - placer_opts, router_opts, /*measure_directconnect=*/true, - longest_length, - is_flat_); -} - -void OverrideDelayModel::compute( - RouterDelayProfiler& route_profiler, - const t_placer_opts& placer_opts, - const t_router_opts& router_opts, - int longest_length) { - auto delays = compute_delta_delay_model( - route_profiler, - placer_opts, router_opts, /*measure_directconnect=*/false, - longest_length, - is_flat_); - - base_delay_model_ = std::make_unique(cross_layer_delay_, delays, false); - - compute_override_delay_model(route_profiler, router_opts); -} - -void SimpleDelayModel::compute( - RouterDelayProfiler& router, - const t_placer_opts& /*placer_opts*/, - const t_router_opts& /*router_opts*/, - int /*longest_length*/) { - delays_ = compute_simple_delay_model(router); -} - -/******* File Accessible Functions **********/ - -std::vector get_best_classes(enum e_pin_type pintype, t_physical_tile_type_ptr type) { - /* - * This function tries to identify the best pin classes to hook up - * for delay calculation. The assumption is that we should pick - * the pin class with the largest number of pins. This makes - * sense, since it ensures we pick commonly used pins, and - * removes order dependence on how the pins are specified - * in the architecture (except in the case were the two largest pin classes - * of a particular pintype have the same number of pins, in which case the - * first pin class is used). - */ - - std::vector best_classes; - - //Record any non-zero Fc pins - // - //Note that we track non-zero Fc pins, since certain Fc overides - //may apply to only a subset of wire types. This ensures we record - //which pins can potentially connect to global routing. - std::unordered_set non_zero_fc_pins; - for (const t_fc_specification& fc_spec : type->fc_specs) { - if (fc_spec.fc_value == 0) continue; - - non_zero_fc_pins.insert(fc_spec.pins.begin(), fc_spec.pins.end()); - } - - //Collect all classes of matching type which connect to general routing - for (int i = 0; i < (int)type->class_inf.size(); i++) { - if (type->class_inf[i].type == pintype) { - //Check whether all pins in this class are ignored or have zero fc - bool any_pins_connect_to_general_routing = false; - for (int ipin = 0; ipin < type->class_inf[i].num_pins; ++ipin) { - int pin = type->class_inf[i].pinlist[ipin]; - //If the pin isn't ignored, and has a non-zero Fc to some general - //routing the class is suitable for delay profiling - if (!type->is_ignored_pin[pin] && non_zero_fc_pins.count(pin)) { - any_pins_connect_to_general_routing = true; - break; - } - } - - if (!any_pins_connect_to_general_routing) continue; //Skip if doesn't connect to general routing - - //Record candidate class - best_classes.push_back(i); - } - } - - //Sort classe so largest pin class is first - auto cmp_class = [&](int lhs, int rhs) { - return type->class_inf[lhs].num_pins > type->class_inf[rhs].num_pins; - }; - - std::stable_sort(best_classes.begin(), best_classes.end(), cmp_class); - - return best_classes; -} - -static int get_longest_segment_length(std::vector& segment_inf) { - int length = 0; - - for (const t_segment_inf &seg_info : segment_inf) { - if (seg_info.length > length) { - length = seg_info.length; - } - } - - return length; -} - -static t_chan_width setup_chan_width(const t_router_opts& router_opts, - t_chan_width_dist chan_width_dist) { - /*we give plenty of tracks, this increases routability for the */ - /*lookup table generation */ - - t_graph_type graph_directionality; - int width_fac; - - if (router_opts.fixed_channel_width == NO_FIXED_CHANNEL_WIDTH) { - auto& device_ctx = g_vpr_ctx.device(); - - auto type = find_most_common_tile_type(device_ctx.grid); - - width_fac = 4 * type->num_pins; - /*this is 2x the value that binary search starts */ - /*this should be enough to allow most pins to */ - /*connect to tracks in the architecture */ - } else { - width_fac = router_opts.fixed_channel_width; - } - - if (router_opts.route_type == GLOBAL) { - graph_directionality = GRAPH_BIDIR; - } else { - graph_directionality = GRAPH_UNIDIR; - } - - return init_chan(width_fac, chan_width_dist, graph_directionality); -} - -static float route_connection_delay( - RouterDelayProfiler& route_profiler, - int from_layer_num, - int to_layer_num, - int source_x, - int source_y, - int sink_x, - int sink_y, - const t_router_opts& router_opts, - bool measure_directconnect) { - //Routes between the source and sink locations and calculates the delay - - float net_delay_value = IMPOSSIBLE_DELTA; /*set to known value for debug purposes */ - - auto& device_ctx = g_vpr_ctx.device(); - - bool successfully_routed = false; - - //Get the rr nodes to route between - auto best_driver_ptcs = get_best_classes(DRIVER, device_ctx.grid.get_physical_type({source_x, source_y, from_layer_num})); - auto best_sink_ptcs = get_best_classes(RECEIVER, device_ctx.grid.get_physical_type({sink_x, sink_y, to_layer_num})); - - for (int driver_ptc : best_driver_ptcs) { - VTR_ASSERT(driver_ptc != OPEN); - RRNodeId source_rr_node = device_ctx.rr_graph.node_lookup().find_node(from_layer_num, source_x, source_y, SOURCE, driver_ptc); - - VTR_ASSERT(source_rr_node != RRNodeId::INVALID()); - - for (int sink_ptc : best_sink_ptcs) { - VTR_ASSERT(sink_ptc != OPEN); - RRNodeId sink_rr_node = device_ctx.rr_graph.node_lookup().find_node(to_layer_num, sink_x, sink_y, SINK, sink_ptc); - - if (sink_rr_node == RRNodeId::INVALID()) - continue; - - if (!measure_directconnect && directconnect_exists(source_rr_node, sink_rr_node)) { - //Skip if we shouldn't measure direct connects and a direct connect exists - continue; - } - - { - successfully_routed = route_profiler.calculate_delay( - source_rr_node, sink_rr_node, - router_opts, - &net_delay_value); - } - - if (successfully_routed) break; - } - if (successfully_routed) break; - } - - if (!successfully_routed) { - VTR_LOG_WARN("Unable to route between blocks at (%d,%d,%d) and (%d,%d,%d) to characterize delay (setting to %g)\n", - source_x, source_y, from_layer_num, sink_x, sink_y, to_layer_num, net_delay_value); - } - - return (net_delay_value); -} - -static void add_delay_to_matrix( - vtr::Matrix>* matrix, - int delta_x, - int delta_y, - float delay) { - if ((*matrix)[delta_x][delta_y].size() == 1 && (*matrix)[delta_x][delta_y][0] == EMPTY_DELTA) { - //Overwrite empty delta - (*matrix)[delta_x][delta_y][0] = delay; - } else { - //Collect delta - (*matrix)[delta_x][delta_y].push_back(delay); - } -} - -static void generic_compute_matrix_dijkstra_expansion( - RouterDelayProfiler& /*route_profiler*/, - vtr::Matrix>& matrix, - int from_layer_num, - int to_layer_num, - int source_x, - int source_y, - int start_x, - int start_y, - int end_x, - int end_y, - const t_router_opts& router_opts, - bool measure_directconnect, - const std::set& allowed_types, - bool is_flat) { - auto& device_ctx = g_vpr_ctx.device(); - - t_physical_tile_type_ptr src_type = device_ctx.grid.get_physical_type({source_x, source_y, from_layer_num}); - bool is_allowed_type = allowed_types.empty() || allowed_types.find(src_type->name) != allowed_types.end(); - if (src_type == device_ctx.EMPTY_PHYSICAL_TILE_TYPE || !is_allowed_type) { - for (int sink_x = start_x; sink_x <= end_x; sink_x++) { - for (int sink_y = start_y; sink_y <= end_y; sink_y++) { - int delta_x = abs(sink_x - source_x); - int delta_y = abs(sink_y - source_y); - - if (matrix[delta_x][delta_y].empty()) { - //Only set empty target if we don't already have a valid delta delay - matrix[delta_x][delta_y].push_back(EMPTY_DELTA); -#ifdef VERBOSE - VTR_LOG("Computed delay: %12s delta: %d,%d (src: %d,%d sink: %d,%d)\n", - "EMPTY", - delta_x, delta_y, - source_x, source_y, - sink_x, sink_y); -#endif - } - } - } - - return; - } - - vtr::Matrix found_matrix({matrix.dim_size(0), matrix.dim_size(1)}, false); - - auto best_driver_ptcs = get_best_classes(DRIVER, device_ctx.grid.get_physical_type({source_x, source_y, from_layer_num})); - for (int driver_ptc : best_driver_ptcs) { - VTR_ASSERT(driver_ptc != OPEN); - RRNodeId source_rr_node = device_ctx.rr_graph.node_lookup().find_node(from_layer_num, source_x, source_y, SOURCE, driver_ptc); - - VTR_ASSERT(source_rr_node != RRNodeId::INVALID()); - auto delays = calculate_all_path_delays_from_rr_node(source_rr_node, router_opts, is_flat); - - bool path_to_all_sinks = true; - for (int sink_x = start_x; sink_x <= end_x; sink_x++) { - for (int sink_y = start_y; sink_y <= end_y; sink_y++) { - int delta_x = abs(sink_x - source_x); - int delta_y = abs(sink_y - source_y); - - if (found_matrix[delta_x][delta_y]) { - continue; - } - - t_physical_tile_type_ptr sink_type = device_ctx.grid.get_physical_type({sink_x, sink_y, to_layer_num}); - if (sink_type == device_ctx.EMPTY_PHYSICAL_TILE_TYPE) { - if (matrix[delta_x][delta_y].empty()) { - //Only set empty target if we don't already have a valid delta delay - matrix[delta_x][delta_y].push_back(EMPTY_DELTA); -#ifdef VERBOSE - VTR_LOG("Computed delay: %12s delta: %d,%d (src: %d,%d sink: %d,%d)\n", - "EMPTY", - delta_x, delta_y, - source_x, source_y, - sink_x, sink_y); -#endif - found_matrix[delta_x][delta_y] = true; - } - } else { - bool found_a_sink = false; - auto best_sink_ptcs = get_best_classes(RECEIVER, device_ctx.grid.get_physical_type({sink_x, sink_y, to_layer_num})); - for (int sink_ptc : best_sink_ptcs) { - VTR_ASSERT(sink_ptc != OPEN); - RRNodeId sink_rr_node = device_ctx.rr_graph.node_lookup().find_node(to_layer_num, sink_x, sink_y, SINK, sink_ptc); - - if (sink_rr_node == RRNodeId::INVALID()) - continue; - - if (!measure_directconnect && directconnect_exists(source_rr_node, sink_rr_node)) { - //Skip if we shouldn't measure direct connects and a direct connect exists - continue; - } - - if (std::isnan(delays[sink_rr_node])) { - // This sink was not found - continue; - } - -#ifdef VERBOSE - VTR_LOG("Computed delay: %12g delta: %d,%d (src: %d,%d sink: %d,%d)\n", - delays[size_t(sink_rr_node)], - delta_x, delta_y, - source_x, source_y, - sink_x, sink_y); -#endif - found_matrix[delta_x][delta_y] = true; - - add_delay_to_matrix(&matrix, delta_x, delta_y, delays[sink_rr_node]); - - found_a_sink = true; - break; - } - - if (!found_a_sink) { - path_to_all_sinks = false; - } - } - } - } - - if (path_to_all_sinks) { - break; - } - } - - for (int sink_x = start_x; sink_x <= end_x; sink_x++) { - for (int sink_y = start_y; sink_y <= end_y; sink_y++) { - int delta_x = abs(sink_x - source_x); - int delta_y = abs(sink_y - source_y); - if (!found_matrix[delta_x][delta_y]) { - add_delay_to_matrix(&matrix, delta_x, delta_y, IMPOSSIBLE_DELTA); - VTR_LOG_WARN("Unable to route between blocks at (%d,%d,%d) and (%d,%d,%d) to characterize delay (setting to %g)\n", - source_x, - source_y, - from_layer_num, - sink_x, - sink_y, - to_layer_num, - IMPOSSIBLE_DELTA); - } - } - } -} - -static void generic_compute_matrix_iterative_astar( - RouterDelayProfiler& route_profiler, - vtr::Matrix>& matrix, - int from_layer_num, - int to_layer_num, - int source_x, - int source_y, - int start_x, - int start_y, - int end_x, - int end_y, - const t_router_opts& router_opts, - bool measure_directconnect, - const std::set& allowed_types, - bool /***/) { - //vtr::ScopedStartFinishTimer t(vtr::string_fmt("Profiling from (%d,%d)", source_x, source_y)); - - int delta_x, delta_y; - int sink_x, sink_y; - - auto& device_ctx = g_vpr_ctx.device(); - - for (sink_x = start_x; sink_x <= end_x; sink_x++) { - for (sink_y = start_y; sink_y <= end_y; sink_y++) { - delta_x = abs(sink_x - source_x); - delta_y = abs(sink_y - source_y); - - t_physical_tile_type_ptr src_type = device_ctx.grid.get_physical_type({source_x, source_y, from_layer_num}); - t_physical_tile_type_ptr sink_type = device_ctx.grid.get_physical_type({sink_x, sink_y, to_layer_num}); - - bool src_or_target_empty = (src_type == device_ctx.EMPTY_PHYSICAL_TILE_TYPE - || sink_type == device_ctx.EMPTY_PHYSICAL_TILE_TYPE); - - bool is_allowed_type = allowed_types.empty() || allowed_types.find(src_type->name) != allowed_types.end(); - - if (src_or_target_empty || !is_allowed_type) { - if (matrix[delta_x][delta_y].empty()) { - //Only set empty target if we don't already have a valid delta delay - matrix[delta_x][delta_y].push_back(EMPTY_DELTA); -#ifdef VERBOSE - VTR_LOG("Computed delay: %12s delta: %d,%d (src: %d,%d sink: %d,%d)\n", - "EMPTY", - delta_x, delta_y, - source_x, source_y, - sink_x, sink_y); -#endif - } - } else { - //Valid start/end - - float delay = route_connection_delay(route_profiler, - from_layer_num, - to_layer_num, - source_x, - source_y, - sink_x, - sink_y, - router_opts, - measure_directconnect); - -#ifdef VERBOSE - VTR_LOG("Computed delay: %12g delta: %d,%d (src: %d,%d sink: %d,%d)\n", - delay, - delta_x, delta_y, - source_x, source_y, - sink_x, sink_y); -#endif - if (matrix[delta_x][delta_y].size() == 1 && matrix[delta_x][delta_y][0] == EMPTY_DELTA) { - //Overwrite empty delta - matrix[delta_x][delta_y][0] = delay; - } else { - //Collect delta - matrix[delta_x][delta_y].push_back(delay); - } - } - } - } -} - -static vtr::NdMatrix compute_delta_delays( - RouterDelayProfiler& route_profiler, - const t_placer_opts& placer_opts, - const t_router_opts& router_opts, - bool measure_directconnect, - size_t longest_length, - bool is_flat) { - //To avoid edge effects we place the source at least 'longest_length' away - //from the device edge - //and route from there for all possible delta values < dimension - - auto& device_ctx = g_vpr_ctx.device(); - auto& grid = device_ctx.grid; - - vtr::NdMatrix delta_delays({static_cast(grid.get_num_layers()), static_cast(grid.get_num_layers()), grid.width(), grid.height()}); - - for (int from_layer_num = 0; from_layer_num < grid.get_num_layers(); from_layer_num++) { - for (int to_layer_num = 0; to_layer_num < grid.get_num_layers(); to_layer_num++) { - vtr::NdMatrix, 2> sampled_delta_delays({grid.width(), grid.height()}); - - size_t mid_x = vtr::nint(grid.width() / 2); - size_t mid_y = vtr::nint(grid.height() / 2); - - size_t low_x = std::min(longest_length, mid_x); - size_t low_y = std::min(longest_length, mid_y); - size_t high_x = mid_x; - size_t high_y = mid_y; - if (longest_length <= grid.width()) { - high_x = std::max(grid.width() - longest_length, mid_x); - } - if (longest_length <= grid.height()) { - high_y = std::max(grid.height() - longest_length, mid_y); - } - - std::set allowed_types; - if (!placer_opts.allowed_tiles_for_delay_model.empty()) { - auto allowed_types_vector = vtr::split(placer_opts.allowed_tiles_for_delay_model, ","); - for (const auto& type : allowed_types_vector) { - allowed_types.insert(type); - } - } - - // +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - // + | | + - // + A | B | C + - // + | | + - // +-----------------\-----------------------.---------------+ - // + | | + - // + | | + - // + | | + - // + | | + - // + D | E | F + - // + | | + - // + | | + - // + | | + - // + | | + - // +-----------------*-----------------------/---------------+ - // + | | + - // + G | H | I + - // + | | + - // +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ - // - // * = (low_x, low_y) - // . = (high_x, high_y) - // / = (high_x, low_y) - // \ = (low_x, high_y) - // + = device edge - - //Find the lowest y location on the left edge with a non-empty block - int y = 0; - int x = 0; - t_physical_tile_type_ptr src_type = nullptr; - for (x = 0; x < (int)grid.width(); ++x) { - for (y = 0; y < (int)grid.height(); ++y) { - auto type = grid.get_physical_type({x, y, from_layer_num}); - - if (type != device_ctx.EMPTY_PHYSICAL_TILE_TYPE) { - if (!allowed_types.empty() && allowed_types.find(type->name) == allowed_types.end()) { - continue; - } - src_type = type; - break; - } - } - if (src_type) { - break; - } - } - VTR_ASSERT(src_type != nullptr); - - t_compute_delta_delay_matrix generic_compute_matrix; - switch (placer_opts.place_delta_delay_matrix_calculation_method) { - case e_place_delta_delay_algorithm::ASTAR_ROUTE: - generic_compute_matrix = generic_compute_matrix_iterative_astar; - break; - case e_place_delta_delay_algorithm::DIJKSTRA_EXPANSION: - generic_compute_matrix = generic_compute_matrix_dijkstra_expansion; - break; - default: - VPR_FATAL_ERROR(VPR_ERROR_PLACE, "Unknown place_delta_delay_matrix_calculation_method %d", placer_opts.place_delta_delay_matrix_calculation_method); - } - -#ifdef VERBOSE - VTR_LOG("Computing from lower left edge (%d,%d):\n", x, y); -#endif - generic_compute_matrix(route_profiler, sampled_delta_delays, - from_layer_num, to_layer_num, - x, y, - x, y, - grid.width() - 1, grid.height() - 1, - router_opts, - measure_directconnect, allowed_types, - is_flat); - - //Find the lowest x location on the bottom edge with a non-empty block - src_type = nullptr; - for (y = 0; y < (int)grid.height(); ++y) { - for (x = 0; x < (int)grid.width(); ++x) { - auto type = grid.get_physical_type({x, y, from_layer_num}); - - if (type != device_ctx.EMPTY_PHYSICAL_TILE_TYPE) { - if (!allowed_types.empty() && allowed_types.find(type->name) == allowed_types.end()) { - continue; - } - src_type = type; - break; - } - } - if (src_type) { - break; - } - } - VTR_ASSERT(src_type != nullptr); -#ifdef VERBOSE - VTR_LOG("Computing from left bottom edge (%d,%d):\n", x, y); -#endif - generic_compute_matrix(route_profiler, sampled_delta_delays, - from_layer_num, to_layer_num, - x, y, - x, y, - grid.width() - 1, grid.height() - 1, - router_opts, - measure_directconnect, allowed_types, - is_flat); - - //Since the other delta delay values may have suffered from edge effects, - //we recalculate deltas within regions B, C, E, F -#ifdef VERBOSE - VTR_LOG("Computing from low/low:\n"); -#endif - generic_compute_matrix(route_profiler, sampled_delta_delays, - from_layer_num, to_layer_num, - low_x, low_y, - low_x, low_y, - grid.width() - 1, grid.height() - 1, - router_opts, - measure_directconnect, allowed_types, - is_flat); - - //Since the other delta delay values may have suffered from edge effects, - //we recalculate deltas within regions D, E, G, H -#ifdef VERBOSE - VTR_LOG("Computing from high/high:\n"); -#endif - generic_compute_matrix(route_profiler, sampled_delta_delays, - from_layer_num, to_layer_num, - high_x, high_y, - 0, 0, - high_x, high_y, - router_opts, - measure_directconnect, allowed_types, - is_flat); - - //Since the other delta delay values may have suffered from edge effects, - //we recalculate deltas within regions A, B, D, E -#ifdef VERBOSE - VTR_LOG("Computing from high/low:\n"); -#endif - generic_compute_matrix(route_profiler, sampled_delta_delays, - from_layer_num, to_layer_num, - high_x, low_y, - 0, low_y, - high_x, grid.height() - 1, - router_opts, - measure_directconnect, allowed_types, - is_flat); - - //Since the other delta delay values may have suffered from edge effects, - //we recalculate deltas within regions E, F, H, I -#ifdef VERBOSE - VTR_LOG("Computing from low/high:\n"); -#endif - generic_compute_matrix(route_profiler, sampled_delta_delays, - from_layer_num, to_layer_num, - low_x, high_y, - low_x, 0, - grid.width() - 1, high_y, - router_opts, - measure_directconnect, allowed_types, - is_flat); - for (size_t dx = 0; dx < sampled_delta_delays.dim_size(0); ++dx) { - for (size_t dy = 0; dy < sampled_delta_delays.dim_size(1); ++dy) { - delta_delays[from_layer_num][to_layer_num][dx][dy] = delay_reduce(sampled_delta_delays[dx][dy], placer_opts.delay_model_reducer); - } - } - } - } - - return delta_delays; -} - -float delay_reduce(std::vector& delays, e_reducer reducer) { - if (delays.empty()) { - return IMPOSSIBLE_DELTA; - } else if (delays.size() == 1) { - return delays[0]; - } - - VTR_ASSERT(delays.size() > 1); - - float delay; - - if (reducer == e_reducer::MIN) { - auto itr = std::min_element(delays.begin(), delays.end()); - delay = *itr; - } else if (reducer == e_reducer::MAX) { - auto itr = std::max_element(delays.begin(), delays.end()); - delay = *itr; - } else if (reducer == e_reducer::MEDIAN) { - std::stable_sort(delays.begin(), delays.end()); - delay = vtr::median(delays.begin(), delays.end()); - } else if (reducer == e_reducer::ARITHMEAN) { - delay = vtr::arithmean(delays.begin(), delays.end()); - } else if (reducer == e_reducer::GEOMEAN) { - delay = vtr::geomean(delays.begin(), delays.end()); - } else { - VPR_FATAL_ERROR(VPR_ERROR_PLACE, "Unrecognized delta delay reducer"); - } - - return delay; -} - -/* We return the average placement estimated delay for a routing spanning (x,y). - * We start with an averaging distance of 1 (i.e. from (x-1,y-1) to (x+1,y+1)) - * and look for legal delay values to average; if some are found we return the - * average and if none are found we increase the distance to average over. - * - * If no legal values are found to average over with a range of max_distance, - * we return IMPOSSIBLE_DELTA. - */ -static float find_neighboring_average( - vtr::NdMatrix& matrix, - int from_layer, - t_physical_tile_loc to_tile_loc, - int max_distance) { - float sum = 0; - int counter = 0; - int endx = matrix.end_index(2); - int endy = matrix.end_index(3); - - int x = to_tile_loc.x; - int y = to_tile_loc.y; - int to_layer = to_tile_loc.layer_num; - - for (int distance = 1; distance <= max_distance; ++distance) { - for (int delx = x - distance; delx <= x + distance; delx++) { - for (int dely = y - distance; dely <= y + distance; dely++) { - // Check distance constraint - if (abs(delx - x) + abs(dely - y) > distance) { - continue; - } - - //check out of bounds - if (delx < 0 || dely < 0 || delx >= endx || dely >= endy || (delx == x && dely == y)) { - continue; - } - - if (matrix[from_layer][to_layer][delx][dely] == EMPTY_DELTA || matrix[from_layer][to_layer][delx][dely] == IMPOSSIBLE_DELTA) { - continue; - } - counter++; - sum += matrix[from_layer][to_layer][delx][dely]; - } - } - if (counter != 0) { - return sum / (float)counter; - } - } - - return IMPOSSIBLE_DELTA; -} - -static void fix_empty_coordinates(vtr::NdMatrix& delta_delays) { - // Set any empty delta's to the average of it's neighbours - // - // Empty coordinates may occur if the sampling location happens to not have - // a connection at that location. However a more through sampling likely - // would return a result, so we fill in the empty holes with a small - // neighbour average. - constexpr int kMaxAverageDistance = 2; - for (int from_layer = 0; from_layer < (int)delta_delays.dim_size(0); ++from_layer) { - for (int to_layer = 0; to_layer < (int)delta_delays.dim_size(1); ++to_layer) { - for (int delta_x = 0; delta_x < (int)delta_delays.dim_size(2); ++delta_x) { - for (int delta_y = 0; delta_y < (int)delta_delays.dim_size(3); ++delta_y) { - if (delta_delays[from_layer][to_layer][delta_x][delta_y] == EMPTY_DELTA) { - delta_delays[from_layer][to_layer][delta_x][delta_y] = - find_neighboring_average(delta_delays, - from_layer, - {delta_x, delta_y, to_layer}, - kMaxAverageDistance); - } - } - } - } - } -} - -static void fix_uninitialized_coordinates(vtr::NdMatrix& delta_delays) { - // Set any empty delta's to the average of it's neighbours - - for (size_t from_layer_num = 0; from_layer_num < delta_delays.dim_size(0); ++from_layer_num) { - for (size_t to_layer_num = 0; to_layer_num < delta_delays.dim_size(1); ++to_layer_num) { - for (size_t delta_x = 0; delta_x < delta_delays.dim_size(2); ++delta_x) { - for (size_t delta_y = 0; delta_y < delta_delays.dim_size(3); ++delta_y) { - if (delta_delays[from_layer_num][to_layer_num][delta_x][delta_y] == UNINITIALIZED_DELTA) { - delta_delays[from_layer_num][to_layer_num][delta_x][delta_y] = IMPOSSIBLE_DELTA; - } - } - } - } - } -} - -static void fill_impossible_coordinates(vtr::NdMatrix& delta_delays) { - // Set any impossible delta's to the average of its neighbours - // - // Impossible coordinates may occur if an IPIN cannot be reached from the - // sampling OPIN. This might occur if the IPIN or OPIN used for sampling - // is specialized, and therefore cannot be reached via the by the pins - // sampled. Leaving this value in the delay matrix will result in invalid - // slacks if the delay matrix uses this value. - // - // A max average distance of 5 is used to provide increased effort in - // filling these gaps. It is more important to have a poor predication, - // than an invalid value and causing a slack assertion. - constexpr int kMaxAverageDistance = 5; - for (int from_layer_num = 0; from_layer_num < (int)delta_delays.dim_size(0); ++from_layer_num) { - for (int to_layer_num = 0; to_layer_num < (int)delta_delays.dim_size(1); ++to_layer_num) { - for (int delta_x = 0; delta_x < (int)delta_delays.dim_size(2); ++delta_x) { - for (int delta_y = 0; delta_y < (int)delta_delays.dim_size(3); ++delta_y) { - if (delta_delays[from_layer_num][to_layer_num][delta_x][delta_y] == IMPOSSIBLE_DELTA) { - delta_delays[from_layer_num][to_layer_num][delta_x][delta_y] = find_neighboring_average( - delta_delays, from_layer_num, {delta_x, delta_y, to_layer_num}, kMaxAverageDistance); - } - } - } - } - } -} - -static vtr::NdMatrix compute_delta_delay_model( - RouterDelayProfiler& route_profiler, - const t_placer_opts& placer_opts, - const t_router_opts& router_opts, - bool measure_directconnect, - int longest_length, - bool is_flat) { - vtr::ScopedStartFinishTimer timer("Computing delta delays"); - vtr::NdMatrix delta_delays = compute_delta_delays(route_profiler, - placer_opts, - router_opts, - measure_directconnect, - longest_length, - is_flat); - - fix_uninitialized_coordinates(delta_delays); - - fix_empty_coordinates(delta_delays); - - fill_impossible_coordinates(delta_delays); - - verify_delta_delays(delta_delays); - - return delta_delays; -} - -static vtr::NdMatrix compute_simple_delay_model(RouterDelayProfiler& route_profiler) { - const auto& grid = g_vpr_ctx.device().grid; - int num_physical_tile_types = static_cast(g_vpr_ctx.device().physical_tile_types.size()); - // Initializing the delay matrix to [num_physical_types][num_layers][num_layers][width][height] - // The second index related to the layer that the source location is on and the third index is for the sink layer - vtr::NdMatrix delta_delays({static_cast(num_physical_tile_types), - static_cast(grid.get_num_layers()), - static_cast(grid.get_num_layers()), - grid.width(), - grid.height()}); - - for (int physical_tile_type_idx = 0; physical_tile_type_idx < num_physical_tile_types; ++physical_tile_type_idx) { - for (int from_layer = 0; from_layer < grid.get_num_layers(); ++from_layer) { - for (int to_layer = 0; to_layer < grid.get_num_layers(); ++to_layer) { - for (int dx = 0; dx < static_cast(grid.width()); ++dx) { - for (int dy = 0; dy < static_cast(grid.height()); ++dy) { - float min_delay = route_profiler.get_min_delay(physical_tile_type_idx, - from_layer, - to_layer, - dx, - dy); - delta_delays[physical_tile_type_idx][from_layer][to_layer][dx][dy] = min_delay; - } - } - } - } - } - - return delta_delays; -} - -//Finds a src_rr and sink_rr appropriate for measuring the delay of the current direct specification -static bool find_direct_connect_sample_locations(const t_direct_inf* direct, - t_physical_tile_type_ptr from_type, - int from_pin, - int from_pin_class, - t_physical_tile_type_ptr to_type, - int to_pin, - int to_pin_class, - RRNodeId& out_src_node, - RRNodeId& out_sink_node) { - VTR_ASSERT(from_type != nullptr); - VTR_ASSERT(to_type != nullptr); - - auto& device_ctx = g_vpr_ctx.device(); - auto& grid = device_ctx.grid; - const auto& node_lookup = device_ctx.rr_graph.node_lookup(); - - //Search the grid for an instance of from/to blocks which satisfy this direct connect offsets, - //and which has the appropriate pins - int from_x = -1; - int from_y = -1; - int from_sub_tile = -1; - int to_x = 0, to_y = 0, to_sub_tile = 0; - bool found = false; - int found_layer_num = -1; - //TODO: Function *FOR NOW* assumes that from/to blocks are at same die and have a same layer nums - for (int layer_num = 0; layer_num < grid.get_num_layers() && !found; ++layer_num) { - for (int x = 0; x < (int)grid.width() && !found; ++x) { - to_x = x + direct->x_offset; - if (to_x < 0 || to_x >= (int)grid.width()) continue; - - for (int y = 0; y < (int)grid.height() && !found; ++y) { - if (grid.get_physical_type({x, y, layer_num}) != from_type) continue; - - //Check that the from pin exists at this from location - //(with multi-width/height blocks pins may not exist at all locations) - bool from_pin_found = false; - if (direct->from_side != NUM_2D_SIDES) { - RRNodeId from_pin_rr = node_lookup.find_node(layer_num, x, y, OPIN, from_pin, direct->from_side); - from_pin_found = from_pin_rr.is_valid(); - } else { - from_pin_found = !(node_lookup.find_nodes_at_all_sides(layer_num, x, y, OPIN, from_pin).empty()); - } - if (!from_pin_found) continue; - - to_y = y + direct->y_offset; - - if (to_y < 0 || to_y >= (int)grid.height()) continue; - if (grid.get_physical_type({to_x, to_y, layer_num}) != to_type) continue; - - //Check that the from pin exists at this from location - //(with multi-width/height blocks pins may not exist at all locations) - bool to_pin_found = false; - if (direct->to_side != NUM_2D_SIDES) { - RRNodeId to_pin_rr = node_lookup.find_node(layer_num, to_x, to_y, IPIN, to_pin, direct->to_side); - to_pin_found = (to_pin_rr != RRNodeId::INVALID()); - } else { - to_pin_found = !(node_lookup.find_nodes_at_all_sides(layer_num, to_x, to_y, IPIN, to_pin).empty()); - } - if (!to_pin_found) continue; - - for (int sub_tile_num = 0; sub_tile_num < from_type->capacity; ++sub_tile_num) { - to_sub_tile = sub_tile_num + direct->sub_tile_offset; - - if (to_sub_tile < 0 || to_sub_tile >= to_type->capacity) continue; - - found = true; - found_layer_num = layer_num; - from_x = x; - from_y = y; - from_sub_tile = sub_tile_num; - - break; - } - } - } - } - - if (!found) { - return false; - } - - //Now have a legal instance of this direct connect - VTR_ASSERT(grid.get_physical_type({from_x, from_y, found_layer_num}) == from_type); - VTR_ASSERT(from_sub_tile < from_type->capacity); - - VTR_ASSERT(grid.get_physical_type({to_x, to_y, found_layer_num}) == to_type); - VTR_ASSERT(to_sub_tile < to_type->capacity); - - VTR_ASSERT(from_x + direct->x_offset == to_x); - VTR_ASSERT(from_y + direct->y_offset == to_y); - VTR_ASSERT(from_sub_tile + direct->sub_tile_offset == to_sub_tile); - - // - //Find a source/sink RR node associated with the pins of the direct - // - - { - RRNodeId src_rr_candidate = node_lookup.find_node(found_layer_num, from_x, from_y, SOURCE, from_pin_class); - VTR_ASSERT(src_rr_candidate); - out_src_node = src_rr_candidate; - } - - { - RRNodeId sink_rr_candidate = node_lookup.find_node(found_layer_num, to_x, to_y, SINK, to_pin_class); - VTR_ASSERT(sink_rr_candidate); - out_sink_node = sink_rr_candidate; - } - - return true; -} - -static bool verify_delta_delays(const vtr::NdMatrix& delta_delays) { - auto& device_ctx = g_vpr_ctx.device(); - auto& grid = device_ctx.grid; - - for (int from_layer_num = 0; from_layer_num < grid.get_num_layers(); ++from_layer_num) { - for (int to_layer_num = 0; to_layer_num < grid.get_num_layers(); ++to_layer_num) { - for (size_t x = 0; x < grid.width(); ++x) { - for (size_t y = 0; y < grid.height(); ++y) { - float delta_delay = delta_delays[from_layer_num][to_layer_num][x][y]; - - if (delta_delay < 0.) { - VPR_ERROR(VPR_ERROR_PLACE, - "Found invaild negative delay %g for delta [%d,%d,%d,%d]", - delta_delay, from_layer_num, to_layer_num, x, y); - } - } - } - } - } - - return true; -} - -void OverrideDelayModel::compute_override_delay_model( - RouterDelayProfiler& route_profiler, - const t_router_opts& router_opts) { - t_router_opts router_opts2 = router_opts; - router_opts2.astar_fac = 0.f; - router_opts2.astar_offset = 0.f; - - //Look at all the direct connections that exist, and add overrides to delay model - auto& device_ctx = g_vpr_ctx.device(); - for (int idirect = 0; idirect < (int)device_ctx.arch->directs.size(); ++idirect) { - const t_direct_inf* direct = &device_ctx.arch->directs[idirect]; - - InstPort from_port = parse_inst_port(direct->from_pin); - InstPort to_port = parse_inst_port(direct->to_pin); - - t_physical_tile_type_ptr from_type = find_tile_type_by_name(from_port.instance_name(), device_ctx.physical_tile_types); - t_physical_tile_type_ptr to_type = find_tile_type_by_name(to_port.instance_name(), device_ctx.physical_tile_types); - - int num_conns = from_port.port_high_index() - from_port.port_low_index() + 1; - VTR_ASSERT_MSG(num_conns == to_port.port_high_index() - to_port.port_low_index() + 1, "Directs must have the same size to/from"); - - //We now walk through all the connections associated with the current direct specification, measure - //their delay and specify that value as an override in the delay model. - // - //Note that we need to check every connection in the direct to cover the case where the pins are not - //equivalent. - // - //However, if the from/to ports are equivalent we could end up sampling the same RR SOURCE/SINK - //paths multiple times (wasting CPU time) -- we avoid this by recording the sampled paths in - //sampled_rr_pairs and skipping them if they occur multiple times. - int missing_instances = 0; - int missing_paths = 0; - std::set> sampled_rr_pairs; - for (int iconn = 0; iconn < num_conns; ++iconn) { - //Find the associated pins - int from_pin = find_pin(from_type, from_port.port_name(), from_port.port_low_index() + iconn); - int to_pin = find_pin(to_type, to_port.port_name(), to_port.port_low_index() + iconn); - - VTR_ASSERT(from_pin != OPEN); - VTR_ASSERT(to_pin != OPEN); - - int from_pin_class = find_pin_class(from_type, from_port.port_name(), from_port.port_low_index() + iconn, DRIVER); - VTR_ASSERT(from_pin_class != OPEN); - - int to_pin_class = find_pin_class(to_type, to_port.port_name(), to_port.port_low_index() + iconn, RECEIVER); - VTR_ASSERT(to_pin_class != OPEN); - - bool found_sample_points; - RRNodeId src_rr, sink_rr; - found_sample_points = find_direct_connect_sample_locations(direct, from_type, from_pin, from_pin_class, to_type, to_pin, to_pin_class, src_rr, sink_rr); - - if (!found_sample_points) { - ++missing_instances; - continue; - } - - //If some of the source/sink ports are logically equivalent we may have already - //sampled the associated source/sink pair and don't need to do so again - if (sampled_rr_pairs.count({src_rr, sink_rr})) continue; - - float direct_connect_delay = std::numeric_limits::quiet_NaN(); - bool found_routing_path = route_profiler.calculate_delay(src_rr, sink_rr, router_opts2, &direct_connect_delay); - - if (found_routing_path) { - set_delay_override(from_type->index, from_pin_class, to_type->index, to_pin_class, direct->x_offset, direct->y_offset, direct_connect_delay); - } else { - ++missing_paths; - } - - //Record that we've sampled this pair of source and sink nodes - sampled_rr_pairs.insert({src_rr, sink_rr}); - } - - VTR_LOGV_WARN(missing_instances > 0, "Found no delta delay for %d bits of inter-block direct connect '%s' (no instances of this direct found)\n", missing_instances, direct->name.c_str()); - VTR_LOGV_WARN(missing_paths > 0, "Found no delta delay for %d bits of inter-block direct connect '%s' (no routing path found)\n", missing_paths, direct->name.c_str()); - } -} - -bool directconnect_exists(RRNodeId src_rr_node, RRNodeId sink_rr_node) { - //Returns true if there is a directconnect between the two RR nodes - // - //This is checked by looking for a SOURCE -> OPIN -> IPIN -> SINK path - //which starts at src_rr_node and ends at sink_rr_node - auto& device_ctx = g_vpr_ctx.device(); - const auto& rr_graph = device_ctx.rr_graph; - - VTR_ASSERT(rr_graph.node_type(src_rr_node) == SOURCE && rr_graph.node_type(sink_rr_node) == SINK); - - //TODO: This is a constant depth search, but still may be too slow - for (t_edge_size i_src_edge = 0; i_src_edge < rr_graph.num_edges(src_rr_node); ++i_src_edge) { - RRNodeId opin_rr_node = rr_graph.edge_sink_node(src_rr_node, i_src_edge); - - if (rr_graph.node_type(opin_rr_node) != OPIN) continue; - - for (t_edge_size i_opin_edge = 0; i_opin_edge < rr_graph.num_edges(opin_rr_node); ++i_opin_edge) { - RRNodeId ipin_rr_node = rr_graph.edge_sink_node(opin_rr_node, i_opin_edge); - if (rr_graph.node_type(ipin_rr_node) != IPIN) continue; - - for (t_edge_size i_ipin_edge = 0; i_ipin_edge < rr_graph.num_edges(ipin_rr_node); ++i_ipin_edge) { - if (sink_rr_node == rr_graph.edge_sink_node(ipin_rr_node, i_ipin_edge)) { - return true; - } - } - } - } - return false; -} diff --git a/vpr/src/place/timing_place_lookup.h b/vpr/src/place/timing_place_lookup.h deleted file mode 100644 index fba3f470483..00000000000 --- a/vpr/src/place/timing_place_lookup.h +++ /dev/null @@ -1,18 +0,0 @@ -#ifndef TIMING_PLACE_LOOKUP_H -#define TIMING_PLACE_LOOKUP_H -#include "place_delay_model.h" - -std::unique_ptr compute_place_delay_model(const t_placer_opts& placer_opts, - const t_router_opts& router_opts, - const Netlist<>& net_list, - t_det_routing_arch* det_routing_arch, - std::vector& segment_inf, - t_chan_width_dist chan_width_dist, - const std::vector& directs, - bool is_flat); - -std::vector get_best_classes(enum e_pin_type pintype, t_physical_tile_type_ptr type); - -bool directconnect_exists(RRNodeId src_rr_node, RRNodeId sink_rr_node); - -#endif diff --git a/vpr/src/place/verify_placement.cpp b/vpr/src/place/verify_placement.cpp index 9e9dd6fbcd8..92534f99c91 100644 --- a/vpr/src/place/verify_placement.cpp +++ b/vpr/src/place/verify_placement.cpp @@ -18,6 +18,7 @@ #include "device_grid.h" #include "partition_region.h" #include "physical_types.h" +#include "physical_types_util.h" #include "place_macro.h" #include "vpr_context.h" #include "vpr_types.h" @@ -63,8 +64,7 @@ static unsigned check_block_placement_consistency(const BlkLocRegistry& blk_loc_ // and that it has no valid clusters placed at this location. // TODO: Eventually it should be made impossible to place blocks // at these locations. - if (device_grid.get_width_offset(tile_loc) != 0 || - device_grid.get_height_offset(tile_loc) != 0) { + if (device_grid.get_width_offset(tile_loc) != 0 || device_grid.get_height_offset(tile_loc) != 0) { // Usage must be 0 if (grid_blocks.get_usage(tile_loc) != 0) { VTR_LOG_ERROR( @@ -171,8 +171,8 @@ static unsigned check_block_placement_consistency(const BlkLocRegistry& blk_loc_ * * @return The number of errors in the macro placement. */ -static unsigned check_macro_placement_consistency(const BlkLocRegistry& blk_loc_registry) { - const PlaceMacros& pl_macros = blk_loc_registry.place_macros(); +static unsigned check_macro_placement_consistency(const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& pl_macros) { const auto& block_locs = blk_loc_registry.block_locs(); const auto& grid_blocks = blk_loc_registry.grid_blocks(); @@ -204,7 +204,7 @@ static unsigned check_macro_placement_consistency(const BlkLocRegistry& blk_loc_ num_errors++; } } // Finish going through all the members - } // Finish going through all the macros + } // Finish going through all the macros return num_errors; } @@ -247,6 +247,7 @@ static unsigned check_placement_floorplanning(const BlkLocRegistry& blk_loc_regi } unsigned verify_placement(const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, const ClusteredNetlist& clb_nlist, const DeviceGrid& device_grid, const vtr::vector& cluster_constraints) { @@ -264,7 +265,7 @@ unsigned verify_placement(const BlkLocRegistry& blk_loc_registry, // FIXME: Should we be checking the macro consistency at all? Does the // router use the pl_macros? If not this should be removed from this // method and only used when the macro placement is actually used. - num_errors += check_macro_placement_consistency(blk_loc_registry); + num_errors += check_macro_placement_consistency(blk_loc_registry, place_macros); // Check that the floorplanning is observed. num_errors += check_placement_floorplanning(blk_loc_registry, @@ -277,8 +278,8 @@ unsigned verify_placement(const BlkLocRegistry& blk_loc_registry, unsigned verify_placement(const VprContext& ctx) { // Verify the placement within the given context. return verify_placement(ctx.placement().blk_loc_registry(), + *ctx.placement().place_macros, ctx.clustering().clb_nlist, ctx.device().grid, ctx.floorplanning().cluster_constraints); } - diff --git a/vpr/src/place/verify_placement.h b/vpr/src/place/verify_placement.h index 1bee823ea5e..2a3236d3b4c 100644 --- a/vpr/src/place/verify_placement.h +++ b/vpr/src/place/verify_placement.h @@ -22,6 +22,7 @@ class ClusterBlockId; class ClusteredNetlist; class DeviceGrid; class PartitionRegion; +class PlaceMacros; class VprContext; /** @@ -52,6 +53,7 @@ class VprContext; * * @param blk_loc_registry A registry containing the current placement of * the clusters. + * @param place_macros The place macros for the clustered netlist. * @param clb_nlist The clustered netlist being verified. * @param device_grid The device grid being verified over. * @param cluster_constraints The constrained regions that each cluster is @@ -61,6 +63,7 @@ class VprContext; * log messages for each error found. */ unsigned verify_placement(const BlkLocRegistry& blk_loc_registry, + const PlaceMacros& place_macros, const ClusteredNetlist& clb_nlist, const DeviceGrid& device_grid, const vtr::vector& cluster_constraints); @@ -78,4 +81,3 @@ unsigned verify_placement(const BlkLocRegistry& blk_loc_registry, * @param ctx The global VPR context variable found in g_vpr_ctx. */ unsigned verify_placement(const VprContext& ctx); - diff --git a/vpr/src/power/power.cpp b/vpr/src/power/power.cpp index e899935864a..669133f5a01 100644 --- a/vpr/src/power/power.cpp +++ b/vpr/src/power/power.cpp @@ -26,13 +26,12 @@ #include #include #include -#include +#include "physical_types_util.h" #include "vtr_util.h" #include "vtr_path.h" #include "vtr_log.h" #include "vtr_assert.h" -#include "vtr_memory.h" #include "power.h" #include "power_components.h" @@ -44,7 +43,6 @@ #include "physical_types.h" #include "globals.h" -#include "rr_graph.h" #include "vpr_utils.h" /************************* DEFINES **********************************/ @@ -164,9 +162,9 @@ static void power_usage_primitive(t_power_usage* power_usage, t_pb* pb, t_pb_gra } if (pb) { - AtomBlockId blk_id = atom_ctx.lookup.pb_atom(pb); + AtomBlockId blk_id = atom_ctx.lookup().atom_pb_bimap().pb_atom(pb); SRAM_values = alloc_SRAM_values_from_truth_table(LUT_size, - atom_ctx.nlist.block_truth_table(blk_id)); + atom_ctx.netlist().block_truth_table(blk_id)); } else { SRAM_values = alloc_SRAM_values_from_truth_table(LUT_size, AtomNetlist::TruthTable()); } @@ -810,7 +808,7 @@ static void power_usage_routing(t_power_usage* power_usage, /* Populate net indices into rr graph */ for (auto net_id : cluster_ctx.clb_nlist.nets()) { - ParentNetId parent_id = get_cluster_net_parent_id(g_vpr_ctx.atom().lookup, net_id, is_flat); + ParentNetId parent_id = get_cluster_net_parent_id(g_vpr_ctx.atom().lookup(), net_id, is_flat); if (!route_ctx.route_trees[parent_id]) continue; for (auto& rt_node : route_ctx.route_trees[parent_id].value().all_nodes()) { @@ -821,7 +819,7 @@ static void power_usage_routing(t_power_usage* power_usage, /* Populate net indices into rr graph */ for (auto net_id : cluster_ctx.clb_nlist.nets()) { - ParentNetId parent_id = get_cluster_net_parent_id(g_vpr_ctx.atom().lookup, net_id, is_flat); + ParentNetId parent_id = get_cluster_net_parent_id(g_vpr_ctx.atom().lookup(), net_id, is_flat); if (!route_ctx.route_trees[parent_id]) continue; for (auto& rt_node : route_ctx.route_trees[parent_id].value().all_nodes()) { @@ -923,7 +921,7 @@ static void power_usage_routing(t_power_usage* power_usage, C_wire = wire_length * rr_graph.rr_segments(RRSegmentId(seg_index)).Cmetal; //(double)power_ctx.commonly_used->tile_length); if (node_fan_in == 0) { - continue; // Bypass some nodes with 0 fan-in + continue; // Bypass some nodes with 0 fan-in } VTR_ASSERT(node_power->selected_input < node_fan_in); @@ -1201,8 +1199,8 @@ void power_routing_init(const t_det_routing_arch* routing_arch) { power_ctx.clb_net_power.resize(cluster_ctx.clb_nlist.nets().size()); } for (auto net_id : cluster_ctx.clb_nlist.nets()) { - power_ctx.clb_net_power[net_id].probability = power_ctx.atom_net_power[atom_ctx.lookup.atom_net(net_id)].probability; - power_ctx.clb_net_power[net_id].density = power_ctx.atom_net_power[atom_ctx.lookup.atom_net(net_id)].density; + power_ctx.clb_net_power[net_id].probability = power_ctx.atom_net_power[atom_ctx.lookup().atom_net(net_id)].probability; + power_ctx.clb_net_power[net_id].density = power_ctx.atom_net_power[atom_ctx.lookup().atom_net(net_id)].density; } /* Initialize RR Graph Structures */ diff --git a/vpr/src/power/power_components.h b/vpr/src/power/power_components.h index dd235450603..3faa7b7b641 100644 --- a/vpr/src/power/power_components.h +++ b/vpr/src/power/power_components.h @@ -38,7 +38,7 @@ */ #define POWER_LUT_SLOW #if (!(defined(POWER_LUT_SLOW) || defined(POWER_LUT_FAST))) -# define POWER_LUT_SLOW +#define POWER_LUT_SLOW #endif /************************* ENUMS ************************************/ diff --git a/vpr/src/route/DecompNetlistRouter.tpp b/vpr/src/route/DecompNetlistRouter.tpp index 47de291a14c..228cf428ef6 100644 --- a/vpr/src/route/DecompNetlistRouter.tpp +++ b/vpr/src/route/DecompNetlistRouter.tpp @@ -26,7 +26,7 @@ inline RouteIterResults DecompNetlistRouter::route_netlist(int itry, f /* Organize netlist into a PartitionTree. * Nets in a given level of nodes are guaranteed to not have any overlapping bounding boxes, so they can be routed in parallel. */ - if(!_tree){ + if (!_tree) { _tree = PartitionTree(_net_list); PartitionTreeDebug::log("Iteration " + std::to_string(itry) + ": built partition tree in " + std::to_string(timer.elapsed_sec()) + " s"); } @@ -449,8 +449,8 @@ inline bool is_close_to_cutline(RRNodeId inode, Axis cutline_axis, int cutline_p const auto& rr_graph = device_ctx.rr_graph; vtr::Rect tile_bb = device_ctx.grid.get_tile_bb({rr_graph.node_xlow(inode), - rr_graph.node_ylow(inode), - rr_graph.node_layer(inode)}); + rr_graph.node_ylow(inode), + rr_graph.node_layer(inode)}); /* Cutlines are considered to be at x + 0.5, set a thickness of +1 here by checking for equality */ if (cutline_axis == Axis::X) { @@ -466,8 +466,8 @@ inline bool is_close_to_bb(RRNodeId inode, const t_bb& bb, int thickness) { const auto& rr_graph = device_ctx.rr_graph; vtr::Rect tile_bb = device_ctx.grid.get_tile_bb({rr_graph.node_xlow(inode), - rr_graph.node_ylow(inode), - rr_graph.node_layer(inode)}); + rr_graph.node_ylow(inode), + rr_graph.node_layer(inode)}); int xlow = tile_bb.xmin() - thickness; int ylow = tile_bb.ymin() - thickness; @@ -686,9 +686,9 @@ vtr::dynamic_bitset<> DecompNetlistRouter::get_decomposition_mask_vnet if (inside_bb(tree.root().inode, vnet.clipped_bb)) { /* We have source, no need to sample after reduction in most cases */ bool is_reduced = get_reduction_mask_vnet_with_source(vnet, node.cutline_axis, node.cutline_pos, out); bool source_on_cutline = is_close_to_cutline(tree.root().inode, node.cutline_axis, node.cutline_pos, 1); - if (!is_reduced || source_on_cutline){ + if (!is_reduced || source_on_cutline) { convex_hull_downsample(vnet.net_id, vnet.clipped_bb, out); - } + } } else { int reduced_sides = get_reduction_mask_vnet_no_source(vnet, node.cutline_axis, node.cutline_pos, out); if (reduced_sides < 2) { diff --git a/vpr/src/route/NestedNetlistRouter.h b/vpr/src/route/NestedNetlistRouter.h new file mode 100644 index 00000000000..6870842af8f --- /dev/null +++ b/vpr/src/route/NestedNetlistRouter.h @@ -0,0 +1,134 @@ +#pragma once + +/** @file Nested parallel case for NetlistRouter */ +#include "netlist_routers.h" +#include "vtr_optional.h" +#include "vtr_thread_pool.h" +#include + +/* Add cmd line option for this later */ +constexpr int MAX_THREADS = 4; + +/** Nested parallel impl for NetlistRouter. + * + * Calls a parallel ConnectionRouter for route_net to extract even more parallelism. + * The main reason why this is a different router instead of templating NetlistRouter + * on ConnectionRouter is this router does not use TBB. The scheduling performance is + * worse, but it can wait in individual tasks now (which is not possible with TBB). + * + * Holds enough context members to glue together ConnectionRouter and net routing functions, + * such as \ref route_net. Keeps the members in thread-local storage where needed, + * i.e. ConnectionRouters and RouteIterResults-es. + * See \ref route_net. */ +template +class NestedNetlistRouter : public NetlistRouter { + public: + NestedNetlistRouter( + const Netlist<>& net_list, + const RouterLookahead* router_lookahead, + const t_router_opts& router_opts, + CBRR& connections_inf, + NetPinsMatrix& net_delay, + const ClusteredPinAtomPinsLookup& netlist_pin_lookup, + std::shared_ptr timing_info, + NetPinTimingInvalidator* pin_timing_invalidator, + route_budgets& budgeting_inf, + const RoutingPredictor& routing_predictor, + const vtr::vector>>& choking_spots, + bool is_flat) + : _net_list(net_list) + , _router_lookahead(router_lookahead) + , _router_opts(router_opts) + , _connections_inf(connections_inf) + , _net_delay(net_delay) + , _netlist_pin_lookup(netlist_pin_lookup) + , _timing_info(timing_info) + , _pin_timing_invalidator(pin_timing_invalidator) + , _budgeting_inf(budgeting_inf) + , _routing_predictor(routing_predictor) + , _choking_spots(choking_spots) + , _is_flat(is_flat) + , _thread_pool(MAX_THREADS) {} + ~NestedNetlistRouter() {} + + /** Run a single iteration of netlist routing for this->_net_list. This usually means calling + * \ref route_net for each net, which will handle other global updates. + * \return RouteIterResults for this iteration. */ + RouteIterResults route_netlist(int itry, float pres_fac, float worst_neg_slack); + /** Inform the PartitionTree of the nets with updated bounding boxes */ + void handle_bb_updated_nets(const std::vector& nets); + + /** Set rcv_enabled for each ConnectionRouter this is managing */ + void set_rcv_enabled(bool x); + /** Set timing_info for each ConnectionRouter this is managing */ + void set_timing_info(std::shared_ptr timing_info); + + private: + /** Route all nets in a PartitionTree node and add its children to the task queue. */ + void route_partition_tree_node(PartitionTreeNode& node); + + ConnectionRouter _make_router(const RouterLookahead* router_lookahead, bool is_flat) { + auto& device_ctx = g_vpr_ctx.device(); + auto& route_ctx = g_vpr_ctx.mutable_routing(); + + return ConnectionRouter( + device_ctx.grid, + *router_lookahead, + device_ctx.rr_graph.rr_nodes(), + &device_ctx.rr_graph, + device_ctx.rr_rc_data, + device_ctx.rr_graph.rr_switch(), + route_ctx.rr_node_route_inf, + is_flat); + } + + /* Context fields. Most of them will be forwarded to route_net (see route_net.tpp) */ + const Netlist<>& _net_list; + const RouterLookahead* _router_lookahead; + const t_router_opts& _router_opts; + CBRR& _connections_inf; + NetPinsMatrix& _net_delay; + const ClusteredPinAtomPinsLookup& _netlist_pin_lookup; + std::shared_ptr _timing_info; + NetPinTimingInvalidator* _pin_timing_invalidator; + route_budgets& _budgeting_inf; + const RoutingPredictor& _routing_predictor; + const vtr::vector>>& _choking_spots; + bool _is_flat; + + /** Cached routing parameters for current iteration (inputs to \see route_netlist()) */ + int _itry; + float _pres_fac; + float _worst_neg_slack; + + /** The partition tree. Holds the groups of nets for each partition */ + vtr::optional _tree; + + /** Thread pool for parallel routing. See vtr_thread_pool.h for implementation */ + vtr::thread_pool _thread_pool; + + /* Thread-local storage. + * These are maps because thread::id is a random integer instead of 1, 2, ... */ + std::unordered_map> _routers_th; + std::unordered_map _results_th; + std::mutex _storage_mutex; + + /** Get a thread-local ConnectionRouter. We lock the id->router lookup, but this is + * accessed once per partition so the overhead should be small */ + ConnectionRouter& get_thread_router() { + auto id = std::this_thread::get_id(); + std::lock_guard lock(_storage_mutex); + if (!_routers_th.count(id)) { + _routers_th.emplace(id, _make_router(_router_lookahead, _is_flat)); + } + return _routers_th.at(id); + } + + RouteIterResults& get_thread_results() { + auto id = std::this_thread::get_id(); + std::lock_guard lock(_storage_mutex); + return _results_th[id]; + } +}; + +#include "NestedNetlistRouter.tpp" diff --git a/vpr/src/route/NestedNetlistRouter.tpp b/vpr/src/route/NestedNetlistRouter.tpp new file mode 100644 index 00000000000..333be28ea3b --- /dev/null +++ b/vpr/src/route/NestedNetlistRouter.tpp @@ -0,0 +1,141 @@ +#pragma once + +/** @file Impls for ParallelNetlistRouter */ + +#include +#include "netlist_routers.h" +#include "route_net.h" +#include "vtr_time.h" + +template +inline RouteIterResults NestedNetlistRouter::route_netlist(int itry, float pres_fac, float worst_neg_slack) { + /* Reset results for each thread */ + for (auto& [_, results] : _results_th) { + results = RouteIterResults(); + } + + /* Set the routing parameters: they won't change until the next call and that saves us the trouble of passing them around */ + _itry = itry; + _pres_fac = pres_fac; + _worst_neg_slack = worst_neg_slack; + + /* Organize netlist into a PartitionTree. + * Nets in a given level of nodes are guaranteed to not have any overlapping bounding boxes, so they can be routed in parallel. */ + vtr::Timer timer; + if (!_tree) { + _tree = PartitionTree(_net_list); + PartitionTreeDebug::log("Iteration " + std::to_string(itry) + ": built partition tree in " + std::to_string(timer.elapsed_sec()) + " s"); + } + + /* Push a single route_partition_tree_node task to the thread pool, + * which will recursively schedule the rest of the tree */ + _thread_pool.schedule_work([this]() { + route_partition_tree_node(_tree->root()); + }); + + /* Wait for all tasks in the thread pool to complete */ + _thread_pool.wait_for_all(); + + PartitionTreeDebug::log("Routing all nets took " + std::to_string(timer.elapsed_sec()) + " s"); + + /* Combine results from threads */ + RouteIterResults out; + for (auto& [_, results] : _results_th) { + out.stats.combine(results.stats); + out.rerouted_nets.insert(out.rerouted_nets.end(), results.rerouted_nets.begin(), results.rerouted_nets.end()); + out.bb_updated_nets.insert(out.bb_updated_nets.end(), results.bb_updated_nets.begin(), results.bb_updated_nets.end()); + out.is_routable &= results.is_routable; + } + return out; +} + +template +void NestedNetlistRouter::route_partition_tree_node(PartitionTreeNode& node) { + auto& route_ctx = g_vpr_ctx.mutable_routing(); + + /* node.nets is an unordered set, copy into vector to sort */ + std::vector nets(node.nets.begin(), node.nets.end()); + + /* Sort so net with most sinks is routed first. */ + std::stable_sort(nets.begin(), nets.end(), [&](ParentNetId id1, ParentNetId id2) -> bool { + return _net_list.net_sinks(id1).size() > _net_list.net_sinks(id2).size(); + }); + + vtr::Timer timer; + + /* Route all nets in this node serially */ + for (auto net_id : nets) { + auto& results = get_thread_results(); + auto& router = get_thread_router(); + + auto flags = route_net( + router, + _net_list, + net_id, + _itry, + _pres_fac, + _router_opts, + _connections_inf, + results.stats, + _net_delay, + _netlist_pin_lookup, + _timing_info.get(), + _pin_timing_invalidator, + _budgeting_inf, + _worst_neg_slack, + _routing_predictor, + _choking_spots[net_id], + _is_flat, + route_ctx.route_bb[net_id]); + + if (!flags.success && !flags.retry_with_full_bb) { + /* Disconnected RRG and ConnectionRouter doesn't think growing the BB will work */ + results.is_routable = false; + return; + } + if (flags.retry_with_full_bb) { + /* ConnectionRouter thinks we should grow the BB. Do that and leave this net unrouted for now */ + route_ctx.route_bb[net_id] = full_device_bb(); + results.bb_updated_nets.push_back(net_id); + continue; + } + if (flags.was_rerouted) { + results.rerouted_nets.push_back(net_id); + } + } + + PartitionTreeDebug::log("Node with " + std::to_string(node.nets.size()) + + " nets and " + std::to_string(node.vnets.size()) + + " virtual nets routed in " + std::to_string(timer.elapsed_sec()) + + " s"); + + /* Schedule child nodes as new tasks */ + if (node.left && node.right) { + _thread_pool.schedule_work([this, left = node.left.get()]() { + route_partition_tree_node(*left); + }); + _thread_pool.schedule_work([this, right = node.right.get()]() { + route_partition_tree_node(*right); + }); + } else { + VTR_ASSERT(!node.left && !node.right); // there shouldn't be a node with a single branch + } +} + +template +void NestedNetlistRouter::handle_bb_updated_nets(const std::vector& nets) { + VTR_ASSERT(_tree); + _tree->update_nets(nets); +} + +template +void NestedNetlistRouter::set_rcv_enabled(bool x) { + for (auto& [_, router] : _routers_th) { + router.set_rcv_enabled(x); + } +} + +template +void NestedNetlistRouter::set_timing_info(std::shared_ptr timing_info) { + _timing_info = timing_info; +} diff --git a/vpr/src/route/ParallelNetlistRouter.tpp b/vpr/src/route/ParallelNetlistRouter.tpp index 1268ed6030e..c845be8518d 100644 --- a/vpr/src/route/ParallelNetlistRouter.tpp +++ b/vpr/src/route/ParallelNetlistRouter.tpp @@ -22,7 +22,7 @@ inline RouteIterResults ParallelNetlistRouter::route_netlist(int itry, /* Organize netlist into a PartitionTree. * Nets in a given level of nodes are guaranteed to not have any overlapping bounding boxes, so they can be routed in parallel. */ vtr::Timer timer; - if(!_tree){ + if (!_tree) { _tree = PartitionTree(_net_list); PartitionTreeDebug::log("Iteration " + std::to_string(itry) + ": built partition tree in " + std::to_string(timer.elapsed_sec()) + " s"); } diff --git a/vpr/src/route/annotate_routing.cpp b/vpr/src/route/annotate_routing.cpp index 42b798d4d02..6f20d2e873d 100644 --- a/vpr/src/route/annotate_routing.cpp +++ b/vpr/src/route/annotate_routing.cpp @@ -14,8 +14,8 @@ #include "annotate_routing.h" vtr::vector annotate_rr_node_nets(const ClusteringContext& cluster_ctx, - const DeviceContext& device_ctx, - const bool& verbose) { + const DeviceContext& device_ctx, + const bool& verbose) { size_t counter = 0; vtr::ScopedStartFinishTimer timer("Annotating rr_node with routed nets"); @@ -35,7 +35,7 @@ vtr::vector annotate_rr_node_nets(const ClusteringContex } auto& tree = get_route_tree_from_cluster_net_id(net_id); - if(!tree) + if (!tree) continue; for (auto& rt_node : tree->all_nodes()) { diff --git a/vpr/src/route/annotate_routing.h b/vpr/src/route/annotate_routing.h index cf548e1e0fe..d12bf313a74 100644 --- a/vpr/src/route/annotate_routing.h +++ b/vpr/src/route/annotate_routing.h @@ -11,7 +11,7 @@ * - Unmapped rr_node will use invalid ids *******************************************************************/ vtr::vector annotate_rr_node_nets(const ClusteringContext& cluster_ctx, - const DeviceContext& device_ctx, - const bool& verbose); + const DeviceContext& device_ctx, + const bool& verbose); #endif diff --git a/vpr/src/route/build_switchblocks.cpp b/vpr/src/route/build_switchblocks.cpp index c203aa001f7..c0c23c3fe0a 100644 --- a/vpr/src/route/build_switchblocks.cpp +++ b/vpr/src/route/build_switchblocks.cpp @@ -431,8 +431,8 @@ t_sb_connection_map* alloc_and_load_switchblock_permutations(const t_chan_detail /******** slow switch block computation method; computes switchblocks at each coordinate ********/ /* iterate over all the switchblocks specified in the architecture */ - for (auto sb: switchblocks) { - + for (auto sb : switchblocks) { + /* verify that switchblock type matches specified directionality -- currently we have to stay consistent */ if (directionality != sb.directionality) { VPR_FATAL_ERROR(VPR_ERROR_ARCH, "alloc_and_load_switchblock_connections: Switchblock %s does not match directionality of architecture\n", sb.name.c_str()); @@ -505,10 +505,10 @@ static bool sb_not_here(const DeviceGrid& grid, const std::vector& inter_c } break; case e_sb_location::E_XY_SPECIFIED: - if(match_sb_xy(grid, inter_cluster_rr, x, y, layer, sb)) { + if (match_sb_xy(grid, inter_cluster_rr, x, y, layer, sb)) { sb_not_here = false; } - + break; default: VPR_FATAL_ERROR(VPR_ERROR_ARCH, "sb_not_here: unrecognized location enum: %d\n", sb.location); @@ -564,18 +564,18 @@ static bool match_sb_xy(const DeviceGrid& grid, const std::vector& inter_c if (!is_prog_routing_avail(grid, inter_cluster_rr, layer)) { return false; } - //if one of sb_x and sb_y is defined, we either know the exact location (x,y) or the exact x location (will apply it to all rows) - //or the exact y location (will apply it to all columns) - if(sb.x != -1 || sb.y != -1){ - if(x == sb.x && y == sb.y){ + //if one of sb_x and sb_y is defined, we either know the exact location (x,y) or the exact x location (will apply it to all rows) + //or the exact y location (will apply it to all columns) + if (sb.x != -1 || sb.y != -1) { + if (x == sb.x && y == sb.y) { return true; - } + } - if(x == sb.x && sb.y == -1){ + if (x == sb.x && sb.y == -1) { return true; } - - if(sb.x == -1 && y == sb.y){ + + if (sb.x == -1 && y == sb.y) { return true; } } @@ -585,12 +585,12 @@ static bool match_sb_xy(const DeviceGrid& grid, const std::vector& inter_c //calculate the appropriate region based on the repeatx/repeaty and current location. //This is to determine whether the given location is part of the current SB specified region with regular expression or not //After region calculation, the current SB will apply to this location if: - // 1) the given (x,y) location falls into the calculated region + // 1) the given (x,y) location falls into the calculated region // *AND* // 2) incrx/incry are respected within the region, this means all locations within the calculated region do - // not necessarily crosspond to the current SB. If incrx/incry is equal to 1, then all locations within the - // calculated region are valid. - + // not necessarily crosspond to the current SB. If incrx/incry is equal to 1, then all locations within the + // calculated region are valid. + //calculate the region int x_reg_step = (sb.reg_x.repeat != 0) ? (x - sb.reg_x.start) / sb.reg_x.repeat : sb.reg_x.start; int y_reg_step = (sb.reg_y.repeat != 0) ? (y - sb.reg_y.start) / sb.reg_y.repeat : sb.reg_y.start; @@ -608,23 +608,23 @@ static bool match_sb_xy(const DeviceGrid& grid, const std::vector& inter_c reg_endy = std::min(reg_endy, int(grid.height() - 1)); //check x coordinate - if (x >= reg_startx && x <= reg_endx){ //should fall into the region + if (x >= reg_startx && x <= reg_endx) { //should fall into the region //we also should respect the incrx //if incrx is not equal to 1, all locations within this region are *NOT* valid - if((x + reg_startx) % sb.reg_x.incr == 0){ + if ((x + reg_startx) % sb.reg_x.incr == 0) { //valid x coordinate, check for y value - if(y >= reg_starty && y <= reg_endy){ + if (y >= reg_starty && y <= reg_endy) { //check for incry, similar as incrx - if((y + reg_starty) % sb.reg_y.incr == 0){ + if ((y + reg_starty) % sb.reg_y.incr == 0) { //both x and y are valid return true; } } - } + } } //if reach here, we don't have sb in this location - return false; + return false; } /* Counts the number of wires in each wire type in the specified channel */ @@ -991,7 +991,7 @@ static void compute_wireconn_connections( } else { VTR_ASSERT(from_layer != to_layer); sb_edge.switch_ind = to_chan_details[to_x][to_y][to_wire].arch_wire_switch(); - sb_edge.switch_ind_between_layers = to_chan_details[to_x][to_y][to_wire].arch_opin_between_dice_switch(); + sb_edge.switch_ind_between_layers = to_chan_details[to_x][to_y][to_wire].arch_inter_die_switch(); } VTR_LOGV(verbose, " make_conn: %d -> %d switch=%d\n", sb_edge.from_wire, sb_edge.to_wire, sb_edge.switch_ind); diff --git a/vpr/src/route/build_switchblocks.h b/vpr/src/route/build_switchblocks.h index d9f283dfd4f..71b24699b5e 100644 --- a/vpr/src/route/build_switchblocks.h +++ b/vpr/src/route/build_switchblocks.h @@ -92,9 +92,9 @@ struct t_switchblock_edge { short to_wire_layer; }; -/* Switchblock connections are made as [x][y][from_side][to_side][from_wire_ind]. +/* Switchblock connections are made as [x][y][from_layer][from_side][to_side][from_wire_idx]. * The Switchblock_Lookup class specifies these dimensions. - * Furthermore, a source_wire at a given 5-d coordinate may connect to multiple destination wires so the value + * Furthermore, a source_wire at a given 6-d coordinate may connect to multiple destination wires so the value * of the map is a vector of destination wires. * A matrix specifying connections for all switchblocks in an FPGA would be sparse and possibly very large * so we use an unordered map to take advantage of the sparsity. */ diff --git a/vpr/src/route/cb_metrics.cpp b/vpr/src/route/cb_metrics.cpp index a1fa4294179..6664bd91d41 100644 --- a/vpr/src/route/cb_metrics.cpp +++ b/vpr/src/route/cb_metrics.cpp @@ -19,28 +19,22 @@ #include #include -#include #include #include #include -#include -#include -#include -#include #include #include #include +#include "physical_types_util.h" #include "vtr_random.h" -#include "vtr_assert.h" #include "vtr_log.h" #include "vtr_math.h" #include "vpr_types.h" #include "vpr_error.h" -#include "vpr_utils.h" #include "cb_metrics.h" @@ -170,7 +164,6 @@ void adjust_cb_metric(const e_metric metric, const float target, const float tar get_conn_block_metrics(block_type, pin_to_track_connections, num_segments, segment_inf, pin_type, Fc_array, chan_width_inf, &cb_metrics); - vtr::RngContainer rng(0); /* now run the annealer to adjust the desired metric towards the target value */ bool success = annealer(metric, nodes_per_chan, block_type, pin_type, Fc, num_pin_type_pins, target, @@ -626,7 +619,7 @@ static void get_pin_locations(const t_physical_tile_type_ptr block_type, const e } } /* sort the vector at the current side in increasing order, for good measure */ - std::stable_sort(pin_locations->at(iside).begin(), pin_locations->at(iside).end()); + std::stable_sort(pin_locations->at(iside).begin(), pin_locations->at(iside).end()); } } /* now we have a vector of vectors [0..3][0..num_pins_on_this_side] specifying which pins are on which side */ diff --git a/vpr/src/route/channel_stats.cpp b/vpr/src/route/channel_stats.cpp index 065a6e5b7a0..f95275db9f4 100644 --- a/vpr/src/route/channel_stats.cpp +++ b/vpr/src/route/channel_stats.cpp @@ -36,7 +36,7 @@ void print_channel_stats(bool is_flat) { for (size_t x = 0; x < device_ctx.grid.width() - 1; ++x) { for (size_t y = 0; y < device_ctx.grid.height() - 1; ++y) { float chanx_util = routing_util(chanx_usage[x][y], chanx_avail[x][y]); - float chany_util = routing_util(chanx_usage[x][y], chanx_avail[x][y]); + float chany_util = routing_util(chany_usage[x][y], chany_avail[x][y]); for (float util : {chanx_util, chany_util}) { //Record peak utilization diff --git a/vpr/src/route/check_route.cpp b/vpr/src/route/check_route.cpp index e436018fe52..ab2aad9a281 100644 --- a/vpr/src/route/check_route.cpp +++ b/vpr/src/route/check_route.cpp @@ -1,20 +1,19 @@ -#include +#include "check_route.h" + +#include "physical_types_util.h" #include "route_common.h" #include "vtr_assert.h" #include "vtr_log.h" -#include "vtr_memory.h" #include "vtr_time.h" #include "vpr_types.h" #include "vpr_error.h" #include "globals.h" -#include "route_export.h" -#include "check_route.h" + #include "rr_graph.h" #include "check_rr_graph.h" -#include "read_xml_arch_file.h" #include "route_tree.h" /******************** Subroutines local to this module **********************/ @@ -39,11 +38,32 @@ static void check_locally_used_clb_opins(const t_clb_opins_used& clb_opins_used_ enum e_route_type route_type, bool is_flat); +/** + * Checks that all non-configurable edges are in a legal configuration. + * @param net_list The netlist whose routing is to be checked. + * @param is_flat True if flat routing is enabled; otherwise false. + */ static void check_all_non_configurable_edges(const Netlist<>& net_list, bool is_flat); + +/** + * @brief Checks that the specified routing is legal with respect to non-configurable edges. + * For routing to be valid, if any non-configurable edge is used, all nodes in the same set + * and the required connecting edges in the set must also be used. + * + * @param net_list A reference to the netlist. + * @param net The net id for which the check is done. + * @param non_configurable_rr_sets Node and edge sets that constitute non-configurable RR sets. + * @param rrnode_set_id Specifies which RR sets each RR node is part of. These indices can be used to + * access elements of node_sets and edge_sets in non_configurable_rr_sets. + * @param is_flat Indicates whether flat routing is enabled. + * @return True if check is done successfully; otherwise false. + */ static bool check_non_configurable_edges(const Netlist<>& net_list, ParentNetId net, const t_non_configurable_rr_sets& non_configurable_rr_sets, + const vtr::vector& rrnode_set_id, bool is_flat); + static void check_net_for_stubs(const Netlist<>& net_list, ParentNetId net, bool is_flat); @@ -65,13 +85,9 @@ void check_route(const Netlist<>& net_list, return; } - int max_pins; - unsigned int ipin; - bool valid, connects; - - auto& device_ctx = g_vpr_ctx.device(); + const auto& device_ctx = g_vpr_ctx.device(); const auto& rr_graph = device_ctx.rr_graph; - auto& route_ctx = g_vpr_ctx.routing(); + const auto& route_ctx = g_vpr_ctx.routing(); const size_t num_switches = rr_graph.num_rr_switches(); @@ -83,7 +99,7 @@ void check_route(const Netlist<>& net_list, * is a successful routing, but I want to double check it here. */ recompute_occupancy_from_scratch(net_list, is_flat); - valid = feasible_routing(); + const bool valid = feasible_routing(); if (valid == false) { VPR_ERROR(VPR_ERROR_ROUTE, "Error in check_route -- routing resources are overused.\n"); @@ -95,7 +111,7 @@ void check_route(const Netlist<>& net_list, is_flat); } - max_pins = 0; + int max_pins = 0; for (auto net_id : net_list.nets()) max_pins = std::max(max_pins, (int)net_list.net_pins(net_id).size()); @@ -129,7 +145,7 @@ void check_route(const Netlist<>& net_list, check_switch(rt_node, num_switches); if (rt_node.parent()) { - connects = check_adjacent(rt_node.parent()->inode, rt_node.inode, is_flat); + bool connects = check_adjacent(rt_node.parent()->inode, rt_node.inode, is_flat); if (!connects) { VPR_ERROR(VPR_ERROR_ROUTE, "in check_route: found non-adjacent segments in traceback while checking net %d:\n" @@ -154,7 +170,7 @@ void check_route(const Netlist<>& net_list, num_sinks, net_list.net_sinks(net_id).size()); } - for (ipin = 0; ipin < net_list.net_pins(net_id).size(); ipin++) { + for (size_t ipin = 0; ipin < net_list.net_pins(net_id).size(); ipin++) { if (pin_done[ipin] == false) { VPR_FATAL_ERROR(VPR_ERROR_ROUTE, "in check_route: net %zu does not connect to pin %d.\n", size_t(net_id), ipin); @@ -194,7 +210,7 @@ static void check_sink(const Netlist<>& net_list, inode, net_list.net_name(net_id).c_str(), size_t(net_id)); } - VTR_ASSERT(!pin_done[net_pin_index]); /* Should not have found a routed cnnection to it before */ + VTR_ASSERT(!pin_done[net_pin_index]); /* Should not have found a routed connection to it before */ pin_done[net_pin_index] = true; } @@ -464,8 +480,8 @@ static bool check_adjacent(RRNodeId from_node, RRNodeId to_node, bool is_flat) { VTR_ASSERT(to_type == OPIN || to_type == IPIN); // If pin is located inside a cluster return true; } else { - VTR_ASSERT(to_type == IPIN); - num_adj += 1; + VTR_ASSERT(to_type == IPIN); + num_adj += 1; } break; @@ -614,43 +630,71 @@ static void check_node_and_range(RRNodeId inode, is_flat); } -//Checks that all non-configurable edges are in a legal configuration -//This check is slow, so it has been moved out of check_route() static void check_all_non_configurable_edges(const Netlist<>& net_list, bool is_flat) { + const auto& rr_graph = g_vpr_ctx.device().rr_graph; + vtr::ScopedStartFinishTimer timer("Checking to ensure non-configurable edges are legal"); - auto non_configurable_rr_sets = identify_non_configurable_rr_sets(); + const t_non_configurable_rr_sets non_configurable_rr_sets = identify_non_configurable_rr_sets(); + + // Specifies which RR set each node is part of. + vtr::vector rrnode_set_ids(rr_graph.num_nodes(), -1); + + const size_t num_non_cfg_rr_sets = non_configurable_rr_sets.node_sets.size(); + + // Populate rrnode_set_ids + for (size_t non_cfg_rr_set_id = 0; non_cfg_rr_set_id < num_non_cfg_rr_sets; non_cfg_rr_set_id++) { + const std::set& node_set = non_configurable_rr_sets.node_sets[non_cfg_rr_set_id]; + for (const RRNodeId node_id : node_set) { + VTR_ASSERT_SAFE(rrnode_set_ids[node_id] == -1); + rrnode_set_ids[node_id] = (int)non_cfg_rr_set_id; + } + } for (auto net_id : net_list.nets()) { check_non_configurable_edges(net_list, net_id, non_configurable_rr_sets, + rrnode_set_ids, is_flat); } } -// Checks that the specified routing is legal with respect to non-configurable edges -// -//For routing to be legal if *any* non-configurable edge is used, so must *all* -//other non-configurable edges in the same set static bool check_non_configurable_edges(const Netlist<>& net_list, ParentNetId net, const t_non_configurable_rr_sets& non_configurable_rr_sets, + const vtr::vector& rrnode_set_id, bool is_flat) { const auto& device_ctx = g_vpr_ctx.device(); - auto& route_ctx = g_vpr_ctx.mutable_routing(); + const auto& route_ctx = g_vpr_ctx.routing(); if (!route_ctx.route_trees[net]) // no routing return true; - // Collect all the edges used by this net's routing + // Collect all the nodes, edges, and non-configurable RR set ids used by this net's routing std::set routing_edges; std::set routing_nodes; - for (auto& rt_node : route_ctx.route_trees[net].value().all_nodes()) { + std::set routing_non_configurable_rr_set_ids; + for (const RouteTreeNode& rt_node : route_ctx.route_trees[net].value().all_nodes()) { routing_nodes.insert(rt_node.inode); if (!rt_node.parent()) continue; t_node_edge edge = {rt_node.parent()->inode, rt_node.inode}; routing_edges.insert(edge); + + if (rrnode_set_id[rt_node.inode] >= 0) { // The node belongs to a non-configurable RR set + routing_non_configurable_rr_set_ids.insert(rrnode_set_id[rt_node.inode]); + } + } + + // Copy used non-configurable RR sets + // This is done to check legality only for used non-configurable RR sets. If a non-configurable RR set + // is not used by a net's routing, it cannot violate the requirements of using that non-configurable RR set. + t_non_configurable_rr_sets used_non_configurable_rr_sets; + used_non_configurable_rr_sets.node_sets.reserve(routing_non_configurable_rr_set_ids.size()); + used_non_configurable_rr_sets.edge_sets.reserve(routing_non_configurable_rr_set_ids.size()); + for (const int set_idx : routing_non_configurable_rr_set_ids) { + used_non_configurable_rr_sets.node_sets.emplace_back(non_configurable_rr_sets.node_sets[set_idx]); + used_non_configurable_rr_sets.edge_sets.emplace_back(non_configurable_rr_sets.edge_sets[set_idx]); } //We need to perform two types of checks: @@ -659,13 +703,13 @@ static bool check_non_configurable_edges(const Netlist<>& net_list, // 2) That all (required) non-configurable edges are used // //We need to check (2) in addition to (1) to ensure that (1) did not pass - //because the nodes 'happend' to be connected together by configurable + //because the nodes 'happened' to be connected together by configurable //routing (to be legal, by definition, they must be connected by //non-configurable routing). - //Check that all nodes in each non-configurable set are full included if any element + //Check that all nodes in each non-configurable set are fully included if any element //within a set is used by the routing - for (const auto& rr_nodes : non_configurable_rr_sets.node_sets) { + for (const auto& rr_nodes : used_non_configurable_rr_sets.node_sets) { //Compute the intersection of the routing and current non-configurable nodes set std::vector intersection; std::set_intersection(routing_nodes.begin(), routing_nodes.end(), @@ -687,7 +731,7 @@ static bool check_non_configurable_edges(const Netlist<>& net_list, routing_nodes.begin(), routing_nodes.end(), std::back_inserter(difference)); - VTR_ASSERT(difference.size() > 0); + VTR_ASSERT(!difference.empty()); std::string msg = vtr::string_fmt( "Illegal routing for net '%s' (#%zu) some " "required non-configurably connected nodes are missing:\n", @@ -704,7 +748,7 @@ static bool check_non_configurable_edges(const Netlist<>& net_list, //Check that any sets of non-configurable RR graph edges are fully included //in the routing, if any of a set's edges are used - for (const auto& rr_edges : non_configurable_rr_sets.edge_sets) { + for (const auto& rr_edges : used_non_configurable_rr_sets.edge_sets) { //Compute the intersection of the routing and current non-configurable edge set std::vector intersection; std::set_intersection(routing_edges.begin(), routing_edges.end(), @@ -717,7 +761,7 @@ static bool check_non_configurable_edges(const Netlist<>& net_list, //Since at least one non-configurable edge is used, to be legal //the full set of non-configurably connected edges must be used. // - //This is somewhat complicted by the fact that non-configurable edges + //This is somewhat complicated by the fact that non-configurable edges //are sometimes bi-directional (e.g. electrical shorts) and so appear //in rr_edges twice (once forward, once backward). Only one of the //paired edges need appear to be correct. @@ -810,9 +854,9 @@ class StubFinder { std::set stub_nodes_; }; -//Cheks for stubs in a net's routing. +//Checks for stubs in a net's routing. // -//Stubs (routing branches which don't connect to SINKs) serve no purpose, and only chew up wiring unecessarily. +//Stubs (routing branches which don't connect to SINKs) serve no purpose, and only chew up wiring unnecessarily. //The only exception are stubs required by non-configurable switches (e.g. shorts). // //We treat any configurable stubs as an error. diff --git a/vpr/src/route/clock_connection_builders.cpp b/vpr/src/route/clock_connection_builders.cpp index d7b0f831e44..5a193a159ad 100644 --- a/vpr/src/route/clock_connection_builders.cpp +++ b/vpr/src/route/clock_connection_builders.cpp @@ -2,11 +2,7 @@ #include "globals.h" #include "arch_util.h" -#include "rr_graph2.h" - -#include "vtr_assert.h" -#include "vtr_log.h" -#include "vtr_error.h" +#include "rr_rc_data.h" #include #include diff --git a/vpr/src/route/clock_network_builders.cpp b/vpr/src/route/clock_network_builders.cpp index 40e581a02de..34dccc4ff29 100644 --- a/vpr/src/route/clock_network_builders.cpp +++ b/vpr/src/route/clock_network_builders.cpp @@ -2,9 +2,9 @@ #include "globals.h" +#include "rr_rc_data.h" #include "vtr_assert.h" #include "vtr_log.h" -#include "vtr_error.h" void static populate_segment_values(int seg_index, std::string name, diff --git a/vpr/src/route/clock_network_builders.h b/vpr/src/route/clock_network_builders.h index f9983cd85e9..2e73fe211c0 100644 --- a/vpr/src/route/clock_network_builders.h +++ b/vpr/src/route/clock_network_builders.h @@ -113,8 +113,7 @@ class ClockNetwork { t_rr_graph_storage* rr_nodes, RRGraphBuilder& rr_graph_builder, t_rr_edge_info_set* rr_edges_to_create, - int num_segments_x) - = 0; + int num_segments_x) = 0; virtual size_t estimate_additional_nodes(const DeviceGrid& grid) = 0; virtual void map_relative_seg_indices(const t_unified_to_parallel_seg_index& index_map) = 0; }; diff --git a/vpr/src/route/connection_router.cpp b/vpr/src/route/connection_router.cpp index 8ec7ecc8e2a..7d19d854b08 100644 --- a/vpr/src/route/connection_router.cpp +++ b/vpr/src/route/connection_router.cpp @@ -221,7 +221,7 @@ void ConnectionRouter::timing_driven_route_connection_from_heap(RRNodeId s HeapNode cheapest; while (heap_.try_pop(cheapest)) { // inode with the cheapest total cost in current route tree to be expanded on - const auto& [ new_total_cost, inode ] = cheapest; + const auto& [new_total_cost, inode] = cheapest; update_router_stats(router_stats_, /*is_push=*/false, inode, @@ -303,7 +303,7 @@ vtr::vector ConnectionRouter::timing_driven_find HeapNode cheapest; while (heap_.try_pop(cheapest)) { // inode with the cheapest total cost in current route tree to be expanded on - const auto& [ new_total_cost, inode ] = cheapest; + const auto& [new_total_cost, inode] = cheapest; update_router_stats(router_stats_, /*is_push=*/false, inode, @@ -573,8 +573,7 @@ void ConnectionRouter::timing_driven_add_to_heap(const t_conn_cost_params& // When RCV is enabled, prune based on the RCV-specific total path cost (see // in `compute_node_cost_using_rcv` in `evaluate_timing_driven_node_costs`) // to allow detours to get better QoR. - if ((!rcv_path_manager.is_enabled() && best_back_cost > new_back_cost) || - (rcv_path_manager.is_enabled() && best_total_cost > new_total_cost)) { + if ((!rcv_path_manager.is_enabled() && best_back_cost > new_back_cost) || (rcv_path_manager.is_enabled() && best_total_cost > new_total_cost)) { VTR_LOGV_DEBUG(router_debug_, " Expanding to node %d (%s)\n", to_node, describe_rr_node(device_ctx.rr_graph, device_ctx.grid, @@ -790,12 +789,12 @@ void ConnectionRouter::evaluate_timing_driven_node_costs(RTExploredNode* t //Update total cost float expected_cost = router_lookahead_.get_expected_cost(to->index, target_node, cost_params, to->R_upstream); VTR_LOGV_DEBUG(router_debug_ && !std::isfinite(expected_cost), - " Lookahead from %s (%s) to %s (%s) is non-finite, expected_cost = %f, to->R_upstream = %f\n", - rr_node_arch_name(to->index, is_flat_).c_str(), - describe_rr_node(device_ctx.rr_graph, device_ctx.grid, device_ctx.rr_indexed_data, to->index, is_flat_).c_str(), - rr_node_arch_name(target_node, is_flat_).c_str(), - describe_rr_node(device_ctx.rr_graph, device_ctx.grid, device_ctx.rr_indexed_data, target_node, is_flat_).c_str(), - expected_cost, to->R_upstream); + " Lookahead from %s (%s) to %s (%s) is non-finite, expected_cost = %f, to->R_upstream = %f\n", + rr_node_arch_name(to->index, is_flat_).c_str(), + describe_rr_node(device_ctx.rr_graph, device_ctx.grid, device_ctx.rr_indexed_data, to->index, is_flat_).c_str(), + rr_node_arch_name(target_node, is_flat_).c_str(), + describe_rr_node(device_ctx.rr_graph, device_ctx.grid, device_ctx.rr_indexed_data, target_node, is_flat_).c_str(), + expected_cost, to->R_upstream); total_cost += to->backward_path_cost + cost_params.astar_fac * std::max(0.f, expected_cost - cost_params.astar_offset); } to->total_cost = total_cost; @@ -876,7 +875,7 @@ void ConnectionRouter::add_route_tree_node_to_heap( describe_rr_node(device_ctx.rr_graph, device_ctx.grid, device_ctx.rr_indexed_data, inode, is_flat_).c_str()); if (tot_cost > rr_node_route_inf_[inode].path_cost) { - return ; + return; } add_to_mod_list(inode); rr_node_route_inf_[inode].path_cost = tot_cost; @@ -1065,16 +1064,8 @@ static inline void update_router_stats(RouterStats* router_stats, VTR_ASSERT(node_type != NUM_RR_TYPES); /* TODO: Eliminate the use of global var here!!! */ - const VibInf* vib; - if (!g_vpr_ctx.device().arch->vib_infs.empty()) { - vib = g_vpr_ctx.device().vib_grid.get_vib(rr_graph->node_layer(rr_node_id), rr_graph->node_xlow(rr_node_id), rr_graph->node_ylow(rr_node_id)); - } - else { - vib = nullptr; - } if constexpr (VTR_ENABLE_DEBUG_LOGGING_CONST_EXPR) { - t_physical_tile_type_ptr physical_type = g_vpr_ctx.device().grid.get_physical_type({rr_graph->node_xlow(rr_node_id), rr_graph->node_ylow(rr_node_id), rr_graph->node_layer(rr_node_id)}); - if (is_inter_cluster_node(physical_type, vib, node_type, rr_graph->node_ptc_num(rr_node_id))) { + if (is_inter_cluster_node(*rr_graph, rr_node_id)) { if (is_push) { router_stats->inter_cluster_node_pushes++; router_stats->inter_cluster_node_type_cnt_pushes[node_type]++; diff --git a/vpr/src/route/connection_router.h b/vpr/src/route/connection_router.h index cee93384974..0de6d508991 100644 --- a/vpr/src/route/connection_router.h +++ b/vpr/src/route/connection_router.h @@ -51,7 +51,7 @@ class ConnectionRouter : public ConnectionRouterInterface { ~ConnectionRouter() { VTR_LOG("Serial Connection Router is being destroyed. Time spent on path search: %.3f seconds.\n", - std::chrono::duration(path_search_cumulative_time).count()); + std::chrono::duration(path_search_cumulative_time).count()); } // Clear's the modified list. Should be called after reset_path_costs diff --git a/vpr/src/route/connection_router_interface.h b/vpr/src/route/connection_router_interface.h index 62111edc285..96ef278833a 100644 --- a/vpr/src/route/connection_router_interface.h +++ b/vpr/src/route/connection_router_interface.h @@ -59,8 +59,7 @@ class ConnectionRouterInterface { const t_conn_cost_params& cost_params, const t_bb& bounding_box, RouterStats& router_stats, - const ConnectionParameters& conn_params) - = 0; + const ConnectionParameters& conn_params) = 0; /** Finds a path from the route tree rooted at rt_root to sink_node for a * high fanout net. @@ -79,8 +78,7 @@ class ConnectionRouterInterface { const t_bb& bounding_box, const SpatialRouteTreeLookup& spatial_rt_lookup, RouterStats& router_stats, - const ConnectionParameters& conn_params) - = 0; + const ConnectionParameters& conn_params) = 0; // Finds a path from the route tree rooted at rt_root to all sinks // available. @@ -99,8 +97,7 @@ class ConnectionRouterInterface { const t_conn_cost_params& cost_params, const t_bb& bounding_box, RouterStats& router_stats, - const ConnectionParameters& conn_params) - = 0; + const ConnectionParameters& conn_params) = 0; // Sets whether router debug information should be on. virtual void set_router_debug(bool router_debug) = 0; diff --git a/vpr/src/route/d_ary_heap.h b/vpr/src/route/d_ary_heap.h index 5ac59f1eef2..c52cd702d13 100644 --- a/vpr/src/route/d_ary_heap.h +++ b/vpr/src/route/d_ary_heap.h @@ -17,7 +17,7 @@ * to BinaryHeap. This is likely because FourAryHeap has lower tree height, and as we can fit 8 * heap node (each is 8 bytes) on a cache line (commonly 64 bytes on modern architectures), each * heap operation (the comparison among sibling nodes) tends to benefit from the caches. -*/ + */ template class DAryHeap : public HeapInterface { public: diff --git a/vpr/src/route/d_ary_heap.tpp b/vpr/src/route/d_ary_heap.tpp index 565b8bac72b..b1fb0529c86 100644 --- a/vpr/src/route/d_ary_heap.tpp +++ b/vpr/src/route/d_ary_heap.tpp @@ -58,7 +58,7 @@ class customized_d_ary_priority_queue { inline size_t largest_child_index_partial(const size_t first_child, const size_t num_children /*must < `D`*/) { if constexpr (D == 2) { - (void) num_children; + (void)num_children; return first_child; } else { switch (num_children) { @@ -125,7 +125,7 @@ class customized_d_ary_priority_queue { public: explicit customized_d_ary_priority_queue(const Compare& compare = Compare(), - const Container& cont = Container()) + const Container& cont = Container()) : comp_(compare) , heap_(cont) { heap_.resize(1); // FIXME: currently do not support `make_heap` from cont (heap_) diff --git a/vpr/src/route/edge_groups.cpp b/vpr/src/route/edge_groups.cpp index 6b63f7d8823..6ca1e36692a 100644 --- a/vpr/src/route/edge_groups.cpp +++ b/vpr/src/route/edge_groups.cpp @@ -52,14 +52,14 @@ t_non_configurable_rr_sets EdgeGroups::output_sets() { std::set edge_set; std::set node_set(nodes.begin(), nodes.end()); - for (const auto& src : node_set) { - for (const auto& dest : graph_[src].edges) { - edge_set.emplace(t_node_edge(src, dest)); + for (const RRNodeId src : node_set) { + for (const RRNodeId dest : graph_[src].edges) { + edge_set.emplace(src, dest); } } - sets.node_sets.emplace(std::move(node_set)); - sets.edge_sets.emplace(std::move(edge_set)); + sets.node_sets.emplace_back(std::move(node_set)); + sets.edge_sets.emplace_back(std::move(edge_set)); } return sets; diff --git a/vpr/src/route/netlist_routers.h b/vpr/src/route/netlist_routers.h index 1524c2ddb38..d64477f03ad 100644 --- a/vpr/src/route/netlist_routers.h +++ b/vpr/src/route/netlist_routers.h @@ -71,9 +71,10 @@ class NetlistRouter { /* Include the derived classes here to get the HeapType-templated impls */ #include "SerialNetlistRouter.h" +#include "NestedNetlistRouter.h" #ifdef VPR_USE_TBB -# include "ParallelNetlistRouter.h" -# include "DecompNetlistRouter.h" +#include "ParallelNetlistRouter.h" +#include "DecompNetlistRouter.h" #endif template @@ -104,6 +105,20 @@ inline std::unique_ptr make_netlist_router_with_heap( routing_predictor, choking_spots, is_flat); + } else if (router_opts.router_algorithm == e_router_algorithm::NESTED) { + return std::make_unique>( + net_list, + router_lookahead, + router_opts, + connections_inf, + net_delay, + netlist_pin_lookup, + timing_info, + pin_timing_invalidator, + budgeting_inf, + routing_predictor, + choking_spots, + is_flat); } else if (router_opts.router_algorithm == e_router_algorithm::PARALLEL) { #ifdef VPR_USE_TBB return std::make_unique>( diff --git a/vpr/src/route/overuse_report.cpp b/vpr/src/route/overuse_report.cpp index cdaa5c00805..92c421eccbd 100644 --- a/vpr/src/route/overuse_report.cpp +++ b/vpr/src/route/overuse_report.cpp @@ -1,6 +1,7 @@ #include "overuse_report.h" #include +#include "physical_types_util.h" #include "vtr_log.h" /** @@ -29,13 +30,28 @@ static void report_congested_nets(const Netlist<>& net_list, static void log_overused_nodes_header(); static void log_single_overused_node_status(int overuse_index, RRNodeId inode); -void print_block_pins_nets(std::ostream& os, - t_physical_tile_type_ptr physical_type, - int layer, - int root_x, - int root_y, - int pin_physical_num, - const std::map>& rr_node_to_net_map); + +/** + * @brief When reporting overused IPIN/OPIN nodes, we also print the nets + * connected to other pins of the same block. This information may help + * the user understand why the node is overused or why other pins are not + * being utilized for routing the net. + * + * @param os The output stream to write the information to. + * @param physical_type The physical type of the block. + * @param layer The layer number of the block. + * @param root_x The x coordinate of the root of the block. + * @param root_y The y coordinate of the root of the block. + * @param pin_physical_num The physical number of the pin. + * @param rr_node_to_net_map A map of RR nodes to the nets that pass through them. + */ +static void print_block_pins_nets(std::ostream& os, + t_physical_tile_type_ptr physical_type, + int layer, + int root_x, + int root_y, + int pin_physical_num, + const std::map>& rr_node_to_net_map); /** * @brief Print out RR node overuse info in the VPR logfile. * @@ -141,7 +157,7 @@ void report_overused_nodes(const Netlist<>& net_list, * info on the nets passing through this overused node */ os << "-----------------------------\n"; //Separation line report_congested_nets(net_list, - g_vpr_ctx.atom().lookup, + g_vpr_ctx.atom().lookup(), os, congested_nets, is_flat, @@ -219,18 +235,8 @@ static void report_overused_ipin_opin(std::ostream& os, grid_x == rr_graph.node_xhigh(node_id) && grid_y == rr_graph.node_yhigh(node_id), "Non-track RR node should not span across multiple grid blocks."); - t_physical_tile_type_ptr physical_tile = device_ctx.grid.get_physical_type({grid_x, grid_y, grid_layer}); - const VibInf* vib; - if (!device_ctx.arch->vib_infs.empty()) { - vib = device_ctx.vib_grid.get_vib(grid_layer, grid_x, grid_y); - } - else { - vib = nullptr; - } - //const t_vib_inf* vib = device_ctx.vib_grid[grid_layer][grid_x][grid_y]; os << "Pin physical number = " << rr_graph.node_pin_num(node_id) << '\n'; - if (is_inter_cluster_node(physical_tile, vib, rr_graph.node_type(node_id), rr_graph.node_ptc_num(node_id))) { - + if (is_inter_cluster_node(rr_graph, node_id)) { os << "On Tile Pin" << "\n"; } else { @@ -329,7 +335,7 @@ static void report_congested_nets(const Netlist<>& net_list, os << "Net name = " << net_list.net_name(net_id) << ", "; if (is_flat) { AtomBlockId atom_blk_id = convert_to_atom_block_id(block_id); - os << "Driving block name = " << atom_lookup.atom_pb(atom_blk_id)->name << ", "; + os << "Driving block name = " << atom_lookup.atom_pb_bimap().atom_pb(atom_blk_id)->name << ", "; os << "Driving block type = " << g_vpr_ctx.clustering().clb_nlist.block_type(atom_lookup.atom_clb(atom_blk_id))->name << '\n'; } else { ClusterBlockId clb_blk_id = convert_to_cluster_block_id(block_id); @@ -357,7 +363,7 @@ static void report_congested_nets(const Netlist<>& net_list, << "\n"; if (is_flat) { auto pb_pin = atom_lookup.atom_pin_pb_graph_pin(convert_to_atom_pin_id(sink_id)); - auto pb_net_list = atom_lookup.atom_pb(convert_to_atom_block_id(net_list.pin_block(sink_id))); + auto pb_net_list = atom_lookup.atom_pb_bimap().atom_pb(convert_to_atom_block_id(net_list.pin_block(sink_id))); os << " " << "Pin Logical Num: " << pb_pin->pin_count_in_cluster << " PB Type: " << pb_pin->parent_node->pb_type->name << " Netlist PB: " << pb_net_list->name << " Parent PB Type: " << pb_net_list->parent_pb->pb_graph_node->pb_type->name << "Parent Netlist PB : " << pb_net_list->parent_pb->name << "\n"; os << " " @@ -447,17 +453,18 @@ static void log_single_overused_node_status(int overuse_index, RRNodeId node_id) fflush(stdout); } -void print_block_pins_nets(std::ostream& os, - t_physical_tile_type_ptr physical_type, - int layer, - int root_x, - int root_y, - int pin_physical_num, - const std::map>& rr_node_to_net_map) { +static void print_block_pins_nets(std::ostream& os, + t_physical_tile_type_ptr physical_type, + int layer, + int root_x, + int root_y, + int pin_physical_num, + const std::map>& rr_node_to_net_map) { const auto& rr_graph = g_vpr_ctx.device().rr_graph; t_pin_range pin_num_range; - if (is_pin_on_tile(physical_type, pin_physical_num)) { + bool pin_on_tile = is_pin_on_tile(physical_type, pin_physical_num); + if (pin_on_tile) { pin_num_range.low = 0; pin_num_range.high = physical_type->num_pins - 1; } else { @@ -479,7 +486,13 @@ void print_block_pins_nets(std::ostream& os, for (int pin = pin_num_range.low; pin <= pin_num_range.high; pin++) { t_rr_type rr_type = (get_pin_type_from_pin_physical_num(physical_type, pin) == DRIVER) ? t_rr_type::OPIN : t_rr_type::IPIN; RRNodeId node_id = get_pin_rr_node_id(rr_graph.node_lookup(), physical_type, layer, root_x, root_y, pin); - VTR_ASSERT(node_id != RRNodeId::INVALID()); + // When flat router is enabled, RR Node chains collapse into a single node. Thus, when + // looking up the RR Node ID, it may return an invalid node ID. In this case, we skip + // this pin. + if (!pin_on_tile && node_id == RRNodeId::INVALID()) { + continue; + } + VTR_ASSERT(node_id.is_valid()); auto search_result = rr_node_to_net_map.find(node_id); if (rr_type == t_rr_type::OPIN) { os << " OPIN - "; diff --git a/vpr/src/route/overuse_report.h b/vpr/src/route/overuse_report.h index ff48e170cfe..bae9da1d135 100644 --- a/vpr/src/route/overuse_report.h +++ b/vpr/src/route/overuse_report.h @@ -20,7 +20,11 @@ * All the nets passing through an overused RR node are flagged as congested nets. */ -///@brief Print out RR node overuse info in the VPR logfile. +/** + * @brief Print out RR node overuse info in the VPR logfile. + * + * @param max_logged_overused_rr_nodes The maximum number of overused RR nodes to log. + */ void log_overused_nodes_status(int max_logged_overused_rr_nodes); ///@brief Print out RR node overuse info in a post-VPR report file. diff --git a/vpr/src/route/partition_tree.cpp b/vpr/src/route/partition_tree.cpp index ac95a9a5285..38ee7abc2dd 100644 --- a/vpr/src/route/partition_tree.cpp +++ b/vpr/src/route/partition_tree.cpp @@ -34,7 +34,7 @@ std::unique_ptr PartitionTree::build_helper(const Netlist<>& out->bb = {x1, x2, y1, y2, 0, layer_max}; out->nets = nets; /* Build net to ptree node lookup */ - for(auto net_id: nets){ + for (auto net_id : nets) { _net_to_ptree_node[net_id] = out.get(); } return out; @@ -130,7 +130,7 @@ std::unique_ptr PartitionTree::build_helper(const Netlist<>& out->bb = {x1, x2, y1, y2, 0, layer_max}; out->nets = nets; /* Build net to ptree node lookup */ - for(auto net_id: nets){ + for (auto net_id : nets) { _net_to_ptree_node[net_id] = out.get(); } } @@ -169,9 +169,9 @@ std::unique_ptr PartitionTree::build_helper(const Netlist<>& out->right = build_helper(netlist, right_nets, x1, std::floor(best_pos + 1), x2, y2); } - if(out->left) + if (out->left) out->left->parent = out.get(); - if(out->right) + if (out->right) out->right->parent = out.get(); out->bb = {x1, x2, y1, y2, 0, 0}; @@ -180,23 +180,23 @@ std::unique_ptr PartitionTree::build_helper(const Netlist<>& out->cutline_pos = best_pos; /* Build net to ptree node lookup */ - for(auto net_id: my_nets){ + for (auto net_id : my_nets) { _net_to_ptree_node[net_id] = out.get(); } return out; } -inline bool net_in_ptree_node(ParentNetId net_id, const PartitionTreeNode* node){ +inline bool net_in_ptree_node(ParentNetId net_id, const PartitionTreeNode* node) { auto& route_ctx = g_vpr_ctx.routing(); const t_bb& bb = route_ctx.route_bb[net_id]; return bb.xmin >= node->bb.xmin && bb.xmax <= node->bb.xmax && bb.ymin >= node->bb.ymin && bb.ymax <= node->bb.ymax; } void PartitionTree::update_nets(const std::vector& nets) { - for(auto net_id: nets){ + for (auto net_id : nets) { PartitionTreeNode* old_ptree_node = _net_to_ptree_node[net_id]; PartitionTreeNode* new_ptree_node = old_ptree_node; - while(!net_in_ptree_node(net_id, new_ptree_node)) + while (!net_in_ptree_node(net_id, new_ptree_node)) new_ptree_node = new_ptree_node->parent; old_ptree_node->nets.erase(net_id); new_ptree_node->nets.insert(net_id); @@ -208,13 +208,13 @@ void PartitionTree::update_nets(const std::vector& nets) { void PartitionTree::clear_vnets(void) { std::stack stack; stack.push(_root.get()); - while(!stack.empty()){ + while (!stack.empty()) { PartitionTreeNode* node = stack.top(); stack.pop(); node->vnets.clear(); - if(node->left) + if (node->left) stack.push(node->left.get()); - if(node->right) + if (node->right) stack.push(node->right.get()); } } diff --git a/vpr/src/route/partition_tree.h b/vpr/src/route/partition_tree.h index 82b75976b83..6bf68be04b8 100644 --- a/vpr/src/route/partition_tree.h +++ b/vpr/src/route/partition_tree.h @@ -10,7 +10,7 @@ #include #ifdef VPR_USE_TBB -# include +#include #endif /** Self-descriptive */ @@ -87,10 +87,10 @@ class PartitionTree { inline PartitionTreeNode& root(void) { return *_root; } /** Handle nets which had a bounding box update. - * Bounding boxes can only grow, so we should find a new partition tree node for - * these nets by moving them up until they fit in a node's bounds */ + * Bounding boxes can only grow, so we should find a new partition tree node for + * these nets by moving them up until they fit in a node's bounds */ void update_nets(const std::vector& nets); - + /** Delete all virtual nets in the tree. Used for the net decomposing router. * Virtual nets are invalidated between iterations due to changing bounding * boxes. */ diff --git a/vpr/src/route/route.cpp b/vpr/src/route/route.cpp index 6010e0626ef..2ed747eff57 100644 --- a/vpr/src/route/route.cpp +++ b/vpr/src/route/route.cpp @@ -77,7 +77,7 @@ bool route(const Netlist<>& net_list, is_flat); IntraLbPbPinLookup intra_lb_pb_pin_lookup(device_ctx.logical_block_types); - ClusteredPinAtomPinsLookup netlist_pin_lookup(cluster_ctx.clb_nlist, atom_ctx.nlist, intra_lb_pb_pin_lookup); + ClusteredPinAtomPinsLookup netlist_pin_lookup(cluster_ctx.clb_nlist, atom_ctx.netlist(), intra_lb_pb_pin_lookup); auto choking_spots = set_nets_choking_spots(net_list, route_ctx.net_terminal_groups, @@ -204,8 +204,8 @@ bool route(const Netlist<>& net_list, router_opts.timing_update_type, net_list, netlist_pin_lookup, - atom_ctx.nlist, - atom_ctx.lookup, + atom_ctx.netlist(), + atom_ctx.lookup(), timing_info, is_flat); @@ -312,7 +312,7 @@ bool route(const Netlist<>& net_list, float iter_cumm_time = iteration_timer.elapsed_sec(); float iter_elapsed_time = iter_cumm_time - prev_iter_cumm_time; - PartitionTreeDebug::log("Iteration " + std::to_string(itry) + " took " + std::to_string(iter_elapsed_time) + " s"); + PartitionTreeDebug::log("Iteration " + std::to_string(itry) + " took " + std::to_string(iter_elapsed_time) + " s"); //Output progress print_route_status(itry, iter_elapsed_time, pres_fac, num_net_bounding_boxes_updated, iter_results.stats, overuse_info, wirelength_info, timing_info, est_success_iteration); @@ -605,7 +605,6 @@ bool route(const Netlist<>& net_list, print_invalid_routing_info(net_list, is_flat); } } - } if (router_opts.with_timing_analysis) { diff --git a/vpr/src/route/route_budgets.cpp b/vpr/src/route/route_budgets.cpp index 00dd14ae2bc..677450ee034 100644 --- a/vpr/src/route/route_budgets.cpp +++ b/vpr/src/route/route_budgets.cpp @@ -507,7 +507,7 @@ float route_budgets::get_total_path_delay(std::shared_ptrsetup_tags(timing_node, tatum::TagType::DATA_ARRIVAL); auto required_tags = timing_analyzer->setup_tags(timing_node, tatum::TagType::DATA_REQUIRED); @@ -660,7 +660,7 @@ void route_budgets::check_if_budgets_in_bounds() { std::shared_ptr route_budgets::perform_sta(NetPinsMatrix& temp_budgets) { auto& atom_ctx = g_vpr_ctx.atom(); /*Perform static timing analysis to get the delay and path weights for slack allocation*/ - std::shared_ptr routing_delay_calc = std::make_shared(atom_ctx.nlist, atom_ctx.lookup, temp_budgets, is_flat_); + std::shared_ptr routing_delay_calc = std::make_shared(atom_ctx.netlist(), atom_ctx.lookup(), temp_budgets, is_flat_); //TODO: now that we support incremental timing updates, we should avoid re-building the timing analyzer from scratch and try // to calculate this incrementally @@ -950,4 +950,4 @@ void route_budgets::set_should_reroute(ParentNetId net_id, bool value) { if (set) { should_reroute_for_hold[net_id] = value; } -} \ No newline at end of file +} diff --git a/vpr/src/route/route_common.cpp b/vpr/src/route/route_common.cpp index 7fd9720e450..3b720c5d76f 100644 --- a/vpr/src/route/route_common.cpp +++ b/vpr/src/route/route_common.cpp @@ -2,11 +2,16 @@ #include "atom_netlist_utils.h" #include "connection_router_interface.h" +#include "describe_rr_node.h" #include "draw_global.h" -#include "place_and_route.h" #include "route_common.h" +#include "physical_types_util.h" #include "route_export.h" -#include "rr_graph.h" + +#if defined(VPR_USE_TBB) +#include +#include +#endif /* The numbering relation between the channels and clbs is: * * * @@ -533,8 +538,8 @@ load_net_terminal_groups(const RRGraphView& rr_graph, } if (group_num == -1) { - /* TODO: net_terminal_groups cannot be fully RRNodeId - ified, because this code calls libarchfpga which - * I think should not be aware of RRNodeIds. Fixing this requires some refactoring to lift the offending functions + /* TODO: net_terminal_groups cannot be fully RRNodeId - ified, because this code calls libarchfpga which + * I think should not be aware of RRNodeIds. Fixing this requires some refactoring to lift the offending functions * into VPR. */ std::vector new_group = {int(rr_node_num)}; int new_group_num = net_terminal_groups[net_id].size(); @@ -601,12 +606,11 @@ static vtr::vector> load_rr_clb_sources(con static vtr::vector load_is_clock_net(const Netlist<>& net_list, bool is_flat) { - vtr::vector is_clock_net; + vtr::vector is_clock_net(net_list.nets().size()); auto& atom_ctx = g_vpr_ctx.atom(); - std::set clock_nets = find_netlist_physical_clock_nets(atom_ctx.nlist); + std::set clock_nets = find_netlist_physical_clock_nets(atom_ctx.netlist()); - is_clock_net.resize(net_list.nets().size()); for (auto net_id : net_list.nets()) { std::size_t net_id_num = std::size_t(net_id); if (is_flat) { @@ -614,7 +618,7 @@ static vtr::vector load_is_clock_net(const Netlist<>& net_ is_clock_net[net_id] = clock_nets.find(atom_net_id) != clock_nets.end(); } else { ClusterNetId cluster_net_id = ClusterNetId(net_id_num); - is_clock_net[net_id] = clock_nets.find(atom_ctx.lookup.atom_net(cluster_net_id)) != clock_nets.end(); + is_clock_net[net_id] = clock_nets.find(atom_ctx.lookup().atom_net(cluster_net_id)) != clock_nets.end(); } } diff --git a/vpr/src/route/route_profiling.cpp b/vpr/src/route/route_profiling.cpp index b7c88749335..7ae6f7c813e 100644 --- a/vpr/src/route/route_profiling.cpp +++ b/vpr/src/route/route_profiling.cpp @@ -146,7 +146,7 @@ struct Congested_node_types { }; void congestion_analysis() { -# if 0 +#if 0 // each type indexes into array which holds the congestion for that type std::vector congestion_per_type((size_t)NUM_RR_TYPES, 0); // print out specific node information if congestion for type is low enough @@ -183,7 +183,7 @@ void congestion_analysis() { } } return; -# endif +#endif } static clock_t conn_start_time; diff --git a/vpr/src/route/route_utilization.cpp b/vpr/src/route/route_utilization.cpp index 05fc2eda054..66b03751451 100644 --- a/vpr/src/route/route_utilization.cpp +++ b/vpr/src/route/route_utilization.cpp @@ -16,7 +16,7 @@ vtr::Matrix calculate_routing_usage(t_rr_type rr_type, bool is_flat, bool //Collect all the in-use RR nodes std::set rr_nodes; for (auto net : cluster_ctx.clb_nlist.nets()) { - auto parent_id = get_cluster_net_parent_id(g_vpr_ctx.atom().lookup, net, is_flat); + auto parent_id = get_cluster_net_parent_id(g_vpr_ctx.atom().lookup(), net, is_flat); if (!route_ctx.route_trees[parent_id]) continue; diff --git a/vpr/src/route/route_utils.cpp b/vpr/src/route/route_utils.cpp index b398066769f..90a931574ce 100644 --- a/vpr/src/route/route_utils.cpp +++ b/vpr/src/route/route_utils.cpp @@ -10,6 +10,7 @@ #include "net_delay.h" #include "netlist_fwd.h" #include "overuse_report.h" +#include "physical_types_util.h" #include "place_and_route.h" #include "route_debug.h" @@ -219,7 +220,7 @@ void generate_route_timing_reports(const t_router_opts& router_opts, auto& atom_ctx = g_vpr_ctx.atom(); const auto& blk_loc_registry = g_vpr_ctx.placement().blk_loc_registry(); - VprTimingGraphResolver resolver(atom_ctx.nlist, atom_ctx.lookup, *timing_ctx.graph, delay_calc, is_flat, blk_loc_registry); + VprTimingGraphResolver resolver(atom_ctx.netlist(), atom_ctx.lookup(), *timing_ctx.graph, delay_calc, is_flat, blk_loc_registry); resolver.set_detail_level(analysis_opts.timing_report_detail); tatum::TimingReporter timing_reporter(resolver, *timing_ctx.graph, *timing_ctx.constraints); diff --git a/vpr/src/route/route_utils.h b/vpr/src/route/route_utils.h index d129193ee1e..19f14e7cdb2 100644 --- a/vpr/src/route/route_utils.h +++ b/vpr/src/route/route_utils.h @@ -73,12 +73,12 @@ int get_max_pins_per_net(const Netlist<>& net_list); /** Get the RouteTree associated with the ClusterNetId. * Flat routing maps AtomNetIds to RouteTrees instead, so we need to first look up the associated AtomNetId. */ -inline const vtr::optional& get_route_tree_from_cluster_net_id(ClusterNetId net_id){ +inline const vtr::optional& get_route_tree_from_cluster_net_id(ClusterNetId net_id) { auto& route_ctx = g_vpr_ctx.routing(); - if(!route_ctx.is_flat){ + if (!route_ctx.is_flat) { return route_ctx.route_trees[ParentNetId(net_id)]; - }else{ - auto& atom_lookup = g_vpr_ctx.atom().lookup; + } else { + auto& atom_lookup = g_vpr_ctx.atom().lookup(); AtomNetId atom_id = atom_lookup.atom_net(net_id); return route_ctx.route_trees[ParentNetId(atom_id)]; } diff --git a/vpr/src/route/router_delay_profiling.cpp b/vpr/src/route/router_delay_profiling.cpp index 1b8c4ab771d..2fa61c1ca23 100644 --- a/vpr/src/route/router_delay_profiling.cpp +++ b/vpr/src/route/router_delay_profiling.cpp @@ -6,7 +6,6 @@ #include "route_tree.h" #include "rr_graph.h" #include "vtr_time.h" -#include "draw.h" RouterDelayProfiler::RouterDelayProfiler(const Netlist<>& net_list, const RouterLookahead* lookahead, @@ -187,10 +186,10 @@ vtr::vector calculate_all_path_delays_from_rr_node(RRNodeId src RouterStats router_stats; ConnectionParameters conn_params(ParentNetId::INVALID(), OPEN, false, std::unordered_map()); vtr::vector shortest_paths = router.timing_driven_find_all_shortest_paths_from_route_tree(tree.root(), - cost_params, - bounding_box, - router_stats, - conn_params); + cost_params, + bounding_box, + router_stats, + conn_params); VTR_ASSERT(shortest_paths.size() == device_ctx.rr_graph.num_nodes()); for (int isink = 0; isink < (int)device_ctx.rr_graph.num_nodes(); ++isink) { diff --git a/vpr/src/route/router_lookahead_cost_map.cpp b/vpr/src/route/router_lookahead_cost_map.cpp index ec27878b3a1..2f7a8911084 100644 --- a/vpr/src/route/router_lookahead_cost_map.cpp +++ b/vpr/src/route/router_lookahead_cost_map.cpp @@ -6,11 +6,11 @@ #include "vtr_geometry.h" #ifdef VTR_ENABLE_CAPNPROTO -# include "capnp/serialize.h" -# include "extended_map_lookahead.capnp.h" -# include "ndmatrix_serdes.h" -# include "mmap_file.h" -# include "serdes_utils.h" +#include "capnp/serialize.h" +#include "extended_map_lookahead.capnp.h" +#include "ndmatrix_serdes.h" +#include "mmap_file.h" +#include "serdes_utils.h" #endif // Lookahead penalties constants diff --git a/vpr/src/route/router_lookahead_extended_map.cpp b/vpr/src/route/router_lookahead_extended_map.cpp index d72f5471130..2f3964b47b5 100644 --- a/vpr/src/route/router_lookahead_extended_map.cpp +++ b/vpr/src/route/router_lookahead_extended_map.cpp @@ -19,15 +19,15 @@ #include "route_debug.h" #ifdef VTR_ENABLE_CAPNPROTO -# include "capnp/serialize.h" -# include "extended_map_lookahead.capnp.h" -# include "ndmatrix_serdes.h" -# include "mmap_file.h" -# include "serdes_utils.h" +#include "capnp/serialize.h" +#include "extended_map_lookahead.capnp.h" +#include "ndmatrix_serdes.h" +#include "mmap_file.h" +#include "serdes_utils.h" #endif #if defined(VPR_USE_TBB) -# include +#include #endif /* we're profiling routing cost over many tracks for each wire type, so we'll diff --git a/vpr/src/route/router_lookahead_map.cpp b/vpr/src/route/router_lookahead_map.cpp index 648b182c9eb..a413e429498 100644 --- a/vpr/src/route/router_lookahead_map.cpp +++ b/vpr/src/route/router_lookahead_map.cpp @@ -24,28 +24,24 @@ #include #include #include "connection_router_interface.h" +#include "physical_types_util.h" #include "vpr_types.h" -#include "vpr_error.h" #include "vpr_utils.h" #include "globals.h" #include "vtr_math.h" -#include "vtr_log.h" #include "vtr_assert.h" #include "vtr_time.h" -#include "vtr_geometry.h" #include "router_lookahead_map.h" #include "router_lookahead_map_utils.h" -#include "rr_graph2.h" #include "rr_graph.h" -#include "route_common.h" #ifdef VTR_ENABLE_CAPNPROTO -# include "capnp/serialize.h" -# include "map_lookahead.capnp.h" -# include "ndmatrix_serdes.h" -# include "intra_cluster_serdes.h" -# include "mmap_file.h" -# include "serdes_utils.h" +#include "capnp/serialize.h" +#include "map_lookahead.capnp.h" +#include "ndmatrix_serdes.h" +#include "intra_cluster_serdes.h" +#include "mmap_file.h" +#include "serdes_utils.h" #endif /* VTR_ENABLE_CAPNPROTO */ static constexpr int VALID_NEIGHBOR_NUMBER = 3; @@ -204,14 +200,6 @@ float MapLookahead::get_expected_cost_flat_router(RRNodeId current_node, RRNodeI t_physical_tile_type_ptr from_physical_type = device_ctx.grid.get_physical_type({rr_graph.node_xlow(current_node), rr_graph.node_ylow(current_node), rr_graph.node_layer(current_node)}); - const VibInf* vib; - if (!device_ctx.arch->vib_infs.empty()) { - vib = device_ctx.vib_grid.get_vib(rr_graph.node_layer(current_node), rr_graph.node_xlow(current_node), rr_graph.node_ylow(current_node)); - } - else { - vib = nullptr; - } - //const t_vib_inf* vib = device_ctx.vib_grid[rr_graph.node_layer(current_node)][rr_graph.node_xlow(current_node)][rr_graph.node_ylow(current_node)]; int from_node_ptc_num = rr_graph.node_ptc_num(current_node); t_physical_tile_type_ptr to_physical_type = device_ctx.grid.get_physical_type({rr_graph.node_xlow(target_node), rr_graph.node_ylow(target_node), @@ -232,12 +220,7 @@ float MapLookahead::get_expected_cost_flat_router(RRNodeId current_node, RRNodeI return delay_cost + cong_cost + delay_offset_cost + cong_offset_cost; } else if (from_rr_type == OPIN) { - - if (is_inter_cluster_node(from_physical_type, - vib, - from_rr_type, - from_node_ptc_num)) { - + if (is_inter_cluster_node(rr_graph, current_node)) { // Similar to CHANX and CHANY std::tie(delay_cost, cong_cost) = get_expected_delay_and_cong(current_node, target_node, params, R_upstream); @@ -348,15 +331,15 @@ std::pair MapLookahead::get_expected_delay_and_cong(RRNodeId from_ * the minimum cost among them. In the following for loop, we iterate over each layer and pass it the * routing segments on that layer reachable from the OPIN/SOURCE to segments on that layer. This for loop then calculates and returns * the minimum cost from the given OPIN/SOURCE to the specified SINK considering routing options across all layers. - */ + */ for (int layer_num = 0; layer_num < device_ctx.grid.get_num_layers(); layer_num++) { float this_delay_cost; float this_cong_cost; std::tie(this_delay_cost, this_cong_cost) = util::get_cost_from_src_opin(src_opin_delays[from_layer_num][from_tile_index][from_ptc][layer_num], - delta_x, - delta_y, - to_layer_num, - get_wire_cost_entry); + delta_x, + delta_y, + to_layer_num, + get_wire_cost_entry); expected_delay_cost = std::min(expected_delay_cost, this_delay_cost); expected_cong_cost = std::min(expected_cong_cost, this_cong_cost); } @@ -904,9 +887,9 @@ static void min_opin_distance_cost_map(const util::t_src_opin_delays& src_opin_d // #ifndef VTR_ENABLE_CAPNPROTO -# define DISABLE_ERROR \ - "is disabled because VTR_ENABLE_CAPNPROTO=OFF." \ - "Re-compile with CMake option VTR_ENABLE_CAPNPROTO=ON to enable." +#define DISABLE_ERROR \ + "is disabled because VTR_ENABLE_CAPNPROTO=OFF." \ + "Re-compile with CMake option VTR_ENABLE_CAPNPROTO=ON to enable." void read_router_lookahead(const std::string& /*file*/) { VPR_THROW(VPR_ERROR_PLACE, "MapLookahead::read_router_lookahead " DISABLE_ERROR); diff --git a/vpr/src/route/router_lookahead_map_utils.cpp b/vpr/src/route/router_lookahead_map_utils.cpp index a433ad365a3..8053c522956 100644 --- a/vpr/src/route/router_lookahead_map_utils.cpp +++ b/vpr/src/route/router_lookahead_map_utils.cpp @@ -12,6 +12,7 @@ #include #include "globals.h" +#include "physical_types_util.h" #include "vpr_context.h" #include "vtr_math.h" #include "vtr_time.h" @@ -58,7 +59,6 @@ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, vtr::vector& node_expanded, std::priority_queue& pq); - /** * @brief Computes the adjusted position of an RR graph node. * This function does not modify the position of the given node. @@ -420,21 +420,8 @@ t_src_opin_delays compute_router_src_opin_lookahead(bool is_flat) { const std::vector& rr_nodes_at_loc = device_ctx.rr_graph.node_lookup().find_grid_nodes_at_all_sides(sample_loc.layer_num, sample_loc.x, sample_loc.y, rr_type); for (RRNodeId node_id : rr_nodes_at_loc) { int ptc = rr_graph.node_ptc_num(node_id); - const VibInf* vib; - if (!device_ctx.arch->vib_infs.empty()) { - vib = device_ctx.vib_grid.get_vib(sample_loc.layer_num, sample_loc.x, sample_loc.y); - } - else { - vib = nullptr; - } - //const t_vib_inf* vib = device_ctx.vib_grid[sample_loc.layer_num][sample_loc.x][sample_loc.y]; // For the time being, we decide to not let the lookahead explore the node inside the clusters - - if (!is_inter_cluster_node(&device_ctx.physical_tile_types[itile], - vib, - rr_type, - ptc)) { - + if (!is_inter_cluster_node(rr_graph, node_id)) { continue; } @@ -792,7 +779,6 @@ t_routing_cost_map get_routing_cost_map(int longest_seg_length, //Finally, now that we have a list of sample locations, run a Dijkstra flood from //each sample location to profile the routing network from this type - t_routing_cost_map routing_cost_map({static_cast(device_ctx.grid.get_num_layers()), device_ctx.grid.width(), device_ctx.grid.height()}); if (sample_nodes.empty()) { @@ -1036,23 +1022,7 @@ static void dijkstra_flood_to_wires(int itile, RRNodeId next_node = rr_graph.rr_nodes().edge_sink_node(edge); // For the time being, we decide to not let the lookahead explore the node inside the clusters - - t_physical_tile_type_ptr physical_type = device_ctx.grid.get_physical_type({rr_graph.node_xlow(next_node), - rr_graph.node_ylow(next_node), - rr_graph.node_layer(next_node)}); - const VibInf* vib; - if (!device_ctx.arch->vib_infs.empty()) { - vib = device_ctx.vib_grid.get_vib(rr_graph.node_layer(next_node), rr_graph.node_xlow(next_node), rr_graph.node_ylow(next_node)); - } - else { - vib = nullptr; - } - //const t_vib_inf* vib = device_ctx.vib_grid[rr_graph.node_layer(next_node)][rr_graph.node_xlow(next_node)][rr_graph.node_ylow(next_node)]; - if (!is_inter_cluster_node(physical_type, - vib, - rr_graph.node_type(next_node), - rr_graph.node_ptc_num(next_node))) { - + if (!is_inter_cluster_node(rr_graph, next_node)) { // Don't go inside the clusters continue; } @@ -1247,9 +1217,7 @@ static void run_intra_tile_dijkstra(const RRGraphView& rr_graph, node_expanded.resize(rr_graph.num_nodes()); std::fill(node_expanded.begin(), node_expanded.end(), false); - vtr::vector node_seen_cost; - node_seen_cost.resize(rr_graph.num_nodes()); - std::fill(node_seen_cost.begin(), node_seen_cost.end(), -1.); + vtr::vector node_seen_cost(rr_graph.num_nodes(), -1.f); struct t_pq_entry { float delay; @@ -1409,22 +1377,7 @@ static void expand_dijkstra_neighbours(util::PQ_Entry parent_entry, for (t_edge_size edge : rr_graph.edges(parent)) { RRNodeId child_node = rr_graph.edge_sink_node(parent, edge); // For the time being, we decide to not let the lookahead explore the node inside the clusters - t_physical_tile_type_ptr physical_type = device_ctx.grid.get_physical_type({rr_graph.node_xlow(child_node), - rr_graph.node_ylow(child_node), - rr_graph.node_layer(child_node)}); - const VibInf* vib; - if (!device_ctx.arch->vib_infs.empty()) { - vib = device_ctx.vib_grid.get_vib(rr_graph.node_layer(child_node), rr_graph.node_xlow(child_node), rr_graph.node_ylow(child_node)); - } - else { - vib = nullptr; - } - - if (!is_inter_cluster_node(physical_type, - vib, - rr_graph.node_type(child_node), - rr_graph.node_ptc_num(child_node))) { - + if (!is_inter_cluster_node(rr_graph, child_node)) { continue; } int switch_ind = size_t(rr_graph.edge_switch(parent, edge)); diff --git a/vpr/src/route/router_lookahead_sampling.cpp b/vpr/src/route/router_lookahead_sampling.cpp index c7f2221ff9c..a8ad7dc2f15 100644 --- a/vpr/src/route/router_lookahead_sampling.cpp +++ b/vpr/src/route/router_lookahead_sampling.cpp @@ -60,9 +60,9 @@ static std::vector choose_points(const vtr::Matrix& counts, // sort by distance from center std::stable_sort(points.begin(), points.end(), - [&](const SamplePoint& a, const SamplePoint& b) { - return manhattan_distance(a.location, center) < manhattan_distance(b.location, center); - }); + [&](const SamplePoint& a, const SamplePoint& b) { + return manhattan_distance(a.location, center) < manhattan_distance(b.location, center); + }); return points; } @@ -233,9 +233,9 @@ std::vector find_sample_regions(int num_segments) { // sort regions std::stable_sort(sample_regions.begin(), sample_regions.end(), - [](const SampleRegion& a, const SampleRegion& b) { - return a.order < b.order; - }); + [](const SampleRegion& a, const SampleRegion& b) { + return a.order < b.order; + }); // build an index of sample points on segment type and location std::map, SamplePoint*> sample_point_index; diff --git a/vpr/src/route/rr_graph.cpp b/vpr/src/route/rr_graph.cpp index 0660c652881..afb459c5ac4 100644 --- a/vpr/src/route/rr_graph.cpp +++ b/vpr/src/route/rr_graph.cpp @@ -5,10 +5,12 @@ #include #include #include +#include "alloc_and_load_rr_indexed_data.h" +#include "physical_types_util.h" +#include "rr_rc_data.h" #include "vtr_assert.h" #include "vtr_util.h" -#include "vtr_memory.h" #include "vtr_math.h" #include "vtr_log.h" #include "vtr_time.h" @@ -25,15 +27,11 @@ #include "rr_graph2.h" #include "rr_graph_sbox.h" #include "rr_graph_timing_params.h" -#include "rr_graph_indexed_data.h" #include "check_rr_graph.h" -#include "read_xml_arch_file.h" #include "echo_files.h" -#include "cb_metrics.h" #include "build_switchblocks.h" #include "rr_graph_writer.h" #include "rr_graph_reader.h" -#include "router_lookahead_map.h" #include "rr_graph_clock.h" #include "edge_groups.h" #include "rr_graph_builder.h" @@ -322,7 +320,6 @@ static void alloc_and_load_tile_rr_graph(RRGraphBuilder& rr_graph_builder, static float pattern_fmod(float a, float b); - /** * @brief Loads the tracks_connected_to_pin array with an even distribution of switches across the tracks for each pin. * @@ -728,8 +725,8 @@ static void build_intra_cluster_rr_graph(const t_graph_type graph_type, * @param det_routing_arch Contain the information from architecture file * @param load_rr_graph Indicate whether the RR graph is loaded from a file */ -static int get_delayless_switch_id(t_det_routing_arch* det_routing_arch, - bool load_rr_graph); +static int get_delayless_switch_id(t_det_routing_arch* det_routing_arch, + bool load_rr_graph); /******************* Subroutine definitions *******************************/ @@ -833,7 +830,7 @@ void create_rr_graph(const t_graph_type graph_type, directs, &det_routing_arch->wire_to_rr_ipin_switch, det_routing_arch->shrink_boundary, /* Shrink to the smallest boundary, no routing wires for empty zone */ - det_routing_arch->perimeter_cb, /* Now I/O or any programmable blocks on perimeter can have full cb access (both cbx and cby) */ + det_routing_arch->perimeter_cb, /* Now I/O or any programmable blocks on perimeter can have full cb access (both cbx and cby) */ router_opts.trim_obs_channels || det_routing_arch->through_channel, /* Allow/Prohibit through tracks across multi-height and multi-width grids */ det_routing_arch->opin2all_sides, /* Allow opin of grid to directly drive routing tracks at all sides of a switch block */ det_routing_arch->concat_wire, /* Allow end-point tracks to be wired to a starting point track on the opposite in a switch block. It means a wire can be continued in the same direction to another wire */ @@ -1358,7 +1355,7 @@ static void build_rr_graph(const t_graph_type graph_type, */ if (grid.get_num_layers() > 1 && sb_type == CUSTOM) { //keep how many nodes each switchblock requires for each x,y location - auto extra_nodes_per_switchblock = get_number_track_to_track_inter_die_conn(sb_conn_map,custom_3d_sb_fanin_fanout, device_ctx.rr_graph_builder); + auto extra_nodes_per_switchblock = get_number_track_to_track_inter_die_conn(sb_conn_map, custom_3d_sb_fanin_fanout, device_ctx.rr_graph_builder); //allocate new nodes in each switchblocks alloc_and_load_inter_die_rr_node_indices(device_ctx.rr_graph_builder, &nodes_per_chan, grid, extra_nodes_per_switchblock, &num_rr_nodes); device_ctx.rr_graph_builder.resize_nodes(num_rr_nodes); @@ -1469,9 +1466,9 @@ static void build_rr_graph(const t_graph_type graph_type, route_verbosity); // Verify no incremental node allocation. - // AA: Note that in the case of dedicated networks, we are currently underestimating the additional node count due to the clock networks. + // AA: Note that in the case of dedicated networks, we are currently underestimating the additional node count due to the clock networks. /* For now, the node count comparison is being skipped in the presence of clock networks. - * TODO: The node estimation needs to be fixed for dedicated clock networks. */ + * TODO: The node estimation needs to be fixed for dedicated clock networks. */ if (rr_graph.num_nodes() > expected_node_count && clock_modeling != DEDICATED_NETWORK) { VTR_LOG_ERROR("Expected no more than %zu nodes, have %zu nodes\n", expected_node_count, rr_graph.num_nodes()); @@ -1534,7 +1531,7 @@ static void build_rr_graph(const t_graph_type graph_type, device_ctx.chan_width = nodes_per_chan; rr_graph_externals(segment_inf, segment_inf_x, segment_inf_y, *wire_to_rr_ipin_switch, base_cost_type); - + const VibDeviceGrid vib_grid; check_rr_graph(device_ctx.rr_graph, types, @@ -1643,12 +1640,12 @@ static void build_intra_cluster_rr_graph(const t_graph_type graph_type, } static int get_delayless_switch_id(t_det_routing_arch* det_routing_arch, - bool load_rr_graph) { + bool load_rr_graph) { const auto& device_ctx = g_vpr_ctx.device(); int delayless_switch = OPEN; if (load_rr_graph) { const auto& rr_switches = device_ctx.rr_graph.rr_switch(); - for (size_t switch_id = 0; switch_id < rr_switches.size(); switch_id++){ + for (size_t switch_id = 0; switch_id < rr_switches.size(); switch_id++) { const auto& rr_switch = rr_switches[RRSwitchId(switch_id)]; if (rr_switch.name.find("delayless") != std::string::npos) { delayless_switch = static_cast(switch_id); @@ -2173,7 +2170,7 @@ static std::function alloc_and_load_rr_graph(RRGraphBuilder *Fc_clipped = false; /* This function is called to build the general routing graph resoruces. Thus, - the edges are not remapped yet.*/ + * the edges are not remapped yet.*/ bool switches_remapped = false; int num_edges = 0; @@ -2221,7 +2218,7 @@ static std::function alloc_and_load_rr_graph(RRGraphBuilder } } - VTR_LOGV(route_verbosity > 1,"SOURCE->OPIN and IPIN->SINK edge count:%d\n", num_edges); + VTR_LOGV(route_verbosity > 1, "SOURCE->OPIN and IPIN->SINK edge count:%d\n", num_edges); num_edges = 0; /* Build opins */ int rr_edges_before_directs = 0; @@ -2258,8 +2255,8 @@ static std::function alloc_and_load_rr_graph(RRGraphBuilder } } - VTR_LOGV(route_verbosity > 1,"OPIN->CHANX/CHANY edge count before creating direct connections: %d\n", rr_edges_before_directs); - VTR_LOGV(route_verbosity > 1,"OPIN->CHANX/CHANY edge count after creating direct connections: %d\n", num_edges); + VTR_LOGV(route_verbosity > 1, "OPIN->CHANX/CHANY edge count before creating direct connections: %d\n", rr_edges_before_directs); + VTR_LOGV(route_verbosity > 1, "OPIN->CHANX/CHANY edge count after creating direct connections: %d\n", num_edges); num_edges = 0; /* Build channels */ @@ -2269,14 +2266,14 @@ static std::function alloc_and_load_rr_graph(RRGraphBuilder vtr::NdMatrix num_of_3d_conns_custom_SB; t_rr_edge_info_set des_3d_rr_edges_to_create; - if(grid.get_num_layers() > 1 && sb_conn_map != nullptr){ - num_of_3d_conns_custom_SB.resize(std::array{grid.width(), grid.height()}, 0); + if (grid.get_num_layers() > 1 && sb_conn_map != nullptr) { + num_of_3d_conns_custom_SB.resize(std::array{grid.width(), grid.height()}, 0); } for (size_t i = 0; i < grid.width() - 1; ++i) { for (size_t j = 0; j < grid.height() - 1; ++j) { for (int layer = 0; layer < grid.get_num_layers(); ++layer) { - auto &device_ctx = g_vpr_ctx.device(); + auto& device_ctx = g_vpr_ctx.device(); /* Skip the current die if architecture file specifies that it doesn't require inter-cluster programmable resource routing */ if (!device_ctx.inter_cluster_prog_routing_resources.at(layer)) { continue; @@ -2338,15 +2335,14 @@ static std::function alloc_and_load_rr_graph(RRGraphBuilder } } - if(grid.get_num_layers() > 1 && sb_conn_map != nullptr){ + if (grid.get_num_layers() > 1 && sb_conn_map != nullptr) { uniquify_edges(des_3d_rr_edges_to_create); alloc_and_load_edges(rr_graph_builder, des_3d_rr_edges_to_create); num_edges += des_3d_rr_edges_to_create.size(); des_3d_rr_edges_to_create.clear(); } - - VTR_LOGV(route_verbosity > 1,"CHAN->CHAN type edge count:%d\n", num_edges); + VTR_LOGV(route_verbosity > 1, "CHAN->CHAN type edge count:%d\n", num_edges); num_edges = 0; std::function update_chan_width = [](t_chan_width*) noexcept {}; @@ -2959,11 +2955,11 @@ static void add_pb_edges(RRGraphBuilder& rr_graph_builder, float delay = g_vpr_ctx.device().all_sw_inf.at(sw_idx).Tdel(); bool is_new_sw; std::tie(is_new_sw, sw_idx) = find_create_intra_cluster_sw(rr_graph_builder, - all_sw_inf, - R_minW_nmos, - R_minW_pmos, - switches_remapped, - delay); + all_sw_inf, + R_minW_nmos, + R_minW_pmos, + switches_remapped, + delay); } rr_edges_to_create.emplace_back(parent_pin_node_id, conn_pin_node_id, sw_idx, switches_remapped); } @@ -3282,10 +3278,10 @@ static void build_rr_chan(RRGraphBuilder& rr_graph_builder, } if (to_seg_details->length() > 0) { get_track_to_tracks(rr_graph_builder, layer, chan_coord, start, track, chan_type, chan_coord, - opposite_chan_type, seg_dimension, max_opposite_chan_width, grid, + opposite_chan_type, seg_dimension, max_opposite_chan_width, grid, Fs_per_side, sblock_pattern, num_of_3d_conns_custom_SB, node, rr_edges_to_create, des_3d_rr_edges_to_create, from_seg_details, to_seg_details, opposite_chan_details, - directionality,custom_3d_sb_fanin_fanout,delayless_switch, + directionality, custom_3d_sb_fanin_fanout, delayless_switch, switch_block_conn, sb_conn_map); } } @@ -3302,10 +3298,10 @@ static void build_rr_chan(RRGraphBuilder& rr_graph_builder, } if (to_seg_details->length() > 0) { get_track_to_tracks(rr_graph_builder, layer, chan_coord, start, track, chan_type, chan_coord + 1, - opposite_chan_type, seg_dimension, max_opposite_chan_width, grid, + opposite_chan_type, seg_dimension, max_opposite_chan_width, grid, Fs_per_side, sblock_pattern, num_of_3d_conns_custom_SB, node, rr_edges_to_create, des_3d_rr_edges_to_create, from_seg_details, to_seg_details, opposite_chan_details, - directionality,custom_3d_sb_fanin_fanout, delayless_switch, switch_block_conn, sb_conn_map); + directionality, custom_3d_sb_fanin_fanout, delayless_switch, switch_block_conn, sb_conn_map); } } @@ -3334,10 +3330,10 @@ static void build_rr_chan(RRGraphBuilder& rr_graph_builder, } if (to_seg_details->length() > 0) { get_track_to_tracks(rr_graph_builder, layer, chan_coord, start, track, chan_type, target_seg, - chan_type, seg_dimension, max_chan_width, grid, + chan_type, seg_dimension, max_chan_width, grid, Fs_per_side, sblock_pattern, num_of_3d_conns_custom_SB, node, rr_edges_to_create, des_3d_rr_edges_to_create, from_seg_details, to_seg_details, from_chan_details, - directionality,custom_3d_sb_fanin_fanout, delayless_switch, + directionality, custom_3d_sb_fanin_fanout, delayless_switch, switch_block_conn, sb_conn_map); } } @@ -3444,9 +3440,9 @@ static vtr::NdMatrix, 5> alloc_and_load_pin_to_track_map(const * used to index into the correct entries when loading up 'result' */ auto& grid = g_vpr_ctx.device().grid; auto result = vtr::NdMatrix, 5>({ - size_t(tile_type->num_pins), //[0..num_pins-1] - size_t(tile_type->width), //[0..width-1] - size_t(tile_type->height), //[0..height-1] + size_t(tile_type->num_pins), //[0..num_pins-1] + size_t(tile_type->width), //[0..width-1] + size_t(tile_type->height), //[0..height-1] size_t(grid.get_num_layers()), //[0..layer-1] 4, //[0..sides-1] }); @@ -3536,12 +3532,12 @@ static vtr::NdMatrix alloc_and_load_pin_to_seg_type(const e_pin_type pin } auto tracks_connected_to_pin = vtr::NdMatrix({ - size_t(tile_type->num_pins), //[0..num_pins-1] - size_t(tile_type->width), //[0..width-1] - size_t(tile_type->height), //[0..height-1] + size_t(tile_type->num_pins), //[0..num_pins-1] + size_t(tile_type->width), //[0..width-1] + size_t(tile_type->height), //[0..height-1] size_t(grid.get_num_layers()), //[0..layer-1] NUM_2D_SIDES, //[0..NUM_2D_SIDES-1] - size_t(max_Fc) //[0..Fc-1] + size_t(max_Fc) //[0..Fc-1] }, OPEN); //Unconnected @@ -3550,8 +3546,8 @@ static vtr::NdMatrix alloc_and_load_pin_to_seg_type(const e_pin_type pin //Type->num_pins) if a logical pin has multiple specified physical //pinlocations (i.e. appears on multiple sides of the block) auto num_dir = vtr::NdMatrix({ - size_t(tile_type->width), //[0..width-1] - size_t(tile_type->height), //[0..height-1] + size_t(tile_type->width), //[0..width-1] + size_t(tile_type->height), //[0..height-1] size_t(grid.get_num_layers()), //[0..layer-1] NUM_2D_SIDES //[0..NUM_2D_SIDES-1] }, @@ -3565,16 +3561,16 @@ static vtr::NdMatrix alloc_and_load_pin_to_seg_type(const e_pin_type pin auto dir_list = vtr::NdMatrix({ size_t(tile_type->width), //[0..width-1] size_t(tile_type->height), //[0..height-1] - size_t(grid.get_num_layers()), //[0..layer-1] - NUM_2D_SIDES, //[0..NUM_2D_SIDES-1] + size_t(grid.get_num_layers()), //[0..layer-1] + NUM_2D_SIDES, //[0..NUM_2D_SIDES-1] size_t(tile_type->num_pins) * size_t(grid.get_num_layers()) //[0..num_pins * num_layers-1] }, -1); //Defensive coding: Initialize to invalid //Number of currently assigned physical pins auto num_done_per_dir = vtr::NdMatrix({ - size_t(tile_type->width), //[0..width-1] - size_t(tile_type->height), //[0..height-1] + size_t(tile_type->width), //[0..width-1] + size_t(tile_type->height), //[0..height-1] size_t(grid.get_num_layers()), //[0..layer-1] NUM_2D_SIDES //[0..NUM_2D_SIDES-1] }, @@ -4068,7 +4064,7 @@ static void load_perturbed_connection_block_pattern(vtr::NdMatrix& track int layer = pin_locations[i].layer_offset; int pin_Fc = Fc[pin][seg_index]; - int Fc_dense = ( pin_Fc / 2) + 1; + int Fc_dense = (pin_Fc / 2) + 1; int Fc_sparse = pin_Fc - Fc_dense; int Fc_half[2]; @@ -4400,7 +4396,7 @@ t_clb_to_clb_directs* alloc_and_load_clb_to_clb_directs(const std::vector find_create_intra_cluster_sw(RRGraphBuilder& rr_grap // If this assumption proven to not be accurate, the implementation needs to be changed. VTR_ASSERT(arch_sw.fixed_Tdel()); - t_rr_switch_inf new_rr_switch_inf = create_rr_switch_from_arch_switch(create_internal_arch_sw(delay), - R_minW_nmos, - R_minW_pmos); + t_rr_switch_inf new_rr_switch_inf = create_rr_switch_from_arch_switch(create_internal_arch_sw(delay), + R_minW_nmos, + R_minW_pmos); RRSwitchId rr_switch_id = rr_graph.add_rr_switch(new_rr_switch_inf); /*If the switch found inside the cluster has not seen before and RR graph is not read from a file, - we need to add this switch to switch_fanin_remap data strcutre which is used later to remap switch IDs - from architecture ID to RR graph switch ID. The reason why we don't this when RR graph is read from a file - is that in that case, the switch IDs of edges are alreay RR graph switch IDs. */ + * we need to add this switch to switch_fanin_remap data strcutre which is used later to remap switch IDs + * from architecture ID to RR graph switch ID. The reason why we don't this when RR graph is read from a file + * is that in that case, the switch IDs of edges are alreay RR graph switch IDs. */ auto& switch_fanin_remap = g_vpr_ctx.mutable_device().switch_fanin_remap; switch_fanin_remap.push_back({{UNDEFINED, size_t(rr_switch_id)}}); diff --git a/vpr/src/route/rr_graph2.cpp b/vpr/src/route/rr_graph2.cpp index cb8b729b05f..1a73e2e07bf 100644 --- a/vpr/src/route/rr_graph2.cpp +++ b/vpr/src/route/rr_graph2.cpp @@ -1,9 +1,9 @@ #include +#include "describe_rr_node.h" +#include "physical_types_util.h" #include "vtr_util.h" #include "vtr_assert.h" -#include "vtr_log.h" -#include "vtr_memory.h" #include "vpr_types.h" #include "vpr_error.h" @@ -11,9 +11,7 @@ #include "globals.h" #include "rr_graph_utils.h" #include "rr_graph2.h" -#include "rr_graph.h" #include "rr_graph_sbox.h" -#include "read_xml_arch_file.h" #include "rr_types.h" constexpr short UN_SET = -1; @@ -404,7 +402,7 @@ t_seg_details* alloc_and_load_seg_details(int* max_chan_width, int cur_track, ntracks, itrack, length, j, index; int fac, num_sets, tmp; int arch_wire_switch, arch_opin_switch, arch_wire_switch_dec, arch_opin_switch_dec; - int arch_opin_between_dice_switch; + int arch_inter_die_switch; int group_start, first_track; std::unique_ptr sets_per_seg_type; t_seg_details* seg_details = nullptr; @@ -457,7 +455,7 @@ t_seg_details* alloc_and_load_seg_details(int* max_chan_width, arch_opin_switch = segment_inf[i].arch_opin_switch; arch_wire_switch_dec = segment_inf[i].arch_wire_switch_dec; arch_opin_switch_dec = segment_inf[i].arch_opin_switch_dec; - arch_opin_between_dice_switch = segment_inf[i].arch_opin_between_dice_switch; + arch_inter_die_switch = segment_inf[i].arch_inter_die_switch; VTR_ASSERT((arch_wire_switch == arch_opin_switch && arch_wire_switch_dec == arch_opin_switch_dec) || (directionality != UNI_DIRECTIONAL)); /* Set up the tracks of same type */ @@ -521,7 +519,7 @@ t_seg_details* alloc_and_load_seg_details(int* max_chan_width, seg_details[cur_track].Cmetal = segment_inf[i].Cmetal; //seg_details[cur_track].Cmetal_per_m = segment_inf[i].Cmetal_per_m; - seg_details[cur_track].arch_opin_between_dice_switch = arch_opin_between_dice_switch; + seg_details[cur_track].arch_inter_die_switch = arch_inter_die_switch; if (BI_DIRECTIONAL == directionality) { seg_details[cur_track].direction = Direction::BIDIR; @@ -532,11 +530,10 @@ t_seg_details* alloc_and_load_seg_details(int* max_chan_width, //check for directionality to set the wire_switch and opin_switch //if not specified in the architecture file, we will use a same mux for both directions - if (seg_details[cur_track].direction == Direction::INC || seg_details[cur_track].direction == Direction::BIDIR || arch_wire_switch_dec == -1){ + if (seg_details[cur_track].direction == Direction::INC || seg_details[cur_track].direction == Direction::BIDIR || arch_wire_switch_dec == -1) { seg_details[cur_track].arch_opin_switch = arch_opin_switch; seg_details[cur_track].arch_wire_switch = arch_wire_switch; - } - else { + } else { VTR_ASSERT(seg_details[cur_track].direction == Direction::DEC); seg_details[cur_track].arch_opin_switch = arch_opin_switch_dec; seg_details[cur_track].arch_wire_switch = arch_wire_switch_dec; @@ -856,7 +853,7 @@ int get_bidir_opin_connections(RRGraphBuilder& rr_graph_builder, continue; } - to_switch = (track_layer == opin_layer) ? seg_details[to_track].arch_wire_switch() : seg_details[to_track].arch_opin_between_dice_switch(); + to_switch = (track_layer == opin_layer) ? seg_details[to_track].arch_wire_switch() : seg_details[to_track].arch_inter_die_switch(); rr_edges_to_create.emplace_back(from_rr_node, to_node, to_switch, false); ++num_conn; @@ -947,11 +944,11 @@ int get_unidir_opin_connections(RRGraphBuilder& rr_graph_builder, } /* Add to the list. */ - auto to_switch = (opin_layer == track_layer) ? seg_details[inc_track].arch_opin_switch() : seg_details[inc_track].arch_opin_between_dice_switch(); + auto to_switch = (opin_layer == track_layer) ? seg_details[inc_track].arch_opin_switch() : seg_details[inc_track].arch_inter_die_switch(); rr_edges_to_create.emplace_back(from_rr_node, inc_inode_index, to_switch, false); ++num_edges; - to_switch = (opin_layer == track_layer) ? seg_details[dec_track].arch_opin_switch() : seg_details[dec_track].arch_opin_between_dice_switch(); + to_switch = (opin_layer == track_layer) ? seg_details[dec_track].arch_opin_switch() : seg_details[dec_track].arch_inter_die_switch(); rr_edges_to_create.emplace_back(from_rr_node, dec_inode_index, to_switch, false); ++num_edges; } @@ -1281,17 +1278,17 @@ vtr::NdMatrix get_number_track_to_track_inter_die_conn(t_sb_connection_m for (int iconn = 0; iconn < (int)conn_vector.size(); ++iconn) { //check if both from_node and to_node exists in the rr-graph //CHANY -> CHANX connection - if(check_3d_SB_RRnodes(rr_graph_builder, x, y, conn_vector[iconn].from_wire, - conn_vector[iconn].from_wire_layer, CHANY, - conn_vector[iconn].to_wire, conn_vector[iconn].to_wire_layer, - CHANX)){ + if (check_3d_SB_RRnodes(rr_graph_builder, x, y, conn_vector[iconn].from_wire, + conn_vector[iconn].from_wire_layer, CHANY, + conn_vector[iconn].to_wire, conn_vector[iconn].to_wire_layer, + CHANX)) { num_of_3d_conn++; } //CHANX -> CHANY connection - if(check_3d_SB_RRnodes(rr_graph_builder, x, y, conn_vector[iconn].from_wire, - conn_vector[iconn].from_wire_layer, CHANX, - conn_vector[iconn].to_wire, conn_vector[iconn].to_wire_layer, - CHANY)){ + if (check_3d_SB_RRnodes(rr_graph_builder, x, y, conn_vector[iconn].from_wire, + conn_vector[iconn].from_wire_layer, CHANX, + conn_vector[iconn].to_wire, conn_vector[iconn].to_wire_layer, + CHANY)) { num_of_3d_conn++; } } @@ -1299,7 +1296,7 @@ vtr::NdMatrix get_number_track_to_track_inter_die_conn(t_sb_connection_m } } } - extra_nodes_per_switchblocks[x][y] += ((num_of_3d_conn + custom_3d_sb_fanin_fanout - 1)/ custom_3d_sb_fanin_fanout); + extra_nodes_per_switchblocks[x][y] += ((num_of_3d_conn + custom_3d_sb_fanin_fanout - 1) / custom_3d_sb_fanin_fanout); } } } @@ -1708,10 +1705,8 @@ bool verify_rr_node_indices(const DeviceGrid& grid, y, describe_rr_node(rr_graph, grid, rr_indexed_data, inode, is_flat).c_str()); } - } else if (rr_graph.node_type(inode) == SOURCE || rr_graph.node_type(inode) == SINK || rr_graph.node_type(inode) == MEDIUM) { - // Sources have co-ordinates covering the entire block they are in, but not sinks - + //Sources have co-ordintes covering the entire block they are in if (!rr_graph.x_in_node_range(x, inode)) { VPR_ERROR(VPR_ERROR_ROUTE, "RR node x positions do not agree between rr_nodes (%d <-> %d) and rr_node_indices (%d): %s", rr_graph.node_xlow(inode), @@ -1875,7 +1870,6 @@ int get_track_to_pins(RRGraphBuilder& rr_graph_builder, } /* Check there is a connection and Fc map isn't wrong */ - /*int to_node = get_rr_node_index(L_rr_node_indices, x + width_offset, y + height_offset, IPIN, ipin, side);*/ RRNodeId to_node = rr_graph_builder.node_lookup().find_node(layer_index, x, y, IPIN, ipin, side); int switch_type = (layer_index == layer) ? wire_to_ipin_switch : wire_to_pin_between_dice_switch; if (to_node) { @@ -2100,7 +2094,7 @@ int get_track_to_tracks(RRGraphBuilder& rr_graph_builder, if (Direction::INC == from_seg_details[from_track].direction() || BI_DIRECTIONAL == directionality) { num_conn += get_track_to_chan_seg(rr_graph_builder, layer, max_chan_width, from_track, to_chan, to_seg, to_type, from_side_b, to_side, - switch_override,custom_3d_sb_fanin_fanout, delayless_switch, + switch_override, custom_3d_sb_fanin_fanout, delayless_switch, sb_conn_map, num_of_3d_conns_custom_SB, from_rr_node, rr_edges_to_create, des_3d_rr_edges_to_create); } } else { diff --git a/vpr/src/route/rr_graph2.h b/vpr/src/route/rr_graph2.h index 348e5633708..9cc24e78b66 100644 --- a/vpr/src/route/rr_graph2.h +++ b/vpr/src/route/rr_graph2.h @@ -63,21 +63,6 @@ bool verify_rr_node_indices(const DeviceGrid& grid, const vtr::vector& rr_indexed_data, const t_rr_graph_storage& rr_nodes, bool is_flat); - -//Returns all x-channel or y-channel wires at the specified location -std::vector get_rr_node_chan_wires_at_location(const t_rr_node_indices& L_rr_node_indices, - t_rr_type rr_type, - int x, - int y); - -//Return the first rr node of the specified type and coordinates -// For non-IPIN/OPIN types 'side' is ignored -int get_rr_node_index(const t_rr_node_indices& L_rr_node_indices, - int x, - int y, - t_rr_type rr_type, - int ptc, - e_side side = NUM_2D_SIDES); /** * @brief goes through 3D custom switch blocks and counts how many connections are crossing dice for each switch block. * @@ -90,12 +75,6 @@ vtr::NdMatrix get_number_track_to_track_inter_die_conn(t_sb_connection_m const int custom_3d_sb_fanin_fanout, RRGraphBuilder& rr_graph_builder); -int find_average_rr_node_index(int device_width, - int device_height, - t_rr_type rr_type, - int ptc, - const t_rr_node_indices& L_rr_node_indices); - t_seg_details* alloc_and_load_seg_details(int* max_chan_width, const int max_len, const std::vector& segment_inf, @@ -270,8 +249,6 @@ void dump_track_to_pin_map(t_track_to_pin_lookup& track_to_pin_map, int max_chan_width, FILE* fp); -void add_to_rr_node_indices(t_rr_node_indices& rr_node_indices, const t_rr_graph_storage& rr_nodes, int inode); - void insert_at_ptc_index(std::vector& rr_indices, int ptc, int inode); inline int get_chan_width(enum e_side side, const t_chan_width* nodes_per_channel); diff --git a/vpr/src/route/rr_graph_indexed_data.cpp b/vpr/src/route/rr_graph_indexed_data.cpp index 115f29b0445..09bad36ad28 100644 --- a/vpr/src/route/rr_graph_indexed_data.cpp +++ b/vpr/src/route/rr_graph_indexed_data.cpp @@ -19,4 +19,4 @@ void load_rr_index_segments(const int num_segment) { index = CHANX_COST_INDEX_START + num_segment + iseg; device_ctx.rr_indexed_data[RRIndexedDataId(index)].seg_index = iseg; } -} \ No newline at end of file +} diff --git a/vpr/src/route/rr_graph_timing_params.cpp b/vpr/src/route/rr_graph_timing_params.cpp index ae316cef2c7..d2c478dffe6 100644 --- a/vpr/src/route/rr_graph_timing_params.cpp +++ b/vpr/src/route/rr_graph_timing_params.cpp @@ -1,14 +1,9 @@ #include -#include "vtr_memory.h" - -#include "vpr_types.h" -#include "vpr_error.h" - #include "globals.h" #include "rr_graph.h" #include "rr_graph_utils.h" -#include "rr_graph2.h" +#include "rr_rc_data.h" #include "rr_graph_timing_params.h" /****************** Subroutine definitions *********************************/ diff --git a/vpr/src/route/sink_sampling.h b/vpr/src/route/sink_sampling.h index 485bff9b3e5..341a292db7f 100644 --- a/vpr/src/route/sink_sampling.h +++ b/vpr/src/route/sink_sampling.h @@ -159,7 +159,7 @@ inline void convex_hull_downsample(ParentNetId net_id, const t_bb& net_bb, vtr:: for (auto& point : hull) { if (point.isink == 0) /* source */ continue; - if(is_isink_reached.get(point.isink)) + if (is_isink_reached.get(point.isink)) continue; out.set(point.isink, true); } diff --git a/vpr/src/server/bytearray.h b/vpr/src/server/bytearray.h index 2a94927f0cc..566cc83f02d 100644 --- a/vpr/src/server/bytearray.h +++ b/vpr/src/server/bytearray.h @@ -12,9 +12,9 @@ namespace comm { /** * @brief ByteArray is a simple wrapper over std::vector that provides a user-friendly interface for manipulating array data.. -*/ + */ class ByteArray : public std::vector { -public: + public: static const std::size_t DEFAULT_SIZE_HINT = 1024; /** @@ -27,8 +27,7 @@ class ByteArray : public std::vector { * @param data A pointer to the null-terminated C string from which to construct the ByteArray. */ explicit ByteArray(const char* data) - : std::vector(data, data + std::strlen(data)) - {} + : std::vector(data, data + std::strlen(data)) {} /** * @brief Constructs a ByteArray from a raw character array. @@ -41,8 +40,7 @@ class ByteArray : public std::vector { * @param size The size of the raw character array, in bytes. */ ByteArray(const char* data, std::size_t size) - : std::vector(data, data + size) - {} + : std::vector(data, data + size) {} /** * @brief Constructs a byte array with the specified size hint. @@ -66,7 +64,8 @@ class ByteArray : public std::vector { * @param last An iterator to the last element in the range. */ template - ByteArray(Iterator first, Iterator last): std::vector(first, last) {} + ByteArray(Iterator first, Iterator last) + : std::vector(first, last) {} /** * @brief Appends the content of another byte array to the end of this byte array. diff --git a/vpr/src/server/commcmd.h b/vpr/src/server/commcmd.h index 28f3f69f452..54301bad63e 100644 --- a/vpr/src/server/commcmd.h +++ b/vpr/src/server/commcmd.h @@ -6,9 +6,9 @@ namespace comm { enum class CMD : int { - NONE=-1, - GET_PATH_LIST_ID=0, - DRAW_PATH_ID=1 + NONE = -1, + GET_PATH_LIST_ID = 0, + DRAW_PATH_ID = 1 }; } // namespace comm diff --git a/vpr/src/server/convertutils.cpp b/vpr/src/server/convertutils.cpp index f176e7ffee9..6832b23767b 100644 --- a/vpr/src/server/convertutils.cpp +++ b/vpr/src/server/convertutils.cpp @@ -18,7 +18,7 @@ std::optional try_convert_to_int(const std::string& str) { static std::string get_pretty_str_from_double(double value) { std::ostringstream ss; - ss << std::fixed << std::setprecision(2) << value; // Set precision to 2 digit after the decimal point + ss << std::fixed << std::setprecision(2) << value; // Set precision to 2 digit after the decimal point return ss.str(); } @@ -35,10 +35,10 @@ std::string get_pretty_duration_str_from_ms(int64_t duration_ms) { std::string get_pretty_size_str_from_bytes_num(int64_t bytes_num) { std::string result; - if (bytes_num >= 1024*1024*1024) { - result = get_pretty_str_from_double(bytes_num / double(1024*1024*1024)) + "Gb"; - } else if (bytes_num >= 1024*1024) { - result = get_pretty_str_from_double(bytes_num / double(1024*1024)) + "Mb"; + if (bytes_num >= 1024 * 1024 * 1024) { + result = get_pretty_str_from_double(bytes_num / double(1024 * 1024 * 1024)) + "Gb"; + } else if (bytes_num >= 1024 * 1024) { + result = get_pretty_str_from_double(bytes_num / double(1024 * 1024)) + "Mb"; } else if (bytes_num >= 1024) { result = get_pretty_str_from_double(bytes_num / double(1024)) + "Kb"; } else { diff --git a/vpr/src/server/gateio.cpp b/vpr/src/server/gateio.cpp index d40431e7da9..4094fd3e061 100644 --- a/vpr/src/server/gateio.cpp +++ b/vpr/src/server/gateio.cpp @@ -36,7 +36,7 @@ void GateIO::stop() { void GateIO::take_received_tasks(std::vector& tasks) { std::unique_lock lock(m_tasks_mutex); - for (TaskPtr& task: m_received_tasks) { + for (TaskPtr& task : m_received_tasks) { m_logger.queue(LogLevel::Debug, "move task id=", task->job_id(), "for processing"); tasks.push_back(std::move(task)); } @@ -45,7 +45,7 @@ void GateIO::take_received_tasks(std::vector& tasks) { void GateIO::move_tasks_to_send_queue(std::vector& tasks) { std::unique_lock lock(m_tasks_mutex); - for (TaskPtr& task: tasks) { + for (TaskPtr& task : tasks) { m_logger.queue(LogLevel::Debug, "move task id=", task->job_id(), "finished", (task->has_error() ? "with error" : "successfully"), task->error(), "to send queue"); m_send_tasks.push_back(std::move(task)); } @@ -58,7 +58,7 @@ GateIO::ActivityStatus GateIO::check_client_connection(sockpp::tcp6_acceptor& tc sockpp::inet6_address peer; sockpp::tcp6_socket client = tcp_server.accept(&peer); if (client) { - m_logger.queue(LogLevel::Info, "client", client.address().to_string() , "connection accepted"); + m_logger.queue(LogLevel::Info, "client", client.address().to_string(), "connection accepted"); client.set_non_blocking(true); client_opt = std::move(client); @@ -80,12 +80,12 @@ GateIO::ActivityStatus GateIO::handle_sending_data(sockpp::tcp6_socket& client) if (bytes_sent <= task->orig_reponse_bytes_num()) { task->chop_num_sent_bytes_from_response_buffer(bytes_sent); m_logger.queue(LogLevel::Detail, - "sent chunk:", get_pretty_size_str_from_bytes_num(bytes_sent), - "from", get_pretty_size_str_from_bytes_num(task->orig_reponse_bytes_num()), - "left:", get_pretty_size_str_from_bytes_num(task->response_buffer().size())); + "sent chunk:", get_pretty_size_str_from_bytes_num(bytes_sent), + "from", get_pretty_size_str_from_bytes_num(task->orig_reponse_bytes_num()), + "left:", get_pretty_size_str_from_bytes_num(task->response_buffer().size())); status = ActivityStatus::CLIENT_ACTIVITY; } - } catch(...) { + } catch (...) { m_logger.queue(LogLevel::Detail, "error while writing chunk"); status = ActivityStatus::COMMUNICATION_PROBLEM; } @@ -99,7 +99,7 @@ GateIO::ActivityStatus GateIO::handle_sending_data(sockpp::tcp6_socket& client) std::size_t tasks_num_before_removing = m_send_tasks.size(); auto partition_iter = std::partition(m_send_tasks.begin(), m_send_tasks.end(), - [](const TaskPtr& task) { return !task->is_response_fully_sent(); }); + [](const TaskPtr& task) { return !task->is_response_fully_sent(); }); m_send_tasks.erase(partition_iter, m_send_tasks.end()); bool is_removing_took_place = tasks_num_before_removing != m_send_tasks.size(); if (!m_send_tasks.empty() && is_removing_took_place) { @@ -114,7 +114,7 @@ GateIO::ActivityStatus GateIO::handle_receiving_data(sockpp::tcp6_socket& client std::size_t bytes_actually_received{0}; try { bytes_actually_received = client.read_n(&received_message[0], CHUNK_MAX_BYTES_NUM); - } catch(...) { + } catch (...) { m_logger.queue(LogLevel::Error, "fail to receiving"); status = ActivityStatus::COMMUNICATION_PROBLEM; } @@ -132,7 +132,7 @@ GateIO::ActivityStatus GateIO::handle_telegrams(std::vectorbody}; bool is_echo_telegram = false; @@ -150,7 +150,7 @@ GateIO::ActivityStatus GateIO::handle_telegrams(std::vector(job_id_opt.value(), static_cast(cmd_opt.value()), options_opt.value()); const comm::TelegramHeader& header = telegram_frame->header; - m_logger.queue(LogLevel::Info, "received:", header.info(), task->info(/*skipDuration*/true)); + m_logger.queue(LogLevel::Info, "received:", header.info(), task->info(/*skipDuration*/ true)); std::unique_lock lock(m_tasks_mutex); m_received_tasks.push_back(std::move(task)); } else { @@ -176,7 +176,7 @@ GateIO::ActivityStatus GateIO::handle_client_alive_tracker(sockpp::tcp6_socket& m_logger.queue(LogLevel::Detail, "sent", comm::ECHO_TELEGRAM_BODY); client_alive_tracker_ptr->on_echo_sent(); } - } catch(...) { + } catch (...) { m_logger.queue(LogLevel::Debug, "fail to sent", comm::ECHO_TELEGRAM_BODY); status = ActivityStatus::COMMUNICATION_PROBLEM; } @@ -204,8 +204,7 @@ void GateIO::handle_activity_status(ActivityStatus status, std::unique_ptr client_alive_tracker_ptr = - std::make_unique(std::chrono::milliseconds{5000}, std::chrono::milliseconds{20000}); + std::unique_ptr client_alive_tracker_ptr = std::make_unique(std::chrono::milliseconds{5000}, std::chrono::milliseconds{20000}); #else std::unique_ptr client_alive_tracker_ptr; #endif @@ -229,7 +228,7 @@ void GateIO::start_listening() { received_message.resize(CHUNK_MAX_BYTES_NUM); /// comm event loop - while(m_is_running.load()) { + while (m_is_running.load()) { bool is_communication_problem_detected = false; if (!client_opt) { @@ -259,7 +258,7 @@ void GateIO::start_listening() { // forward telegramBuffer errors std::vector telegram_buffer_errors; telegram_buff.take_errors(telegram_buffer_errors); - for (const std::string& error: telegram_buffer_errors) { + for (const std::string& error : telegram_buffer_errors) { m_logger.queue(LogLevel::Info, error); } diff --git a/vpr/src/server/gateio.h b/vpr/src/server/gateio.h index aa9036459c5..ee72d155cb7 100644 --- a/vpr/src/server/gateio.h +++ b/vpr/src/server/gateio.h @@ -37,16 +37,15 @@ namespace server { * and responsiveness of the application. * - GateIO is not started automatically upon creation, you have to use the 'start' method with the port number. * - The socket is initialized in a non-blocking mode to function properly in a multithreaded environment. -*/ -class GateIO -{ + */ +class GateIO { enum class ActivityStatus : int { WAITING_ACTIVITY, CLIENT_ACTIVITY, COMMUNICATION_PROBLEM }; - const std::size_t CHUNK_MAX_BYTES_NUM = 2*1024*1024; // 2Mb + const std::size_t CHUNK_MAX_BYTES_NUM = 2 * 1024 * 1024; // 2Mb /** * @brief Helper class aimed to help detecting a client offline. @@ -58,12 +57,13 @@ class GateIO * and it's time to start accepting new client connections in GateIO. */ class ClientAliveTracker { - public: + public: ClientAliveTracker(const std::chrono::milliseconds& echoIntervalMs, const std::chrono::milliseconds& clientTimeoutMs) - : m_echo_interval_ms(echoIntervalMs), m_client_timeout_ms(clientTimeoutMs) { + : m_echo_interval_ms(echoIntervalMs) + , m_client_timeout_ms(clientTimeoutMs) { reset(); } - ClientAliveTracker()=default; + ClientAliveTracker() = default; void on_client_activity() { m_last_client_activity_time = std::chrono::high_resolution_clock::now(); @@ -76,13 +76,13 @@ class GateIO bool is_time_to_sent_echo() const { return (duration_since_last_client_activity_ms() > m_echo_interval_ms) && (durationSinceLastEchoSentMs() > m_echo_interval_ms); } - bool is_client_timeout() const { return duration_since_last_client_activity_ms() > m_client_timeout_ms; } + bool is_client_timeout() const { return duration_since_last_client_activity_ms() > m_client_timeout_ms; } void reset() { on_client_activity(); } - private: + private: std::chrono::high_resolution_clock::time_point m_last_client_activity_time; std::chrono::high_resolution_clock::time_point m_last_echo_sent_time; std::chrono::milliseconds m_echo_interval_ms; @@ -98,7 +98,7 @@ class GateIO } }; - enum class LogLevel: int { + enum class LogLevel : int { Error, Info, Detail, @@ -106,7 +106,7 @@ class GateIO }; class TLogger { - public: + public: TLogger() { m_log_level = static_cast(LogLevel::Info); } @@ -132,7 +132,7 @@ class GateIO } } - private: + private: std::stringstream m_log_stream; std::mutex m_log_stream_mutex; std::atomic m_log_level; @@ -140,7 +140,7 @@ class GateIO const int LOOP_INTERVAL_MS = 100; -public: + public: /** * @brief Default constructor for GateIO. */ @@ -154,10 +154,10 @@ class GateIO GateIO& operator=(GateIO&&) = delete; /** - * @brief Returns a bool indicating whether or not the port listening process is currently running. - * - * @return True if the port listening process is running, false otherwise. - */ + * @brief Returns a bool indicating whether or not the port listening process is currently running. + * + * @return True if the port listening process is running, false otherwise. + */ bool is_running() const { return m_is_running.load(); } /** @@ -178,7 +178,7 @@ class GateIO * remains empty after the operation. * * @param tasks A reference to a vector containing the tasks to be moved to the send queue. - */ + */ void move_tasks_to_send_queue(std::vector& tasks); /** @@ -187,7 +187,7 @@ class GateIO * @note Must be called from the main thread since it's invoke std::cout. * Calling this method from other threads may result in unexpected behavior. */ - void print_logs(); + void print_logs(); /** * @brief Starts the server on the specified port number. @@ -210,16 +210,16 @@ class GateIO */ void stop(); -private: + private: int m_port_num = -1; std::atomic m_is_running; // is true when started std::thread m_thread; // thread to execute socket IO work - std::mutex m_tasks_mutex; // we used single mutex to guard both vectors m_received_tasks and m_sendTasks + std::mutex m_tasks_mutex; // we used single mutex to guard both vectors m_received_tasks and m_sendTasks std::vector m_received_tasks; // tasks from client (requests) - std::vector m_send_tasks; // tasks to client (responses) + std::vector m_send_tasks; // tasks to client (responses) TLogger m_logger; @@ -240,4 +240,3 @@ class GateIO #endif /* NO_SERVER */ #endif /* GATEIO_H */ - diff --git a/vpr/src/server/pathhelper.cpp b/vpr/src/server/pathhelper.cpp index 9e9d8a7b576..b1f581aa620 100644 --- a/vpr/src/server/pathhelper.cpp +++ b/vpr/src/server/pathhelper.cpp @@ -13,7 +13,7 @@ namespace server { -/** +/** * @brief helper function to collect crit parser metadata. * This data is used on parser side to properly extract arrival path elements from the timing report. */ @@ -29,7 +29,7 @@ static void collect_crit_path_metadata(std::stringstream& ss, const std::vector< } } -/** +/** * @brief Helper function to calculate critical path timing report with specified parameters. */ CritPathsResultPtr calc_critical_path(const std::string& report_type, int crit_path_num, e_timing_report_detail details_level, bool is_flat_routing) { @@ -45,7 +45,7 @@ CritPathsResultPtr calc_critical_path(const std::string& report_type, int crit_p analysis_opts.timing_report_detail = details_level; analysis_opts.timing_report_npaths = crit_path_num; - VprTimingGraphResolver resolver(atom_ctx.nlist, atom_ctx.lookup, *timing_ctx.graph, *routing_delay_calc, is_flat_routing, blk_loc_registry); + VprTimingGraphResolver resolver(atom_ctx.netlist(), atom_ctx.lookup(), *timing_ctx.graph, *routing_delay_calc, is_flat_routing, blk_loc_registry); resolver.set_detail_level(analysis_opts.timing_report_detail); tatum::TimingReporter timing_reporter(resolver, *timing_ctx.graph, *timing_ctx.constraints); diff --git a/vpr/src/server/pathhelper.h b/vpr/src/server/pathhelper.h index 8d2244a885a..3de4ba9f4f4 100644 --- a/vpr/src/server/pathhelper.h +++ b/vpr/src/server/pathhelper.h @@ -16,36 +16,36 @@ namespace server { * @brief Structure to retain the calculation result of the critical path. * * It contains the critical path list and the generated report as a string. -*/ + */ struct CritPathsResult { /** - * @brief Checks if the CritPathsResult contains report. - * @return True if contains report, false otherwise. - */ + * @brief Checks if the CritPathsResult contains report. + * @return True if contains report, false otherwise. + */ bool is_valid() const { return !report.empty(); } /** - * @brief Vector containing timing paths. - */ + * @brief Vector containing timing paths. + */ std::vector paths; /** - * @brief String containing the generated report. - */ + * @brief String containing the generated report. + */ std::string report; }; using CritPathsResultPtr = std::shared_ptr; /** -* @brief Calculates the critical path. - -* This function calculates the critical path based on the provided parameters. -* @param type The type of the critical path. Must be either "setup" or "hold". -* @param crit_path_num The max number of critical paths to record. -* @param details_level The level of detail for the timing report. See @ref e_timing_report_detail. -* @param is_flat_routing Indicates whether flat routing should be used. -* @return A `CritPathsResultPtr` which is a pointer to the result of the critical path calculation (see @ref CritPathsResult). -*/ + * @brief Calculates the critical path. + * + * This function calculates the critical path based on the provided parameters. + * @param type The type of the critical path. Must be either "setup" or "hold". + * @param crit_path_num The max number of critical paths to record. + * @param details_level The level of detail for the timing report. See @ref e_timing_report_detail. + * @param is_flat_routing Indicates whether flat routing should be used. + * @return A `CritPathsResultPtr` which is a pointer to the result of the critical path calculation (see @ref CritPathsResult). + */ CritPathsResultPtr calc_critical_path(const std::string& type, int crit_path_num, e_timing_report_detail details_level, bool is_flat_routing); } // namespace server diff --git a/vpr/src/server/serverupdate.cpp b/vpr/src/server/serverupdate.cpp index de45c45936d..075077389ec 100644 --- a/vpr/src/server/serverupdate.cpp +++ b/vpr/src/server/serverupdate.cpp @@ -19,7 +19,7 @@ gboolean update(gpointer data) { std::vector tasks_buff; gate_io.take_received_tasks(tasks_buff); - for (TaskPtr& task: tasks_buff) { + for (TaskPtr& task : tasks_buff) { task_resolver.own_task(std::move(task)); } tasks_buff.clear(); @@ -39,7 +39,7 @@ gboolean update(gpointer data) { } gate_io.print_logs(); } - + // Return TRUE to keep the timer running, or FALSE to stop it return is_running; } diff --git a/vpr/src/server/serverupdate.h b/vpr/src/server/serverupdate.h index f3add9ed269..2235c6910a3 100644 --- a/vpr/src/server/serverupdate.h +++ b/vpr/src/server/serverupdate.h @@ -21,4 +21,3 @@ gboolean update(gpointer); #endif /* NO_SERVER */ #endif /* SERVERUPDATE_H */ - diff --git a/vpr/src/server/task.cpp b/vpr/src/server/task.cpp index f05ada6d835..185c8b81ca5 100644 --- a/vpr/src/server/task.cpp +++ b/vpr/src/server/task.cpp @@ -11,7 +11,9 @@ namespace server { Task::Task(int jobId, comm::CMD cmd, const std::string& options) -: m_job_id(jobId), m_cmd(cmd), m_options(options) { + : m_job_id(jobId) + , m_cmd(cmd) + , m_options(options) { m_creation_time = std::chrono::high_resolution_clock::now(); } @@ -54,7 +56,7 @@ void Task::set_success(std::string&& result) { std::string Task::info(bool skip_duration) const { std::stringstream ss; ss << "task[" - << "id=" << std::to_string(m_job_id) + << "id=" << std::to_string(m_job_id) << ",cmd=" << std::to_string(static_cast(m_cmd)); if (!skip_duration) { ss << ",exists=" << get_pretty_duration_str_from_ms(time_ms_elapsed()); diff --git a/vpr/src/server/task.h b/vpr/src/server/task.h index 3d29e49373f..696e2fb012d 100644 --- a/vpr/src/server/task.h +++ b/vpr/src/server/task.h @@ -19,7 +19,7 @@ namespace server { * It generates a JSON data structure to be sent back to the client as a response. */ class Task { -public: + public: /** * @brief Constructs a new Task object. * @@ -178,7 +178,7 @@ class Task { */ const std::string& options() const { return m_options; } -private: + private: int m_job_id = -1; comm::CMD m_cmd = comm::CMD::NONE; std::string m_options; diff --git a/vpr/src/server/taskresolver.cpp b/vpr/src/server/taskresolver.cpp index 5298521ece0..c9e505c727a 100644 --- a/vpr/src/server/taskresolver.cpp +++ b/vpr/src/server/taskresolver.cpp @@ -14,7 +14,7 @@ namespace server { void TaskResolver::own_task(TaskPtr&& new_task) { // pre-process task before adding, where we could quickly detect failure scenarios - for (const auto& task: m_tasks) { + for (const auto& task : m_tasks) { if (task->cmd() == new_task->cmd()) { if (task->options_match(new_task)) { std::string msg = "similar task is already in execution, reject new " + new_task->info() + " and waiting for old " + task->info() + " execution"; @@ -34,7 +34,7 @@ void TaskResolver::own_task(TaskPtr&& new_task) { } void TaskResolver::take_finished_tasks(std::vector& result) { - for (auto it=m_tasks.begin(); it != m_tasks.end();) { + for (auto it = m_tasks.begin(); it != m_tasks.end();) { TaskPtr& task = *it; if (task->is_finished()) { result.push_back(std::move(task)); @@ -61,21 +61,22 @@ std::optional TaskResolver::try_get_details_level_enum(c bool TaskResolver::update(ezgl::application* app) { bool has_processed_task = false; - for (auto& task: m_tasks) { + for (auto& task : m_tasks) { if (!task->is_finished()) { - switch(task->cmd()) { + switch (task->cmd()) { case comm::CMD::GET_PATH_LIST_ID: { process_get_path_list_task(app, task); has_processed_task = true; break; - } + } case comm::CMD::DRAW_PATH_ID: { process_draw_critical_path_task(app, task); has_processed_task = true; break; } - default: break; - } + default: + break; + } } } diff --git a/vpr/src/server/taskresolver.h b/vpr/src/server/taskresolver.h index eca06bc1b74..ce38348a6b5 100644 --- a/vpr/src/server/taskresolver.h +++ b/vpr/src/server/taskresolver.h @@ -10,7 +10,7 @@ #include namespace ezgl { - class application; +class application; } namespace server { @@ -19,51 +19,51 @@ namespace server { * @brief Resolve server task. * * Process and resolve server task, store result and status for processed task. -*/ + */ class TaskResolver { -public: + public: /** * @brief Default constructor for TaskResolver. */ - TaskResolver()=default; + TaskResolver() = default; - ~TaskResolver()=default; + ~TaskResolver() = default; int tasks_num() const { return m_tasks.size(); } /** - * @brief Takes ownership of a task. - * - * This method takes ownership of a task by moving it into the TaskResolver's internal task queue. - * After calling this method, the task will be owned and managed by the TaskResolver. - * - * @param task The task to take ownership of. After calling this method, the task object will be in a valid but unspecified state. - * - * @note After calling this method, the caller should avoid accessing or modifying the task object. - */ + * @brief Takes ownership of a task. + * + * This method takes ownership of a task by moving it into the TaskResolver's internal task queue. + * After calling this method, the task will be owned and managed by the TaskResolver. + * + * @param task The task to take ownership of. After calling this method, the task object will be in a valid but unspecified state. + * + * @note After calling this method, the caller should avoid accessing or modifying the task object. + */ void own_task(TaskPtr&& task); /** - * @brief Resolve queued tasks. - * - * @param app A pointer to the ezgl::application object representing the application instance. - */ + * @brief Resolve queued tasks. + * + * @param app A pointer to the ezgl::application object representing the application instance. + */ bool update(ezgl::application* app); /** - * @brief Extracts finished tasks from the internal task queue. - * - * This function removes finished tasks from the internal task queue and appends them to the provided vector. - * After this operation, the internal task queue will no longer hold the extracted tasks. - * - * @param tasks A reference to a vector where the finished tasks will be appended. - */ + * @brief Extracts finished tasks from the internal task queue. + * + * This function removes finished tasks from the internal task queue and appends them to the provided vector. + * After this operation, the internal task queue will no longer hold the extracted tasks. + * + * @param tasks A reference to a vector where the finished tasks will be appended. + */ void take_finished_tasks(std::vector& tasks); // helper method used in tests const std::vector& tasks() const { return m_tasks; } -private: + private: std::vector m_tasks; void process_get_path_list_task(ezgl::application*, const TaskPtr&); @@ -77,4 +77,3 @@ class TaskResolver { #endif /* NO_SERVER */ #endif /* TASKRESOLVER_H */ - diff --git a/vpr/src/server/telegrambuffer.cpp b/vpr/src/server/telegrambuffer.cpp index 0aab6af8be1..5e8a79a9a69 100644 --- a/vpr/src/server/telegrambuffer.cpp +++ b/vpr/src/server/telegrambuffer.cpp @@ -55,7 +55,7 @@ void TelegramBuffer::take_telegram_frames(std::vector& r result.push_back(telegram_frame_ptr); } else { - m_errors.push_back("wrong checkSums " + std::to_string(actual_check_sum) +" for " + header.info() + " , drop this chunk"); + m_errors.push_back("wrong checkSums " + std::to_string(actual_check_sum) + " for " + header.info() + " , drop this chunk"); } m_raw_buffer.erase(m_raw_buffer.begin(), m_raw_buffer.begin() + expected_telegram_size); m_header_opt.reset(); diff --git a/vpr/src/server/telegrambuffer.h b/vpr/src/server/telegrambuffer.h index b5822e9a5c6..f7e2abba229 100644 --- a/vpr/src/server/telegrambuffer.h +++ b/vpr/src/server/telegrambuffer.h @@ -17,20 +17,20 @@ namespace comm { * @brief Implements Telegram Buffer as a wrapper over BytesArray * * It aggregates received bytes and assists in extracting telegram frames ( @ref TelegramFrame ) from the raw byte buffer. -*/ -class TelegramBuffer -{ + */ +class TelegramBuffer { inline static const std::size_t DEFAULT_SIZE_HINT = 1024; -public: + public: /** * @brief Constructs a TelegramBuffer object with a specified size hint. * * This constructor initializes a TelegramBuffer object with a specified size hint for the raw buffer. */ - explicit TelegramBuffer(std::size_t size_hint = DEFAULT_SIZE_HINT): m_raw_buffer(size_hint) {} + explicit TelegramBuffer(std::size_t size_hint = DEFAULT_SIZE_HINT) + : m_raw_buffer(size_hint) {} - ~TelegramBuffer()=default; + ~TelegramBuffer() = default; /** * @brief Check if internal byte buffer is empty. @@ -77,7 +77,7 @@ class TelegramBuffer */ const ByteArray& data() const { return m_raw_buffer; } -private: + private: ByteArray m_raw_buffer; std::vector m_errors; std::optional m_header_opt; diff --git a/vpr/src/server/telegramframe.h b/vpr/src/server/telegramframe.h index e2237de3e36..2fc0c312a73 100644 --- a/vpr/src/server/telegramframe.h +++ b/vpr/src/server/telegramframe.h @@ -11,19 +11,19 @@ namespace comm { /** -* @brief Structure representing a TelegramFrame. -* -* A TelegramFrame consists of a TelegramHeader followed by data. -*/ + * @brief Structure representing a TelegramFrame. + * + * A TelegramFrame consists of a TelegramHeader followed by data. + */ struct TelegramFrame { /** - * @brief header The TelegramHeader containing metadata about the telegram message. - */ + * @brief header The TelegramHeader containing metadata about the telegram message. + */ TelegramHeader header; /** - * @brief body The actual data of the telegram message. - */ + * @brief body The actual data of the telegram message. + */ ByteArray body; }; using TelegramFramePtr = std::shared_ptr; diff --git a/vpr/src/server/telegramheader.h b/vpr/src/server/telegramheader.h index ffe95b04ba2..84f1adcac1d 100644 --- a/vpr/src/server/telegramheader.h +++ b/vpr/src/server/telegramheader.h @@ -25,7 +25,7 @@ namespace comm { * @note: The DATA_CHECKSUM field can be used to check the integrity of the telegram body on the client app side. */ class TelegramHeader { -public: + public: static constexpr const char SIGNATURE[] = "IPA"; static constexpr size_t SIGNATURE_SIZE = sizeof(SIGNATURE); static constexpr size_t LENGTH_SIZE = sizeof(uint32_t); @@ -36,7 +36,7 @@ class TelegramHeader { static constexpr size_t CHECKSUM_OFFSET = LENGTH_OFFSET + LENGTH_SIZE; static constexpr size_t COMPRESSORID_OFFSET = CHECKSUM_OFFSET + CHECKSUM_SIZE; - TelegramHeader()=default; + TelegramHeader() = default; /** * @brief Constructs a TelegramHeader object with the specified length, checksum, and optional compressor ID. @@ -56,7 +56,7 @@ class TelegramHeader { */ explicit TelegramHeader(const ByteArray& buffer); - ~TelegramHeader()=default; + ~TelegramHeader() = default; /** * @brief Constructs a TelegramHeader based on the provided body data. @@ -129,7 +129,7 @@ class TelegramHeader { */ std::string info() const; -private: + private: bool m_is_valid = false; ByteArray m_buffer; diff --git a/vpr/src/server/telegramoptions.cpp b/vpr/src/server/telegramoptions.cpp index fef982d1437..86c4803c0d9 100644 --- a/vpr/src/server/telegramoptions.cpp +++ b/vpr/src/server/telegramoptions.cpp @@ -8,11 +8,11 @@ #include namespace server { - + TelegramOptions::TelegramOptions(const std::string& data, const std::vector& expected_keys) { // parse data string std::vector options = vtr::split(data, ";"); - for (const std::string& option_str: options) { + for (const std::string& option_str : options) { std::vector fragments = vtr::split(option_str, ":"); if (fragments.size() == TOTAL_INDEXES_NUM) { std::string name{std::move(fragments[INDEX_NAME])}; @@ -36,14 +36,14 @@ std::map> TelegramOptions::get_map_of_sets(co std::string data_str = get_string(name); if (!data_str.empty()) { std::vector paths = vtr::split(data_str, "|"); - for (const std::string& path: paths) { + for (const std::string& path : paths) { std::vector path_struct = vtr::split(path, "#"); if (path_struct.size() == 2) { std::string path_index_str = path_struct[0]; std::string path_element_indexes_str = path_struct[1]; std::vector path_element_indexes = vtr::split(path_element_indexes_str, ","); std::set elements; - for (const std::string& path_element_index_Str: path_element_indexes) { + for (const std::string& path_element_index_Str : path_element_indexes) { if (std::optional opt_value = try_convert_to_int(path_element_index_Str)) { elements.insert(opt_value.value()); } else { @@ -91,10 +91,10 @@ bool TelegramOptions::get_bool(const std::string& name, bool fail_value) { std::string TelegramOptions::errors_str() const { std::string result; - for (const std::string& error: m_errors) { + for (const std::string& error : m_errors) { result += error + ';'; } - return result; + return result; } bool TelegramOptions::is_data_type_supported(const std::string& type) const { @@ -104,7 +104,7 @@ bool TelegramOptions::is_data_type_supported(const std::string& type) const { bool TelegramOptions::check_keys_presence(const std::vector& keys) { bool result = true; - for (const std::string& key: keys) { + for (const std::string& key : keys) { if (m_options.find(key) == m_options.end()) { m_errors.emplace_back("cannot find required option " + std::string(key)); result = false; diff --git a/vpr/src/server/telegramoptions.h b/vpr/src/server/telegramoptions.h index 41237cdde2e..576973c441f 100644 --- a/vpr/src/server/telegramoptions.h +++ b/vpr/src/server/telegramoptions.h @@ -10,19 +10,19 @@ #include namespace server { - + /** * @brief Option class Parser * * Parse the string of options in the format "TYPE:KEY1:VALUE1;TYPE:KEY2:VALUE2", * for example "int:path_num:11;string:path_type:debug;int:details_level:3;bool:is_flat_routing:0". * It provides a simple interface to check value presence and access them. -*/ + */ class TelegramOptions { -private: + private: enum { - INDEX_TYPE=0, + INDEX_TYPE = 0, INDEX_NAME, INDEX_VALUE, TOTAL_INDEXES_NUM @@ -33,7 +33,7 @@ class TelegramOptions { std::string value; }; -public: + public: /** * @brief Constructs a TelegramOptions object with the provided data and expected keys. * @@ -45,7 +45,7 @@ class TelegramOptions { * @param expected_keys A vector of strings representing the expected keys in the options. */ TelegramOptions(const std::string& data, const std::vector& expected_keys); - ~TelegramOptions()=default; + ~TelegramOptions() = default; /** * @brief Checks if there are any errors present. @@ -115,7 +115,7 @@ class TelegramOptions { */ std::string errors_str() const; -private: + private: std::unordered_map m_options; std::vector m_errors; diff --git a/vpr/src/server/telegramparser.h b/vpr/src/server/telegramparser.h index 23e942f1d9d..633c83484ce 100644 --- a/vpr/src/server/telegramparser.h +++ b/vpr/src/server/telegramparser.h @@ -15,7 +15,7 @@ namespace comm { * from a JSON schema structured as follows: {JOB_ID:num, CMD:enum, OPTIONS:string, DATA:string, STATUS:num}. */ class TelegramParser { -public: + public: /** * @brief Attempts to extract the JOB_ID field from a given message. * @@ -76,7 +76,7 @@ class TelegramParser { */ static std::optional try_extract_field_status(const std::string& message); -private: + private: static std::optional try_extract_json_value_str(const std::string& json_string, const std::string& key); }; diff --git a/vpr/src/server/zlibutils.h b/vpr/src/server/zlibutils.h index a531fe2bcc9..c9ca7cded52 100644 --- a/vpr/src/server/zlibutils.h +++ b/vpr/src/server/zlibutils.h @@ -9,31 +9,31 @@ constexpr const int BYTES_NUM_IN_32KB = 32768; /** -* @brief Compresses the input sequence using zlib. -* -* This function takes a string representing the decompressed data as input -* and compresses it using zlib. If compression is successful, the compressed -* data is returned as an optional string. If compression fails, an empty optional -* is returned. -* -* @param decompressed The input string representing the decompressed data. -* @return An optional string containing the compressed data if compression is successful, -* or an empty optional if compression fails. -*/ + * @brief Compresses the input sequence using zlib. + * + * This function takes a string representing the decompressed data as input + * and compresses it using zlib. If compression is successful, the compressed + * data is returned as an optional string. If compression fails, an empty optional + * is returned. + * + * @param decompressed The input string representing the decompressed data. + * @return An optional string containing the compressed data if compression is successful, + * or an empty optional if compression fails. + */ std::optional try_compress(const std::string& decompressed); /** -* @brief Decompresses the compressed sequence using zlib. -* -* This function takes a string representing the compressed data as input -* and decompresses it using zlib. If decompression is successful, the decompressed -* data is returned as an optional string. If decompression fails, an empty optional -* is returned. -* -* @param compressed The input string representing the compressed data. -* @return An optional string containing the decompressed data if decompression is successful, -* or an empty optional if decompression fails. -*/ + * @brief Decompresses the compressed sequence using zlib. + * + * This function takes a string representing the compressed data as input + * and decompresses it using zlib. If decompression is successful, the decompressed + * data is returned as an optional string. If decompression fails, an empty optional + * is returned. + * + * @param compressed The input string representing the compressed data. + * @return An optional string containing the decompressed data if decompression is successful, + * or an empty optional if decompression fails. + */ std::optional try_decompress(const std::string& compressed); #endif /* NO_SERVER */ diff --git a/vpr/src/tileable_rr_graph/chan_node_details.h b/vpr/src/tileable_rr_graph/chan_node_details.h index 6768f84ebf0..d0a2793416b 100644 --- a/vpr/src/tileable_rr_graph/chan_node_details.h +++ b/vpr/src/tileable_rr_graph/chan_node_details.h @@ -70,7 +70,7 @@ class ChanNodeDetails { std::vector seg_length_; /* Length of each segment */ std::vector track_start_; /* flag to identify if this is the starting point of the track */ std::vector track_end_; /* flag to identify if this is the ending point of the track */ - std::vector track_bend_start_; /* flag to identify if this is the starting point of the track after bend. 0 means it is not a bend start. Int number means the corresponding bend group */ + std::vector track_bend_start_; /* flag to identify if this is the starting point of the track after bend. 0 means it is not a bend start. Int number means the corresponding bend group */ std::vector track_bend_end_; }; diff --git a/vpr/src/tileable_rr_graph/device_grid_annotation.cpp b/vpr/src/tileable_rr_graph/device_grid_annotation.cpp index 66ab365b42a..7b631e799ca 100644 --- a/vpr/src/tileable_rr_graph/device_grid_annotation.cpp +++ b/vpr/src/tileable_rr_graph/device_grid_annotation.cpp @@ -18,8 +18,8 @@ void DeviceGridAnnotation::init(const DeviceGrid& grid, const bool& perimeter_cb size_t start_x = 1; size_t end_x = grid.width() - 1; if (perimeter_cb) { - start_x = 0; - end_x = grid.width(); + start_x = 0; + end_x = grid.width(); } for (size_t iy = 0; iy < grid.height() - 1; ++iy) { for (size_t ix = start_x; ix < end_x; ++ix) { @@ -29,8 +29,8 @@ void DeviceGridAnnotation::init(const DeviceGrid& grid, const bool& perimeter_cb size_t start_y = 1; size_t end_y = grid.height() - 1; if (perimeter_cb) { - start_y = 0; - end_y = grid.height(); + start_y = 0; + end_y = grid.height(); } for (size_t ix = 0; ix < grid.width() - 1; ++ix) { for (size_t iy = start_y; iy < end_y; ++iy) { diff --git a/vpr/src/tileable_rr_graph/rr_graph_builder_utils.cpp b/vpr/src/tileable_rr_graph/rr_graph_builder_utils.cpp index 3b9e21bab9b..9bd38254b69 100644 --- a/vpr/src/tileable_rr_graph/rr_graph_builder_utils.cpp +++ b/vpr/src/tileable_rr_graph/rr_graph_builder_utils.cpp @@ -44,9 +44,9 @@ int get_grid_pin_class_index(const DeviceGrid& grids, /* Deteremine the side of a io grid */ std::vector determine_io_grid_pin_side(const vtr::Point& device_size, - const vtr::Point& grid_coordinate, - const bool& perimeter_cb) { - std::vector pin_sides; + const vtr::Point& grid_coordinate, + const bool& perimeter_cb) { + std::vector pin_sides; /* TOP side IO of FPGA */ if (device_size.y() == grid_coordinate.y()) { /* Such I/O has only bottom side pins */ @@ -64,7 +64,7 @@ std::vector determine_io_grid_pin_side(const vtr::Point& device_ pin_sides.push_back(TOP); pin_sides.push_back(BOTTOM); } - } else if (0 == grid_coordinate.y()) { /* BOTTOM side IO of FPGA */ + } else if (0 == grid_coordinate.y()) { /* BOTTOM side IO of FPGA */ /* Such I/O has only Top side pins */ pin_sides.push_back(TOP); /* If cbs are allowed around boundary I/Os, add two more sides */ @@ -72,7 +72,7 @@ std::vector determine_io_grid_pin_side(const vtr::Point& device_ pin_sides.push_back(LEFT); pin_sides.push_back(RIGHT); } - } else if (0 == grid_coordinate.x()) { /* LEFT side IO of FPGA */ + } else if (0 == grid_coordinate.x()) { /* LEFT side IO of FPGA */ /* Such I/O has only Right side pins */ pin_sides.push_back(RIGHT); /* If cbs are allowed around boundary I/Os, add two more sides */ @@ -89,8 +89,8 @@ std::vector determine_io_grid_pin_side(const vtr::Point& device_ grid_coordinate.x(), grid_coordinate.y(), device_size.x(), device_size.y()); exit(1); - } - return pin_sides; + } + return pin_sides; } /* Deteremine the side of a pin of a grid */ @@ -227,8 +227,8 @@ bool is_chanx_exist(const DeviceGrid& grids, size_t chanx_start = 1; size_t chanx_end = grids.width() - 2; if (perimeter_cb) { - chanx_start = 0; - chanx_end = grids.width() - 1; + chanx_start = 0; + chanx_end = grids.width() - 1; } if ((chanx_start > chanx_coord.x()) || (chanx_coord.x() > chanx_end)) { return false; @@ -273,8 +273,8 @@ bool is_chany_exist(const DeviceGrid& grids, size_t chany_start = 1; size_t chany_end = grids.height() - 2; if (perimeter_cb) { - chany_start = 0; - chany_end = grids.height() - 1; + chany_start = 0; + chany_end = grids.height() - 1; } if (chany_coord.x() > grids.width() - 2) { return false; @@ -311,9 +311,9 @@ bool is_chanx_right_to_multi_height_grid(const DeviceGrid& grids, const bool& through_channel) { size_t start_x = 1; if (perimeter_cb) { - start_x = 0; + start_x = 0; } else { - VTR_ASSERT(0 < chanx_coord.x()); + VTR_ASSERT(0 < chanx_coord.x()); } if (start_x == chanx_coord.x()) { /* This is already the LEFT side of FPGA fabric, @@ -354,7 +354,7 @@ bool is_chanx_left_to_multi_height_grid(const DeviceGrid& grids, VTR_ASSERT(chanx_coord.x() <= grids.width() - 1); size_t end_x = grids.width() - 2; if (perimeter_cb) { - end_x = grids.width() - 1; + end_x = grids.width() - 1; } if (end_x == chanx_coord.x()) { @@ -400,9 +400,9 @@ bool is_chany_top_to_multi_width_grid(const DeviceGrid& grids, const bool& through_channel) { size_t start_y = 1; if (perimeter_cb) { - start_y = 0; + start_y = 0; } else { - VTR_ASSERT(0 < chany_coord.y()); + VTR_ASSERT(0 < chany_coord.y()); } if (start_y == chany_coord.y()) { /* This is already the BOTTOM side of FPGA fabric, @@ -448,7 +448,7 @@ bool is_chany_bottom_to_multi_width_grid(const DeviceGrid& grids, VTR_ASSERT(chany_coord.y() <= grids.height() - 1); size_t end_y = grids.height() - 2; if (perimeter_cb) { - end_y = grids.height() - 1; + end_y = grids.height() - 1; } if (end_y == chany_coord.y()) { diff --git a/vpr/src/tileable_rr_graph/rr_graph_builder_utils.h b/vpr/src/tileable_rr_graph/rr_graph_builder_utils.h index c73ebb8206f..e1869ed4d4a 100644 --- a/vpr/src/tileable_rr_graph/rr_graph_builder_utils.h +++ b/vpr/src/tileable_rr_graph/rr_graph_builder_utils.h @@ -28,8 +28,8 @@ std::vector find_grid_pin_sides(const DeviceGrid& grids, const size_t& pin_id); std::vector determine_io_grid_pin_side(const vtr::Point& device_size, - const vtr::Point& grid_coordinate, - const bool& perimeter_cb); + const vtr::Point& grid_coordinate, + const bool& perimeter_cb); std::vector get_grid_side_pins(const DeviceGrid& grids, const size_t& layer, diff --git a/vpr/src/tileable_rr_graph/rr_graph_view_util.cpp b/vpr/src/tileable_rr_graph/rr_graph_view_util.cpp index 9698ac03b45..d48ad478a19 100644 --- a/vpr/src/tileable_rr_graph/rr_graph_view_util.cpp +++ b/vpr/src/tileable_rr_graph/rr_graph_view_util.cpp @@ -102,7 +102,7 @@ std::vector find_rr_graph_grid_nodes(const RRGraphView& rr_graph, /* Ensure that (x, y) is a valid location in grids */ if (size_t(x) > device_grid.width() - 1 || size_t(y) > device_grid.height() - 1) { - return indices; + return indices; } /* Ensure we have a valid side */ @@ -112,7 +112,7 @@ std::vector find_rr_graph_grid_nodes(const RRGraphView& rr_graph, t_physical_tile_loc tile_loc(x, y, layer); int width_offset = device_grid.get_width_offset(tile_loc); int height_offset = device_grid.get_height_offset(tile_loc); - + for (int pin = 0; pin < device_grid.get_physical_type(tile_loc)->num_pins; ++pin) { /* Skip those pins have been ignored during rr_graph build-up */ if (true == device_grid.get_physical_type(tile_loc)->is_ignored_pin[pin]) { diff --git a/vpr/src/tileable_rr_graph/rr_gsb.cpp b/vpr/src/tileable_rr_graph/rr_gsb.cpp index 36663b21e2a..58c721a06b1 100644 --- a/vpr/src/tileable_rr_graph/rr_gsb.cpp +++ b/vpr/src/tileable_rr_graph/rr_gsb.cpp @@ -30,7 +30,7 @@ RRGSB::RRGSB() { medium_node_.clear(); for (size_t icb_type = 0; icb_type < 2; icb_type++) { - for (size_t iside = 0; iside < NUM_2D_SIDES; iside++) { + for (size_t iside = 0; iside < NUM_2D_SIDES; iside++) { cb_opin_node_[icb_type][iside].clear(); } } @@ -475,7 +475,7 @@ bool RRGSB::is_medium_node(const RRNodeId& node) const { } return false; } - + bool RRGSB::is_chan_node(const RRNodeId& node) const { std::vector sides = {TOP, RIGHT, BOTTOM, LEFT}; for (e_side side : sides) { @@ -965,7 +965,7 @@ void RRGSB::sort_ipin_node_in_edges(const RRGraphView& rr_graph, const RRNodeId& src_node = rr_graph.edge_src_node(edge); /* In this part, we only sort routing track nodes. IPIN nodes will be handled later */ if (CHANX != rr_graph.node_type(src_node) && CHANY != rr_graph.node_type(src_node)) { - continue; + continue; } /* The driver routing channel node can be either an input or an output to the GSB. * Just try to find a qualified one. */ @@ -1008,7 +1008,7 @@ void RRGSB::sort_ipin_node_in_edges(const RRGraphView& rr_graph, const RRNodeId& src_node = rr_graph.edge_src_node(edge); /* In this part, we only sort routing track nodes. IPIN nodes will be handled later */ if (OPIN != rr_graph.node_type(src_node)) { - continue; + continue; } enum e_side cb_opin_side = NUM_2D_SIDES; int cb_opin_index = -1; @@ -1073,45 +1073,44 @@ void RRGSB::sort_ipin_node_in_edges(const RRGraphView& rr_graph) { } void RRGSB::build_cb_opin_nodes(const RRGraphView& rr_graph) { - for (t_rr_type cb_type : {CHANX, CHANY}) { - size_t icb_type = cb_type == CHANX ? 0 : 1; - std::vector cb_ipin_sides = get_cb_ipin_sides(cb_type); - for (size_t iside = 0; iside < cb_ipin_sides.size(); ++iside) { - enum e_side cb_ipin_side = cb_ipin_sides[iside]; - for (size_t inode = 0; inode < get_num_ipin_nodes(cb_ipin_side); - ++inode) { - std::vector driver_rr_edges = - get_ipin_node_in_edges(rr_graph, cb_ipin_side, inode); - for (const RREdgeId curr_edge : driver_rr_edges) { - RRNodeId cand_node = rr_graph.edge_src_node(curr_edge); - if (OPIN != rr_graph.node_type(cand_node)) { - continue; - } - enum e_side cb_opin_side = NUM_2D_SIDES; - int cb_opin_index = -1; - get_node_side_and_index(rr_graph, cand_node, IN_PORT, cb_opin_side, - cb_opin_index); - if ((-1 == cb_opin_index) || (NUM_2D_SIDES == cb_opin_side)) { - VTR_LOG("GSB[%lu][%lu]:\n", get_x(), get_y()); - VTR_LOG("----------------------------------\n"); - VTR_LOG("SRC node:\n"); - VTR_LOG("Node info: %s\n", rr_graph.node_coordinate_to_string(cand_node).c_str()); - VTR_LOG("Node ptc: %d\n", rr_graph.node_ptc_num(cand_node)); - VTR_LOG("Fan-out nodes:\n"); - for (const auto& temp_edge : rr_graph.edge_range(cand_node)) { - VTR_LOG("\t%s\n", rr_graph.node_coordinate_to_string(rr_graph.edge_sink_node(temp_edge)).c_str()); - } - } - VTR_ASSERT((-1 != cb_opin_index) && (NUM_2D_SIDES != cb_opin_side)); - - if (cb_opin_node_[icb_type][size_t(cb_opin_side)].end() == - std::find(cb_opin_node_[icb_type][size_t(cb_opin_side)].begin(), cb_opin_node_[icb_type][size_t(cb_opin_side)].end(), cand_node)) { - cb_opin_node_[icb_type][size_t(cb_opin_side)].push_back(cand_node); - } + for (t_rr_type cb_type : {CHANX, CHANY}) { + size_t icb_type = cb_type == CHANX ? 0 : 1; + std::vector cb_ipin_sides = get_cb_ipin_sides(cb_type); + for (size_t iside = 0; iside < cb_ipin_sides.size(); ++iside) { + enum e_side cb_ipin_side = cb_ipin_sides[iside]; + for (size_t inode = 0; inode < get_num_ipin_nodes(cb_ipin_side); + ++inode) { + std::vector driver_rr_edges = + get_ipin_node_in_edges(rr_graph, cb_ipin_side, inode); + for (const RREdgeId curr_edge : driver_rr_edges) { + RRNodeId cand_node = rr_graph.edge_src_node(curr_edge); + if (OPIN != rr_graph.node_type(cand_node)) { + continue; + } + enum e_side cb_opin_side = NUM_2D_SIDES; + int cb_opin_index = -1; + get_node_side_and_index(rr_graph, cand_node, IN_PORT, cb_opin_side, + cb_opin_index); + if ((-1 == cb_opin_index) || (NUM_2D_SIDES == cb_opin_side)) { + VTR_LOG("GSB[%lu][%lu]:\n", get_x(), get_y()); + VTR_LOG("----------------------------------\n"); + VTR_LOG("SRC node:\n"); + VTR_LOG("Node info: %s\n", rr_graph.node_coordinate_to_string(cand_node).c_str()); + VTR_LOG("Node ptc: %d\n", rr_graph.node_ptc_num(cand_node)); + VTR_LOG("Fan-out nodes:\n"); + for (const auto& temp_edge : rr_graph.edge_range(cand_node)) { + VTR_LOG("\t%s\n", rr_graph.node_coordinate_to_string(rr_graph.edge_sink_node(temp_edge)).c_str()); + } + } + VTR_ASSERT((-1 != cb_opin_index) && (NUM_2D_SIDES != cb_opin_side)); + + if (cb_opin_node_[icb_type][size_t(cb_opin_side)].end() == std::find(cb_opin_node_[icb_type][size_t(cb_opin_side)].begin(), cb_opin_node_[icb_type][size_t(cb_opin_side)].end(), cand_node)) { + cb_opin_node_[icb_type][size_t(cb_opin_side)].push_back(cand_node); + } + } + } } - } } - } } /************************************************************************ diff --git a/vpr/src/tileable_rr_graph/rr_gsb.h b/vpr/src/tileable_rr_graph/rr_gsb.h index 37b2dcc6f9d..30d8e80372b 100644 --- a/vpr/src/tileable_rr_graph/rr_gsb.h +++ b/vpr/src/tileable_rr_graph/rr_gsb.h @@ -232,6 +232,7 @@ class RRGSB { void sort_ipin_node_in_edges(const RRGraphView& rr_graph, const e_side& chan_side, const size_t& ipin_id); + private: /* internal functions */ size_t get_track_id_first_short_connection(const RRGraphView& rr_graph, const e_side& node_side) const; diff --git a/vpr/src/tileable_rr_graph/tileable_chan_details_builder.cpp b/vpr/src/tileable_rr_graph/tileable_chan_details_builder.cpp index c1334d81d96..848c4c3a629 100644 --- a/vpr/src/tileable_rr_graph/tileable_chan_details_builder.cpp +++ b/vpr/src/tileable_rr_graph/tileable_chan_details_builder.cpp @@ -179,9 +179,9 @@ ChanNodeDetails build_unidir_chan_node_details(const size_t& chan_width, /* Add node to ChanNodeDetails */ size_t cur_track = 0; - size_t bend_num = 0; // The index for bend segments + size_t bend_num = 0; // The index for bend segments for (size_t iseg = 0; iseg < segment_inf.size(); ++iseg) { - if (!segment_inf[iseg].isbend){ + if (!segment_inf[iseg].isbend) { /* segment length will be set to maxium segment length if this is a longwire */ size_t seg_len = segment_inf[iseg].length; if (true == segment_inf[iseg].longline) { @@ -208,34 +208,33 @@ ChanNodeDetails build_unidir_chan_node_details(const size_t& chan_width, chan_node_details.add_track(cur_track, Direction::DEC, seg_index, seg_len, seg_start, seg_end); cur_track++; } - } - else{ // bend segment + } else { // bend segment bend_num++; VTR_ASSERT(segment_inf[iseg].isbend); std::vector seg_len = segment_inf[iseg].part_len; std::vector bend = segment_inf[iseg].bend; - VTR_ASSERT(seg_len.size() == 2); // Only support one bend position for a segment. - + VTR_ASSERT(seg_len.size() == 2); // Only support one bend position for a segment. + std::vector num_tracks_bend; /* Each bend part tracks number * - * For example, a length-5 segment with bend pattern: <- - U -> has 20 tracks. * - * Its num_tracks_bend is [20 * 3/5, 20 * 2/5] = [12, 8] */ - for(size_t i = 0; i < seg_len.size(); i++) - num_tracks_bend.push_back(num_tracks[iseg] * seg_len[i] / segment_inf[iseg].length); - + * For example, a length-5 segment with bend pattern: <- - U -> has 20 tracks. * + * Its num_tracks_bend is [20 * 3/5, 20 * 2/5] = [12, 8] */ + for (size_t i = 0; i < seg_len.size(); i++) + num_tracks_bend.push_back(num_tracks[iseg] * seg_len[i] / segment_inf[iseg].length); + VTR_ASSERT(num_tracks_bend[0] + num_tracks_bend[1] == num_tracks[iseg]); for (size_t itrack = 0; itrack < num_tracks[iseg]; ++itrack) { bool seg_start = false; bool seg_end = false; - size_t seg_bend_start = 0; // seg_bend_start = 0 means not a bend start. + size_t seg_bend_start = 0; // seg_bend_start = 0 means not a bend start. // seg_bend_start = i (i > 0) means a bend start for bend segment i. size_t seg_bend_end = 0; // The same as seg_bend_start. - // Tracks has same seg_bend_start and seg_bend_end values will be + // Tracks has same seg_bend_start and seg_bend_end values will be // connected by a delayless switch. /* Every first track of a group of Length-N wires, we set a starting point */ if (0 == itrack % segment_inf[iseg].length) { - seg_start = true; + seg_start = true; } /* Number seg_len[0] track of a group of Length-N wires, we set a bend start point */ if (seg_len[0] == int(itrack) % segment_inf[iseg].length) { @@ -260,56 +259,56 @@ ChanNodeDetails build_unidir_chan_node_details(const size_t& chan_width, chan_node_details.add_track(cur_track, Direction::DEC, seg_index, seg_len[0], seg_start, seg_end, seg_bend_start, seg_bend_end); cur_track++; } - + /*for (size_t itrack = 0; itrack < num_tracks_bend[0]; ++itrack) { - - bool seg_start = false; - bool seg_end = false; - size_t seg_bend_start = 0; - size_t seg_bend_end = 0; - - if (0 == itrack % seg_len[0]) { - seg_start = true; - } - - if ((seg_len[0] - 1 == itrack % seg_len[0]) - || (itrack == num_tracks_bend[0] - 1)) { - seg_end = true; - seg_bend_end = bend_num; - } - int seg_index = segment_inf[iseg].seg_index; - - chan_node_details.add_track(cur_track, Direction::INC, seg_index, seg_len[0], seg_start, seg_end, seg_bend_start, seg_bend_end); - cur_track++; - chan_node_details.add_track(cur_track, Direction::DEC, seg_index, seg_len[0], seg_start, seg_end, seg_bend_start, seg_bend_end); - cur_track++; - - } - for (size_t itrack = 0; itrack < num_tracks_bend[1]; ++itrack) { - - bool seg_start = false; - bool seg_end = false; - size_t seg_bend_start = 0; - size_t seg_bend_end = 0; - - if (0 == itrack % seg_len[1]) { - seg_start = true; - seg_bend_start = bend_num; - } - - if ((seg_len[1] - 1 == itrack % seg_len[1]) - || (itrack == num_tracks_bend[1] - 1)) { - seg_end = true; - } - int seg_index = segment_inf[iseg].seg_index; - - chan_node_details.add_track(cur_track, Direction::INC, seg_index, seg_len[1], seg_start, seg_end, seg_bend_start, seg_bend_end); - cur_track++; - - chan_node_details.add_track(cur_track, Direction::DEC, seg_index, seg_len[1], seg_start, seg_end, seg_bend_start, seg_bend_end); - cur_track++; - - }*/ + * + * bool seg_start = false; + * bool seg_end = false; + * size_t seg_bend_start = 0; + * size_t seg_bend_end = 0; + * + * if (0 == itrack % seg_len[0]) { + * seg_start = true; + * } + * + * if ((seg_len[0] - 1 == itrack % seg_len[0]) + * || (itrack == num_tracks_bend[0] - 1)) { + * seg_end = true; + * seg_bend_end = bend_num; + * } + * int seg_index = segment_inf[iseg].seg_index; + * + * chan_node_details.add_track(cur_track, Direction::INC, seg_index, seg_len[0], seg_start, seg_end, seg_bend_start, seg_bend_end); + * cur_track++; + * chan_node_details.add_track(cur_track, Direction::DEC, seg_index, seg_len[0], seg_start, seg_end, seg_bend_start, seg_bend_end); + * cur_track++; + * + * } + * for (size_t itrack = 0; itrack < num_tracks_bend[1]; ++itrack) { + * + * bool seg_start = false; + * bool seg_end = false; + * size_t seg_bend_start = 0; + * size_t seg_bend_end = 0; + * + * if (0 == itrack % seg_len[1]) { + * seg_start = true; + * seg_bend_start = bend_num; + * } + * + * if ((seg_len[1] - 1 == itrack % seg_len[1]) + * || (itrack == num_tracks_bend[1] - 1)) { + * seg_end = true; + * } + * int seg_index = segment_inf[iseg].seg_index; + * + * chan_node_details.add_track(cur_track, Direction::INC, seg_index, seg_len[1], seg_start, seg_end, seg_bend_start, seg_bend_end); + * cur_track++; + * + * chan_node_details.add_track(cur_track, Direction::DEC, seg_index, seg_len[1], seg_start, seg_end, seg_bend_start, seg_bend_end); + * cur_track++; + * + * }*/ } } /* Check if all the tracks have been satisified */ diff --git a/vpr/src/tileable_rr_graph/tileable_rr_graph_builder.cpp b/vpr/src/tileable_rr_graph/tileable_rr_graph_builder.cpp index d8ead463d9e..ee461167bec 100644 --- a/vpr/src/tileable_rr_graph/tileable_rr_graph_builder.cpp +++ b/vpr/src/tileable_rr_graph/tileable_rr_graph_builder.cpp @@ -325,7 +325,6 @@ void build_tileable_unidir_rr_graph(const std::vector& typ check_rr_graph(device_ctx.rr_graph, types, device_ctx.rr_indexed_data, grids, vib_grid, device_ctx.chan_width, GRAPH_UNIDIR, false); - /************************************************************************ * Free all temp stucts ***********************************************************************/ diff --git a/vpr/src/tileable_rr_graph/tileable_rr_graph_edge_builder.cpp b/vpr/src/tileable_rr_graph/tileable_rr_graph_edge_builder.cpp index d242a0b9bf1..4b32fcab7cf 100644 --- a/vpr/src/tileable_rr_graph/tileable_rr_graph_edge_builder.cpp +++ b/vpr/src/tileable_rr_graph/tileable_rr_graph_edge_builder.cpp @@ -136,8 +136,7 @@ void build_rr_graph_edges(const RRGraphView& rr_graph, segment_inf_y, perimeter_cb, delayless_switch); - } - else { + } else { build_rr_graph_regular_edges(rr_graph, rr_graph_builder, rr_node_driver_switches, @@ -171,7 +170,7 @@ void build_rr_graph_edges(const RRGraphView& rr_graph, // for (size_t iy = 0; iy < vib_grid.height(); iy++) { // std::map mux_name_map; - + // for (size_t i_mux = 0; i_mux < vib_grid.num_medium_nodes(i_layer, ix, iy); i_mux++) { // mux_name_map.emplace(vib_grid.medium_node_name(i_layer, ix, iy, i_mux), i_mux); // } @@ -179,10 +178,9 @@ void build_rr_graph_edges(const RRGraphView& rr_graph, // } // } // } - + // } - - + // size_t num_edges_to_create = 0; // /* Create edges for SOURCE and SINK nodes for a tileable rr_graph */ // build_rr_graph_edges_for_source_nodes(rr_graph, rr_graph_builder, rr_node_driver_switches, grids, layer, num_edges_to_create); @@ -212,7 +210,7 @@ void build_rr_graph_edges(const RRGraphView& rr_graph, // t_vib_map vib_map; // vib_map = build_vib_map(rr_graph, grids, vib_grid, rr_gsb, segment_inf, layer, gsb_coord, gsb_coord, medium_mux_name2medium_index); // build_edges_for_one_tileable_vib(rr_graph_builder, vib_map, sb_bend_conn, rr_node_driver_switches, num_edges_to_create); - + // rr_graph_builder.build_edges(true); // } // else { @@ -254,7 +252,6 @@ void build_rr_graph_edges(const RRGraphView& rr_graph, // device_chan_width, segment_inf_x, segment_inf_y, // layer, gsb_coord, perimeter_cb); - // t_vib_map vib_map; // vib_map = build_vib_map(rr_graph, grids, vib_grid, rr_gsb, segment_inf, layer, gsb_coord, actual_coord, medium_mux_name2medium_index); // //build_edges_for_one_tileable_vib(rr_graph_builder, vib_map, sb_bend_conn, rr_node_driver_switches, num_edges_to_create); @@ -267,7 +264,7 @@ void build_rr_graph_edges(const RRGraphView& rr_graph, // } // num_edges_to_create += edge_count; // //rr_graph_builder.build_edges(true); - + // } // // process right boundary @@ -281,7 +278,6 @@ void build_rr_graph_edges(const RRGraphView& rr_graph, // device_chan_width, segment_inf_x, segment_inf_y, // layer, gsb_coord, perimeter_cb); - // t_vib_map vib_map; // vib_map = build_vib_map(rr_graph, grids, vib_grid, rr_gsb, segment_inf, layer, gsb_coord, actual_coord, medium_mux_name2medium_index); // //build_edges_for_one_tileable_vib(rr_graph_builder, vib_map, sb_bend_conn, rr_node_driver_switches, num_edges_to_create); @@ -294,7 +290,7 @@ void build_rr_graph_edges(const RRGraphView& rr_graph, // } // num_edges_to_create += edge_count; // //rr_graph_builder.build_edges(true); - + // } // // process right-top corner @@ -309,7 +305,6 @@ void build_rr_graph_edges(const RRGraphView& rr_graph, // device_chan_width, segment_inf_x, segment_inf_y, // layer, gsb_coord, perimeter_cb); - // t_vib_map vib_map; // vib_map = build_vib_map(rr_graph, grids, vib_grid, rr_gsb, segment_inf, layer, gsb_coord, actual_coord, medium_mux_name2medium_index); // //build_edges_for_one_tileable_vib(rr_graph_builder, vib_map, sb_bend_conn, rr_node_driver_switches, num_edges_to_create); @@ -379,7 +374,7 @@ void build_rr_graph_vib_edges(const RRGraphView& rr_graph, // for (size_t iy = 0; iy < vib_grid.height(); iy++) { // std::map mux_name_map; - + // for (size_t i_mux = 0; i_mux < vib_grid.num_medium_nodes(i_layer, ix, iy); i_mux++) { // mux_name_map.emplace(vib_grid.medium_node_name(i_layer, ix, iy, i_mux), i_mux); // } @@ -413,13 +408,12 @@ void build_rr_graph_vib_edges(const RRGraphView& rr_graph, device_chan_width, segment_inf_x, segment_inf_y, layer, gsb_coord, perimeter_cb); - t_vib_map vib_map; vib_map = build_vib_map(rr_graph, grids, vib_grid, rr_gsb, segment_inf, layer, gsb_coord, gsb_coord); build_edges_for_one_tileable_vib(rr_graph_builder, vib_map, sb_bend_conn, rr_node_driver_switches, num_edges_to_create); - + rr_graph_builder.build_edges(true); - + // else { // /* adapt the track_to_ipin_lookup for the GSB nodes */ // t_track2pin_map track2ipin_map; /* [0..track_gsb_side][0..num_tracks][ipin_indices] */ @@ -446,10 +440,10 @@ void build_rr_graph_vib_edges(const RRGraphView& rr_graph, } /* Process boundary */ - + size_t ix, iy; // process top boundary - iy = gsb_range.y() + 1; // == grids.height() - 1 + iy = gsb_range.y() + 1; // == grids.height() - 1 for (ix = 0; ix < gsb_range.x() + 1; ++ix) { vtr::Point actual_coord(ix, iy); vtr::Point gsb_coord(ix, iy - 1); @@ -459,7 +453,6 @@ void build_rr_graph_vib_edges(const RRGraphView& rr_graph, device_chan_width, segment_inf_x, segment_inf_y, layer, gsb_coord, perimeter_cb); - t_vib_map vib_map; vib_map = build_vib_map(rr_graph, grids, vib_grid, rr_gsb, segment_inf, layer, gsb_coord, actual_coord); //build_edges_for_one_tileable_vib(rr_graph_builder, vib_map, sb_bend_conn, rr_node_driver_switches, num_edges_to_create); @@ -472,7 +465,6 @@ void build_rr_graph_vib_edges(const RRGraphView& rr_graph, } num_edges_to_create += edge_count; //rr_graph_builder.build_edges(true); - } // process right boundary @@ -486,7 +478,6 @@ void build_rr_graph_vib_edges(const RRGraphView& rr_graph, device_chan_width, segment_inf_x, segment_inf_y, layer, gsb_coord, perimeter_cb); - t_vib_map vib_map; vib_map = build_vib_map(rr_graph, grids, vib_grid, rr_gsb, segment_inf, layer, gsb_coord, actual_coord); //build_edges_for_one_tileable_vib(rr_graph_builder, vib_map, sb_bend_conn, rr_node_driver_switches, num_edges_to_create); @@ -499,7 +490,6 @@ void build_rr_graph_vib_edges(const RRGraphView& rr_graph, } num_edges_to_create += edge_count; //rr_graph_builder.build_edges(true); - } // process right-top corner @@ -514,7 +504,6 @@ void build_rr_graph_vib_edges(const RRGraphView& rr_graph, // device_chan_width, segment_inf_x, segment_inf_y, // layer, gsb_coord, perimeter_cb); - // t_vib_map vib_map; // vib_map = build_vib_map(rr_graph, grids, vib_grid, rr_gsb, segment_inf, layer, gsb_coord, actual_coord, medium_mux_name2medium_index); // //build_edges_for_one_tileable_vib(rr_graph_builder, vib_map, sb_bend_conn, rr_node_driver_switches, num_edges_to_create); @@ -527,7 +516,6 @@ void build_rr_graph_vib_edges(const RRGraphView& rr_graph, // } // num_edges_to_create += edge_count; rr_graph_builder.build_edges(true); - } void build_rr_graph_regular_edges(const RRGraphView& rr_graph, diff --git a/vpr/src/tileable_rr_graph/tileable_rr_graph_gsb.cpp b/vpr/src/tileable_rr_graph/tileable_rr_graph_gsb.cpp index a0e8494718a..c76320b29c3 100644 --- a/vpr/src/tileable_rr_graph/tileable_rr_graph_gsb.cpp +++ b/vpr/src/tileable_rr_graph/tileable_rr_graph_gsb.cpp @@ -535,19 +535,19 @@ t_track2track_map build_gsb_track_to_track_map(const RRGraphView& rr_graph, } t_bend_track2track_map build_bend_track_to_track_map(const DeviceGrid& grids, - RRGraphBuilder& rr_graph_builder, + RRGraphBuilder& rr_graph_builder, const RRGraphView& rr_graph, const std::vector& segment_inf, const size_t& layer, const vtr::Point& gsb_coordinate, const RRSwitchId& delayless_switch, vtr::vector& rr_node_driver_switches) { - + std::vector>>> chan_rr_nodes_all_sides; //[side][bend_num][start/end][node] chan_rr_nodes_all_sides.resize(4); int bend_seg_num = 0; - std::vector bend_seg_type; //bend type: 1: U; 2: D + std::vector bend_seg_type; //bend type: 1: U; 2: D for (size_t iseg = 0; iseg < segment_inf.size(); iseg++) { if (segment_inf[iseg].isbend) { bend_seg_num++; @@ -562,24 +562,24 @@ t_bend_track2track_map build_bend_track_to_track_map(const DeviceGrid& grids, } VTR_ASSERT(bend_seg_num == int(bend_seg_type.size())); for (size_t side = 0; side < 4; ++side) { - std::vector rr_nodes; + std::vector rr_nodes; switch (side) { case TOP: /* TOP = 0 */ /* For the bording, we should take special care */ if (gsb_coordinate.y() == grids.height() - 2) { - + break; } chan_rr_nodes_all_sides[0].resize(bend_seg_num); - for (int i = 0; i < bend_seg_num; i++){ + for (int i = 0; i < bend_seg_num; i++) { chan_rr_nodes_all_sides[0][i].resize(2); //start/end track for bend } - + rr_nodes = find_rr_graph_chan_nodes(rr_graph, layer, gsb_coordinate.x(), gsb_coordinate.y() + 1, CHANY); - + for (auto inode : rr_nodes) { VTR_ASSERT(rr_graph.node_type(inode) == CHANY); Direction direction = rr_graph.node_direction(inode); @@ -597,26 +597,25 @@ t_bend_track2track_map build_bend_track_to_track_map(const DeviceGrid& grids, VTR_ASSERT(bend_start == 0); chan_rr_nodes_all_sides[0][bend_end - 1][1].push_back(inode); } - } - + break; case RIGHT: /* RIGHT = 1 */ /* For the bording, we should take special care */ if (gsb_coordinate.x() == grids.width() - 2) { - + break; } - + chan_rr_nodes_all_sides[1].resize(bend_seg_num); - for (int i = 0; i < bend_seg_num; i++){ + for (int i = 0; i < bend_seg_num; i++) { chan_rr_nodes_all_sides[1][i].resize(2); //start/end track for bend } - + rr_nodes = find_rr_graph_chan_nodes(rr_graph, layer, gsb_coordinate.x() + 1, gsb_coordinate.y(), CHANX); - + for (auto inode : rr_nodes) { VTR_ASSERT(rr_graph.node_type(inode) == CHANX); Direction direction = rr_graph.node_direction(inode); @@ -634,25 +633,24 @@ t_bend_track2track_map build_bend_track_to_track_map(const DeviceGrid& grids, VTR_ASSERT(bend_start == 0); chan_rr_nodes_all_sides[1][bend_end - 1][1].push_back(inode); } - } break; case BOTTOM: /* BOTTOM = 2 */ /* For the bording, we should take special care */ if (gsb_coordinate.y() == 0) { - + break; } - + chan_rr_nodes_all_sides[2].resize(bend_seg_num); - for (int i = 0; i < bend_seg_num; i++){ + for (int i = 0; i < bend_seg_num; i++) { chan_rr_nodes_all_sides[2][i].resize(2); //start/end track for bend } - + rr_nodes = find_rr_graph_chan_nodes(rr_graph, layer, gsb_coordinate.x(), gsb_coordinate.y(), CHANY); - + for (auto inode : rr_nodes) { VTR_ASSERT(rr_graph.node_type(inode) == CHANY); Direction direction = rr_graph.node_direction(inode); @@ -670,25 +668,24 @@ t_bend_track2track_map build_bend_track_to_track_map(const DeviceGrid& grids, VTR_ASSERT(bend_end == 0); chan_rr_nodes_all_sides[2][bend_start - 1][0].push_back(inode); } - } break; case LEFT: /* BOTTOM = 2 */ /* For the bording, we should take special care */ if (gsb_coordinate.x() == 0) { - + break; } - + chan_rr_nodes_all_sides[3].resize(bend_seg_num); - for (int i = 0; i < bend_seg_num; i++){ + for (int i = 0; i < bend_seg_num; i++) { chan_rr_nodes_all_sides[3][i].resize(2); //start/end track for bend } - + rr_nodes = find_rr_graph_chan_nodes(rr_graph, layer, gsb_coordinate.x(), gsb_coordinate.y(), CHANX); - + for (auto inode : rr_nodes) { VTR_ASSERT(rr_graph.node_type(inode) == CHANX); Direction direction = rr_graph.node_direction(inode); @@ -706,71 +703,65 @@ t_bend_track2track_map build_bend_track_to_track_map(const DeviceGrid& grids, VTR_ASSERT(bend_end == 0); chan_rr_nodes_all_sides[3][bend_start - 1][0].push_back(inode); } - } break; default: VTR_LOGF_ERROR(__FILE__, __LINE__, "Invalid side index!\n"); exit(1); - } } std::map bend_seg_head2bend_seg_end_map; for (size_t ibend_seg = 0; ibend_seg < (size_t)bend_seg_num; ibend_seg++) { - int bend_type = bend_seg_type[ibend_seg]; //bend_type 1:U 2:D + int bend_type = bend_seg_type[ibend_seg]; //bend_type 1:U 2:D VTR_ASSERT(bend_type == 1 || bend_type == 2); - if (bend_type == 1) { //bend type U - for (size_t side = 0; side < 4; side++){ + if (bend_type == 1) { //bend type U + for (size_t side = 0; side < 4; side++) { size_t to_side = (side + 1) % 4; if (chan_rr_nodes_all_sides[side].size() > 0) for (size_t inode = 0; inode < chan_rr_nodes_all_sides[side][ibend_seg][1].size(); inode++) { - + if (chan_rr_nodes_all_sides[to_side].size() > 0) { VTR_ASSERT(chan_rr_nodes_all_sides[side][ibend_seg][1].size() == chan_rr_nodes_all_sides[to_side][ibend_seg][0].size()); bend_seg_head2bend_seg_end_map.emplace(std::make_pair(chan_rr_nodes_all_sides[side][ibend_seg][1][inode], chan_rr_nodes_all_sides[to_side][ibend_seg][0][inode])); rr_node_driver_switches[chan_rr_nodes_all_sides[to_side][ibend_seg][0][inode]] = delayless_switch; - } - else { + } else { rr_graph_builder.set_node_bend_end(chan_rr_nodes_all_sides[side][ibend_seg][1][inode], 0); - } + } } else { if (chan_rr_nodes_all_sides[to_side].size() > 0) { for (size_t inode = 0; inode < chan_rr_nodes_all_sides[to_side][ibend_seg][0].size(); inode++) { - rr_graph_builder.set_node_bend_start(chan_rr_nodes_all_sides[to_side][ibend_seg][0][inode], 0); + rr_graph_builder.set_node_bend_start(chan_rr_nodes_all_sides[to_side][ibend_seg][0][inode], 0); } } } } - - } - else if (bend_type == 2) { //bend type D - for (size_t side = 0; side < 4; side++){ + + } else if (bend_type == 2) { //bend type D + for (size_t side = 0; side < 4; side++) { size_t to_side = (side + 3) % 4; if (chan_rr_nodes_all_sides[side].size() > 0) for (size_t inode = 0; inode < chan_rr_nodes_all_sides[side][ibend_seg][1].size(); inode++) { - + if (chan_rr_nodes_all_sides[to_side].size() > 0) { VTR_ASSERT(chan_rr_nodes_all_sides[side][ibend_seg][1].size() == chan_rr_nodes_all_sides[to_side][ibend_seg][0].size()); bend_seg_head2bend_seg_end_map.emplace(std::make_pair(chan_rr_nodes_all_sides[side][ibend_seg][1][inode], chan_rr_nodes_all_sides[to_side][ibend_seg][0][inode])); rr_node_driver_switches[chan_rr_nodes_all_sides[to_side][ibend_seg][0][inode]] = delayless_switch; - } - else { + } else { rr_graph_builder.set_node_bend_end(chan_rr_nodes_all_sides[side][ibend_seg][1][inode], 0); - } + } } else { if (chan_rr_nodes_all_sides[to_side].size() > 0) { for (size_t inode = 0; inode < chan_rr_nodes_all_sides[to_side][ibend_seg][0].size(); inode++) { - rr_graph_builder.set_node_bend_start(chan_rr_nodes_all_sides[to_side][ibend_seg][0][inode], 0); + rr_graph_builder.set_node_bend_start(chan_rr_nodes_all_sides[to_side][ibend_seg][0][inode], 0); } } } } } - } return bend_seg_head2bend_seg_end_map; @@ -961,7 +952,7 @@ RRGSB build_one_tileable_rr_gsb(const DeviceGrid& grids, OPIN, opin_grid_side[1]); break; case BOTTOM: /* BOTTOM = 2*/ - if (!perimeter_cb && gsb_coordinate.y() == 0) { + if (!perimeter_cb && gsb_coordinate.y() == 0) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -990,7 +981,7 @@ RRGSB build_one_tileable_rr_gsb(const DeviceGrid& grids, OPIN, opin_grid_side[1]); break; case LEFT: /* LEFT = 3 */ - if (!perimeter_cb && gsb_coordinate.x() == 0) { + if (!perimeter_cb && gsb_coordinate.x() == 0) { rr_gsb.clear_one_side(side_manager.get_side()); break; } @@ -1806,7 +1797,7 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, const vtr::Point& gsb_coordinate, const vtr::Point& actual_coordinate) { VTR_ASSERT(rr_gsb.get_x() == gsb_coordinate.x() && rr_gsb.get_y() == gsb_coordinate.y()); - + t_vib_map vib_map; const VibInf* vib = vib_grid.get_vib(layer, actual_coordinate.x(), actual_coordinate.y()); @@ -1821,17 +1812,17 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, for (auto from : froms) { RRNodeId from_node; if (from.from_type == PB) { - + if (from.type_name != vib->get_pbtype_name()) { VTR_LOGF_ERROR(__FILE__, __LINE__, "Wrong from type name!\n"); exit(1); } - + for (e_side side : TOTAL_2D_SIDES) { from_node = rr_graph.node_lookup().find_node(layer, actual_coordinate.x(), actual_coordinate.y(), OPIN, from.phy_pin_index, side); if (from_node.is_valid()) - break; + break; } if (!from_node.is_valid()) { VTR_LOGF_WARN(__FILE__, __LINE__, @@ -1840,11 +1831,10 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, } if (!rr_gsb.is_opin_node(from_node)) { VTR_LOGF_ERROR(__FILE__, __LINE__, - "Opin node %d is not in the GSB (%d, %d)\n", from_node, rr_gsb.get_x(), rr_gsb.get_y()); + "Opin node %d is not in the GSB (%d, %d)\n", from_node, rr_gsb.get_x(), rr_gsb.get_y()); exit(1); } - } - else if (from.from_type == SEGMENT) { + } else if (from.from_type == SEGMENT) { char from_dir = from.seg_dir; //int from_index = from.seg_index; t_segment_inf segment = segment_inf[from.type_index]; @@ -1858,10 +1848,14 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, } VTR_ASSERT(from.seg_index < seg_group.track_num * segment.length); e_side side; - if (from_dir == 'W') side = RIGHT; - else if (from_dir == 'E') side = LEFT; - else if (from_dir == 'N') side = BOTTOM; - else if (from_dir == 'S') side = TOP; + if (from_dir == 'W') + side = RIGHT; + else if (from_dir == 'E') + side = LEFT; + else if (from_dir == 'N') + side = BOTTOM; + else if (from_dir == 'S') + side = TOP; else { VTR_LOGF_ERROR(__FILE__, __LINE__, "Wrong segment from direction!\n"); @@ -1869,14 +1863,14 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, } std::vector track_list = rr_gsb.get_chan_node_ids_by_segment_ids(side, RRSegmentId(segment.seg_index)); - if (track_list.size() == 0) continue; + if (track_list.size() == 0) + continue; else { VTR_ASSERT((int)track_list.size() >= (from.seg_index + 1) * 2); size_t seg_id; - if (side == LEFT || side == BOTTOM) { //INC + if (side == LEFT || side == BOTTOM) { //INC seg_id = from.seg_index * 2; - } - else { //DEC + } else { //DEC VTR_ASSERT(side == RIGHT || side == TOP); seg_id = from.seg_index * 2 + 1; } @@ -1887,21 +1881,17 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, "Wire node %d is not in the GSB (%d, %d)\n", from_node, rr_gsb.get_x(), rr_gsb.get_y()); exit(1); } - } - - } - else if (from.from_type == MUX) { + } else if (from.from_type == MUX) { size_t from_mux_index = vib->medium_mux_index_by_name(from.type_name); from_node = rr_graph.node_lookup().find_node(layer, actual_coordinate.x(), actual_coordinate.y(), MEDIUM, from_mux_index); if (!rr_gsb.is_medium_node(from_node)) { VTR_LOGF_ERROR(__FILE__, __LINE__, - "Medium node %d is not in the GSB (%d, %d)\n", from_node, rr_gsb.get_x(), rr_gsb.get_y()); + "Medium node %d is not in the GSB (%d, %d)\n", from_node, rr_gsb.get_x(), rr_gsb.get_y()); exit(1); } - } - else { + } else { VTR_LOGF_ERROR(__FILE__, __LINE__, "Wrong from type!\n"); exit(1); @@ -1918,8 +1908,6 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, to_nodes.push_back(to_node); vib_map.emplace(std::make_pair(from_node, to_nodes)); } - - } } /* Second stages*/ @@ -1927,22 +1915,22 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, for (size_t i_second_stage = 0; i_second_stage < second_stages.size(); i_second_stage++) { std::vector froms = second_stages[i_second_stage].froms; std::vector tos = second_stages[i_second_stage].to; - + std::vector to_nodes; for (auto to : tos) { RRNodeId to_node; if (to.from_type == PB) { - + if (to.type_name != vib->get_pbtype_name()) { VTR_LOGF_ERROR(__FILE__, __LINE__, "Wrong to type name!\n"); exit(1); } - + for (e_side side : TOTAL_2D_SIDES) { to_node = rr_graph.node_lookup().find_node(layer, actual_coordinate.x(), actual_coordinate.y(), IPIN, to.phy_pin_index, side); if (to_node.is_valid()) - break; + break; } if (!to_node.is_valid()) { VTR_LOGF_WARN(__FILE__, __LINE__, @@ -1951,11 +1939,10 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, } if (!rr_gsb.is_ipin_node(to_node)) { VTR_LOGF_ERROR(__FILE__, __LINE__, - "Medium node %d is not in the GSB (%d, %d)\n", to_node, rr_gsb.get_x(), rr_gsb.get_y()); + "Medium node %d is not in the GSB (%d, %d)\n", to_node, rr_gsb.get_x(), rr_gsb.get_y()); exit(1); } - } - else if (to.from_type == SEGMENT) { + } else if (to.from_type == SEGMENT) { char to_dir = to.seg_dir; //int from_index = from.seg_index; t_segment_inf segment = segment_inf[to.type_index]; @@ -1969,10 +1956,14 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, } VTR_ASSERT(to.seg_index < seg_group.track_num * segment.length); e_side side; - if (to_dir == 'W') side = LEFT; - else if (to_dir == 'E') side = RIGHT; - else if (to_dir == 'N') side = TOP; - else if (to_dir == 'S') side = BOTTOM; + if (to_dir == 'W') + side = LEFT; + else if (to_dir == 'E') + side = RIGHT; + else if (to_dir == 'N') + side = TOP; + else if (to_dir == 'S') + side = BOTTOM; else { VTR_LOGF_ERROR(__FILE__, __LINE__, "Wrong segment from direction!\n"); @@ -1980,15 +1971,15 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, } std::vector track_list = rr_gsb.get_chan_node_ids_by_segment_ids(side, RRSegmentId(segment.seg_index)); - if (track_list.size() == 0) continue; + if (track_list.size() == 0) + continue; else { //enum e_track_status track_status = determine_track_status_of_gsb VTR_ASSERT((int)track_list.size() >= (to.seg_index + 1) * 2); size_t seg_id; - if (side == LEFT || side == BOTTOM) { //DEC + if (side == LEFT || side == BOTTOM) { //DEC seg_id = to.seg_index * 2 + 1; - } - else { //INC + } else { //INC VTR_ASSERT(side == RIGHT || side == TOP); seg_id = to.seg_index * 2; } @@ -1998,39 +1989,35 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, VTR_ASSERT(OUT_PORT == rr_gsb.get_chan_node_direction(side, track_list[seg_id])); if (!rr_gsb.is_chan_node(to_node)) { VTR_LOGF_ERROR(__FILE__, __LINE__, - "Medium node %d is not in the GSB (%d, %d)\n", to_node, rr_gsb.get_x(), rr_gsb.get_y()); + "Medium node %d is not in the GSB (%d, %d)\n", to_node, rr_gsb.get_x(), rr_gsb.get_y()); exit(1); } } - - } - else { + } else { VTR_LOGF_ERROR(__FILE__, __LINE__, "Wrong from type!\n"); exit(1); } VTR_ASSERT(to_node.is_valid()); - to_nodes.push_back(to_node); + to_nodes.push_back(to_node); } - - std::vector from_nodes; for (auto from : froms) { RRNodeId from_node; if (from.from_type == PB) { - + if (from.type_name != vib->get_pbtype_name()) { VTR_LOGF_ERROR(__FILE__, __LINE__, "Wrong from type name!\n"); exit(1); } - + for (e_side side : TOTAL_2D_SIDES) { from_node = rr_graph.node_lookup().find_node(layer, actual_coordinate.x(), actual_coordinate.y(), OPIN, from.phy_pin_index, side); if (from_node.is_valid()) - break; + break; } if (!from_node.is_valid()) { VTR_LOGF_WARN(__FILE__, __LINE__, @@ -2039,11 +2026,10 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, } if (!rr_gsb.is_opin_node(from_node)) { VTR_LOGF_ERROR(__FILE__, __LINE__, - "Medium node %d is not in the GSB (%d, %d)\n", from_node, rr_gsb.get_x(), rr_gsb.get_y()); + "Medium node %d is not in the GSB (%d, %d)\n", from_node, rr_gsb.get_x(), rr_gsb.get_y()); exit(1); } - } - else if (from.from_type == SEGMENT) { + } else if (from.from_type == SEGMENT) { char from_dir = from.seg_dir; //int from_index = from.seg_index; t_segment_inf segment = segment_inf[from.type_index]; @@ -2057,10 +2043,14 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, } VTR_ASSERT(from.seg_index < seg_group.track_num * segment.length); e_side side; - if (from_dir == 'W') side = RIGHT; - else if (from_dir == 'E') side = LEFT; - else if (from_dir == 'N') side = BOTTOM; - else if (from_dir == 'S') side = TOP; + if (from_dir == 'W') + side = RIGHT; + else if (from_dir == 'E') + side = LEFT; + else if (from_dir == 'N') + side = BOTTOM; + else if (from_dir == 'S') + side = TOP; else { VTR_LOGF_ERROR(__FILE__, __LINE__, "Wrong segment from direction!\n"); @@ -2068,14 +2058,14 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, } std::vector track_list = rr_gsb.get_chan_node_ids_by_segment_ids(side, RRSegmentId(segment.seg_index)); - if (track_list.size() == 0) continue; + if (track_list.size() == 0) + continue; else { VTR_ASSERT((int)track_list.size() >= (from.seg_index + 1) * 2); size_t seg_id; - if (side == LEFT || side == BOTTOM) { //INC + if (side == LEFT || side == BOTTOM) { //INC seg_id = from.seg_index * 2; - } - else { //DEC + } else { //DEC VTR_ASSERT(side == RIGHT || side == TOP); seg_id = from.seg_index * 2 + 1; } @@ -2087,27 +2077,24 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, exit(1); } } - - } - else if (from.from_type == MUX) { + } else if (from.from_type == MUX) { size_t from_mux_index = vib->medium_mux_index_by_name(from.type_name); from_node = rr_graph.node_lookup().find_node(layer, actual_coordinate.x(), actual_coordinate.y(), MEDIUM, from_mux_index); if (!rr_gsb.is_medium_node(from_node)) { VTR_LOGF_ERROR(__FILE__, __LINE__, - "Medium node %d is not in the GSB (%d, %d)\n", from_node, rr_gsb.get_x(), rr_gsb.get_y()); + "Medium node %d is not in the GSB (%d, %d)\n", from_node, rr_gsb.get_x(), rr_gsb.get_y()); exit(1); } - } - else { + } else { VTR_LOGF_ERROR(__FILE__, __LINE__, "Wrong from type!\n"); exit(1); } VTR_ASSERT(from_node.is_valid()); - from_nodes.push_back(from_node); + from_nodes.push_back(from_node); } - + if (to_nodes.size() > 0 && from_nodes.size() > 0) { for (auto from_node : from_nodes) { auto iter = vib_map.begin(); @@ -2116,7 +2103,6 @@ t_vib_map build_vib_map(const RRGraphView& rr_graph, for (auto to_node : to_nodes) { vib_map[from_node].push_back(to_node); } - } } if (iter == vib_map.end()) { diff --git a/vpr/src/tileable_rr_graph/tileable_rr_graph_gsb.h b/vpr/src/tileable_rr_graph/tileable_rr_graph_gsb.h index 5e7ca853700..672af21bc0a 100644 --- a/vpr/src/tileable_rr_graph/tileable_rr_graph_gsb.h +++ b/vpr/src/tileable_rr_graph/tileable_rr_graph_gsb.h @@ -42,9 +42,9 @@ t_track2track_map build_gsb_track_to_track_map(const RRGraphView& rr_graph, const bool& concat_wire, const bool& wire_opposite_side, const std::vector& segment_inf); - + t_bend_track2track_map build_bend_track_to_track_map(const DeviceGrid& grids, - RRGraphBuilder& rr_graph_builder, + RRGraphBuilder& rr_graph_builder, const RRGraphView& rr_graph, const std::vector& segment_inf, const size_t& layer, diff --git a/vpr/src/tileable_rr_graph/tileable_rr_graph_node_builder.cpp b/vpr/src/tileable_rr_graph/tileable_rr_graph_node_builder.cpp index 08d80b5936d..b96d3d9b5e5 100644 --- a/vpr/src/tileable_rr_graph/tileable_rr_graph_node_builder.cpp +++ b/vpr/src/tileable_rr_graph/tileable_rr_graph_node_builder.cpp @@ -20,6 +20,7 @@ #include "tileable_chan_details_builder.h" #include "tileable_rr_graph_node_builder.h" #include "rr_rc_data.h" +#include "physical_types_util.h" /************************************************************************ * Find the number output pins by considering all the grid @@ -89,7 +90,7 @@ static size_t estimate_num_medium_rr_nodes(const DeviceGrid& grids, VTR_ASSERT(grids.width() == vib_grid.width() && grids.height() == vib_grid.height()); for (size_t ix = 0; ix < grids.width(); ++ix) { for (size_t iy = 0; iy < grids.height(); ++iy) { - + const VibInf* vib = vib_grid.get_vib(layer, ix, iy); if (!vib) { VTR_LOGF_ERROR(__FILE__, __LINE__, @@ -223,12 +224,12 @@ static size_t estimate_num_chanx_rr_nodes(const DeviceGrid& grids, size_t start_x = 1; size_t end_x = grids.width() - 1; if (perimeter_cb) { - start_x = 0; - end_x = grids.width(); + start_x = 0; + end_x = grids.width(); } size_t max_seg_length = grids.width() - 2; if (perimeter_cb) { - max_seg_length = grids.width(); + max_seg_length = grids.width(); } for (size_t iy = 0; iy < grids.height() - 1; ++iy) { @@ -300,12 +301,12 @@ static size_t estimate_num_chany_rr_nodes(const DeviceGrid& grids, size_t start_y = 1; size_t end_y = grids.height() - 1; if (perimeter_cb) { - start_y = 0; - end_y = grids.height(); + start_y = 0; + end_y = grids.height(); } size_t max_seg_length = grids.height() - 2; if (perimeter_cb) { - max_seg_length = grids.height(); + max_seg_length = grids.height(); } for (size_t ix = 0; ix < grids.width() - 1; ++ix) { @@ -389,7 +390,6 @@ static std::vector estimate_num_rr_nodes(const DeviceGrid& grids, num_rr_nodes_per_type[SOURCE] = estimate_num_grid_rr_nodes_by_type(grids, layer, SOURCE, perimeter_cb); num_rr_nodes_per_type[SINK] = estimate_num_grid_rr_nodes_by_type(grids, layer, SINK, perimeter_cb); - /** * 2. Assign the segments for each routing channel, * To be specific, for each routing track, we assign a routing segment. @@ -713,7 +713,6 @@ static void load_one_grid_medium_nodes_basic_info(RRGraphBuilder& rr_graph_build /* RC data */ rr_graph_builder.set_node_rc_index(node, NodeRCIndex(find_create_rr_rc_data(0., 0., rr_rc_data))); } - } /************************************************************************ @@ -803,7 +802,7 @@ static void load_grid_nodes_basic_info(RRGraphBuilder& rr_graph_builder, VTR_ASSERT(grids.width() == vib_grid.width() && grids.height() == vib_grid.height()); for (size_t iy = 0; iy < grids.height(); ++iy) { for (size_t ix = 0; ix < grids.width(); ++ix) { - + t_physical_tile_loc tile_loc(ix, iy, layer); VTR_ASSERT(vib_grid.vib_pbtype_name(layer, ix, iy) == grids.get_physical_type(tile_loc)->name); vtr::Point grid_coordinate(ix, iy); @@ -815,11 +814,9 @@ static void load_grid_nodes_basic_info(RRGraphBuilder& rr_graph_builder, rr_rc_data, layer, grid_coordinate, vib_grid); - + } } } - } - //Copy the SOURCE/SINK nodes to all offset positions for blocks with width > 1 and/or height > 1 // This ensures that look-ups on non-root locations will still find the correct SOURCE/SINK @@ -1007,12 +1004,12 @@ static void load_chanx_rr_nodes_basic_info(const RRGraphView& rr_graph, size_t start_x = 1; size_t end_x = grids.width() - 1; if (perimeter_cb) { - start_x = 0; - end_x = grids.width(); + start_x = 0; + end_x = grids.width(); } size_t max_seg_length = grids.width() - 2; if (perimeter_cb) { - max_seg_length = grids.width(); + max_seg_length = grids.width(); } /* For X-direction Channel: CHANX */ @@ -1145,12 +1142,12 @@ static void load_chany_rr_nodes_basic_info(const RRGraphView& rr_graph, size_t start_y = 1; size_t end_y = grids.height() - 1; if (perimeter_cb) { - start_y = 0; - end_y = grids.height(); + start_y = 0; + end_y = grids.height(); } size_t max_seg_length = grids.height() - 2; if (perimeter_cb) { - max_seg_length = grids.height(); + max_seg_length = grids.height(); } /* For Y-direction Channel: CHANY */ diff --git a/vpr/src/timing/NetPinTimingInvalidator.h b/vpr/src/timing/NetPinTimingInvalidator.h index c76a075cb74..09badeecc53 100644 --- a/vpr/src/timing/NetPinTimingInvalidator.h +++ b/vpr/src/timing/NetPinTimingInvalidator.h @@ -9,7 +9,7 @@ #include "vtr_vec_id_set.h" #ifdef VPR_USE_TBB -# include +#include #endif /** Make NetPinTimingInvalidator a virtual class since it does nothing for the general case of non-incremental @@ -191,4 +191,4 @@ inline std::unique_ptr make_net_pin_timing_invalidator( return std::make_unique(net_list, clb_atom_pin_lookup, atom_nlist, atom_lookup, timing_info, is_flat); } -} \ No newline at end of file +} diff --git a/vpr/src/timing/PostClusterDelayCalculator.tpp b/vpr/src/timing/PostClusterDelayCalculator.tpp index 9c989cec03f..e112aae06e5 100644 --- a/vpr/src/timing/PostClusterDelayCalculator.tpp +++ b/vpr/src/timing/PostClusterDelayCalculator.tpp @@ -336,9 +336,9 @@ inline tatum::Time PostClusterDelayCalculator::atom_net_delay(const tatum::Timin VTR_ASSERT(src_pin != ParentPinId::INVALID()); VTR_ASSERT(sink_pin != ParentPinId::INVALID()); if (is_flat_) { - AtomNetId atom_src_net = g_vpr_ctx.atom().nlist.pin_net((AtomPinId&)src_pin); - VTR_ASSERT(atom_src_net == g_vpr_ctx.atom().nlist.pin_net((AtomPinId&)sink_pin)); - sink_net_pin_index = g_vpr_ctx.atom().nlist.pin_net_index((AtomPinId&)sink_pin); + AtomNetId atom_src_net = g_vpr_ctx.atom().netlist().pin_net((AtomPinId&)src_pin); + VTR_ASSERT(atom_src_net == g_vpr_ctx.atom().netlist().pin_net((AtomPinId&)sink_pin)); + sink_net_pin_index = g_vpr_ctx.atom().netlist().pin_net_index((AtomPinId&)sink_pin); tatum::Time net_delay = tatum::Time(inter_cluster_delay((ParentNetId&)atom_src_net, 0, sink_net_pin_index)); diff --git a/vpr/src/timing/PreClusterDelayCalculator.h b/vpr/src/timing/PreClusterDelayCalculator.h index a4177fd6126..069aaa13530 100644 --- a/vpr/src/timing/PreClusterDelayCalculator.h +++ b/vpr/src/timing/PreClusterDelayCalculator.h @@ -19,7 +19,7 @@ class PreClusterDelayCalculator : public tatum::DelayCalculator { PreClusterDelayCalculator(const AtomNetlist& netlist, const AtomLookup& netlist_lookup, float intercluster_net_delay, - const Prepacker& prepacker) + const Prepacker& prepacker) noexcept : netlist_(netlist) , netlist_lookup_(netlist_lookup) , inter_cluster_net_delay_(intercluster_net_delay) diff --git a/vpr/src/timing/PreClusterTimingGraphResolver.cpp b/vpr/src/timing/PreClusterTimingGraphResolver.cpp index c94d961c84f..d1d8c2d8754 100644 --- a/vpr/src/timing/PreClusterTimingGraphResolver.cpp +++ b/vpr/src/timing/PreClusterTimingGraphResolver.cpp @@ -28,7 +28,7 @@ std::string PreClusterTimingGraphResolver::node_type_name(tatum::NodeId node) co //Annotate primitive grid location, if known auto& atom_ctx = g_vpr_ctx.atom(); auto& block_locs = g_vpr_ctx.placement().block_locs(); - ClusterBlockId cb = atom_ctx.lookup.atom_clb(blk); + ClusterBlockId cb = atom_ctx.lookup().atom_clb(blk); if (cb && block_locs.count(cb)) { int x = block_locs[cb].loc.x; int y = block_locs[cb].loc.y; diff --git a/vpr/src/timing/PreClusterTimingManager.cpp b/vpr/src/timing/PreClusterTimingManager.cpp new file mode 100644 index 00000000000..ec3b6a44958 --- /dev/null +++ b/vpr/src/timing/PreClusterTimingManager.cpp @@ -0,0 +1,276 @@ +/** + * @file + * @author Alex Singer + * @date April 2025 + * @brief Implementation of the pre-cluster timing manager class. + */ + +#include "PreClusterTimingManager.h" +#include +#include +#include "PreClusterDelayCalculator.h" +#include "PreClusterTimingGraphResolver.h" +#include "SetupGrid.h" +#include "atom_lookup.h" +#include "atom_netlist.h" +#include "atom_netlist_fwd.h" +#include "concrete_timing_info.h" +#include "physical_types_util.h" +#include "prepack.h" +#include "tatum/TimingReporter.hpp" +#include "tatum/echo_writer.hpp" +#include "vpr_types.h" +#include "vtr_assert.h" + +/** + * Since the parameters of a switch may change as a function of its fanin, + * to get an estimation of inter-cluster delays we need a reasonable estimation + * of the fan-ins of switches that connect clusters together. These switches are + * 1) opin to wire switch + * 2) wire to wire switch + * 3) wire to ipin switch + * We can estimate the fan-in of these switches based on the Fc_in/Fc_out of + * a logic block, and the switch block Fs value + */ +static void get_intercluster_switch_fanin_estimates(const t_arch& arch, + const t_det_routing_arch& routing_arch, + const std::string& device_layout, + const int wire_segment_length, + int* opin_switch_fanin, + int* wire_switch_fanin, + int* ipin_switch_fanin); + +static float get_arch_switch_info(short switch_index, int switch_fanin, float& Tdel_switch, float& R_switch, float& Cout_switch); + +static float approximate_inter_cluster_delay(const t_arch& arch, + const t_det_routing_arch& routing_arch, + const std::string& device_layout); + +PreClusterTimingManager::PreClusterTimingManager(bool timing_driven, + const AtomNetlist& atom_netlist, + const AtomLookup& atom_lookup, + const Prepacker& prepacker, + e_timing_update_type timing_update_type, + const t_arch& arch, + const t_det_routing_arch& routing_arch, + const std::string& device_layout, + const t_analysis_opts& analysis_opts) { + + // If the flow is not timing driven, do not initialize any of the timing + // objects and set the valid flag to false. This allows this object to be + // passed through the VPR flow when timing is turned off. + if (!timing_driven) { + is_valid_ = false; + return; + } + is_valid_ = true; + + // Approximate the inter-cluster delay + // FIXME: This can probably be simplified. It can also be improved using + // AP information. + float inter_cluster_net_delay = approximate_inter_cluster_delay(arch, routing_arch, device_layout); + VTR_LOG("Using inter-cluster delay: %g\n", inter_cluster_net_delay); + + // Initialize the timing analyzer + clustering_delay_calc_ = std::make_shared(atom_netlist, + atom_lookup, + inter_cluster_net_delay, + prepacker); + timing_info_ = make_setup_timing_info(clustering_delay_calc_, timing_update_type); + + // Calculate the initial timing + timing_info_->update(); + + // Create the echo file if requested. + if (isEchoFileEnabled(E_ECHO_PRE_PACKING_TIMING_GRAPH)) { + auto& timing_ctx = g_vpr_ctx.timing(); + tatum::write_echo(getEchoFileName(E_ECHO_PRE_PACKING_TIMING_GRAPH), + *timing_ctx.graph, *timing_ctx.constraints, *clustering_delay_calc_, timing_info_->analyzer()); + + tatum::NodeId debug_tnode = id_or_pin_name_to_tnode(analysis_opts.echo_dot_timing_graph_node); + write_setup_timing_graph_dot(getEchoFileName(E_ECHO_PRE_PACKING_TIMING_GRAPH) + std::string(".dot"), + *timing_info_, debug_tnode); + } + + // Write a timing report. + { + auto& timing_ctx = g_vpr_ctx.timing(); + PreClusterTimingGraphResolver resolver(atom_netlist, + atom_lookup, + *timing_ctx.graph, + *clustering_delay_calc_); + resolver.set_detail_level(analysis_opts.timing_report_detail); + + tatum::TimingReporter timing_reporter(resolver, *timing_ctx.graph, + *timing_ctx.constraints); + + timing_reporter.report_timing_setup( + "pre_pack.report_timing.setup.rpt", + *timing_info_->setup_analyzer(), + analysis_opts.timing_report_npaths); + } +} + +static float approximate_inter_cluster_delay(const t_arch& arch, + const t_det_routing_arch& routing_arch, + const std::string& device_layout) { + + /* If needed, estimate inter-cluster delay. Assume the average routing hop goes out of + * a block through an opin switch to a length-4 wire, then through a wire switch to another + * length-4 wire, then through a wire-to-ipin-switch into another block. */ + constexpr int wire_segment_length = 4; + + /* We want to determine a reasonable fan-in to the opin, wire, and ipin switches, based + * on which the intercluster delays can be estimated. The fan-in of a switch influences its + * delay. + * + * The fan-in of the switch depends on the architecture (unidirectional/bidirectional), as + * well as Fc_in/out and Fs */ + int opin_switch_fanin, wire_switch_fanin, ipin_switch_fanin; + get_intercluster_switch_fanin_estimates(arch, routing_arch, device_layout, wire_segment_length, &opin_switch_fanin, + &wire_switch_fanin, &ipin_switch_fanin); + + float Tdel_opin_switch, R_opin_switch, Cout_opin_switch; + float opin_switch_del = get_arch_switch_info(arch.Segments[0].arch_opin_switch, opin_switch_fanin, + Tdel_opin_switch, R_opin_switch, Cout_opin_switch); + + float Tdel_wire_switch, R_wire_switch, Cout_wire_switch; + float wire_switch_del = get_arch_switch_info(arch.Segments[0].arch_wire_switch, wire_switch_fanin, + Tdel_wire_switch, R_wire_switch, Cout_wire_switch); + + float Tdel_wtoi_switch, R_wtoi_switch, Cout_wtoi_switch; + float wtoi_switch_del = get_arch_switch_info(routing_arch.wire_to_arch_ipin_switch, ipin_switch_fanin, + Tdel_wtoi_switch, R_wtoi_switch, Cout_wtoi_switch); + + float Rmetal = arch.Segments[0].Rmetal; + float Cmetal = arch.Segments[0].Cmetal; + + /* The delay of a wire with its driving switch is the switch delay plus the + * product of the equivalent resistance and capacitance experienced by the wire. */ + + float first_wire_seg_delay = opin_switch_del + + (R_opin_switch + Rmetal * (float)wire_segment_length / 2) + * (Cout_opin_switch + Cmetal * (float)wire_segment_length); + float second_wire_seg_delay = wire_switch_del + + (R_wire_switch + Rmetal * (float)wire_segment_length / 2) + * (Cout_wire_switch + Cmetal * (float)wire_segment_length); + + /* multiply by 4 to get a more conservative estimate */ + return 4 * (first_wire_seg_delay + second_wire_seg_delay + wtoi_switch_del); +} + +static float get_arch_switch_info(short switch_index, int switch_fanin, float& Tdel_switch, float& R_switch, float& Cout_switch) { + /* Fetches delay, resistance and output capacitance of the architecture switch at switch_index. + * Returns the total delay through the switch. Used to calculate inter-cluster net delay. */ + + /* The intrinsic delay may depend on fanin to the switch. If the delay map of a + * switch from the architecture file has multiple (#inputs, delay) entries, we + * interpolate/extrapolate to get the delay at 'switch_fanin'. */ + auto& device_ctx = g_vpr_ctx.device(); + + Tdel_switch = device_ctx.arch_switch_inf[switch_index].Tdel(switch_fanin); + R_switch = device_ctx.arch_switch_inf[switch_index].R; + Cout_switch = device_ctx.arch_switch_inf[switch_index].Cout; + + /* The delay through a loaded switch is its intrinsic (unloaded) + * delay plus the product of its resistance and output capacitance. */ + return Tdel_switch + R_switch * Cout_switch; +} + +static void get_intercluster_switch_fanin_estimates(const t_arch& arch, + const t_det_routing_arch& routing_arch, + const std::string& device_layout, + const int wire_segment_length, + int* opin_switch_fanin, + int* wire_switch_fanin, + int* ipin_switch_fanin) { + // W is unknown pre-packing, so *if* we need W here, we will assume a value of 100 + constexpr int W = 100; + + //Build a dummy 10x10 device to determine the 'best' block type to use + auto grid = create_device_grid(device_layout, arch.grid_layouts, 10, 10); + + auto type = find_most_common_tile_type(grid); + /* get Fc_in/out for most common block (e.g. logic blocks) */ + VTR_ASSERT(!type->fc_specs.empty()); + + //Estimate the maximum Fc_in/Fc_out + float Fc_in = 0.f; + float Fc_out = 0.f; + for (const t_fc_specification& fc_spec : type->fc_specs) { + float Fc = fc_spec.fc_value; + + if (fc_spec.fc_value_type == e_fc_value_type::ABSOLUTE) { + //Convert to estimated fractional + Fc /= W; + } + VTR_ASSERT_MSG(Fc >= 0 && Fc <= 1., "Fc should be fractional"); + + for (int ipin : fc_spec.pins) { + e_pin_type pin_type = get_pin_type_from_pin_physical_num(type, ipin); + + if (pin_type == DRIVER) { + Fc_out = std::max(Fc, Fc_out); + } else { + VTR_ASSERT(pin_type == RECEIVER); + Fc_in = std::max(Fc, Fc_in); + } + } + } + + /* Estimates of switch fan-in are done as follows: + * 1) opin to wire switch: + * 2 CLBs connect to a channel, each with #opins/4 pins. Each pin has Fc_out*W + * switches, and then we assume the switches are distributed evenly over the W wires. + * In the unidirectional case, all these switches are then crammed down to W/wire_segment_length wires. + * + * Unidirectional: 2 * #opins_per_side * Fc_out * wire_segment_length + * Bidirectional: 2 * #opins_per_side * Fc_out + * + * 2) wire to wire switch + * A wire segment in a switchblock connects to Fs other wires. Assuming these connections are evenly + * distributed, each target wire receives Fs connections as well. In the unidirectional case, + * source wires can only connect to W/wire_segment_length wires. + * + * Unidirectional: Fs * wire_segment_length + * Bidirectional: Fs + * + * 3) wire to ipin switch + * An input pin of a CLB simply receives Fc_in connections. + * + * Unidirectional: Fc_in + * Bidirectional: Fc_in + */ + + /* Fan-in to opin/ipin/wire switches depends on whether the architecture is unidirectional/bidirectional */ + (*opin_switch_fanin) = 2.f * type->num_drivers / 4.f * Fc_out; + (*wire_switch_fanin) = routing_arch.Fs; + (*ipin_switch_fanin) = Fc_in; + if (routing_arch.directionality == UNI_DIRECTIONAL) { + /* adjustments to opin-to-wire and wire-to-wire switch fan-ins */ + (*opin_switch_fanin) *= wire_segment_length; + (*wire_switch_fanin) *= wire_segment_length; + } else if (routing_arch.directionality == BI_DIRECTIONAL) { + /* no adjustments need to be made here */ + } else { + VPR_FATAL_ERROR(VPR_ERROR_PACK, "Unrecognized directionality: %d\n", + (int)routing_arch.directionality); + } +} + +float PreClusterTimingManager::calc_atom_setup_criticality(AtomBlockId blk_id, + const AtomNetlist& atom_netlist) const { + VTR_ASSERT_SAFE_MSG(is_valid_, + "PreClusterTimingManager has not been initialized"); + VTR_ASSERT_SAFE_MSG(blk_id.is_valid(), + "Invalid block ID"); + + float crit = 0.0f; + for (AtomPinId in_pin : atom_netlist.block_input_pins(blk_id)) { + // Max criticality over incoming nets + float pin_crit = timing_info_->setup_pin_criticality(in_pin); + crit = std::max(crit, pin_crit); + } + + return crit; +} diff --git a/vpr/src/timing/PreClusterTimingManager.h b/vpr/src/timing/PreClusterTimingManager.h new file mode 100644 index 00000000000..f76489b8ee9 --- /dev/null +++ b/vpr/src/timing/PreClusterTimingManager.h @@ -0,0 +1,108 @@ +/** + * @file + * @author Alex Singer + * @date April 2025 + * @brief Manager class for pre-cluster (primitive-level) timing analysis. + */ + +#pragma once + +#include +#include +#include "vpr_types.h" +#include "vtr_assert.h" + +// Forward declarations. +class AtomLookup; +class AtomNetlist; +class PreClusterDelayCalculator; +class Prepacker; +class SetupTimingInfo; + +/** + * @brief Pre-cluster timing manager class. + * + * This class encapsulates the timing computations used prior to clustering. + * This maintains all of the state necessary to perform these timing computations. + */ +class PreClusterTimingManager { + public: + /** + * @brief Constructor for the manager class. + * + * If timing_driven is set to true, this constructor will perform a setup + * timing analysis with a pre-clustered delay model. The delay model uses + * the primitive delays specified in the architecture file and a simple + * estimate of routing (a typical routing delay based on the wire delays + * found in the architecture, and more specific delays for direct connections + * like carry chains whose use we already know from the pre-packing). + * + * @param timing_driven + * Whether this class should compute timing information or not. This + * may seem counter-intuitive, but this class still needs to exist + * even if timing is turned off. This will not initialize anything + * and set the valid flag to false if we are not timing driven. + * @param atom_netlist + * The primitive netlist to perform timing analysis over. + * @param atom_lookup + * A lookup between the primitives and their timing nodes. + * @param prepacker + * The prepacker object used to prepack primitives into molecules. + * @param timing_update_type + * The type of timing update this class should perform. + * @param arch + * The architecture. + * @param routing_arch + * The routing architecture. + * @param analysis opts + * Options for the timing analysis in VPR. + */ + PreClusterTimingManager(bool timing_driven, + const AtomNetlist& atom_netlist, + const AtomLookup& atom_lookup, + const Prepacker& prepacker, + e_timing_update_type timing_update_type, + const t_arch& arch, + const t_det_routing_arch& routing_arch, + const std::string& device_layout, + const t_analysis_opts& analysis_opts); + + /** + * @brief Calculates the setup criticality of the given primitive block. + * + * Currently defined as the maximum criticality over the block inputs. + */ + float calc_atom_setup_criticality(AtomBlockId blk_id, + const AtomNetlist& atom_netlist) const; + + /** + * @brief Returns whether or not the pre-cluster timing manager was + * initialized (i.e. timing information can be computed). + */ + bool is_valid() const { + return is_valid_; + } + + /** + * @brief Get a reference to the setup timing info. + */ + const SetupTimingInfo& get_timing_info() const { + VTR_ASSERT_SAFE_MSG(is_valid_, + "Timing manager has not been initialized"); + return *timing_info_; + } + + private: + /// @brief A valid flag used to signify if the pre-cluster timing manager + /// class has been initialized or not. For example, if the flow is + /// not timing-driven, then this class will just be a shell which + /// should not have any timing information (but the object exists). + bool is_valid_; + + /// @brief The delay calculator used for computing timing. + std::shared_ptr clustering_delay_calc_; + + /// @brief The setup timing info used for getting the timing of edges + /// in the timing graph. + std::shared_ptr timing_info_; +}; diff --git a/vpr/src/timing/VprTimingGraphResolver.cpp b/vpr/src/timing/VprTimingGraphResolver.cpp index fa5dc1ae960..791615e5585 100644 --- a/vpr/src/timing/VprTimingGraphResolver.cpp +++ b/vpr/src/timing/VprTimingGraphResolver.cpp @@ -34,7 +34,7 @@ std::string VprTimingGraphResolver::node_type_name(tatum::NodeId node) const { //Annotate primitive grid location, if known auto& atom_ctx = g_vpr_ctx.atom(); auto& block_locs = blk_loc_registry_.block_locs(); - ClusterBlockId cb = atom_ctx.lookup.atom_clb(blk); + ClusterBlockId cb = atom_ctx.lookup().atom_clb(blk); if (cb && block_locs.count(cb)) { int x = block_locs[cb].loc.x; int y = block_locs[cb].loc.y; @@ -174,11 +174,11 @@ std::vector VprTimingGraphResolver::interconnect_delay_br tatum::Time sink_clb_delay; if (is_flat_) { - AtomNetId tmp_atom_net = atom_ctx.nlist.pin_net((AtomPinId&)src_pin); - VTR_ASSERT(tmp_atom_net == atom_ctx.nlist.pin_net((AtomPinId&)sink_pin)); + AtomNetId tmp_atom_net = atom_ctx.netlist().pin_net((AtomPinId&)src_pin); + VTR_ASSERT(tmp_atom_net == atom_ctx.netlist().pin_net((AtomPinId&)sink_pin)); - AtomBlockId tmp_atom_src_block = atom_ctx.nlist.pin_block((AtomPinId&)src_pin); - AtomBlockId tmp_atom_sink_block = atom_ctx.nlist.pin_block((AtomPinId&)sink_pin); + AtomBlockId tmp_atom_src_block = atom_ctx.netlist().pin_block((AtomPinId&)src_pin); + AtomBlockId tmp_atom_sink_block = atom_ctx.netlist().pin_block((AtomPinId&)sink_pin); src_blk = (ParentBlockId&)tmp_atom_src_block; sink_blk = (ParentBlockId&)tmp_atom_sink_block; @@ -188,7 +188,7 @@ std::vector VprTimingGraphResolver::interconnect_delay_br driver_clb_delay = tatum::Time(0); sink_clb_delay = tatum::Time(0); - sink_net_pin_index = g_vpr_ctx.atom().nlist.pin_net_index((AtomPinId&)sink_pin); + sink_net_pin_index = g_vpr_ctx.atom().netlist().pin_net_index((AtomPinId&)sink_pin); } else { ClusterNetId tmp_cluster_net = cluster_ctx.clb_nlist.pin_net((ClusterPinId&)src_pin); @@ -218,7 +218,7 @@ std::vector VprTimingGraphResolver::interconnect_delay_br //driver_component.inst_name = cluster_ctx.clb_nlist.block_name(src_blk); driver_component.type_name = "intra '"; if (is_flat_) { - const t_pb* atom_pb = atom_ctx.lookup.atom_pb((AtomBlockId&)src_blk); + const t_pb* atom_pb = atom_ctx.lookup().atom_pb_bimap().atom_pb((AtomBlockId&)src_blk); driver_component.type_name += (std::string(atom_pb->name) + "(" + atom_pb->hierarchical_type_name() + ")"); } else { driver_component.type_name += cluster_ctx.clb_nlist.block_type((ClusterBlockId&)src_blk)->name; @@ -263,7 +263,7 @@ std::vector VprTimingGraphResolver::interconnect_delay_br //sink_component.inst_name = cluster_ctx.clb_nlist.block_name(sink_blk); sink_component.type_name = "intra '"; if (is_flat_) { - sink_component.type_name += atom_ctx.lookup.atom_pb((AtomBlockId&)sink_blk)->name; + sink_component.type_name += atom_ctx.lookup().atom_pb_bimap().atom_pb((AtomBlockId&)sink_blk)->name; } else { sink_component.type_name += cluster_ctx.clb_nlist.block_type((ClusterBlockId&)sink_blk)->name; } @@ -283,8 +283,8 @@ void VprTimingGraphResolver::set_detail_level(e_timing_report_detail report_deta } void VprTimingGraphResolver::get_detailed_interconnect_components(std::vector& components, ParentNetId net_id, ParentPinId sink_pin) const { - /* This routine obtains the interconnect components such as: OPIN, CHANX, CHANY, IPIN which join - * two intra-block clusters in two parts. In part one, we construct the route tree + /* This routine obtains the interconnect components such as: OPIN, CHANX, CHANY, IPIN which join + * two intra-block clusters in two parts. In part one, we construct the route tree * from the traceback and computes its value for R, C, and Tdel. Next, we find the pointer to * the route tree sink which corresponds to the sink_pin. In part two, we call the helper function, * which walks the route tree from the sink to the source. Along the way, we process each node @@ -295,7 +295,7 @@ void VprTimingGraphResolver::get_detailed_interconnect_components(std::vector&)g_vpr_ctx.atom().nlist : (const Netlist<>&)g_vpr_ctx.clustering().clb_nlist; + auto& netlist = is_flat_ ? (const Netlist<>&)g_vpr_ctx.atom().netlist() : (const Netlist<>&)g_vpr_ctx.clustering().clb_nlist; int ipin = netlist.pin_net_index(sink_pin); RRNodeId sink_rr_inode = RRNodeId(route_ctx.net_rr_terminals[net_id][ipin]); //obtain the value of the routing resource sink diff --git a/vpr/src/timing/concrete_timing_info.h b/vpr/src/timing/concrete_timing_info.h index ce02e2abe90..d534e597c70 100644 --- a/vpr/src/timing/concrete_timing_info.h +++ b/vpr/src/timing/concrete_timing_info.h @@ -28,7 +28,7 @@ class ConcreteSetupTimingInfo : public SetupTimingInfo { , timing_constraints_(timing_constraints_v) , delay_calc_(delay_calc) , setup_analyzer_(analyzer_v) - , slack_crit_(g_vpr_ctx.atom().nlist, g_vpr_ctx.atom().lookup) { + , slack_crit_(g_vpr_ctx.atom().netlist(), g_vpr_ctx.atom().lookup()) { //pass } @@ -184,7 +184,7 @@ class ConcreteHoldTimingInfo : public HoldTimingInfo { , timing_constraints_(timing_constraints_v) , delay_calc_(delay_calc) , hold_analyzer_(analyzer_v) - , slack_crit_(g_vpr_ctx.atom().nlist, g_vpr_ctx.atom().lookup) { + , slack_crit_(g_vpr_ctx.atom().netlist(), g_vpr_ctx.atom().lookup()) { //pass } diff --git a/vpr/src/timing/read_sdc.cpp b/vpr/src/timing/read_sdc.cpp index 202a3cfe6e0..e8db27b9a28 100644 --- a/vpr/src/timing/read_sdc.cpp +++ b/vpr/src/timing/read_sdc.cpp @@ -1,5 +1,6 @@ #include "read_sdc.h" +#include #include #include "vtr_log.h" @@ -741,13 +742,14 @@ class SdcParseCallback : public sdcparse::Callback { VTR_ASSERT_MSG(capture_clock.period >= 0., "Clock period must be positive"); float constraint = std::numeric_limits::quiet_NaN(); - - if (std::fabs(launch_clock.period - capture_clock.period) < EPSILON && std::fabs(launch_clock.rise_edge - capture_clock.rise_edge) < EPSILON && std::fabs(launch_clock.fall_edge - capture_clock.fall_edge) < EPSILON) { + if (vtr::isclose(launch_clock.period, capture_clock.period) + && vtr::isclose(launch_clock.rise_edge, capture_clock.rise_edge) + && vtr::isclose(launch_clock.fall_edge, capture_clock.fall_edge)) { //The source and sink domains have the same period and edges, the constraint is the common clock period. constraint = launch_clock.period; - } else if (launch_clock.period < EPSILON || capture_clock.period < EPSILON) { + } else if (vtr::isclose(launch_clock.period, 0.0) || vtr::isclose(capture_clock.period, 0.0)) { //If either period is 0, the constraint is 0 constraint = 0.; diff --git a/vpr/src/timing/slack_evaluation.cpp b/vpr/src/timing/slack_evaluation.cpp index 464bc4bc031..7d14d50c201 100644 --- a/vpr/src/timing/slack_evaluation.cpp +++ b/vpr/src/timing/slack_evaluation.cpp @@ -8,9 +8,9 @@ #include "vtr_time.h" #if defined(VPR_USE_TBB) -# include -# include -# include +#include +#include +#include #endif template diff --git a/vpr/src/timing/timing_graph_builder.cpp b/vpr/src/timing/timing_graph_builder.cpp index 49534b9d380..78bbbe63ba2 100644 --- a/vpr/src/timing/timing_graph_builder.cpp +++ b/vpr/src/timing/timing_graph_builder.cpp @@ -7,23 +7,23 @@ * The Timing Graph is a directed acyclic graph (DAG) consisting of nodes and edges: * - Nodes: represent netlist pins (tatum::IPIN, tatum::OPIN) and logical sources/ * sinks like primary inputs/outpus, flip-flops and clock generators (tatum::SOURCE, - * tatum::SINK). + * tatum::SINK). * * Note that tatum::SOURCE/tatum::SINK represent the start/end of * a timing path. As a result tatum::SOURCE's hould never have input edges (except - * perhaps from a tatum::CPIN if it is a sequential source), and tatum::SINKS's + * perhaps from a tatum::CPIN if it is a sequential source), and tatum::SINKS's * should never have output edges. * - * - Edges: represent the timing dependences between nodes in the timing graph. - * These correspond to net connections, combinational paths inside primitives, + * - Edges: represent the timing dependences between nodes in the timing graph. + * These correspond to net connections, combinational paths inside primitives, * clock-to-q, and setup/hold constraints for sequential elements. * - * The timing graph is constructed by first creating timing sub-graphs corresponding to - * each primitive block in the AtomNetlist. Each sub-graph contains the timing graph + * The timing graph is constructed by first creating timing sub-graphs corresponding to + * each primitive block in the AtomNetlist. Each sub-graph contains the timing graph * nodes required to represent the primitive, and all internal timing graph edges (i.e. * those completely contained within the primitive). * - * Next timing graph edges corresponding to nets in the netlist (i.e. connections between + * Next timing graph edges corresponding to nets in the netlist (i.e. connections between * netlist primitives) are created to "stitch" the sub-graph together. This results in a * timing graph corresponding to the netlist. * @@ -31,18 +31,18 @@ * Modelling Primitive Combinational and Sequential Logic as a Timing Graph * ----------------------------------------------------------------------- * - * Consider the architectural primitive block below, which contains two - * sequential elements 'A' and 'B' (controlled by the primitive input + * Consider the architectural primitive block below, which contains two + * sequential elements 'A' and 'B' (controlled by the primitive input * pin 'clk'), and two clouds of combinational logic 'C' and 'D'. * - * The combinational logic 'D' is driven by primtive input 'e' and + * The combinational logic 'D' is driven by primtive input 'e' and * drives primitive output pin 'g'. * * The combinational logic 'C' is driven by the sequential element 'A' and - * primitive input pin 'e'; it then drives the input of the sequential + * primitive input pin 'e'; it then drives the input of the sequential * element 'B'. * - * Sequential element 'A' is driven by primitive input 'f', and sequential + * Sequential element 'A' is driven by primitive input 'f', and sequential * element 'B' drives primitive output pin 'h'. * * +---------------------------------------+ @@ -50,7 +50,7 @@ * | --- | * | / \ | * e --->-----------------+----->| D |-------->|--> g - * | | \ / | + * | | \ / | * | | --- | * | v ^ | * | --- | | @@ -82,9 +82,9 @@ * * which all must be modelled by the timing graph: * - * Modelling combinational logic is simple, and only requires that there is - * a timing graph edge (tedge) between the corresponding timing graph nodes - * (STA only considers the topological structure of the netlist, not it's + * Modelling combinational logic is simple, and only requires that there is + * a timing graph edge (tedge) between the corresponding timing graph nodes + * (STA only considers the topological structure of the netlist, not it's * logic functionality). * * For instance, to model the combinational logic 'D', we use two tnodes as @@ -94,12 +94,12 @@ * --->| IPIN e |------->| OPIN g |---> * +--------+ +--------+ * - * where 'IPIN e' and 'OPIN g' respectively corresponds to the primitive + * where 'IPIN e' and 'OPIN g' respectively corresponds to the primitive * input 'e' and output 'g' and the edge between them the timing dependency * through the combinational logic 'D'. * * - * To model a sequential element like 'B', we use three timing graph + * To model a sequential element like 'B', we use three timing graph * nodes as follows: * * +--------+ +--------+ @@ -113,13 +113,13 @@ * --->| CPIN | * +--------+ * - * Where 'SINK B' represents the data input of sequential element 'B' (e.g. - * Flip-Flop D pin), 'SRC B' the data output (SOURCE) of sequential element - * 'B' (e.g. Flip-Flop Q pin), and 'CPIN' the clock input pin of sequential + * Where 'SINK B' represents the data input of sequential element 'B' (e.g. + * Flip-Flop D pin), 'SRC B' the data output (SOURCE) of sequential element + * 'B' (e.g. Flip-Flop Q pin), and 'CPIN' the clock input pin of sequential * element 'B'. * * - * Following the above transformations, we arrive at the following timing graph + * Following the above transformations, we arrive at the following timing graph * structure, which corresponds to the architectural primitive described above: * * +--------+ +--------+ @@ -145,9 +145,9 @@ * | |------------------------------+ | * --->| CPIN clk | | * | |-----------------------------------------------+ - * +----------+ + * +----------+ * - * Note that we have also used only a single CPIN for both 'A' and 'B' (since + * Note that we have also used only a single CPIN for both 'A' and 'B' (since * they are controlled by the same clock). * * @@ -156,29 +156,29 @@ * ---------------------------------------------------- * * VPR does not directly model the intenals of netlist primitives (e.g. internal - * sequential elements like 'A' or 'B' above). Instead, various attributes are + * sequential elements like 'A' or 'B' above). Instead, various attributes are * tagged on the pins of the primitive which indicate: * - whether a pin is sequential, combinational or a clock - * - whether the pin is combinationally connected to another pin + * - whether the pin is combinationally connected to another pin * within the primitive. - * + * * Mostly there is a one-to-one correspondance between netlist pins and tnodes, * the only exception is for sequential-sequential connections within a primtive * (e.g. the fully internal 'A' to 'B' timing path above). * * As a result we make a distinction between tnodes which are strictly "internal" * to a primitive, and those which are "external" (i.e. connect to tnodes outside - * the primitive). Note that this distinction is just a labelling which is only - * relevant to how VPR tracks the relation between tnodes and netlist pins. It - * has no effect on the timing analysis result (which only depends on the - * structure of the timing graph). Most of VPR doesn't care about the internal + * the primitive). Note that this distinction is just a labelling which is only + * relevant to how VPR tracks the relation between tnodes and netlist pins. It + * has no effect on the timing analysis result (which only depends on the + * structure of the timing graph). Most of VPR doesn't care about the internal * timing paths, as they are typically uneffected by any of VPR's optimization - * choices. Therefore most of VPR only considers "external" tnodes when mapping + * choices. Therefore most of VPR only considers "external" tnodes when mapping * between netlist pins and tnodes. Of course we record both so the parts of VPR * which *do* care about them (e.g. the code here, and in the delay calculator) * can figure out the correct mapping. * - * As a result the timing graph we build (for the primitive example above) is + * As a result the timing graph we build (for the primitive example above) is * described within VPR as: * * +------------+ +------------+ @@ -207,12 +207,12 @@ * | CPIN clk |--------------------------------+ | * --->| | | * | (external) |--------------------------------------------------+ - * +------------+ + * +------------+ * - * Where each pin in the netlist corresponds to an "external" tnode, but the - * sequential netlist pins 'f' and 'h' have additional "internal" tnodes + * Where each pin in the netlist corresponds to an "external" tnode, but the + * sequential netlist pins 'f' and 'h' have additional "internal" tnodes * corresponding to their respective data outputs/inputs within the primitive. - * Also note that combinational pins are makred as both internal and external + * Also note that combinational pins are makred as both internal and external * for convenience (i.e. both map to the same tnode). * */ @@ -371,9 +371,9 @@ void TimingGraphBuilder::add_block_to_timing_graph(const AtomBlockId blk) { * Once all nodes have been created the edges are added between them according * to what was specified in the architecture file models. * - * Note that to minimize the size of the timing graph we only create tnodes and - * edges where they actually exist within the netlist. This means we do not create - * tnodes or tedges to/from pins which are disconnected in the netlist (even if + * Note that to minimize the size of the timing graph we only create tnodes and + * edges where they actually exist within the netlist. This means we do not create + * tnodes or tedges to/from pins which are disconnected in the netlist (even if * they exist in the architecture). * * diff --git a/vpr/src/timing/timing_graph_builder.h b/vpr/src/timing/timing_graph_builder.h index 92de6afdf3d..1f1624eed81 100644 --- a/vpr/src/timing/timing_graph_builder.h +++ b/vpr/src/timing/timing_graph_builder.h @@ -6,14 +6,14 @@ #include "atom_lookup.h" /* - * Class for constructing a Timing Graph (a tatum::TimingGraph, for use with the Tatum - * STA engine) from the provided AtomNetlist. It also updates the provided AtomLookup + * Class for constructing a Timing Graph (a tatum::TimingGraph, for use with the Tatum + * STA engine) from the provided AtomNetlist. It also updates the provided AtomLookup * with the mapping from netlist elements to timing graph nodes. * - * To construct a timing graph initialize this class with the netlist and lookup + * To construct a timing graph initialize this class with the netlist and lookup * (via constructor), and call the timing_graph() method. * - * For details on how the timing graph is constructed from the netlist, see the comments + * For details on how the timing graph is constructed from the netlist, see the comments * in the associated .cpp implementation file. */ class TimingGraphBuilder { diff --git a/vpr/src/timing/timing_util.cpp b/vpr/src/timing/timing_util.cpp index e51fadf5d42..94191667499 100644 --- a/vpr/src/timing/timing_util.cpp +++ b/vpr/src/timing/timing_util.cpp @@ -485,20 +485,20 @@ float find_total_negative_slack_within_clb_blocks(const tatum::HoldTimingAnalyze VTR_ASSERT(origin_node); /*Retrieve the source and sink clb blocks corresponding to these timing nodes*/ - AtomPinId origin_pin = atom_ctx.lookup.tnode_atom_pin(origin_node); - AtomPinId pin = atom_ctx.lookup.tnode_atom_pin(node); + AtomPinId origin_pin = atom_ctx.lookup().tnode_atom_pin(origin_node); + AtomPinId pin = atom_ctx.lookup().tnode_atom_pin(node); VTR_ASSERT(origin_pin); VTR_ASSERT(pin); - AtomBlockId atom_src_block = atom_ctx.nlist.pin_block(origin_pin); - AtomBlockId atom_sink_block = atom_ctx.nlist.pin_block(pin); + AtomBlockId atom_src_block = atom_ctx.netlist().pin_block(origin_pin); + AtomBlockId atom_sink_block = atom_ctx.netlist().pin_block(pin); - ClusterBlockId clb_src_block = atom_ctx.lookup.atom_clb(atom_src_block); + ClusterBlockId clb_src_block = atom_ctx.lookup().atom_clb(atom_src_block); VTR_ASSERT(clb_src_block); - ClusterBlockId clb_sink_block = atom_ctx.lookup.atom_clb(atom_sink_block); + ClusterBlockId clb_sink_block = atom_ctx.lookup().atom_clb(atom_sink_block); VTR_ASSERT(clb_sink_block); - const t_pb_graph_pin* sink_gpin = atom_ctx.lookup.atom_pin_pb_graph_pin(pin); + const t_pb_graph_pin* sink_gpin = atom_ctx.lookup().atom_pin_pb_graph_pin(pin); VTR_ASSERT(sink_gpin); int sink_pb_route_id = sink_gpin->pin_count_in_cluster; @@ -843,13 +843,13 @@ tatum::NodeId id_or_pin_name_to_tnode(const std::string& pin_name_or_tnode) { tatum::NodeId pin_name_to_tnode(const std::string& pin_name) { auto& atom_ctx = g_vpr_ctx.atom(); - AtomPinId pin = atom_ctx.nlist.find_pin(pin_name); + AtomPinId pin = atom_ctx.netlist().find_pin(pin_name); if (!pin) { VPR_THROW(VPR_ERROR_ATOM_NETLIST, "Failed to find pin named '%s'\n", pin_name.c_str()); } - tatum::NodeId tnode = atom_ctx.lookup.atom_pin_tnode(pin); + tatum::NodeId tnode = atom_ctx.lookup().atom_pin_tnode(pin); if (!tnode) { VPR_THROW(VPR_ERROR_TIMING, "Failed to find tnode for pin '%s' (pin: %zu)\n", pin_name.c_str(), size_t(pin)); diff --git a/vpr/src/util/vpr_utils.cpp b/vpr/src/util/vpr_utils.cpp index 447404067b3..853725c5bb9 100644 --- a/vpr/src/util/vpr_utils.cpp +++ b/vpr/src/util/vpr_utils.cpp @@ -4,11 +4,10 @@ #include #include "pack_types.h" -#include "prepack.h" +#include "physical_types_util.h" #include "vpr_context.h" #include "vtr_assert.h" #include "vtr_log.h" -#include "vtr_memory.h" #include "vpr_types.h" #include "vpr_error.h" @@ -19,7 +18,6 @@ #include "cluster_placement.h" #include "device_grid.h" #include "user_route_constraints.h" -#include "placer_state.h" #include "grid_block.h" /* This module contains subroutines that are used in several unrelated parts * @@ -42,6 +40,8 @@ static AtomPinId find_atom_pin_for_pb_route_id(ClusterBlockId clb, int pb_route_ static bool block_type_contains_blif_model(t_logical_block_type_ptr type, const std::regex& blif_model_regex); static bool pb_type_contains_blif_model(const t_pb_type* pb_type, const std::regex& blif_model_regex); +static t_pb_graph_pin** alloc_and_load_pb_graph_pin_lookup_from_index(t_logical_block_type_ptr type); +static void free_pb_graph_pin_lookup_from_index(t_pb_graph_pin** pb_graph_pin_lookup_from_type); /******************** Subroutine definitions *********************************/ @@ -214,7 +214,7 @@ AtomPinId find_clb_pin_driver_atom_pin(ClusterBlockId clb, int logical_pin, cons AtomPinId atom_pin = find_atom_pin_for_pb_route_id(clb, pb_pin_id, pb_gpin_lookup); VTR_ASSERT(atom_pin); - VTR_ASSERT_MSG(atom_ctx.nlist.pin_net(atom_pin) == atom_net, "Driver atom pin should drive the same net"); + VTR_ASSERT_MSG(atom_ctx.netlist().pin_net(atom_pin) == atom_net, "Driver atom pin should drive the same net"); return atom_pin; } @@ -244,7 +244,7 @@ std::vector find_clb_pin_sink_atom_pins(ClusterBlockId clb, int logic AtomPinId atom_pin = find_atom_pin_for_pb_route_id(clb, sink_pb_pin, pb_gpin_lookup); VTR_ASSERT(atom_pin); - VTR_ASSERT_MSG(atom_ctx.nlist.pin_net(atom_pin) == atom_net, "Sink atom pins should be driven by the same net"); + VTR_ASSERT_MSG(atom_ctx.netlist().pin_net(atom_pin) == atom_net, "Sink atom pins should be driven by the same net"); sink_atom_pins.push_back(atom_pin); } @@ -300,12 +300,12 @@ static AtomPinId find_atom_pin_for_pb_route_id(ClusterBlockId clb, int pb_route_ //It is a leaf, and hence should map to an atom //Find the associated atom - AtomBlockId atom_block = atom_ctx.lookup.pb_atom(child_pb); + AtomBlockId atom_block = atom_ctx.lookup().atom_pb_bimap().pb_atom(child_pb); VTR_ASSERT(atom_block); //Now find the matching pin by seeing which pin maps to the gpin - for (AtomPinId atom_pin : atom_ctx.nlist.block_pins(atom_block)) { - const t_pb_graph_pin* atom_pin_gpin = atom_ctx.lookup.atom_pin_pb_graph_pin(atom_pin); + for (AtomPinId atom_pin : atom_ctx.netlist().block_pins(atom_block)) { + const t_pb_graph_pin* atom_pin_gpin = atom_ctx.lookup().atom_pin_pb_graph_pin(atom_pin); if (atom_pin_gpin == gpin) { //Match return atom_pin; @@ -413,7 +413,7 @@ t_physical_tile_type_ptr physical_tile_type(t_pl_loc loc) { } t_physical_tile_type_ptr physical_tile_type(AtomBlockId atom_blk) { - auto& atom_look_up = g_vpr_ctx.atom().lookup; + auto& atom_look_up = g_vpr_ctx.atom().lookup(); auto& block_locs = g_vpr_ctx.placement().block_locs(); ClusterBlockId cluster_blk = atom_look_up.atom_clb(atom_blk); @@ -512,12 +512,12 @@ t_class_range get_class_range_for_block(const ClusterBlockId blk_id) { } t_class_range get_class_range_for_block(const AtomBlockId atom_blk) { - auto& atom_look_up = g_vpr_ctx.atom().lookup; + auto& atom_look_up = g_vpr_ctx.atom().lookup(); ClusterBlockId cluster_blk = atom_look_up.atom_clb(atom_blk); auto [physical_tile, sub_tile, sub_tile_cap, logical_block] = get_cluster_blk_physical_spec(cluster_blk); - const t_pb_graph_node* pb_graph_node = atom_look_up.atom_pb_graph_node(atom_blk); + const t_pb_graph_node* pb_graph_node = atom_look_up.atom_pb_bimap().atom_pb_graph_node(atom_blk); VTR_ASSERT(pb_graph_node != nullptr); return get_pb_graph_node_class_physical_range(physical_tile, sub_tile, @@ -569,7 +569,7 @@ t_block_loc get_block_loc(const ParentBlockId& block_id, bool is_flat) { if (is_flat) { AtomBlockId atom_block_id = convert_to_atom_block_id(block_id); - auto& atom_look_up = g_vpr_ctx.atom().lookup; + auto& atom_look_up = g_vpr_ctx.atom().lookup(); cluster_block_id = atom_look_up.atom_clb(atom_block_id); } else { cluster_block_id = convert_to_cluster_block_id(block_id); @@ -706,7 +706,7 @@ InstPort parse_inst_port(const std::string& str) { VPR_FATAL_ERROR(VPR_ERROR_ARCH, "Failed to find block type named %s", inst_port.instance_name().c_str()); } - int num_pins = find_tile_port_by_name(blk_type, inst_port.port_name().c_str()).num_pins; + int num_pins = find_tile_port_by_name(blk_type, inst_port.port_name()).num_pins; if (num_pins == OPEN) { VPR_FATAL_ERROR(VPR_ERROR_ARCH, "Failed to find port %s on block type %s", inst_port.port_name().c_str(), inst_port.instance_name().c_str()); @@ -830,12 +830,12 @@ bool primitive_type_feasible(const AtomBlockId blk_id, const t_pb_type* cur_pb_t } auto& atom_ctx = g_vpr_ctx.atom(); - if (cur_pb_type->model != atom_ctx.nlist.block_model(blk_id)) { + if (cur_pb_type->model != atom_ctx.netlist().block_model(blk_id)) { //Primitive and atom do not match return false; } - VTR_ASSERT_MSG(atom_ctx.nlist.is_compressed(), "This function assumes a compressed/non-dirty netlist"); + VTR_ASSERT_MSG(atom_ctx.netlist().is_compressed(), "This function assumes a compressed/non-dirty netlist"); //Keep track of how many atom ports were checked. // @@ -851,13 +851,13 @@ bool primitive_type_feasible(const AtomBlockId blk_id, const t_pb_type* cur_pb_t const t_model_ports* pb_model_port = pb_port->model_port; //Find the matching port on the atom - auto port_id = atom_ctx.nlist.find_atom_port(blk_id, pb_model_port); + auto port_id = atom_ctx.netlist().find_atom_port(blk_id, pb_model_port); if (port_id) { //Port is used by the atom //In compressed form the atom netlist stores only in-use pins, //so we can query the number of required pins directly - int required_atom_pins = atom_ctx.nlist.port_pins(port_id).size(); + int required_atom_pins = atom_ctx.netlist().port_pins(port_id).size(); int available_pb_pins = pb_port->num_pins; @@ -874,7 +874,7 @@ bool primitive_type_feasible(const AtomBlockId blk_id, const t_pb_type* cur_pb_t //Similarly to pins, only in-use ports are stored in the compressed //atom netlist, so we can figure out how many ports should have been //checked directly - size_t atom_ports = atom_ctx.nlist.block_ports(blk_id).size(); + size_t atom_ports = atom_ctx.netlist().block_ports(blk_id).size(); //See if all the atom ports were checked if (checked_ports != atom_ports) { @@ -889,8 +889,7 @@ bool primitive_type_feasible(const AtomBlockId blk_id, const t_pb_type* cur_pb_t //Returns the sibling atom of a memory slice pb // Note that the pb must be part of a MEMORY_CLASS -AtomBlockId find_memory_sibling(const t_pb* pb) { - auto& atom_ctx = g_vpr_ctx.atom(); +const t_pb* find_memory_sibling(const t_pb* pb) { const t_pb_type* pb_type = pb->pb_graph_node->pb_type; @@ -902,10 +901,10 @@ AtomBlockId find_memory_sibling(const t_pb* pb) { const t_pb* sibling_pb = &memory_class_pb->child_pbs[pb->mode][isibling]; if (sibling_pb->name != nullptr) { - return atom_ctx.lookup.pb_atom(sibling_pb); + return sibling_pb; } } - return AtomBlockId::INVALID(); + return nullptr; } /** @@ -963,11 +962,11 @@ AtomPinId find_atom_pin(ClusterBlockId blk_id, const t_pb_graph_pin* pb_gpin) { AtomPinId atom_pin; //Look through all the pins on this net, looking for the matching pin - for (AtomPinId pin : atom_ctx.nlist.net_pins(atom_net)) { - AtomBlockId blk = atom_ctx.nlist.pin_block(pin); - if (atom_ctx.lookup.atom_clb(blk) == blk_id) { + for (AtomPinId pin : atom_ctx.netlist().net_pins(atom_net)) { + AtomBlockId blk = atom_ctx.netlist().pin_block(pin); + if (atom_ctx.lookup().atom_clb(blk) == blk_id) { //Part of the same CLB - if (atom_ctx.lookup.atom_pin_pb_graph_pin(pin) == pb_gpin) + if (atom_ctx.lookup().atom_pin_pb_graph_pin(pin) == pb_gpin) //The same pin atom_pin = pin; } @@ -978,15 +977,13 @@ AtomPinId find_atom_pin(ClusterBlockId blk_id, const t_pb_graph_pin* pb_gpin) { return atom_pin; } -//Retrieves the pb_graph_pin associated with an AtomPinId -// Currently this function just wraps get_pb_graph_node_pin_from_model_port_pin() -// in a more convenient interface. -const t_pb_graph_pin* find_pb_graph_pin(const AtomNetlist& netlist, const AtomLookup& netlist_lookup, const AtomPinId pin_id) { +// Retrieves the pb_graph_pin associated with an AtomPinId +const t_pb_graph_pin* find_pb_graph_pin(const AtomNetlist& netlist, const AtomPBBimap& atom_pb_lookup, const AtomPinId pin_id) { VTR_ASSERT(pin_id); //Get the graph node AtomBlockId blk_id = netlist.pin_block(pin_id); - const t_pb_graph_node* pb_gnode = netlist_lookup.atom_pb_graph_node(blk_id); + const t_pb_graph_node* pb_gnode = atom_pb_lookup.atom_pb_graph_node(blk_id); VTR_ASSERT(pb_gnode); //The graph node and pin/block should agree on the model they represent @@ -1128,7 +1125,7 @@ static void load_pb_graph_pin_lookup_from_index_rec(t_pb_graph_pin** pb_graph_pi } /* Create a lookup that returns a pb_graph_pin pointer given the pb_graph_pin index */ -t_pb_graph_pin** alloc_and_load_pb_graph_pin_lookup_from_index(t_logical_block_type_ptr type) { +static t_pb_graph_pin** alloc_and_load_pb_graph_pin_lookup_from_index(t_logical_block_type_ptr type) { t_pb_graph_pin** pb_graph_pin_lookup_from_type = nullptr; t_pb_graph_node* pb_graph_head = type->pb_graph_head; @@ -1156,7 +1153,7 @@ t_pb_graph_pin** alloc_and_load_pb_graph_pin_lookup_from_index(t_logical_block_t } /* Free pb_graph_pin lookup array */ -void free_pb_graph_pin_lookup_from_index(t_pb_graph_pin** pb_graph_pin_lookup_from_type) { +static void free_pb_graph_pin_lookup_from_index(t_pb_graph_pin** pb_graph_pin_lookup_from_type) { if (pb_graph_pin_lookup_from_type == nullptr) { return; } @@ -1254,7 +1251,7 @@ std::vector get_cluster_internal_class_pairs(const AtomLookup& atom_lookup, const auto& cluster_atoms = cluster_ctx.atoms_lookup[cluster_block_id]; for (AtomBlockId atom_blk_id : cluster_atoms) { - auto atom_pb_graph_node = atom_lookup.atom_pb_graph_node(atom_blk_id); + auto atom_pb_graph_node = atom_lookup.atom_pb_bimap().atom_pb_graph_node(atom_blk_id); auto class_range = get_pb_graph_node_class_physical_range(physical_tile, sub_tile, logical_block, @@ -1347,16 +1344,16 @@ int num_ext_inputs_atom_block(AtomBlockId blk_id) { //Record the unique input nets auto& atom_ctx = g_vpr_ctx.atom(); - for (auto pin_id : atom_ctx.nlist.block_input_pins(blk_id)) { - auto net_id = atom_ctx.nlist.pin_net(pin_id); + for (auto pin_id : atom_ctx.netlist().block_input_pins(blk_id)) { + auto net_id = atom_ctx.netlist().pin_net(pin_id); input_nets.insert(net_id); } ext_inps = input_nets.size(); //Look through the output nets for any duplicates of the input nets - for (auto pin_id : atom_ctx.nlist.block_output_pins(blk_id)) { - auto net_id = atom_ctx.nlist.pin_net(pin_id); + for (auto pin_id : atom_ctx.netlist().block_output_pins(blk_id)) { + auto net_id = atom_ctx.netlist().pin_net(pin_id); if (input_nets.count(net_id)) { --ext_inps; } @@ -1367,7 +1364,17 @@ int num_ext_inputs_atom_block(AtomBlockId blk_id) { return (ext_inps); } -void free_pb(t_pb* pb) { +/** + * @brief Free pb and remove its lookup data. + * CLB lookup data is removed from the global context + * and PB to Atom bimap data is removed from atom_pb_bimap + * + * @param pb + * Pointer to t_pb to be freed + * @param atom_pb_bimap + * Reference to the atom to pb bimap to free the data from + */ +void free_pb(t_pb* pb, AtomPBBimap& atom_pb_bimap) { if (pb == nullptr) { return; } @@ -1387,7 +1394,7 @@ void free_pb(t_pb* pb) { for (i = 0; i < pb_type->modes[mode].num_pb_type_children && pb->child_pbs != nullptr; i++) { for (j = 0; j < pb_type->modes[mode].pb_type_children[i].num_pb && pb->child_pbs[i] != nullptr; j++) { if (pb->child_pbs[i][j].name != nullptr || pb->child_pbs[i][j].child_pbs != nullptr) { - free_pb(&pb->child_pbs[i][j]); + free_pb(&pb->child_pbs[i][j], atom_pb_bimap); } } if (pb->child_pbs[i]) { @@ -1405,13 +1412,13 @@ void free_pb(t_pb* pb) { } else { /* Primitive */ auto& atom_ctx = g_vpr_ctx.mutable_atom(); - auto blk_id = atom_ctx.lookup.pb_atom(pb); + auto blk_id = atom_pb_bimap.pb_atom(pb); if (blk_id) { //Update atom netlist mapping - atom_ctx.lookup.set_atom_clb(blk_id, ClusterBlockId::INVALID()); - atom_ctx.lookup.set_atom_pb(blk_id, nullptr); + atom_ctx.mutable_lookup().set_atom_clb(blk_id, ClusterBlockId::INVALID()); + atom_pb_bimap.set_atom_pb(blk_id, nullptr); } - atom_ctx.lookup.set_atom_pb(AtomBlockId::INVALID(), pb); + atom_pb_bimap.set_atom_pb(AtomBlockId::INVALID(), pb); } free_pb_stats(pb); } @@ -1473,7 +1480,8 @@ std::tuple parse_direct_pin_name(std::string std::string source_string{src_string}; // Replace '.' and '[' characters with ' ' - std::replace_if(source_string.begin(), source_string.end(), + std::replace_if( + source_string.begin(), source_string.end(), [](char c) { return c == '.' || c == '[' || c == ':' || c == ']'; }, ' '); @@ -1639,8 +1647,8 @@ int max_pins_per_grid_tile() { } int get_atom_pin_class_num(const AtomPinId atom_pin_id) { - auto& atom_look_up = g_vpr_ctx.atom().lookup; - auto& atom_net_list = g_vpr_ctx.atom().nlist; + auto& atom_look_up = g_vpr_ctx.atom().lookup(); + auto& atom_net_list = g_vpr_ctx.atom().netlist(); auto atom_blk_id = atom_net_list.pin_block(atom_pin_id); auto cluster_block_id = atom_look_up.atom_clb(atom_blk_id); @@ -1726,27 +1734,6 @@ std::vector get_all_pb_graph_node_primitives(const t_pb_ return primitives; } -bool is_inter_cluster_node(t_physical_tile_type_ptr physical_tile, - const VibInf* vib, - t_rr_type node_type, - int node_ptc) { - - if (node_type == CHANX || node_type == CHANY) { - return true; - } else if (node_type == MEDIUM) { // This function will check all types of nodes. MEDIUM is added for avoiding errors. - VTR_ASSERT(vib != nullptr); - return (node_ptc < (int)vib->get_first_stages().size()); - } else { - VTR_ASSERT(node_type == IPIN || node_type == OPIN || node_type == SINK || node_type == SOURCE); - if (node_type == IPIN || node_type == OPIN) { - return is_pin_on_tile(physical_tile, node_ptc); - } else { - VTR_ASSERT(node_type == SINK || node_type == SOURCE); - return is_class_on_tile(physical_tile, node_ptc); - } - } -} - bool is_inter_cluster_node(const RRGraphView& rr_graph_view, RRNodeId node_id) { auto node_type = rr_graph_view.node_type(node_id); @@ -1859,6 +1846,33 @@ bool node_in_same_physical_tile(RRNodeId node_first, RRNodeId node_second) { } } +bool directconnect_exists(RRNodeId src_rr_node, RRNodeId sink_rr_node) { + const auto& device_ctx = g_vpr_ctx.device(); + const auto& rr_graph = device_ctx.rr_graph; + + VTR_ASSERT(rr_graph.node_type(src_rr_node) == SOURCE && rr_graph.node_type(sink_rr_node) == SINK); + + // A direct connection is defined as a specific path: `SOURCE -> OPIN -> IPIN -> SINK`. + //TODO: This is a constant depth search, but still may be too slow + for (t_edge_size i_src_edge = 0; i_src_edge < rr_graph.num_edges(src_rr_node); ++i_src_edge) { + RRNodeId opin_rr_node = rr_graph.edge_sink_node(src_rr_node, i_src_edge); + + if (rr_graph.node_type(opin_rr_node) != OPIN) continue; + + for (t_edge_size i_opin_edge = 0; i_opin_edge < rr_graph.num_edges(opin_rr_node); ++i_opin_edge) { + RRNodeId ipin_rr_node = rr_graph.edge_sink_node(opin_rr_node, i_opin_edge); + if (rr_graph.node_type(ipin_rr_node) != IPIN) continue; + + for (t_edge_size i_ipin_edge = 0; i_ipin_edge < rr_graph.num_edges(ipin_rr_node); ++i_ipin_edge) { + if (sink_rr_node == rr_graph.edge_sink_node(ipin_rr_node, i_ipin_edge)) { + return true; + } + } + } + } + return false; +} + std::vector get_cluster_netlist_intra_tile_classes_at_loc(int layer, int i, int j, @@ -1866,7 +1880,7 @@ std::vector get_cluster_netlist_intra_tile_classes_at_loc(int layer, std::vector class_num_vec; const auto& place_ctx = g_vpr_ctx.placement(); - const auto& atom_lookup = g_vpr_ctx.atom().lookup; + const auto& atom_lookup = g_vpr_ctx.atom().lookup(); const auto& grid_block = place_ctx.grid_blocks(); class_num_vec.reserve(physical_type->primitive_class_inf.size()); @@ -1988,7 +2002,7 @@ void add_pb_child_to_list(std::list& pb_list, const t_pb* parent_pb void apply_route_constraints(const UserRouteConstraints& route_constraints) { ClusteringContext& mutable_cluster_ctx = g_vpr_ctx.mutable_clustering(); - // Iterate through all the nets + // Iterate through all the nets for (auto net_id : mutable_cluster_ctx.clb_nlist.nets()) { // Get the name of the current net std::string net_name = mutable_cluster_ctx.clb_nlist.net_name(net_id); diff --git a/vpr/src/util/vpr_utils.h b/vpr/src/util/vpr_utils.h index 37047ab7152..f2b62cfac1c 100644 --- a/vpr/src/util/vpr_utils.h +++ b/vpr/src/util/vpr_utils.h @@ -1,23 +1,19 @@ #ifndef VPR_UTILS_H #define VPR_UTILS_H -#include -#include - -#include "vpr_types.h" -#include "vtr_vector.h" - -#include "atom_netlist.h" -#include "clustered_netlist.h" -#include "netlist.h" #include "arch_util.h" -#include "physical_types_util.h" +#include "atom_netlist.h" +#include "device_grid.h" #include "rr_graph_utils.h" -#include "vpr_constraints.h" +#include "vpr_types.h" +#include "vtr_vector.h" +#include "atom_pb_bimap.h" +#include +#include +// Forward declaration class DeviceGrid; -class PlacerState; -class Prepacker; +class UserRouteConstraints; const t_model* find_model(const t_model* models, const std::string& name, bool required = true); const t_model_ports* find_model_port(const t_model* model, const std::string& name, bool required = true); @@ -171,6 +167,8 @@ const t_port* find_pb_graph_port(const t_pb_graph_node* pb_gnode, const std::str //Returns the graph pin matching name at pin index const t_pb_graph_pin* find_pb_graph_pin(const t_pb_graph_node* pb_gnode, const std::string& port_name, int index); +const t_pb_graph_pin* find_pb_graph_pin(const AtomNetlist& netlist, const AtomPBBimap& atom_pb_lookup, const AtomPinId pin_id); + AtomPinId find_atom_pin(ClusterBlockId blk_id, const t_pb_graph_pin* pb_gpin); //Returns the logical block type which is most common in the device grid @@ -191,14 +189,11 @@ int get_max_depth_of_pb_type(t_pb_type* pb_type); int get_max_nets_in_pb_type(const t_pb_type* pb_type); bool primitive_type_feasible(AtomBlockId blk_id, const t_pb_type* cur_pb_type); t_pb_graph_pin* get_pb_graph_node_pin_from_model_port_pin(const t_model_ports* model_port, const int model_pin, const t_pb_graph_node* pb_graph_node); -const t_pb_graph_pin* find_pb_graph_pin(const AtomNetlist& netlist, const AtomLookup& netlist_lookup, const AtomPinId pin_id); /// @brief Gets the pb_graph_node pin at the given pin index for the given /// pb_graph_node. t_pb_graph_pin* get_pb_graph_node_pin_from_pb_graph_node(t_pb_graph_node* pb_graph_node, int ipin); t_pb_graph_pin* get_pb_graph_node_pin_from_block_pin(ClusterBlockId iblock, int ipin); -t_pb_graph_pin** alloc_and_load_pb_graph_pin_lookup_from_index(t_logical_block_type_ptr type); vtr::vector alloc_and_load_pin_id_to_pb_mapping(); -void free_pb_graph_pin_lookup_from_index(t_pb_graph_pin** pb_graph_pin_lookup_from_type); void free_pin_id_to_pb_mapping(vtr::vector& pin_id_to_pb_mapping); std::tuple get_cluster_blk_physical_spec(ClusterBlockId cluster_blk_id); @@ -225,12 +220,12 @@ int num_ext_inputs_atom_block(AtomBlockId blk_id); std::tuple parse_direct_pin_name(std::string_view src_string, int line); void free_pb_stats(t_pb* pb); -void free_pb(t_pb* pb); +void free_pb(t_pb* pb, AtomPBBimap& atom_pb_bimap); void print_switch_usage(); void print_usage_by_wire_length(); -AtomBlockId find_memory_sibling(const t_pb* pb); +const t_pb* find_memory_sibling(const t_pb* pb); int get_atom_pin_class_num(const AtomPinId atom_pin_id); @@ -245,11 +240,6 @@ void print_timing_stats(const std::string& name, std::vector get_all_pb_graph_node_primitives(const t_pb_graph_node* pb_graph_node); -bool is_inter_cluster_node(t_physical_tile_type_ptr physical_tile, - const VibInf* vib, - t_rr_type node_type, - int node_ptc); - bool is_inter_cluster_node(const RRGraphView& rr_graph_view, RRNodeId node_id); @@ -271,9 +261,28 @@ RRNodeId get_class_rr_node_id(const RRSpatialLookup& rr_spatial_lookup, const int j, int class_physical_num); -// Check whether the given nodes are in the same cluster +/// @brief Check whether the given nodes are in the same cluster bool node_in_same_physical_tile(RRNodeId node_first, RRNodeId node_second); +/** + * @brief Checks if a direct connection exists between two RR nodes. + * + * A direct connection is defined as a specific path: `SOURCE -> OPIN -> IPIN -> SINK`. + * + * @param src_rr_node The source RR node (must be of type `SOURCE`). + * @param sink_rr_node The sink RR node (must be of type `SINK`). + * + * @return `true` if a direct connection exists between the source and sink nodes; + * otherwise, `false`. + * + * @details + * - The function performs a depth-limited search starting from the source node, + * traversing through OPIN, IPIN, and finally checking if the path reaches the sink node. + * - Ensures the specified node types are respected (e.g., source node must be of type `SOURCE`). + */ + +bool directconnect_exists(RRNodeId src_rr_node, RRNodeId sink_rr_node); + std::vector get_cluster_netlist_intra_tile_classes_at_loc(int layer, int i, int j, @@ -304,10 +313,6 @@ t_arch_switch_inf create_internal_arch_sw(float delay); void add_pb_child_to_list(std::list& pb_list, const t_pb* parent_pb); -// apply route constraints for route flow -class VprConstraints; -void apply_route_constraints(VprConstraints& constraint); - /** * @brief Apply user-defined route constraints to set the 'net_is_ignored_' and 'net_is_global_' flags. * diff --git a/vpr/test/test_ap_netlist.cpp b/vpr/test/test_ap_netlist.cpp index 9dad87819ca..b88528c721e 100644 --- a/vpr/test/test_ap_netlist.cpp +++ b/vpr/test/test_ap_netlist.cpp @@ -11,7 +11,7 @@ #include "catch2/catch_test_macros.hpp" #include "ap_netlist.h" -#include "vpr_types.h" +#include "prepack.h" namespace { @@ -19,18 +19,18 @@ TEST_CASE("test_ap_netlist_data_storage", "[vpr_ap_netlist]") { // Create a test netlist object. APNetlist test_netlist("test_netlist"); // Create a few molecules. - t_pack_molecule mol_a; - t_pack_molecule mol_b; - t_pack_molecule mol_c; + PackMoleculeId mol_a_id; + PackMoleculeId mol_b_id; + PackMoleculeId mol_c_id; // Create blocks for these molecules. - APBlockId block_id_a = test_netlist.create_block("BlockA", &mol_a); - APBlockId block_id_b = test_netlist.create_block("BlockB", &mol_b); - APBlockId block_id_c = test_netlist.create_block("BlockC", &mol_c); + APBlockId block_id_a = test_netlist.create_block("BlockA", mol_a_id); + APBlockId block_id_b = test_netlist.create_block("BlockB", mol_b_id); + APBlockId block_id_c = test_netlist.create_block("BlockC", mol_c_id); SECTION("Test block_molecule returns the correct molecule after creation") { - REQUIRE(test_netlist.block_molecule(block_id_a) == &mol_a); - REQUIRE(test_netlist.block_molecule(block_id_b) == &mol_b); - REQUIRE(test_netlist.block_molecule(block_id_c) == &mol_c); + REQUIRE(test_netlist.block_molecule(block_id_a) == mol_a_id); + REQUIRE(test_netlist.block_molecule(block_id_b) == mol_b_id); + REQUIRE(test_netlist.block_molecule(block_id_c) == mol_c_id); } // Delete block B to reorganize the blocks internally. @@ -42,13 +42,13 @@ TEST_CASE("test_ap_netlist_data_storage", "[vpr_ap_netlist]") { block_id_c = test_netlist.find_block("BlockC"); SECTION("Test block_molecule returns the correct molecule after compression") { - REQUIRE(test_netlist.block_molecule(block_id_a) == &mol_a); - REQUIRE(test_netlist.block_molecule(block_id_c) == &mol_c); + REQUIRE(test_netlist.block_molecule(block_id_a) == mol_a_id); + REQUIRE(test_netlist.block_molecule(block_id_c) == mol_c_id); } // Create a new block, and fix its location. - t_pack_molecule fixed_mol; - APBlockId fixed_block_id = test_netlist.create_block("FixedBlock", &fixed_mol); + PackMoleculeId fixed_mol_id; + APBlockId fixed_block_id = test_netlist.create_block("FixedBlock", fixed_mol_id); APFixedBlockLoc fixed_block_loc; fixed_block_loc.x = 12; fixed_block_loc.y = 42; @@ -74,4 +74,3 @@ TEST_CASE("test_ap_netlist_data_storage", "[vpr_ap_netlist]") { } } // namespace - diff --git a/vpr/test/test_ap_partial_placement.cpp b/vpr/test/test_ap_partial_placement.cpp index c460df48227..29abf5b705b 100644 --- a/vpr/test/test_ap_partial_placement.cpp +++ b/vpr/test/test_ap_partial_placement.cpp @@ -12,7 +12,7 @@ #include "ap_netlist.h" #include "partial_placement.h" -#include "vpr_types.h" +#include "prepack.h" namespace { @@ -20,13 +20,13 @@ TEST_CASE("test_ap_partial_placement_verify", "[vpr_ap]") { // Create a test netlist object. APNetlist test_netlist("test_netlist"); // Create a few molecules. - t_pack_molecule mol_a; - t_pack_molecule mol_b; - t_pack_molecule mol_c; + PackMoleculeId mol_a_id; + PackMoleculeId mol_b_id; + PackMoleculeId mol_c_id; // Create blocks for these molecules. - APBlockId block_id_a = test_netlist.create_block("BlockA", &mol_a); - APBlockId block_id_b = test_netlist.create_block("BlockB", &mol_b); - APBlockId block_id_c = test_netlist.create_block("BlockC", &mol_c); + APBlockId block_id_a = test_netlist.create_block("BlockA", mol_a_id); + APBlockId block_id_b = test_netlist.create_block("BlockB", mol_b_id); + APBlockId block_id_c = test_netlist.create_block("BlockC", mol_c_id); // Fix BlockC. APFixedBlockLoc fixed_block_loc; fixed_block_loc.x = 12; @@ -131,4 +131,3 @@ TEST_CASE("test_ap_partial_placement_verify", "[vpr_ap]") { } } // namespace - diff --git a/vpr/test/test_ap_primitive_vector.cpp b/vpr/test/test_ap_primitive_vector.cpp index e1f114919bb..425f4e20f35 100644 --- a/vpr/test/test_ap_primitive_vector.cpp +++ b/vpr/test/test_ap_primitive_vector.cpp @@ -8,6 +8,7 @@ * PrimitiveVector object are working as expected. */ +#include #include "catch2/catch_test_macros.hpp" #include "primitive_vector.h" @@ -310,7 +311,60 @@ TEST_CASE("test_ap_primitive_vector_verify", "[vpr_ap]") { res = PrimitiveVector::max(vec2, vec1); REQUIRE(res == golden); } + + SECTION("Test more operators and methods") { + PrimitiveVector vec1, vec2; + + // Subtract value from dimension + vec1.set_dim_val(0, 5.f); + vec1.subtract_val_from_dim(3.f, 0); + REQUIRE(vec1.get_dim_val(0) == 2.f); + + // Element-wise addition operator + vec1.clear(); + vec1.set_dim_val(0, 1.f); + vec1.set_dim_val(1, 2.f); + vec2.clear(); + vec2.set_dim_val(0, 3.f); + vec2.set_dim_val(1, 4.f); + PrimitiveVector vec_sum = vec1 + vec2; + REQUIRE(vec_sum.get_dim_val(0) == 4.f); + REQUIRE(vec_sum.get_dim_val(1) == 6.f); + + // Element-wise division operator + vec1.clear(); + vec1.set_dim_val(0, 10.f); + vec1.set_dim_val(1, 20.f); + vec1 /= 2.f; + REQUIRE(vec1.get_dim_val(0) == 5.f); + REQUIRE(vec1.get_dim_val(1) == 10.f); + + // Element-wise division operator (const) + vec1.clear(); + vec1.set_dim_val(0, 10.f); + vec1.set_dim_val(1, 20.f); + PrimitiveVector vec_div = vec1 / 2.f; + REQUIRE(vec_div.get_dim_val(0) == 5.f); + REQUIRE(vec_div.get_dim_val(1) == 10.f); + + // Get non-zero dimensions + vec1.clear(); + vec1.set_dim_val(0, 1.f); + vec1.set_dim_val(2, 3.f); + std::vector non_zero_dims = vec1.get_non_zero_dims(); + REQUIRE(std::find(non_zero_dims.begin(), non_zero_dims.end(), 0) != non_zero_dims.end()); + REQUIRE(std::find(non_zero_dims.begin(), non_zero_dims.end(), 2) != non_zero_dims.end()); + REQUIRE(std::find(non_zero_dims.begin(), non_zero_dims.end(), 1) == non_zero_dims.end()); + + // Test orthogonal vectors + vec1.clear(); + vec2.clear(); + vec1.set_dim_val(0, 1.f); + vec2.set_dim_val(1, 2.f); + REQUIRE(vec1.are_dims_disjoint(vec2)); + vec2.set_dim_val(0, 3.f); + REQUIRE(!vec1.are_dims_disjoint(vec2)); + } } } // namespace - diff --git a/vpr/test/test_bfs_routing.cpp b/vpr/test/test_bfs_routing.cpp index c0c03a9ad1d..61d98a3c200 100644 --- a/vpr/test/test_bfs_routing.cpp +++ b/vpr/test/test_bfs_routing.cpp @@ -139,4 +139,4 @@ TEST_CASE("test_route_flow", "[vpr_noc_bfs_routing]") { } } -} // namespace \ No newline at end of file +} // namespace diff --git a/vpr/test/test_clustered_netlist.cpp b/vpr/test/test_clustered_netlist.cpp index cd0059c173e..bcd42988068 100644 --- a/vpr/test/test_clustered_netlist.cpp +++ b/vpr/test/test_clustered_netlist.cpp @@ -146,4 +146,4 @@ TEST_CASE("test_find_block_with_matching_name", "[vpr_clustered_netlist]") { REQUIRE((size_t)(block_id_from_name.find("router:noc_router_four|flit_out_two[0]~reg0")->second) == (size_t)test_router_id); } } -} // namespace \ No newline at end of file +} // namespace diff --git a/vpr/test/test_compressed_grid.cpp b/vpr/test/test_compressed_grid.cpp index 86c2d1f6600..028a1164af9 100644 --- a/vpr/test/test_compressed_grid.cpp +++ b/vpr/test/test_compressed_grid.cpp @@ -12,8 +12,7 @@ namespace { -void set_type_tile_to_empty(const int x, const int y, - vtr::NdMatrix& grid) { +void set_type_tile_to_empty(const int x, const int y, vtr::NdMatrix& grid) { t_physical_tile_type_ptr type = grid[0][x][y].type; const int width_offset = grid[0][x][y].width_offset; const int height_offset = grid[0][x][y].height_offset; @@ -29,12 +28,9 @@ void set_type_tile_to_empty(const int x, const int y, } } } - } -void set_tile_type_at_loc(const int x_anchor, const int y_anchor, - vtr::NdMatrix& grid, - const t_physical_tile_type& tile_type) { +void set_tile_type_at_loc(const int x_anchor, const int y_anchor, vtr::NdMatrix& grid, const t_physical_tile_type& tile_type) { for (int i = x_anchor; i < x_anchor + tile_type.width; i++) { for (int j = y_anchor; j < y_anchor + tile_type.height; j++) { @@ -48,7 +44,6 @@ void set_tile_type_at_loc(const int x_anchor, const int y_anchor, } } - TEST_CASE("test_compressed_grid", "[vpr_compressed_grid]") { // test device grid name std::string device_grid_name = "test"; @@ -70,7 +65,6 @@ TEST_CASE("test_compressed_grid", "[vpr_compressed_grid]") { auto& logical_block_types = g_vpr_ctx.mutable_device().logical_block_types; logical_block_types.clear(); - t_physical_tile_type empty_tile; empty_tile.name = empty_tile_name; empty_tile.height = 1; @@ -87,7 +81,6 @@ TEST_CASE("test_compressed_grid", "[vpr_compressed_grid]") { empty_tile.sub_tiles.back().index = 0; empty_tile.sub_tiles.back().equivalent_sites.push_back(&EMPTY_LOGICAL_BLOCK_TYPE); - // create an io physical tile and assign its parameters t_physical_tile_type io_tile; io_tile.name = io_tile_name; @@ -130,7 +123,6 @@ TEST_CASE("test_compressed_grid", "[vpr_compressed_grid]") { tall_logical_type.equivalent_tiles.push_back(&tall_tile); logical_block_types.push_back(tall_logical_type); - tall_tile.sub_tiles.back().index = 0; tall_tile.sub_tiles.back().equivalent_sites.push_back(&tall_logical_type); @@ -148,7 +140,6 @@ TEST_CASE("test_compressed_grid", "[vpr_compressed_grid]") { large_tile.sub_tiles.back().index = 0; large_tile.sub_tiles.back().equivalent_sites.push_back(&large_logical_type); - for (int x = 0; x < test_grid_width; x++) { for (int y = 0; y < test_grid_height; y++) { test_grid[0][x][y].type = &io_tile; @@ -330,6 +321,7 @@ TEST_CASE("test_compressed_grid", "[vpr_compressed_grid]") { REQUIRE(grid_loc == t_physical_tile_loc{98, 98, 0}); } + logical_block_types.clear(); } -} // namespace \ No newline at end of file +} // namespace diff --git a/vpr/test/test_connection_router.cpp b/vpr/test/test_connection_router.cpp index a106ad80a80..fbf1a63e142 100644 --- a/vpr/test/test_connection_router.cpp +++ b/vpr/test/test_connection_router.cpp @@ -8,7 +8,8 @@ #include "globals.h" #include "net_delay.h" #include "place_and_route.h" -#include "timing_place_lookup.h" +#include "connection_router.h" +#include "router_delay_profiling.h" static constexpr const char kArchFile[] = "../../vtr_flow/arch/timing/k6_frac_N10_mem32K_40nm.xml"; static constexpr int kMaxHops = 10; @@ -44,7 +45,7 @@ static float do_one_route(RRNodeId source_node, cost_params.astar_offset = router_opts.astar_offset; cost_params.bend_cost = router_opts.bend_cost; - const Netlist<>& net_list = is_flat ? (const Netlist<>&)g_vpr_ctx.atom().nlist : (const Netlist<>&)g_vpr_ctx.clustering().clb_nlist; + const Netlist<>& net_list = is_flat ? (const Netlist<>&)g_vpr_ctx.atom().netlist() : (const Netlist<>&)g_vpr_ctx.clustering().clb_nlist; route_budgets budgeting_inf(net_list, is_flat); RouterStats router_stats; @@ -188,8 +189,7 @@ TEST_CASE("connection_router", "[vpr]") { // Clean up free_routing_structs(); - vpr_free_all(arch, - vpr_setup); + vpr_free_all(arch, vpr_setup); } } // namespace diff --git a/vpr/test/test_edge_groups.cpp b/vpr/test/test_edge_groups.cpp index 80bfea5e133..e8b83741afa 100644 --- a/vpr/test/test_edge_groups.cpp +++ b/vpr/test/test_edge_groups.cpp @@ -19,12 +19,12 @@ TEST_CASE("edge_groups_create_sets", "[vpr]") { // Build chains from the given connected sets int max_node_id = 0; std::vector> edges; - for (auto set : connected_sets) { + for (const auto& set : connected_sets) { int last = *set.cbegin(); std::for_each(std::next(set.cbegin()), set.cend(), [&](int node) { - edges.push_back(std::make_pair(last, node)); + edges.emplace_back(last, node); last = node; max_node_id = std::max(max_node_id, node); }); @@ -36,7 +36,7 @@ TEST_CASE("edge_groups_create_sets", "[vpr]") { // Initialize nodes to [0, 1, ..., max_node_id] std::iota(nodes.begin(), nodes.end(), 0); - // Create a Mersenne Twister psuedo-random number generator with seed 1 + // Create a Mersenne Twister pseudo-random number generator with seed 1 std::mt19937 g(1); // Run the test many times, the PRNG will give differently shuffled inputs @@ -66,12 +66,12 @@ TEST_CASE("edge_groups_create_sets", "[vpr]") { t_non_configurable_rr_sets sets = groups.output_sets(); // Check for the expected sets - for (auto set : connected_sets) { + for (const auto& set : connected_sets) { std::set random_set; for (auto elem : set) { random_set.insert(RRNodeId(random_nodes[elem])); } - REQUIRE(sets.node_sets.find(random_set) != sets.node_sets.end()); + REQUIRE(std::find(sets.node_sets.begin(), sets.node_sets.end(), random_set) != sets.node_sets.end()); } } } diff --git a/vpr/test/test_flat_placement_types.cpp b/vpr/test/test_flat_placement_types.cpp new file mode 100644 index 00000000000..b8daaaf61ea --- /dev/null +++ b/vpr/test/test_flat_placement_types.cpp @@ -0,0 +1,49 @@ +/** + * @file + * @author Alex Singer + * @date March 2025 + * @brief Unit tests for flat placement types + */ + +#include +#include "catch2/catch_test_macros.hpp" +#include "flat_placement_types.h" + +namespace { + +TEST_CASE("test_t_flat_pl_loc", "[vpr_flat_pl_types]") { + SECTION("Test addition operator") { + t_flat_pl_loc loc1{1.0f, 2.0f, 3.0f}; + t_flat_pl_loc loc2{4.0f, 5.0f, 6.0f}; + + loc1 += loc2; + + REQUIRE(loc1.x == Catch::Approx(5.0f)); + REQUIRE(loc1.y == Catch::Approx(7.0f)); + REQUIRE(loc1.layer == Catch::Approx(9.0f)); + } + + SECTION("Test division operator") { + t_flat_pl_loc loc{10.0f, 20.0f, 30.0f}; + + loc /= 2.0f; + + REQUIRE(loc.x == Catch::Approx(5.0f)); + REQUIRE(loc.y == Catch::Approx(10.0f)); + REQUIRE(loc.layer == Catch::Approx(15.0f)); + } + + SECTION("Test addition and division operators combined") { + t_flat_pl_loc loc1{1.0f, 2.0f, 3.0f}; + t_flat_pl_loc loc2{4.0f, 5.0f, 6.0f}; + + loc1 += loc2; + loc1 /= 2.0f; + + REQUIRE(loc1.x == Catch::Approx(2.5f)); + REQUIRE(loc1.y == Catch::Approx(3.5f)); + REQUIRE(loc1.layer == Catch::Approx(4.5f)); + } +} + +} // namespace diff --git a/vpr/test/test_interchange_device.cpp b/vpr/test/test_interchange_device.cpp index 6ab763ec5d8..f344adace1b 100644 --- a/vpr/test/test_interchange_device.cpp +++ b/vpr/test/test_interchange_device.cpp @@ -96,7 +96,7 @@ TEST_CASE("read_interchange_luts", "[vpr]") { for (auto lut_bel : lut_element.lut_bels) { CHECK(lut_bels.find(lut_bel.name) != lut_bels.end()); REQUIRE(lut_bel.output_pin == std::string("O")); - for (const std::string &lut_pin : lut_bel.input_pins) + for (const std::string& lut_pin : lut_bel.input_pins) CHECK(lut_bel_pins.find(lut_pin) != lut_bel_pins.end()); } } diff --git a/vpr/test/test_interchange_netlist.cpp b/vpr/test/test_interchange_netlist.cpp index 2af1b33a96f..ac1fdfb15f9 100644 --- a/vpr/test/test_interchange_netlist.cpp +++ b/vpr/test/test_interchange_netlist.cpp @@ -27,7 +27,7 @@ TEST_CASE("read_interchange_netlist", "[vpr]") { /* Read blif file and sweep unused components */ auto& atom_ctx = g_vpr_ctx.mutable_atom(); - atom_ctx.nlist = read_and_process_circuit(e_circuit_format::FPGA_INTERCHANGE, vpr_setup, arch); + atom_ctx.mutable_netlist() = read_and_process_circuit(e_circuit_format::FPGA_INTERCHANGE, vpr_setup, arch); } } // namespace diff --git a/vpr/test/test_noc_place_utils.cpp b/vpr/test/test_noc_place_utils.cpp index 59e8ca70cf2..515608c1a19 100644 --- a/vpr/test/test_noc_place_utils.cpp +++ b/vpr/test/test_noc_place_utils.cpp @@ -172,7 +172,7 @@ TEST_CASE("test_initial_noc_placement", "[noc_place_utils]") { // route it routing_algorithm->route_flow((NocRouterId)source_hard_router_id, (NocRouterId)sink_hard_routed_id, - (NocTrafficFlowId) traffic_flow_number, + (NocTrafficFlowId)traffic_flow_number, traffic_flow_route, noc_ctx.noc_model); } diff --git a/vpr/test/test_noc_storage.cpp b/vpr/test/test_noc_storage.cpp index 0320fa130dc..225614a43a2 100644 --- a/vpr/test/test_noc_storage.cpp +++ b/vpr/test/test_noc_storage.cpp @@ -457,4 +457,4 @@ TEST_CASE("test_generate_router_key_from_grid_location", "[vpr_noc]") { } } -} // namespace \ No newline at end of file +} // namespace diff --git a/vpr/test/test_noc_traffic_flows.cpp b/vpr/test/test_noc_traffic_flows.cpp index 1afd37727e6..ea5806d3261 100644 --- a/vpr/test/test_noc_traffic_flows.cpp +++ b/vpr/test/test_noc_traffic_flows.cpp @@ -133,4 +133,4 @@ TEST_CASE("test_adding_traffic_flows", "[vpr_noc_traffic_flows]") { REQUIRE(traffic_flow_storage.get_traffic_flows_associated_to_router_block(invalid_block).empty()); } } -} // namespace \ No newline at end of file +} // namespace diff --git a/vpr/test/test_odd_even_routing.cpp b/vpr/test/test_odd_even_routing.cpp index c0cf9278bee..4f00b7d2663 100644 --- a/vpr/test/test_odd_even_routing.cpp +++ b/vpr/test/test_odd_even_routing.cpp @@ -38,7 +38,6 @@ void compare_routes(const std::vector& golden_path, } } - void check_turn_legality(const vtr::vector>& traffic_flow_routes, const NocStorage& noc_model, const TurnModelRouting& routing_algorithm) { @@ -229,7 +228,7 @@ TEST_CASE("test_route_flow", "[vpr_noc_odd_even_routing]") { SECTION("Test case where multiple traffic flows are routed, and routes are checked for turn legality and deadlock freedom.") { std::random_device device; std::mt19937 rand_num_gen(device()); - std::uniform_int_distribution dist(0, 99); + std::uniform_int_distribution dist(0, 99); NocTrafficFlows traffic_flow_storage; @@ -264,4 +263,4 @@ TEST_CASE("test_route_flow", "[vpr_noc_odd_even_routing]") { } } -} \ No newline at end of file +} // namespace diff --git a/vpr/test/test_post_verilog.cpp b/vpr/test/test_post_verilog.cpp index a8344fa79d4..ca1a250b7d2 100644 --- a/vpr/test/test_post_verilog.cpp +++ b/vpr/test/test_post_verilog.cpp @@ -1,7 +1,7 @@ #include "catch2/catch_test_macros.hpp" #include "vpr_api.h" -#include "timing_place_lookup.h" +#include "router_delay_profiling.h" #include #include diff --git a/vpr/test/test_read_xml_noc_traffic_flows_file.cpp b/vpr/test/test_read_xml_noc_traffic_flows_file.cpp index f16a5d9feff..17aedd6bad1 100644 --- a/vpr/test/test_read_xml_noc_traffic_flows_file.cpp +++ b/vpr/test/test_read_xml_noc_traffic_flows_file.cpp @@ -553,4 +553,4 @@ TEST_CASE("test_get_cluster_blocks_compatible_with_noc_router_tiles", "[vpr_noc_ free_clustered_netlist(); } } -} // namespace \ No newline at end of file +} // namespace diff --git a/vpr/test/test_server_convertutils.cpp b/vpr/test/test_server_convertutils.cpp index d3f64b81816..2006170de46 100644 --- a/vpr/test/test_server_convertutils.cpp +++ b/vpr/test/test_server_convertutils.cpp @@ -5,8 +5,7 @@ #include "convertutils.h" -TEST_CASE("test_server_convert_utils_to_int", "[vpr]") -{ +TEST_CASE("test_server_convert_utils_to_int", "[vpr]") { REQUIRE(std::optional{-2} == try_convert_to_int("-2")); REQUIRE(std::optional{0} == try_convert_to_int("0")); REQUIRE(std::optional{2} == try_convert_to_int("2")); @@ -18,4 +17,3 @@ TEST_CASE("test_server_convert_utils_to_int", "[vpr]") } #endif /* NO_SERVER */ - diff --git a/vpr/test/test_server_taskresolver.cpp b/vpr/test/test_server_taskresolver.cpp index eda8d5ae82f..20c6a865183 100644 --- a/vpr/test/test_server_taskresolver.cpp +++ b/vpr/test/test_server_taskresolver.cpp @@ -29,7 +29,7 @@ TEST_CASE("test_server_taskresolver_cmdSpamFilter", "[vpr]") { REQUIRE(finished.size() == 4); - for (const auto& task: finished) { + for (const auto& task : finished) { REQUIRE(task->is_finished()); REQUIRE(task->has_error()); REQUIRE(task->job_id() != 1); @@ -60,7 +60,7 @@ TEST_CASE("test_server_taskresolver_cmdOverrideFilter", "[vpr]") { REQUIRE(finished.size() == 2); - for (const server::TaskPtr& task: finished) { + for (const server::TaskPtr& task : finished) { REQUIRE(task->is_finished()); REQUIRE(task->has_error()); REQUIRE(task->job_id() != 3); @@ -112,4 +112,4 @@ TEST_CASE("test_server_taskresolver_cmdSpamAndOverrideOptions", "[vpr]") { REQUIRE(task1->options() == ""); } -#endif /* NO_SERVER */ \ No newline at end of file +#endif /* NO_SERVER */ diff --git a/vpr/test/test_server_telegrambuffer.cpp b/vpr/test/test_server_telegrambuffer.cpp index 6c5c793c3d2..1b20a3c312f 100644 --- a/vpr/test/test_server_telegrambuffer.cpp +++ b/vpr/test/test_server_telegrambuffer.cpp @@ -47,8 +47,7 @@ TEST_CASE("test_server_telegrambuffer_oneOpened", "[vpr]") { REQUIRE(std::string_view{buff.data()} == "111222"); } -TEST_CASE("test_server_telegrambuffer_notFilledTelegramButWithPrependedRubish", "[vpr]") -{ +TEST_CASE("test_server_telegrambuffer_notFilledTelegramButWithPrependedRubish", "[vpr]") { comm::TelegramBuffer tBuff; const comm::ByteArray rubbish{"#@!"}; @@ -65,8 +64,7 @@ TEST_CASE("test_server_telegrambuffer_notFilledTelegramButWithPrependedRubish", REQUIRE(msgHeader.buffer() == tBuff.data()); // the rubbish prefix fragment will be absent here } -TEST_CASE("test_server_telegrambuffer__oneFinishedOneOpened", "[vpr]") -{ +TEST_CASE("test_server_telegrambuffer__oneFinishedOneOpened", "[vpr]") { comm::TelegramBuffer tBuff; const comm::ByteArray msgBody1{"message1"}; @@ -80,7 +78,7 @@ TEST_CASE("test_server_telegrambuffer__oneFinishedOneOpened", "[vpr]") comm::ByteArray t2(msgHeader2.buffer()); t2.append(msgBody2); - t2.resize(t2.size()-2); // drop 2 last elements + t2.resize(t2.size() - 2); // drop 2 last elements tBuff.append(t1); tBuff.append(t2); @@ -94,8 +92,7 @@ TEST_CASE("test_server_telegrambuffer__oneFinishedOneOpened", "[vpr]") REQUIRE(t2 == tBuff.data()); } -TEST_CASE("test_server_telegrambuffer_twoFinished", "[vpr]") -{ +TEST_CASE("test_server_telegrambuffer_twoFinished", "[vpr]") { comm::TelegramBuffer tBuff; const comm::ByteArray msgBody1{"message1"}; @@ -123,8 +120,7 @@ TEST_CASE("test_server_telegrambuffer_twoFinished", "[vpr]") REQUIRE(comm::ByteArray{} == tBuff.data()); } -TEST_CASE("test_server_telegrambuffer_clear", "[vpr]") -{ +TEST_CASE("test_server_telegrambuffer_clear", "[vpr]") { comm::TelegramBuffer tBuff; const comm::ByteArray msgBody1{"message1"}; @@ -148,4 +144,4 @@ TEST_CASE("test_server_telegrambuffer_clear", "[vpr]") REQUIRE(comm::ByteArray{} == tBuff.data()); } -#endif /* NO_SERVER */ \ No newline at end of file +#endif /* NO_SERVER */ diff --git a/vpr/test/test_server_telegramoptions.cpp b/vpr/test/test_server_telegramoptions.cpp index d7b3160a0d1..e49b684bc72 100644 --- a/vpr/test/test_server_telegramoptions.cpp +++ b/vpr/test/test_server_telegramoptions.cpp @@ -27,4 +27,4 @@ TEST_CASE("test_server_telegramoptions_get_wrong_keys", "[vpr]") { REQUIRE(options.get_bool("_is_flat_routing", true) == true); } -#endif /* NO_SERVER */ \ No newline at end of file +#endif /* NO_SERVER */ diff --git a/vpr/test/test_server_telegramparser.cpp b/vpr/test/test_server_telegramparser.cpp index 0d7f0c2276c..a3ac0defa4e 100644 --- a/vpr/test/test_server_telegramparser.cpp +++ b/vpr/test/test_server_telegramparser.cpp @@ -5,8 +5,7 @@ #include "catch2/catch_test_macros.hpp" #include "catch2/matchers/catch_matchers_all.hpp" -TEST_CASE("test_server_telegram_parser_base", "[vpr]") -{ +TEST_CASE("test_server_telegram_parser_base", "[vpr]") { const std::string tdata{R"({"JOB_ID":"7","CMD":"2","OPTIONS":"type1:name1:value1;type2:name2:v a l u e 2;t3:n3:v3","DATA":"some_data...","STATUS":"1"})"}; REQUIRE(std::optional{7} == comm::TelegramParser::try_extract_field_job_id(tdata)); @@ -16,10 +15,9 @@ TEST_CASE("test_server_telegram_parser_base", "[vpr]") REQUIRE(std::optional{1} == comm::TelegramParser::try_extract_field_status(tdata)); } -TEST_CASE("test_server_telegram_parser_invalid_keys", "[vpr]") -{ +TEST_CASE("test_server_telegram_parser_invalid_keys", "[vpr]") { const std::string tBadData{R"({"_JOB_ID":"7","_CMD":"2","_OPTIONS":"type1:name1:value1;type2:name2:v a l u e 2;t3:n3:v3","_DATA":"some_data...","_STATUS":"1"})"}; - + REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_job_id(tBadData)); REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_cmd(tBadData)); REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_options(tBadData)); @@ -27,13 +25,12 @@ TEST_CASE("test_server_telegram_parser_invalid_keys", "[vpr]") REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_status(tBadData)); } -TEST_CASE("test_server_telegram_parser_invalid_types", "[vpr]") -{ +TEST_CASE("test_server_telegram_parser_invalid_types", "[vpr]") { const std::string tBadData{R"({"JOB_ID":"x","CMD":"y","STATUS":"z"})"}; - + REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_job_id(tBadData)); REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_cmd(tBadData)); REQUIRE(std::nullopt == comm::TelegramParser::try_extract_field_status(tBadData)); } -#endif /* NO_SERVER */ \ No newline at end of file +#endif /* NO_SERVER */ diff --git a/vpr/test/test_server_zlibutils.cpp b/vpr/test/test_server_zlibutils.cpp index c8978ab999f..4b5ecf76c30 100644 --- a/vpr/test/test_server_zlibutils.cpp +++ b/vpr/test/test_server_zlibutils.cpp @@ -5,8 +5,7 @@ #include "catch2/catch_test_macros.hpp" #include "catch2/matchers/catch_matchers_all.hpp" -TEST_CASE("test_server_zlib_utils", "[vpr]") -{ +TEST_CASE("test_server_zlib_utils", "[vpr]") { const std::string orig{"This string is going to be compressed now"}; std::optional compressedOpt = try_compress(orig); @@ -20,8 +19,3 @@ TEST_CASE("test_server_zlib_utils", "[vpr]") } #endif /* NO_SERVER */ - - - - - diff --git a/vpr/test/test_setup_noc.cpp b/vpr/test/test_setup_noc.cpp index d1c14c211a8..31dacd0b5d8 100644 --- a/vpr/test/test_setup_noc.cpp +++ b/vpr/test/test_setup_noc.cpp @@ -1249,4 +1249,4 @@ TEST_CASE("test_setup_noc", "[vpr_setup_noc]") { } } -} // namespace \ No newline at end of file +} // namespace diff --git a/vpr/test/test_vpr.cpp b/vpr/test/test_vpr.cpp index d96c0389a5d..5d162c579bc 100644 --- a/vpr/test/test_vpr.cpp +++ b/vpr/test/test_vpr.cpp @@ -132,7 +132,7 @@ TEST_CASE("read_rr_graph_metadata", "[vpr]") { vpr_init(sizeof(argv) / sizeof(argv[0]), argv, &options, &vpr_setup, &arch); vpr_setup.RouterOpts.read_rr_edge_metadata = true; - vpr_create_device(vpr_setup, arch, false); + vpr_create_device(vpr_setup, arch); const auto& device_ctx = g_vpr_ctx.device(); auto& mutable_device_ctx = g_vpr_ctx.mutable_device(); @@ -195,7 +195,7 @@ TEST_CASE("read_rr_graph_metadata", "[vpr]") { vpr_init(sizeof(argv) / sizeof(argv[0]), argv, &options, &vpr_setup, &arch); vpr_setup.RouterOpts.read_rr_edge_metadata = true; - vpr_create_device(vpr_setup, arch, false); + vpr_create_device(vpr_setup, arch); const auto& device_ctx = g_vpr_ctx.device(); diff --git a/vpr/test/test_vpr_constraints.cpp b/vpr/test/test_vpr_constraints.cpp index d0b95e081e6..9069cc2ba2f 100644 --- a/vpr/test/test_vpr_constraints.cpp +++ b/vpr/test/test_vpr_constraints.cpp @@ -14,18 +14,16 @@ * UserPlaceConstraints, Region, PartitionRegions, and Partition. */ -namespace Catch -{ -template -struct StringMaker> -{ - static std::string convert(vtr::Rect const &value) { +namespace Catch { +template +struct StringMaker> { + static std::string convert(vtr::Rect const& value) { std::ostringstream oss; oss << "Rectangle: (" << value.xmin() << ", " << value.ymin() << ") to (" << value.xmax() << ", " << value.ymax() << ")"; return oss.str(); } }; -} +} // namespace Catch //Test Region class accessors and mutators TEST_CASE("Region", "[vpr]") { @@ -42,7 +40,6 @@ TEST_CASE("Region", "[vpr]") { REQUIRE(r1.get_layer_range() == std::make_pair(10, 11)); REQUIRE(r1.get_sub_tile() == 12); - //checking that default constructor creates an empty rectangle (999, 999,-1,-1) Region def_region; bool is_def_empty = def_region.empty(); @@ -73,7 +70,6 @@ TEST_CASE("PartitionRegion", "[vpr]") { REQUIRE(pr_regions[0].get_sub_tile() == 3); REQUIRE(pr_regions[1].get_sub_tile() == 2); - REQUIRE(pr_regions[0].get_rect() == vtr::Rect(2, 3, 6, 7)); REQUIRE(pr_regions[0].get_layer_range() == std::make_pair(0, 0)); @@ -97,7 +93,6 @@ TEST_CASE("Partition", "[vpr]") { r2 = Region(10, 10, 25, 30, 1, 2); r2.set_sub_tile(0); - PartitionRegion part_reg; part_reg.add_to_part_region(r1); part_reg.add_to_part_region(r2); @@ -200,7 +195,6 @@ TEST_CASE("RegionIntersect", "[vpr]") { REQUIRE(int_reg_2_ml.get_rect() == vtr::Rect(6, 3, 8, 6)); REQUIRE(int_reg_2_ml.get_layer_range() == std::make_pair(0, 2)); - //Test no intersection (rectangles don't overlap, intersect region will be returned empty) const Region int_reg_3 = intersection(region1, region3); REQUIRE(int_reg_3.empty() == TRUE); @@ -209,7 +203,6 @@ TEST_CASE("RegionIntersect", "[vpr]") { const Region int_reg_3_ml = intersection(region1, region2_ml); REQUIRE(int_reg_3_ml.empty() == TRUE); - //Test no intersection (rectangles overlap but different subtiles are specified, intersect region will be returned empty) region1.set_sub_tile(5); region2.set_sub_tile(3); @@ -253,7 +246,6 @@ TEST_CASE("PartRegionIntersect", "[vpr]") { pr1.add_to_part_region(r2); pr2.add_to_part_region(r3); - PartitionRegion int_pr = intersection(pr1, pr2); const std::vector& regions = int_pr.get_regions(); @@ -279,7 +271,6 @@ TEST_CASE("PartRegionIntersectMultiLayer", "[vpr]") { pr1.add_to_part_region(r2); pr2.add_to_part_region(r3); - PartitionRegion int_pr = intersection(pr1, pr2); const std::vector& regions = int_pr.get_regions(); @@ -310,7 +301,7 @@ TEST_CASE("PartRegionIntersect2", "[vpr]") { const std::vector& regions = int_pr.get_regions(); REQUIRE(regions.size() == 1); - REQUIRE(regions[0].get_rect() == vtr::Rect (0, 0, 2, 2)); + REQUIRE(regions[0].get_rect() == vtr::Rect(0, 0, 2, 2)); REQUIRE(regions[0].get_layer_range() == std::make_pair(0, 0)); } @@ -334,7 +325,7 @@ TEST_CASE("PartRegionIntersect2Multilayer", "[vpr]") { const std::vector& regions = int_pr.get_regions(); REQUIRE(regions.size() == 1); - REQUIRE(regions[0].get_rect() == vtr::Rect (0, 0, 2, 2)); + REQUIRE(regions[0].get_rect() == vtr::Rect(0, 0, 2, 2)); REQUIRE(regions[0].get_layer_range() == std::make_pair(1, 1)); } @@ -424,13 +415,12 @@ TEST_CASE("PartRegionIntersect4", "[vpr]") { pr2.add_to_part_region(r3); pr2.add_to_part_region(r4); - PartitionRegion int_pr = intersection(pr1, pr2); const std::vector& regions = int_pr.get_regions(); REQUIRE(regions.size() == 1); REQUIRE(regions[0].get_layer_range() == std::make_pair(0, 0)); - REQUIRE(regions[0].get_rect() == vtr::Rect (1, 2, 3, 4)); + REQUIRE(regions[0].get_rect() == vtr::Rect(1, 2, 3, 4)); REQUIRE(regions[0].get_sub_tile() == 2); } @@ -458,13 +448,12 @@ TEST_CASE("PartRegionIntersect4MultiLayer", "[vpr]") { pr2.add_to_part_region(r3); pr2.add_to_part_region(r4); - PartitionRegion int_pr = intersection(pr1, pr2); const std::vector& regions = int_pr.get_regions(); REQUIRE(regions.size() == 1); REQUIRE(regions[0].get_layer_range() == std::make_pair(1, 1)); - REQUIRE(regions[0].get_rect() == vtr::Rect (1, 2, 3, 4)); + REQUIRE(regions[0].get_rect() == vtr::Rect(1, 2, 3, 4)); REQUIRE(regions[0].get_sub_tile() == 2); } diff --git a/vpr/test/test_xy_routing.cpp b/vpr/test/test_xy_routing.cpp index 8b79960c4c8..b96331cc83a 100644 --- a/vpr/test/test_xy_routing.cpp +++ b/vpr/test/test_xy_routing.cpp @@ -389,4 +389,4 @@ TEST_CASE("test_route_flow when it fails in a non mesh topology.", "[vpr_noc_xy_ REQUIRE_THROWS_WITH(routing_algorithm.route_flow(start_router_id, sink_router_id, traffic_flow_id, found_path, noc_model), "No route could be found from starting router with ID:'3' and the destination router with ID:'1' using the XY-Routing algorithm."); } -} // namespace \ No newline at end of file +} // namespace diff --git a/vpr/valgrind.supp b/vpr/valgrind.supp index fd7781cf70c..3dc4e6058d8 100644 --- a/vpr/valgrind.supp +++ b/vpr/valgrind.supp @@ -766,3 +766,803 @@ ... obj:*libgtk-3* } +#Parmys +{ + + Memcheck:Addr1 + fun:read_history_range + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:strdup + fun:_ZN5Yosys5RTLIL8IdString13get_referenceEPKc + fun:_ZN5Yosys5RTLIL8IdStringC1EPKc + fun:_ZN5Yosys5RTLIL8IdStringaSEPKc + fun:_ZN5Yosys11yosys_setupEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:calloc + obj:* + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx + fun:Tcl_EvalFile +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:strdup + fun:_ZN5Yosys5RTLIL8IdString13get_referenceEPKc + fun:_ZN5Yosys5RTLIL8IdStringC1EPKc + fun:_ZN5Yosys5RTLIL8IdStringaSEPKc + fun:_ZN5Yosys11yosys_setupEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:calloc + obj:* + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx + fun:Tcl_EvalFile +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:calloc + obj:* + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx + fun:Tcl_EvalFile +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:calloc + obj:* + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx + fun:Tcl_EvalFile +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:strdup + fun:_ZN5Yosys5RTLIL8IdString13get_referenceEPKc + fun:_ZN5Yosys5RTLIL8IdStringC1EPKc + fun:_ZN5Yosys5RTLIL8IdStringaSEPKc + fun:_ZN5Yosys11yosys_setupEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:strdup + fun:_ZN5Yosys5RTLIL8IdString13get_referenceEPKc + fun:_ZN5Yosys5RTLIL8IdStringC1EPKc + fun:_ZN5Yosys5RTLIL8IdStringaSEPKc + fun:_ZN5Yosys11yosys_setupEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:strdup + fun:_ZN5Yosys5RTLIL8IdString13get_referenceEPKc + fun:_ZN5Yosys5RTLIL8IdStringC1EPKc + fun:_ZN5Yosys5RTLIL8IdStringaSEPKc + fun:_ZN5Yosys11yosys_setupEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:strdup + fun:_ZN5Yosys5RTLIL8IdString13get_referenceEPKc + fun:_ZN5Yosys5RTLIL8IdStringC1EPKc + fun:_ZN5Yosys5RTLIL8IdStringaSEPKc + fun:_ZN5Yosys11yosys_setupEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:strdup + fun:_ZN5Yosys5RTLIL8IdString13get_referenceEPKc + fun:_ZN5Yosys5RTLIL8IdStringC1EPKc + fun:_ZN5Yosys5RTLIL8IdStringaSEPKc + fun:_ZN5Yosys11yosys_setupEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:strdup + fun:_ZN5Yosys5RTLIL8IdString13get_referenceEPKc + fun:_ZN5Yosys5RTLIL8IdStringC1EPKc + fun:_ZN5Yosys5RTLIL8IdStringaSEPKc + fun:_ZN5Yosys11yosys_setupEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:calloc + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx + fun:Tcl_EvalFile + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:strdup + fun:_ZN5Yosys5RTLIL8IdString13get_referenceEPKc + fun:_ZN5Yosys5RTLIL8IdStringC1EPKc + fun:_ZN5Yosys5RTLIL8IdStringaSEPKc + fun:_ZN5Yosys11yosys_setupEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:strdup + fun:_ZN5Yosys5RTLIL8IdString13get_referenceEPKc + fun:_ZN5Yosys5RTLIL8IdStringC1EPKc + fun:_ZN5Yosys5RTLIL8IdStringaSEPKc + fun:_ZN5Yosys11yosys_setupEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:strdup + fun:_ZN5Yosys5RTLIL8IdString13get_referenceEPKc + fun:_ZN5Yosys5RTLIL8IdStringC1EPKc + fun:_ZN5Yosys5RTLIL8IdStringaSEPKc + fun:_ZN5Yosys11yosys_setupEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:calloc + obj:* + obj:* + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:realloc + obj:* + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:realloc + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx + fun:Tcl_EvalFile + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:calloc + obj:* + obj:* + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:calloc + obj:* + obj:* + obj:* + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:_Znwm + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx + fun:Tcl_EvalFile + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:realloc + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx + fun:Tcl_EvalFile + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:realloc + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx + fun:Tcl_EvalFile + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:calloc + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx + fun:Tcl_EvalFile + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:realloc + obj:* + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:realloc + obj:* + obj:* + obj:* + obj:* + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + fun:Tcl_CreateNamespace + fun:TclGetNamespaceForQualName + fun:Tcl_FindNamespace + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + fun:Tcl_CreateObjCommand + fun:Tcl_NRCreateCommand + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + fun:Tcl_CreateNamespace + fun:TclGetNamespaceForQualName + fun:Tcl_FindNamespace + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + fun:Tcl_CreateObjCommand + fun:Tcl_NRCreateCommand + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_DictObjPut + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + fun:Tcl_DStringSetLength + fun:Tcl_ExternalToUtfDString + fun:TclSetupEnv + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + fun:Tcl_GetThreadData + fun:TclpGetPwUid + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + fun:Tcl_CreateNamespace + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + fun:Tcl_NewStringObj + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_EvalEx + fun:Tcl_Eval + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:TclpRealloc + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_AppendLimitedToObj + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_EvalEx + fun:Tcl_Eval + fun:_ZN5Yosys20yosys_tcl_iterp_initEP10Tcl_Interp + fun:_ZN5Yosys20yosys_get_tcl_interpEv +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:TclpRealloc + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_AppendLimitedToObj + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_EvalEx + fun:Tcl_Eval + fun:_ZN5Yosys20yosys_tcl_iterp_initEP10Tcl_Interp + fun:_ZN5Yosys20yosys_get_tcl_interpEv +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_EvalEx + fun:Tcl_Eval + fun:_ZN5Yosys20yosys_tcl_iterp_initEP10Tcl_Interp +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:TclpRealloc + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_AppendLimitedToObj + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:TclpRealloc + fun:Tcl_Realloc + fun:Tcl_DStringAppend + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:TclSetByteCodeFromAny + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:TclNREvalObjEx + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + fun:Tcl_NewStringObj + fun:TclCreateProc + fun:Tcl_ProcObjCmd + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_EvalEx + fun:Tcl_Eval + fun:_ZN5Yosys20yosys_tcl_iterp_initEP10Tcl_Interp + fun:_ZN5Yosys20yosys_get_tcl_interpEv +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + fun:Tcl_CreateObjCommand + fun:Tcl_NRCreateCommand + fun:Tcl_ProcObjCmd + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_EvalEx + fun:Tcl_Eval + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:TclSetByteCodeFromAny + fun:TclProcCompileProc + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:TclNRInterpProc + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx + fun:Tcl_EvalFile +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:TclpRealloc + fun:Tcl_Realloc + fun:Tcl_DStringSetLength + fun:Tcl_ExternalToUtfDString + fun:TclSetupEnv + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + fun:TclpAlloc + fun:Tcl_Alloc + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_WriteObj + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx + fun:Tcl_EvalFile + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_NewObj + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: possible + fun:malloc + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_CreateInterp + fun:_ZN5Yosys20yosys_get_tcl_interpEv + fun:main +} +{ + + Memcheck:Leak + match-leak-kinds: definite + fun:malloc + fun:realloc + obj:* + obj:* + obj:* + obj:* + fun:_ZN5Yosys4Pass4callEPNS_5RTLIL6DesignESt6vectorINSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESaISA_EE + fun:_ZN5YosysL13tcl_yosys_cmdEPvP10Tcl_InterpiPPKc + fun:TclInvokeStringCommand + fun:TclNRRunCallbacks + obj:/usr/lib/x86_64-linux-gnu/libtcl8.6.so + fun:Tcl_FSEvalFileEx +} +{ + + Memcheck:Cond + fun:read_history_range + fun:main +} +{ + + Memcheck:Cond + fun:read_history_range + fun:main +} diff --git a/vtr_flow/arch/COFFE_22nm/k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml b/vtr_flow/arch/COFFE_22nm/k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml index 8170d72b0e2..3a301bac3dd 100644 --- a/vtr_flow/arch/COFFE_22nm/k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml +++ b/vtr_flow/arch/COFFE_22nm/k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml @@ -744,6 +744,49 @@ --> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/arch/multi_die/stratixiv_3d/3d_SB_inter_die_stratixiv_arch.timing.xml b/vtr_flow/arch/multi_die/stratixiv_3d/3d_SB_inter_die_stratixiv_arch.timing.xml index d671996b6f4..d2dc23f5005 100644 --- a/vtr_flow/arch/multi_die/stratixiv_3d/3d_SB_inter_die_stratixiv_arch.timing.xml +++ b/vtr_flow/arch/multi_die/stratixiv_3d/3d_SB_inter_die_stratixiv_arch.timing.xml @@ -5026,7 +5026,7 @@ - + + + + - - - + - + 1 1 1 1 1 1 1 1 1 - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/vtr_flow/benchmarks/system_verilog/f4pga/README.md b/vtr_flow/benchmarks/system_verilog/f4pga/README.md index 1887a0a2681..2d3e481d3a4 100644 --- a/vtr_flow/benchmarks/system_verilog/f4pga/README.md +++ b/vtr_flow/benchmarks/system_verilog/f4pga/README.md @@ -4,4 +4,14 @@ This folder contains the `button_controller`, `pulse_width_led` and `timer` benc The benchmarks are directly copied to avoid dealing with a significant amount of code by adding the F4PGA repository as a subtree to the VTR repository. The primary purpose of these benchmarks is to utilize them in VTR GitHub CI tests to continuously monitor the functionality of the Yosys SystemVerilog and UHDM plugins. -For more information please see the ['ChipsAlliance/F4PGA'](https://github.com/chipsalliance/f4pga) Github repository. \ No newline at end of file +For more information please see the ['ChipsAlliance/F4PGA'](https://github.com/chipsalliance/f4pga) Github repository. + +## SystemVerilog File Flattening with `make_sv_flattened.py` + +The current SystemVerilog tool, **Synlig**, cannot process multiple files as input (e.g., a top module and its dependencies). To address this limitation, use the script `make_sv_flattened.py` to flatten the files into a single SystemVerilog file. This will convert any design with dependencies into one flattened SystemVerilog file, ensuring compatibility with Synlig. + +### Instructions: +1. Ensure the `make_sv_flattened.py` script is located in the folder where your SystemVerilog files (e.g., the top module and its dependencies) are gathered. +2. Run the `make_sv_flattened.py` script on the gathered files in that folder. +3. The script will output a single flattened SystemVerilog file, ready for use with Synlig. + diff --git a/vtr_flow/benchmarks/system_verilog/f4pga/button_controller/flattened_button_controller.sv b/vtr_flow/benchmarks/system_verilog/f4pga/button_controller/flattened_button_controller.sv new file mode 100644 index 00000000000..13883b1f424 --- /dev/null +++ b/vtr_flow/benchmarks/system_verilog/f4pga/button_controller/flattened_button_controller.sv @@ -0,0 +1,241 @@ +// Content from button_controller.sv +`timescale 1ns / 1ps `default_nettype none + +module top ( + input wire logic clk, + btnu, + btnc, + output logic [3:0] anode, + output logic [7:0] segment +); + + + logic sync; + logic syncToDebounce; + logic debounceToOneShot; + logic f1, f2; + logic f3, f4; + logic oneShotToCounter; + logic [7:0] counterToSevenSegment; + logic [7:0] counterToSevenSegment2; + logic oneShotToCounter2; + logic s0, s1; + debounce d0 ( + .clk(clk), + .reset(btnu), + .noisy(syncToDebounce), + .debounced(debounceToOneShot) + ); + + assign oneShotToCounter = f1 && ~f2; + + assign oneShotToCounter2 = f3 && ~f4; + + timer #(.MOD_VALUE(256), .BIT_WIDTH(8)) T0 ( + .clk(clk), + .reset(btnu), + .increment(oneShotToCounter), + .rolling_over(s0), + .count(counterToSevenSegment) + ); + + timer #(.MOD_VALUE(256), .BIT_WIDTH(8)) T1 ( + .clk(clk), + .reset(btnu), + .increment(oneShotToCounter2), + .rolling_over(s1), + .count(counterToSevenSegment2) + ); + + + display_control DC0 ( + .clk(clk), + .reset(btnu), + .dataIn({counterToSevenSegment2, counterToSevenSegment}), + .digitDisplay(4'b1111), + .digitPoint(4'b0000), + .anode(anode), + .segment(segment) + ); + + always_ff @(posedge clk) begin + + sync <= btnc; + syncToDebounce <= sync; + + f1 <= debounceToOneShot; + f2 <= f1; + + f3 <= syncToDebounce; + f4 <= f3; + end +endmodule + + +// Content from debounce.sv +`timescale 1ns / 1ps `default_nettype none + +module debounce ( + input wire logic clk, + reset, + noisy, + output logic debounced +); + + logic timerDone, clrTimer; + + typedef enum logic [1:0] { + s0, + s1, + s2, + s3, + ERR = 'X + } state_type_e; + state_type_e ns, cs; + + logic [18:0] tA; + + timer #(.MOD_VALUE(500000), .BIT_WIDTH(19)) T0 ( + .clk(clk), + .reset(clrTimer), + .increment(1'b1), + .rolling_over(timerDone), + .count(tA) + ); + + always_comb begin + ns = ERR; + clrTimer = 0; + debounced = 0; + + if (reset) ns = s0; + else + case (cs) + s0: begin + clrTimer = 1'b1; + if (noisy) ns = s1; + else ns = s0; + end + s1: + if (noisy && timerDone) ns = s2; + else if (noisy && ~timerDone) ns = s1; + else ns = s0; + s2: begin + debounced = 1'b1; + clrTimer = 1'b1; + if (noisy) ns = s2; + else ns = s3; + end + s3: begin + debounced = 1'b1; + if (~noisy && timerDone) ns = s0; + else if (~noisy && ~timerDone) ns = s3; + else ns = s2; + end + endcase + end + + always_ff @(posedge clk) cs <= ns; +endmodule + + +// Content from display_control.sv +`default_nettype none + +module display_control ( + input wire logic clk, + input wire logic reset, + input wire logic [15:0] dataIn, + input wire logic [ 3:0] digitDisplay, + input wire logic [ 3:0] digitPoint, + output logic [ 3:0] anode, + output logic [ 7:0] segment +); + + parameter integer COUNT_BITS = 17; + + logic [COUNT_BITS-1:0] count_val; + logic [ 1:0] anode_select; + logic [ 3:0] cur_anode; + logic [ 3:0] cur_data_in; + + always_ff @(posedge clk) begin + if (reset) count_val <= 0; + else count_val <= count_val + 1; + end + + assign anode_select = count_val[COUNT_BITS-1:COUNT_BITS-2]; + + assign cur_anode = + (anode_select == 2'b00) ? 4'b1110 : + (anode_select == 2'b01) ? 4'b1101 : + (anode_select == 2'b10) ? 4'b1011 : + 4'b0111; + + assign anode = cur_anode | (~digitDisplay); + + assign cur_data_in = + (anode_select == 2'b00) ? dataIn[3:0] : + (anode_select == 2'b01) ? dataIn[7:4] : + (anode_select == 2'b10) ? dataIn[11:8] : + dataIn[15:12] ; + + assign segment[7] = + (anode_select == 2'b00) ? ~digitPoint[0] : + (anode_select == 2'b01) ? ~digitPoint[1] : + (anode_select == 2'b10) ? ~digitPoint[2] : + ~digitPoint[3] ; + + assign segment[6:0] = + (cur_data_in == 0) ? 7'b1000000 : + (cur_data_in == 1) ? 7'b1111001 : + (cur_data_in == 2) ? 7'b0100100 : + (cur_data_in == 3) ? 7'b0110000 : + (cur_data_in == 4) ? 7'b0011001 : + (cur_data_in == 5) ? 7'b0010010 : + (cur_data_in == 6) ? 7'b0000010 : + (cur_data_in == 7) ? 7'b1111000 : + (cur_data_in == 8) ? 7'b0000000 : + (cur_data_in == 9) ? 7'b0010000 : + (cur_data_in == 10) ? 7'b0001000 : + (cur_data_in == 11) ? 7'b0000011 : + (cur_data_in == 12) ? 7'b1000110 : + (cur_data_in == 13) ? 7'b0100001 : + (cur_data_in == 14) ? 7'b0000110 : + 7'b0001110; + + +endmodule + + +// Content from timer.sv +`timescale 1ns / 1ps `default_nettype none + +module timer #( + parameter MOD_VALUE = 1, + parameter BIT_WIDTH = 1 +) ( + input wire logic clk, + reset, + increment, + output logic rolling_over, + output logic [BIT_WIDTH-1:0] count = 0 +); + + always_ff @(posedge clk) begin + if (reset) count <= 0; + else if (increment) begin + if (rolling_over) count <= 0; + else count <= count + 1'b1; + end + + end + + always_comb begin + if (increment && (count == MOD_VALUE - 1)) rolling_over = 1'b1; + else rolling_over = 1'b0; + end + +endmodule + + diff --git a/vtr_flow/benchmarks/system_verilog/f4pga/make_sv_flattened.py b/vtr_flow/benchmarks/system_verilog/f4pga/make_sv_flattened.py new file mode 100644 index 00000000000..930d5fb40a6 --- /dev/null +++ b/vtr_flow/benchmarks/system_verilog/f4pga/make_sv_flattened.py @@ -0,0 +1,71 @@ +""" +Module for flattening the SV design files. +""" + +import os +import re + + +def find_verilog_files(): + """Find all Verilog (.sv, .v) files in the current directory.""" + return [f for f in os.listdir(".") if f.endswith((".sv", ".v"))] + + +def identify_top_module(file_list): + """Identify the file containing the top module definition.""" + top_module_regex = re.compile(r"module\s+top\s*\(") + for file in file_list: + with open(file, "r") as f: + for line in f: + if top_module_regex.search(line): + return file + return None + + +def create_flattened_file(top_file, file_list): + """Create a flattened Verilog file with all file contents.""" + current_dir = os.path.basename(os.getcwd()) + output_file_name = f"flattened_{current_dir}.sv" + + with open(output_file_name, "w") as output_file: + if top_file: + # Write the top module first + with open(top_file, "r") as top_module: + output_file.write(f"// Content from {top_file}\n") + output_file.write(top_module.read()) + output_file.write("\n\n") + + # Write the rest of the files + for file in file_list: + if file != top_file: + with open(file, "r") as verilog_file: + output_file.write(f"// Content from {file}\n") + output_file.write(verilog_file.read()) + output_file.write("\n\n") + + print(f"Flattened file created: {output_file_name}") + + +def main(): + """Main function to generate the flattened Verilog file.""" + print("Searching for Verilog files...") + verilog_files = find_verilog_files() + + if not verilog_files: + print("No Verilog files found in the current directory.") + return + + print("Identifying the top module...") + top_file = identify_top_module(verilog_files) + + if top_file: + print(f"Top module found in: {top_file}") + else: + print("No top module found. Files will be combined in arbitrary order.") + + print("Creating flattened file...") + create_flattened_file(top_file, verilog_files) + + +if __name__ == "__main__": + main() diff --git a/vtr_flow/benchmarks/system_verilog/f4pga/pulse_width_led/flattened_pulse_width_led.sv b/vtr_flow/benchmarks/system_verilog/f4pga/pulse_width_led/flattened_pulse_width_led.sv new file mode 100644 index 00000000000..74e32cc07fe --- /dev/null +++ b/vtr_flow/benchmarks/system_verilog/f4pga/pulse_width_led/flattened_pulse_width_led.sv @@ -0,0 +1,52 @@ +// Content from pulse_led.v +module top ( + input wire clk, + input wire [3:0] sw, + input wire [3:0] btn, + output wire pulse_red, + pulse_blue, + pulse_green +); + wire [13:0] pulse_wideR, pulse_wideB, pulse_wideG; + + assign pulse_wideR = {1'b0, sw[3:1], 10'd0}; + assign pulse_wideG = {1'b0, sw[0], btn[3:2], 10'd0}; + assign pulse_wideB = {btn[1:0], 11'd0}; + + PWM R0 ( + .clk (clk), + .pulse(pulse_red), + .width(pulse_wideR) + ); + PWM B0 ( + .clk (clk), + .pulse(pulse_green), + .width(pulse_wideB) + ); + PWM G0 ( + .clk (clk), + .pulse(pulse_blue), + .width(pulse_wideG) + ); + + +endmodule + + +// Content from PWM.v +module PWM ( + input wire clk, + input wire [13:0] width, + output reg pulse +); + + reg [13:0] counter = 0; + + always @(posedge clk) begin + counter <= counter + 1; + if (counter < width) pulse <= 1'b1; + else pulse <= 1'b0; + end +endmodule + + diff --git a/vtr_flow/benchmarks/system_verilog/f4pga/timer/flattened_timer.sv b/vtr_flow/benchmarks/system_verilog/f4pga/timer/flattened_timer.sv new file mode 100644 index 00000000000..5891e940a15 --- /dev/null +++ b/vtr_flow/benchmarks/system_verilog/f4pga/timer/flattened_timer.sv @@ -0,0 +1,230 @@ +// Content from clock.sv +`timescale 1ns / 1ps `default_nettype none + +module top ( + input wire logic clk, + btnc, + sw, + output logic [3:0] anode, + output logic [7:0] segment +); + + logic [15:0] digitData; + + timer TC0 ( + .clk(clk), + .reset(btnc), + .run(sw), + .digit0(digitData[3:0]), + .digit1(digitData[7:4]), + .digit2(digitData[11:8]), + .digit3(digitData[15:12]) + ); + display_control SSC0 ( + .clk(clk), + .reset(btnc), + .dataIn(digitData), + .digitDisplay(4'b1111), + .digitPoint(4'b0100), + .anode(anode), + .segment(segment) + ); +endmodule + + +// Content from modify_count.sv +`default_nettype none + +module modify_count #( + parameter MOD_VALUE = 10 +) ( + input wire logic clk, + reset, + increment, + output logic rolling_over, + output logic [3:0] count = 0 +); + + always_ff @(posedge clk) begin + if (reset) count <= 4'b0000; + else if (increment) begin + if (rolling_over) count <= 4'b0000; + else count <= count + 4'b0001; + end + end + + always_comb begin + if (increment && (count == MOD_VALUE - 1)) rolling_over = 1'b1; + else rolling_over = 1'b0; + end + +endmodule + + +// Content from display_control.sv +`default_nettype none + + +module display_control ( + input wire logic clk, + input wire logic reset, + input wire logic [15:0] dataIn, + input wire logic [ 3:0] digitDisplay, + input wire logic [ 3:0] digitPoint, + output logic [ 3:0] anode, + output logic [ 7:0] segment +); + + parameter integer COUNT_BITS = 17; + + logic [COUNT_BITS-1:0] count_val; + logic [ 1:0] anode_select; + logic [ 3:0] cur_anode; + logic [ 3:0] cur_data_in; + + + always_ff @(posedge clk) begin + if (reset) count_val <= 0; + else count_val <= count_val + 1; + end + + assign anode_select = count_val[COUNT_BITS-1:COUNT_BITS-2]; + + assign cur_anode = + (anode_select == 2'b00) ? 4'b1110 : + (anode_select == 2'b01) ? 4'b1101 : + (anode_select == 2'b10) ? 4'b1011 : + 4'b0111; + + assign anode = cur_anode | (~digitDisplay); + + assign cur_data_in = + (anode_select == 2'b00) ? dataIn[3:0] : + (anode_select == 2'b01) ? dataIn[7:4] : + (anode_select == 2'b10) ? dataIn[11:8] : + dataIn[15:12] ; + + assign segment[7] = + (anode_select == 2'b00) ? ~digitPoint[0] : + (anode_select == 2'b01) ? ~digitPoint[1] : + (anode_select == 2'b10) ? ~digitPoint[2] : + ~digitPoint[3] ; + + assign segment[6:0] = + (cur_data_in == 0) ? 7'b1000000 : + (cur_data_in == 1) ? 7'b1111001 : + (cur_data_in == 2) ? 7'b0100100 : + (cur_data_in == 3) ? 7'b0110000 : + (cur_data_in == 4) ? 7'b0011001 : + (cur_data_in == 5) ? 7'b0010010 : + (cur_data_in == 6) ? 7'b0000010 : + (cur_data_in == 7) ? 7'b1111000 : + (cur_data_in == 8) ? 7'b0000000 : + (cur_data_in == 9) ? 7'b0010000 : + (cur_data_in == 10) ? 7'b0001000 : + (cur_data_in == 11) ? 7'b0000011 : + (cur_data_in == 12) ? 7'b1000110 : + (cur_data_in == 13) ? 7'b0100001 : + (cur_data_in == 14) ? 7'b0000110 : + 7'b0001110; + + +endmodule + + +// Content from timer.sv +`timescale 1ns / 1ps `default_nettype none + +module timer ( + input wire logic clk, + reset, + run, + output logic [3:0] digit0, + digit1, + digit2, + digit3 +); + + logic inc0, inc1, inc2, inc3, inc4; + + logic [23:0] timerCount; + + modify_count #( + .MOD_VALUE(10) + ) M0 ( + .clk(clk), + .reset(reset), + .increment(inc0), + .rolling_over(inc1), + .count(digit0) + ); + modify_count #( + .MOD_VALUE(10) + ) M1 ( + .clk(clk), + .reset(reset), + .increment(inc1), + .rolling_over(inc2), + .count(digit1) + ); + modify_count #( + .MOD_VALUE(10) + ) M2 ( + .clk(clk), + .reset(reset), + .increment(inc2), + .rolling_over(inc3), + .count(digit2) + ); + modify_count #( + .MOD_VALUE(6) + ) M3 ( + .clk(clk), + .reset(reset), + .increment(inc3), + .rolling_over(inc4), + .count(digit3) + ); + + time_counter #( + .MOD_VALUE(1000000) + ) T0 ( + .clk(clk), + .reset(reset), + .increment(run), + .rolling_over(inc0), + .count(timerCount) + ); +endmodule + + +// Content from time_counter.sv +`timescale 1ns / 1ps `default_nettype none + +module time_counter #( + parameter MOD_VALUE = 1000000 +) ( + input wire logic clk, + reset, + increment, + output logic rolling_over, + output logic [23:0] count = 0 +); + + always_ff @(posedge clk) begin + if (reset) count <= 0; + else if (increment) begin + if (rolling_over) count <= 0; + else count <= count + 1'b1; + end + + end + + always_comb begin + if (increment && (count == MOD_VALUE - 1)) rolling_over = 1'b1; + else rolling_over = 1'b0; + end + +endmodule + + diff --git a/vtr_flow/misc/yosys/synthesis.tcl b/vtr_flow/misc/yosys/synthesis.tcl index 5015d587055..24bae6877cc 100644 --- a/vtr_flow/misc/yosys/synthesis.tcl +++ b/vtr_flow/misc/yosys/synthesis.tcl @@ -7,7 +7,15 @@ read_verilog -nomem2reg +/parmys/vtr_primitives.v setattr -mod -set keep_hierarchy 1 single_port_ram setattr -mod -set keep_hierarchy 1 dual_port_ram -puts "Using parmys as partial mapper" +# synlig path error handling +if {[catch {set synlig $::env(synlig_exe_path)} err]} { + puts "Error: $err" + puts "synlig_exe_path is not set" +} else { + set synlig $::env(synlig_exe_path) + puts "Using parmys as partial mapper" +} + # arch file: QQQ # input files: [XXX] @@ -18,15 +26,14 @@ puts "Using parmys as partial mapper" parmys_arch -a QQQ if {$env(PARSER) == "surelog" } { - puts "Using Yosys read_uhdm command" - plugin -i systemverilog - yosys -import - read_uhdm XXX + puts "Using Synlig read_uhdm command" + + exec $synlig -p "read_uhdm XXX" + } elseif {$env(PARSER) == "system-verilog" } { - puts "Using Yosys read_systemverilog command" - plugin -i systemverilog - yosys -import - read_systemverilog XXX + puts "Using Synlig read_systemverilog " + exec $synlig -p "read_systemverilog XXX" + } elseif {$env(PARSER) == "default" } { puts "Using Yosys read_verilog command" read_verilog -sv -nolatches XXX @@ -66,7 +73,14 @@ techmap -map +/parmys/aldffe2dff.v opt -full -parmys -a QQQ -nopass -c CCC YYY +# Separate options for Parmys execution (Verilog or SystemVerilog) +if {$env(PARSER) == "default"} { + # For Verilog, use -nopass for a simpler, faster flow + parmys -a QQQ -nopass -c CCC YYY +} elseif {$env(PARSER) == "system-verilog" || $env(PARSER) == "surelog"} { + # For Synlig SystemVerilog, run additional passes to handle complexity + parmys -a QQQ -c CCC YYY +} opt -full @@ -75,11 +89,10 @@ opt -fast dffunmap opt -fast -noff - #autoname stat hierarchy -check -auto-top -purge_lib -write_blif -true + vcc -false + gnd -undef + unconn -blackbox ZZZ +write_blif -true + vcc -false + gnd -undef + unconn -blackbox ZZZ \ No newline at end of file diff --git a/vtr_flow/parse/parse_config/common/vpr.place.txt b/vtr_flow/parse/parse_config/common/vpr.place.txt index 64da113842b..865a7d2159a 100644 --- a/vtr_flow/parse/parse_config/common/vpr.place.txt +++ b/vtr_flow/parse/parse_config/common/vpr.place.txt @@ -1,4 +1,5 @@ #VPR Place Metrics +initial_placed_wirelength_est;vpr.out;Initial placement BB estimate of wirelength:\s*(\d+) placed_wirelength_est;vpr.out;BB estimate of min-dist \(placement\) wire length: (\d+) #VPR Number of heap operations diff --git a/vtr_flow/parse/parse_config/timing/vpr.place.txt b/vtr_flow/parse/parse_config/timing/vpr.place.txt index ba0dc8a9307..42d3c904cd0 100644 --- a/vtr_flow/parse/parse_config/timing/vpr.place.txt +++ b/vtr_flow/parse/parse_config/timing/vpr.place.txt @@ -1,6 +1,7 @@ %include "../common/vpr.place.txt" #VPR Place Timing Metrics +initial_placed_CPD_est;vpr.out;Initial placement estimated Critical Path Delay \(CPD\): (.*) ns placed_CPD_est;vpr.out;Placement estimated critical path delay \(least slack\): (.*) ns placed_setup_TNS_est;vpr.out;Placement estimated setup Total Negative Slack \(sTNS\): (.*) ns placed_setup_WNS_est;vpr.out;Placement estimated setup Worst Negative Slack \(sWNS\): (.*) ns diff --git a/vtr_flow/parse/qor_config/qor_ap_fixed_chan_width.txt b/vtr_flow/parse/qor_config/qor_ap_fixed_chan_width.txt index a78ab6d191c..4b14300b2d3 100644 --- a/vtr_flow/parse/qor_config/qor_ap_fixed_chan_width.txt +++ b/vtr_flow/parse/qor_config/qor_ap_fixed_chan_width.txt @@ -2,14 +2,24 @@ # channel width. vpr_status;output.txt;vpr_status=(.*) -total_wirelength;vpr.out;\s*Total wirelength: (\d+) -# Final critical path delay (least slack): 6.34202 ns, Fmax: 157.678 MHz crit_path_delay;vpr.out;Critical path: (.*) ns +post_gp_hpwl;vpr.out;\s*Placement HPWL: (.*) +post_fl_hpwl;vpr.out;Initial placement BB estimate of wirelength: (.*) +total_wirelength;vpr.out;\s*Total wirelength: (\d+) +post_gp_overfilled_bins;vpr.out;\s*Number of overfilled bins: (\d+) +post_gp_avg_overfill;vpr.out;\s*Average overfill magnitude: (.*) +post_gp_num_misplaced_blocks;vpr.out;\s*Number of blocks in an incompatible bin: (\d+) +post_fl_cluster_err;vpr.out;\s*Percent of clusters with reconstruction errors: (.*) +post_fl_atom_err;vpr.out;\s*Percent of atoms misplaced from the flat placement: (.*) +post_fl_total_disp;vpr.out;\s*Total displacement of initial placement from flat placement: (.*) +post_fl_avg_disp;vpr.out;\s*Average atom displacement of initial placement from flat placement: (.*) +post_fl_max_disp;vpr.out;\s*Max atom displacement of initial placement from flat placement: (.*) ap_runtime;vpr.out;Analytical Placement took (.*) seconds -pack_runtime;vpr.out;Packing took (.*) seconds -# TODO: Figure out how to match Placement and not Analytical Placement better. -place_runtime;vpr.out;^(?!.*\bAnalytical\b).*Placement took (.*) seconds +ap_gp_runtime;vpr.out;AP Global Placer took (.*) seconds +ap_fl_runtime;vpr.out;AP Full Legalizer took (.*) seconds +ap_dp_runtime;vpr.out;AP Detailed Placer took (.*) seconds route_runtime;vpr.out;Routing took (.*) seconds total_runtime;vpr.out;The entire flow of VPR took (.*) seconds num_clb;vpr.out;Netlist clb blocks:\s*(\d+) +num_lab;vpr.out;Netlist LAB blocks:\s*(\d+) diff --git a/vtr_flow/scripts/arch_gen/arch_gen.py b/vtr_flow/scripts/arch_gen/arch_gen.py index 2039bf26e06..ef68cde7af5 100755 --- a/vtr_flow/scripts/arch_gen/arch_gen.py +++ b/vtr_flow/scripts/arch_gen/arch_gen.py @@ -172,7 +172,7 @@ def xLUT(LUT_size, num_LUT): def xCLB(k_LUT, N_BLE, I_CLB, I_BLE, fracture_level, num_FF, crossbar_str): - O_LUT = 2 ** fracture_level + O_LUT = 2**fracture_level O_soft = O_LUT O_ble = O_soft O_CLB = N_BLE * O_ble @@ -430,7 +430,7 @@ def xCLB(k_LUT, N_BLE, I_CLB, I_BLE, fracture_level, num_FF, crossbar_str): else: special_stage = False - num_LUT = 2 ** frac_stage + num_LUT = 2**frac_stage LUT_size = k_LUT - frac_stage idx = "[" + str(LUT_size - 1) + ":0]" diff --git a/vtr_flow/scripts/benchtracker/flask_cors/six.py b/vtr_flow/scripts/benchtracker/flask_cors/six.py index c06164c97d0..80c9e7e618d 100644 --- a/vtr_flow/scripts/benchtracker/flask_cors/six.py +++ b/vtr_flow/scripts/benchtracker/flask_cors/six.py @@ -687,7 +687,6 @@ def reraise(tp, value, tb=None): raise value.with_traceback(tb) raise value - else: def exec_(_code_, _globs_=None, _locs_=None): @@ -809,13 +808,13 @@ def wrapper(f): return wrapper - else: wraps = functools.wraps def with_metaclass(meta, *bases): """Create a base class with a metaclass.""" + # This requires a bit of explanation: the basic idea is to make a dummy # metaclass for one level of class instantiation that replaces itself with # the actual metaclass. diff --git a/vtr_flow/scripts/benchtracker/populate_db.py b/vtr_flow/scripts/benchtracker/populate_db.py index 39c898bde1e..358ef190fd7 100755 --- a/vtr_flow/scripts/benchtracker/populate_db.py +++ b/vtr_flow/scripts/benchtracker/populate_db.py @@ -25,6 +25,7 @@ nullval = "-1" type_map = {int: "INT", float: "REAL", str: "TEXT"} + # main program sequence def main(): params = Params() @@ -59,6 +60,7 @@ def update_db(params, db): # check if table for task exists; if not then create it task_table_name = params.task_table_name create_table(params, db, task_table_name) + # load up latest run and parsed date for task def check_last_runs_table(runs): natural_sort(runs) diff --git a/vtr_flow/scripts/blif_splicer.py b/vtr_flow/scripts/blif_splicer.py index dcfb71fc7d1..08058163f65 100755 --- a/vtr_flow/scripts/blif_splicer.py +++ b/vtr_flow/scripts/blif_splicer.py @@ -88,9 +88,11 @@ # and is not 're') with the name of the circuit. sections[sectionNumber] += " ".join( - name + "_" + word - if word != "re" and any(char not in nonNetChars for char in word) - else word + ( + name + "_" + word + if word != "re" and any(char not in nonNetChars for char in word) + else word + ) for word in line.split(" ") ) diff --git a/vtr_flow/scripts/download_noc_mlp.py b/vtr_flow/scripts/download_noc_mlp.py index c483da753df..c541c91c021 100755 --- a/vtr_flow/scripts/download_noc_mlp.py +++ b/vtr_flow/scripts/download_noc_mlp.py @@ -17,6 +17,7 @@ import shutil import errno + class ExtractionError(Exception): """ Raised when extracting the downlaoded file fails @@ -39,8 +40,9 @@ def parse_args(): does nothing (unless --force is specified). """ ) - parser = argparse.ArgumentParser(formatter_class=argparse.ArgumentDefaultsHelpFormatter, - description=description) + parser = argparse.ArgumentParser( + formatter_class=argparse.ArgumentDefaultsHelpFormatter, description=description + ) parser.add_argument( "--vtr_flow_dir", @@ -132,7 +134,7 @@ def extract_to_vtr_flow_dir(args, tar_gz_filename): raise ExtractionError("{} should be a directory".format(directory)) # Create a temporary working directory - tmpdir = tempfile.mkdtemp(suffix="download_NoC_MLP", dir= os.path.abspath(".")) + tmpdir = tempfile.mkdtemp(suffix="download_NoC_MLP", dir=os.path.abspath(".")) try: # Extract the contents of the .tar.gz archive directly into the destination directory with tarfile.open(tar_gz_filename, "r:gz") as tar: diff --git a/vtr_flow/scripts/download_titan.py b/vtr_flow/scripts/download_titan.py index cf025dc38ab..483ba5c04d0 100755 --- a/vtr_flow/scripts/download_titan.py +++ b/vtr_flow/scripts/download_titan.py @@ -41,8 +41,9 @@ def parse_args(): does nothing (unless --force is specified). """ ) - parser = argparse.ArgumentParser(formatter_class=argparse.ArgumentDefaultsHelpFormatter, - description=description) + parser = argparse.ArgumentParser( + formatter_class=argparse.ArgumentDefaultsHelpFormatter, description=description + ) parser.add_argument( "--titan_version", default="2.0.0", help="Titan release version to download" diff --git a/vtr_flow/scripts/python_libs/vtr/__init__.py b/vtr_flow/scripts/python_libs/vtr/__init__.py index 6a0b38d7639..9237e03be89 100644 --- a/vtr_flow/scripts/python_libs/vtr/__init__.py +++ b/vtr_flow/scripts/python_libs/vtr/__init__.py @@ -1,6 +1,7 @@ """ __init__ for the VTR python module """ + from .util import ( load_config_lines, CommandRunner, @@ -14,12 +15,12 @@ argparse_use_previous, argparse_str2bool, get_existing_run_dir, - get_latest_run_dir, - get_latest_run_number, + get_active_run_dir, get_next_run_dir, verify_file, pretty_print_table, find_task_dir, + RunDir, ) from .log_parse import ( determine_lut_size, diff --git a/vtr_flow/scripts/python_libs/vtr/abc/__init__.py b/vtr_flow/scripts/python_libs/vtr/abc/__init__.py index 26d376b3420..cdb3a62e69d 100644 --- a/vtr_flow/scripts/python_libs/vtr/abc/__init__.py +++ b/vtr_flow/scripts/python_libs/vtr/abc/__init__.py @@ -1,4 +1,5 @@ """ __init__ for abc module """ + from .abc import run, run_lec diff --git a/vtr_flow/scripts/python_libs/vtr/abc/abc.py b/vtr_flow/scripts/python_libs/vtr/abc/abc.py index 0ec3ca5ccef..a49de129d69 100644 --- a/vtr_flow/scripts/python_libs/vtr/abc/abc.py +++ b/vtr_flow/scripts/python_libs/vtr/abc/abc.py @@ -1,6 +1,7 @@ """ Module to run ABC with its various options """ + import shutil from collections import OrderedDict from pathlib import Path @@ -8,6 +9,7 @@ from vtr import paths from vtr.error import InspectError + # pylint: disable=too-many-arguments, too-many-locals def run( architecture_file, @@ -186,24 +188,26 @@ def run( ] ) if abc_script is None - else "; ".join( - [ - "read {pre_abc_blif}".format(pre_abc_blif=pre_abc_blif.name), - "time", - "resyn", - "resyn2", - "if -K {lut_size}".format(lut_size=lut_size), - "time", - "scleanup", - "write_hie {pre_abc_blif} {post_abc_raw_blif}".format( - pre_abc_blif=pre_abc_blif.name, - post_abc_raw_blif=post_abc_raw_blif.name, - ), - "print_stats", - ] + else ( + "; ".join( + [ + "read {pre_abc_blif}".format(pre_abc_blif=pre_abc_blif.name), + "time", + "resyn", + "resyn2", + "if -K {lut_size}".format(lut_size=lut_size), + "time", + "scleanup", + "write_hie {pre_abc_blif} {post_abc_raw_blif}".format( + pre_abc_blif=pre_abc_blif.name, + post_abc_raw_blif=post_abc_raw_blif.name, + ), + "print_stats", + ] + ) + if use_old_abc_script + else abc_script ) - if use_old_abc_script - else abc_script ) cmd = [abc_exec, "-c", abc_script] diff --git a/vtr_flow/scripts/python_libs/vtr/ace/__init__.py b/vtr_flow/scripts/python_libs/vtr/ace/__init__.py index 9666f7710ac..50682d93b35 100644 --- a/vtr_flow/scripts/python_libs/vtr/ace/__init__.py +++ b/vtr_flow/scripts/python_libs/vtr/ace/__init__.py @@ -1,4 +1,5 @@ """ init for the ACE module """ + from .ace import run diff --git a/vtr_flow/scripts/python_libs/vtr/ace/ace.py b/vtr_flow/scripts/python_libs/vtr/ace/ace.py index 7c5a274dc7e..a2e6af00fd5 100644 --- a/vtr_flow/scripts/python_libs/vtr/ace/ace.py +++ b/vtr_flow/scripts/python_libs/vtr/ace/ace.py @@ -1,9 +1,11 @@ """ Module to run ACE with its various options """ + from pathlib import Path from vtr import verify_file, CommandRunner, paths + # pylint: disable=too-many-arguments def run( circuit_file, diff --git a/vtr_flow/scripts/python_libs/vtr/flow.py b/vtr_flow/scripts/python_libs/vtr/flow.py index a1f14e2816e..fa0798fcd25 100644 --- a/vtr_flow/scripts/python_libs/vtr/flow.py +++ b/vtr_flow/scripts/python_libs/vtr/flow.py @@ -1,6 +1,7 @@ """ Module to run the VTR flow. This module calls other modules that then access the tools like VPR. """ + import shutil from pathlib import Path from collections import OrderedDict @@ -258,9 +259,9 @@ def run( if should_run_stage(VtrStage.ACE, start_stage, end_stage): vtr.ace.run( next_stage_netlist, - old_netlist=post_odin_netlist - if start_stage == VtrStage.ODIN - else post_yosys_netlist, + old_netlist=( + post_odin_netlist if start_stage == VtrStage.ODIN else post_yosys_netlist + ), output_netlist=post_ace_netlist, output_activity_file=post_ace_activity_file, command_runner=command_runner, @@ -297,8 +298,6 @@ def run( if ( "write_rr_graph" in vpr_args - or "analysis" in vpr_args - or "route" in vpr_args or "write_router_lookahead" in vpr_args or "write_intra_cluster_router_lookahead" in vpr_args ): @@ -399,8 +398,9 @@ def delete_intermediate_files( delete intermediate files """ next_stage_netlist.unlink() - exts = (".xml", ".sdf", ".v") - exts += (".net", ".place", ".route") if not keep_result_files else None + exts = (".xml", ".sdf", ".v", ".sv") + if not keep_result_files: + exts += (".net", ".place", ".route") for file in temp_dir.iterdir(): if file.suffix in exts: diff --git a/vtr_flow/scripts/python_libs/vtr/log_parse.py b/vtr_flow/scripts/python_libs/vtr/log_parse.py index 623c4545e22..69e8d72e7d7 100644 --- a/vtr_flow/scripts/python_libs/vtr/log_parse.py +++ b/vtr_flow/scripts/python_libs/vtr/log_parse.py @@ -1,6 +1,7 @@ """ module that contains functions to inspect various files to determine important values """ + import re from collections import OrderedDict from pathlib import Path @@ -25,7 +26,7 @@ def __init__(self, name, filename, regex_str, default_value=None): self._filename = filename # Look for the specified pattern somewhere in the line, but any characters # can occur before and after it. Detailed in GitHub Issue #2743. - self._regex = re.compile(f'^.*{regex_str}.*$') + self._regex = re.compile(f"^.*{regex_str}.*$") self._default_value = default_value def name(self): diff --git a/vtr_flow/scripts/python_libs/vtr/odin/__init__.py b/vtr_flow/scripts/python_libs/vtr/odin/__init__.py index b55d5c160cd..f1006248346 100644 --- a/vtr_flow/scripts/python_libs/vtr/odin/__init__.py +++ b/vtr_flow/scripts/python_libs/vtr/odin/__init__.py @@ -1,4 +1,5 @@ """ init for the ODIN module """ + from .odin import run diff --git a/vtr_flow/scripts/python_libs/vtr/odin/odin.py b/vtr_flow/scripts/python_libs/vtr/odin/odin.py index c4346d115b8..846b743b814 100644 --- a/vtr_flow/scripts/python_libs/vtr/odin/odin.py +++ b/vtr_flow/scripts/python_libs/vtr/odin/odin.py @@ -1,6 +1,7 @@ """ Module to run ODIN II with its various arguments """ + import os import shutil from collections import OrderedDict diff --git a/vtr_flow/scripts/python_libs/vtr/parmys/__init__.py b/vtr_flow/scripts/python_libs/vtr/parmys/__init__.py index 581b8681c71..a8a520b91ed 100644 --- a/vtr_flow/scripts/python_libs/vtr/parmys/__init__.py +++ b/vtr_flow/scripts/python_libs/vtr/parmys/__init__.py @@ -1,5 +1,6 @@ """ init for the YOSYS module """ + from .parmys import run from .parmys import YOSYS_PARSERS diff --git a/vtr_flow/scripts/python_libs/vtr/parmys/parmys.py b/vtr_flow/scripts/python_libs/vtr/parmys/parmys.py index cef45626828..c067ee7e7cf 100644 --- a/vtr_flow/scripts/python_libs/vtr/parmys/parmys.py +++ b/vtr_flow/scripts/python_libs/vtr/parmys/parmys.py @@ -1,6 +1,7 @@ """ Module to run Parmys with its various arguments """ + import os import shutil from collections import OrderedDict @@ -232,6 +233,13 @@ def run( odin_config_full_path, ) + # Set the synlig exe script path in the environment variable + # (handle if it is not set or system-verilog OFF) + try: + os.environ["synlig_exe_path"] = str(vtr.paths.synlig_exe_path) + except KeyError: + os.environ["synlig_exe_path"] = "/dummy/path" + # set the parser if parmys_args["parser"] in YOSYS_PARSERS: os.environ["PARSER"] = parmys_args["parser"] diff --git a/vtr_flow/scripts/python_libs/vtr/parse_vtr_flow.py b/vtr_flow/scripts/python_libs/vtr/parse_vtr_flow.py index d500eca0643..e238f2d9bb8 100755 --- a/vtr_flow/scripts/python_libs/vtr/parse_vtr_flow.py +++ b/vtr_flow/scripts/python_libs/vtr/parse_vtr_flow.py @@ -16,7 +16,6 @@ def parse_file_and_update_results(filename, patterns, results): - """ Find filename, and then look through for the matching patterns, updating results """ diff --git a/vtr_flow/scripts/python_libs/vtr/parse_vtr_task.py b/vtr_flow/scripts/python_libs/vtr/parse_vtr_task.py index d2aac387bcc..0eff7bbb75c 100755 --- a/vtr_flow/scripts/python_libs/vtr/parse_vtr_task.py +++ b/vtr_flow/scripts/python_libs/vtr/parse_vtr_task.py @@ -7,6 +7,7 @@ from pathlib import Path from pathlib import PurePath import sys +import os import argparse import textwrap import shutil @@ -18,13 +19,12 @@ from vtr import ( load_list_file, RawDefaultHelpFormatter, - get_latest_run_dir, + get_active_run_dir, load_task_config, find_task_config_file, load_pass_requirements, load_parse_results, parse_vtr_flow, - get_latest_run_number, pretty_print_table, find_task_dir, CommandError, @@ -32,6 +32,7 @@ VtrError, create_jobs, paths, + RunDir, ) # pylint: enable=wrong-import-position @@ -130,7 +131,12 @@ def vtr_command_argparser(prog=None): help="QoR geomeans are not computed by default", ) - parser.add_argument("-run", default=None, type=str, help="") + parser.add_argument( + "-run", + default=None, + type=str, + help="Parse the specified run directory. Defaults to the latest.", + ) parser.add_argument("-revision", default="", help="Revision number") @@ -144,6 +150,8 @@ def vtr_command_main(arg_list, prog=None): """ # Load the arguments args = vtr_command_argparser(prog).parse_args(arg_list) + if args.run is not None: + RunDir.set_user_run_dir_name(args.run) try: task_names = args.task @@ -208,7 +216,7 @@ def parse_task(config, config_jobs, flow_metrics_basename=FIRST_PARSE_FILE, alt_ max_arch_len = len("architecture") max_circuit_len = len("circuit") for job in config_jobs: - work_dir = job.work_dir(get_latest_run_dir(find_task_dir(config, alt_tasks_dir))) + work_dir = job.work_dir(get_active_run_dir(find_task_dir(config, alt_tasks_dir))) job.parse_command()[0] = work_dir # job.second_parse_command()[0] = work_dir job.qor_parse_command()[0] = work_dir @@ -433,7 +441,7 @@ def check_two_files( ) num_qor_failures = 0 # Verify that the first results pass each metric for all cases in the second results - for (arch, circuit, script_params) in second_primary_keys: + for arch, circuit, script_params in second_primary_keys: second_metrics = second_results.metrics(arch, circuit, script_params) first_metrics = first_results.metrics(arch, circuit, script_params) first_fail = True @@ -525,7 +533,7 @@ def calc_geomean(args, configs): first = False lines = summary.readlines() print( - get_latest_run_number(find_task_dir(configs[0], args.alt_tasks_dir)), + os.path.basename(get_active_run_dir(find_task_dir(configs[0], args.alt_tasks_dir))), file=out, end="\t", ) @@ -571,7 +579,7 @@ def find_latest_run_dir(config, alt_tasks_dir=None): """Find the latest run directory for given configuration""" task_dir = find_task_dir(config, alt_tasks_dir) - run_dir = get_latest_run_dir(task_dir) + run_dir = get_active_run_dir(task_dir) if not run_dir: raise InspectError( diff --git a/vtr_flow/scripts/python_libs/vtr/paths.py b/vtr_flow/scripts/python_libs/vtr/paths.py index 2df9317c81c..2ab1e4c31b5 100644 --- a/vtr_flow/scripts/python_libs/vtr/paths.py +++ b/vtr_flow/scripts/python_libs/vtr/paths.py @@ -22,6 +22,10 @@ yosys_tcl_path = vtr_flow_path / "misc" / "yosys" yosys_script_path = yosys_tcl_path / "synthesis.tcl" +# Synlig paths +synlig_path = root_path / "build" / "bin" / "synlig_install" +synlig_exe_path = synlig_path / "usr" / "local" / "bin" / "synlig" + # PARMYS paths parmys_path = root_path / "parmys" parmys_verify_path = parmys_path / "verify_parmys.sh" diff --git a/vtr_flow/scripts/python_libs/vtr/task.py b/vtr_flow/scripts/python_libs/vtr/task.py index 5af290578f7..3d063d05202 100644 --- a/vtr_flow/scripts/python_libs/vtr/task.py +++ b/vtr_flow/scripts/python_libs/vtr/task.py @@ -1,6 +1,7 @@ """ Module that contains the task functions """ + import itertools from pathlib import Path @@ -15,13 +16,14 @@ load_list_file, load_parse_results, get_existing_run_dir, - get_latest_run_dir, get_next_run_dir, + get_active_run_dir, find_task_dir, load_script_param, paths, ) + # pylint: disable=too-many-instance-attributes, too-many-arguments, too-many-locals, too-few-public-methods class TaskConfig: """ @@ -55,7 +57,7 @@ def __init__( pad_file=None, additional_files=None, additional_files_list_add=None, - circuit_constraint_list_add=None + circuit_constraint_list_add=None, ): self.task_name = task_name self.config_dir = config_dir @@ -82,9 +84,9 @@ def __init__( self.pad_file = pad_file self.additional_files = additional_files self.additional_files_list_add = additional_files_list_add - self.circuit_constraints = parse_circuit_constraint_list(circuit_constraint_list_add, - self.circuits, - self.archs) + self.circuit_constraints = parse_circuit_constraint_list( + circuit_constraint_list_add, self.circuits, self.archs + ) # pylint: enable=too-few-public-methods @@ -306,9 +308,8 @@ def check_include_fields(config_file, key_values): ) ) -def parse_circuit_constraint_list( - circuit_constraint_list, circuits_list, arch_list -) -> dict: + +def parse_circuit_constraint_list(circuit_constraint_list, circuits_list, arch_list) -> dict: """ Parse the circuit constraints passed in via the config file. Circuit constraints are expected to have the following syntax: @@ -343,38 +344,39 @@ def parse_circuit_constraint_list( # Parse the circuit constraint list for circuit_constraint in circuit_constraint_list: # Remove the round brackets. - if circuit_constraint[0] != '(' or circuit_constraint[-1] != ')': - raise VtrError(f"Circuit constraint syntax error: \"{circuit_constraint}\"") + if circuit_constraint[0] != "(" or circuit_constraint[-1] != ")": + raise VtrError(f'Circuit constraint syntax error: "{circuit_constraint}"') circuit_constraint = circuit_constraint[1:-1] # Split the circuit and the constraint - split_constraint_line = circuit_constraint.split(',') + split_constraint_line = circuit_constraint.split(",") if len(split_constraint_line) != 2: - raise VtrError(f"Circuit constraint has too many arguments: \"{circuit_constraint}\"") + raise VtrError(f'Circuit constraint has too many arguments: "{circuit_constraint}"') circuit = split_constraint_line[0].strip() constraint = split_constraint_line[1].strip() # Check that the circuit actually exists. if circuit not in circuits_list: - raise VtrError(f"Cannot constrain circuit \"{circuit}\", circuit has not been added") + raise VtrError(f'Cannot constrain circuit "{circuit}", circuit has not been added') # Parse the constraint split_constraint = constraint.split("=") if len(split_constraint) != 2: - raise VtrError(f"Circuit constraint syntax error: \"{circuit_constraint}\"") + raise VtrError(f'Circuit constraint syntax error: "{circuit_constraint}"') constr_key = split_constraint[0].strip() constr_val = split_constraint[1].strip() # Check that the constr_key is valid. if constr_key not in circuit_constraint_keys: - raise VtrError(f"Invalid constraint \"{constr_key}\" used on circuit \"{circuit}\"") + raise VtrError(f'Invalid constraint "{constr_key}" used on circuit "{circuit}"') # In the case of arch constraints, make sure this arch exists. if constr_key == "arch" and constr_val not in arch_list: - raise VtrError(f"Cannot constrain arch \"{constr_key}\", arch has not been added") + raise VtrError(f'Cannot constrain arch "{constr_key}", arch has not been added') # Make sure this circuit is not already constrained with this constr_arg if res_circuit_constraints[circuit][constr_key] is not None: - raise VtrError(f"Circuit \"{circuit}\" cannot be constrained more than once") + raise VtrError(f'Circuit "{circuit}" cannot be constrained more than once') # Add the constraint for this circuit res_circuit_constraints[circuit][constr_key] = constr_val return res_circuit_constraints + def shorten_task_names(configs, common_task_prefix): """ Shorten the task names of the configs by remove the common task prefix. @@ -407,7 +409,7 @@ def find_longest_task_description(configs): def get_work_dir_addr(arch, circuit, noc_traffic): - """ Get the work directory address under under run_dir """ + """Get the work directory address under under run_dir""" work_dir = None if noc_traffic: work_dir = str(PurePath(arch).joinpath(circuit).joinpath(noc_traffic)) @@ -418,7 +420,7 @@ def get_work_dir_addr(arch, circuit, noc_traffic): def create_second_parse_cmd(config): - """ Create the parse command to run the second time """ + """Create the parse command to run the second time""" second_parse_cmd = None if config.second_parse_file: second_parse_cmd = [ @@ -436,7 +438,7 @@ def create_second_parse_cmd(config): def create_cmd( abs_circuit_filepath, abs_arch_filepath, config, args, circuit, noc_traffic ) -> Tuple: - """ Create the command to run the task """ + """Create the command to run the task""" # Collect any extra script params from the config file cmd = [abs_circuit_filepath, abs_arch_filepath] @@ -583,10 +585,7 @@ def create_jobs(args, configs, after_run=False) -> List[Job]: work_dir = get_work_dir_addr(arch, circuit, noc_traffic) run_dir = ( - str( - Path(get_latest_run_dir(find_task_dir(config, args.alt_tasks_dir))) - / work_dir - ) + str(Path(get_active_run_dir(find_task_dir(config, args.alt_tasks_dir))) / work_dir) if after_run else str( Path(get_next_run_dir(find_task_dir(config, args.alt_tasks_dir))) / work_dir @@ -780,6 +779,7 @@ def ret_expected_vpr_status(arch, circuit, golden_results, script_params=None): return golden_metrics["vpr_status"] + def apply_cmd_line_circuit_constraints(cmd, circuit, config): """ Apply the circuit constraints to the command line. If the circuit is not @@ -798,6 +798,7 @@ def apply_cmd_line_circuit_constraints(cmd, circuit, config): if constrained_route_w is not None: cmd += ["--route_chan_width", constrained_route_w] + def resolve_vtr_source_file(config, filename, base_dir=""): """ Resolves an filename with a base_dir diff --git a/vtr_flow/scripts/python_libs/vtr/util.py b/vtr_flow/scripts/python_libs/vtr/util.py index 61b52ee991b..a80521f07e8 100644 --- a/vtr_flow/scripts/python_libs/vtr/util.py +++ b/vtr_flow/scripts/python_libs/vtr/util.py @@ -22,6 +22,31 @@ from vtr import paths +class RunDir: + """ + A class for representing a run directory. + """ + + # The run directory name passed by set_global_run_dir + # is the run directory name to parse. + # If it is None, the latest run directory will be parsed. + g_run_dir_name = None + + @classmethod + def set_user_run_dir_name(cls, current_run_dir_name): + """ + Set the run directory name passed by the user. + """ + cls.g_run_dir_name = current_run_dir_name + + @classmethod + def get_user_run_dir_name(cls): + """ + Get the run directory name passed by the user. + """ + return cls.g_run_dir_name + + class RawDefaultHelpFormatter( argparse.ArgumentDefaultsHelpFormatter, argparse.RawDescriptionHelpFormatter ): @@ -154,7 +179,7 @@ def run_system_command( # or RR graph files to VPR. PWD environment variable is updated # manually to prevent capnproto from throwing exceptions. modified_environ = os.environ.copy() - modified_environ['PWD'] = str(temp_dir) + modified_environ["PWD"] = str(temp_dir) proc = subprocess.Popen( cmd, @@ -162,7 +187,7 @@ def run_system_command( stderr=stderr, # stderr redirected to stderr universal_newlines=True, # Lines always end in \n cwd=str(temp_dir), # Where to run the command - env=modified_environ + env=modified_environ, ) # Read the output line-by-line and log it @@ -521,16 +546,18 @@ def find_task_dir(config, alt_tasks_dir=None): return str(task_dir) -def get_latest_run_dir(base_dir): +def get_active_run_dir(base_dir): """ - Returns the run directory with the highest run number in base_dir + Returns full path to the active run directory + locatedin base_dir """ - latest_run_number = get_latest_run_number(base_dir) + active_run_dir_name = get_active_run_dir_name(base_dir) - if latest_run_number is None: - return None + run_dir = None + if active_run_dir_name: + run_dir = str(PurePath(base_dir) / active_run_dir_name) - return str(PurePath(base_dir) / run_dir_name(latest_run_number)) + return run_dir def get_existing_run_dir(base_dir: str, run_dir: str) -> str: @@ -549,34 +576,40 @@ def get_next_run_number(base_dir): """ Returns the next available (i.e. non-existing) run number in base_dir """ - latest_run_number = get_latest_run_number(base_dir) - - if latest_run_number is None: - next_run_number = 1 - else: + latest_run_dir_name = get_active_run_dir_name(base_dir) + match = re.match(r"^run(\d{3})$", latest_run_dir_name) + next_run_number = 1 + if match: + latest_run_number = int(match.group(1)) next_run_number = latest_run_number + 1 return next_run_number -def get_latest_run_number(base_dir): +def get_active_run_dir_name(base_dir): """ - Returns the highest run number of all run directories with in base_dir + Returns the active run directory name. If the user has specified + a run directory name, it will be returned. Otherwise, the + highest run number of all run directories within in base_dir + will be returned. """ - run_number = 1 - run_dir = Path(base_dir) / run_dir_name(run_number) + active_run_dir_name = "" + if RunDir.get_user_run_dir_name() is not None: + active_run_dir_name = RunDir.get_user_run_dir_name() + else: + run_number = 1 + run_dir = Path(base_dir) / run_dir_name(run_number) - if not run_dir.exists(): - # No existing run directories - return None + if run_dir.exists(): + while run_dir.exists(): + run_number += 1 + run_dir = Path(base_dir) / run_dir_name(run_number) - while run_dir.exists(): - run_number += 1 - run_dir = Path(base_dir) / run_dir_name(run_number) + # Currently one-past the last existing run dir, + # to get latest existing, subtract one + active_run_dir_name = run_dir_name(run_number - 1) - # Currently one-past the last existing run dir, - # to get latest existing, subtract one - return run_number - 1 + return active_run_dir_name def run_dir_name(run_num): diff --git a/vtr_flow/scripts/python_libs/vtr/vpr/__init__.py b/vtr_flow/scripts/python_libs/vtr/vpr/__init__.py index 895950332ef..823908b93e2 100644 --- a/vtr_flow/scripts/python_libs/vtr/vpr/__init__.py +++ b/vtr_flow/scripts/python_libs/vtr/vpr/__init__.py @@ -1,4 +1,5 @@ """ __init__ for the VPR Module """ + from .vpr import run, run_relax_w, cmp_full_vs_incr_sta, run_second_time diff --git a/vtr_flow/scripts/python_libs/vtr/vpr/vpr.py b/vtr_flow/scripts/python_libs/vtr/vpr/vpr.py index 003adb9f8cb..50278757656 100644 --- a/vtr_flow/scripts/python_libs/vtr/vpr/vpr.py +++ b/vtr_flow/scripts/python_libs/vtr/vpr/vpr.py @@ -1,12 +1,14 @@ """ Module to interact with VPR and its various options """ + from collections import OrderedDict from pathlib import Path from os import environ from vtr import CommandRunner, relax_w, determine_min_w, verify_file, paths from vtr.error import InspectError + # pylint: disable=too-many-arguments,too-many-locals def run_relax_w( architecture, @@ -296,9 +298,9 @@ def run_second_time( if "write_intra_cluster_router_lookahead" in second_run_args: intra_cluster_router_lookahead = second_run_args["write_intra_cluster_router_lookahead"] second_run_args["read_intra_cluster_router_lookahead"] = intra_cluster_router_lookahead - second_run_args[ - "write_intra_cluster_router_lookahead" - ] = "intra_cluster_router_lookahead2.capnp" + second_run_args["write_intra_cluster_router_lookahead"] = ( + "intra_cluster_router_lookahead2.capnp" + ) # run VPR run( diff --git a/vtr_flow/scripts/run_vtr_flow.py b/vtr_flow/scripts/run_vtr_flow.py index 3d584274e3f..70ff3f2ac9b 100755 --- a/vtr_flow/scripts/run_vtr_flow.py +++ b/vtr_flow/scripts/run_vtr_flow.py @@ -22,6 +22,7 @@ VTR_STAGES = ["odin", "parmys", "abc", "ace", "vpr"] + # pylint: disable=too-few-public-methods class VtrStageArgparseAction(argparse.Action): """ @@ -45,6 +46,7 @@ def __call__(self, parser, namespace, value, option_string=None): # pylint: enable=too-few-public-methods + # pylint: disable=too-many-statements def vtr_command_argparser(prog=None): """ @@ -459,10 +461,10 @@ def format_human_readable_memory(num_kbytes): """format the number of bytes given as a human readable value""" if num_kbytes < 1024: value = "%.2f KiB" % (num_kbytes) - elif num_kbytes < (1024 ** 2): - value = "%.2f MiB" % (num_kbytes / (1024 ** 1)) + elif num_kbytes < (1024**2): + value = "%.2f MiB" % (num_kbytes / (1024**1)) else: - value = "%.2f GiB" % (num_kbytes / (1024 ** 2)) + value = "%.2f GiB" % (num_kbytes / (1024**2)) return value @@ -550,9 +552,11 @@ def vtr_command_main(arg_list, prog=None): vpr_args["read_vpr_constraints"] = Path(vpr_constraint_file_copy).name print( - args.name - if args.name - else Path(args.architecture_file).stem + "/" + Path(args.circuit_file).stem, + ( + args.name + if args.name + else Path(args.architecture_file).stem + "/" + Path(args.circuit_file).stem + ), end="\t\t", ) # Run the flow diff --git a/vtr_flow/scripts/run_vtr_task.py b/vtr_flow/scripts/run_vtr_task.py index 0d9c5013181..ce16f44d518 100755 --- a/vtr_flow/scripts/run_vtr_task.py +++ b/vtr_flow/scripts/run_vtr_task.py @@ -471,9 +471,9 @@ def format_human_readable_time(seconds): def format_human_readable_memory(num_bytes): """format the number of bytes given as a human readable value""" - if num_bytes < 1024 ** 3: - return "%.2f MiB" % (num_bytes / (1024 ** 2)) - return "%.2f GiB" % (num_bytes / (1024 ** 3)) + if num_bytes < 1024**3: + return "%.2f MiB" % (num_bytes / (1024**2)) + return "%.2f GiB" % (num_bytes / (1024**3)) def run_vtr_flow_process(queue, run_dirs, job, script) -> None: diff --git a/vtr_flow/scripts/tuning_runs/control_runs.py b/vtr_flow/scripts/tuning_runs/control_runs.py index 825b3b7609c..c38e2cd7292 100755 --- a/vtr_flow/scripts/tuning_runs/control_runs.py +++ b/vtr_flow/scripts/tuning_runs/control_runs.py @@ -46,9 +46,7 @@ def parse_results(input_path): Parse the output results """ # Find the runXXX directory with the largest XXX - run_dirs = [ - d for d in os.listdir(input_path) if d.startswith("run") and d[3:].isdigit() - ] + run_dirs = [d for d in os.listdir(input_path) if d.startswith("run") and d[3:].isdigit()] if not run_dirs: print("No runXXX directories found in the specified input path.") sys.exit(1) @@ -63,9 +61,9 @@ def parse_results(input_path): sys.exit(1) # Read the parse_results.txt file and write to full_res.csv - with open( - os.path.join(largest_run_path, "parse_results.txt"), "r" - ) as txt_file, open(full_res_csv_path, "w", newline="") as csv_file: + with open(os.path.join(largest_run_path, "parse_results.txt"), "r") as txt_file, open( + full_res_csv_path, "w", newline="" + ) as csv_file: reader = csv.reader(txt_file, delimiter="\t") writer = csv.writer(csv_file) @@ -97,9 +95,7 @@ def parse_results(input_path): print("Generated average seed results") # Generate gmean_res.csv - generate_geomean_res_csv( - os.path.join(largest_run_path, "avg_seed.csv"), largest_run_path - ) + generate_geomean_res_csv(os.path.join(largest_run_path, "avg_seed.csv"), largest_run_path) print("Generated geometric average results over all the circuits") generate_xlsx(largest_run_path) @@ -147,8 +143,7 @@ def parse_script_params(script_params): j = i + key_length while j < len(parts) and not any( - parts[j : j + len(k.split("_"))] == k.split("_") - for k in PARAMS_DICT + parts[j : j + len(k.split("_"))] == k.split("_") for k in PARAMS_DICT ): value_parts.append(parts[j]) j += 1 diff --git a/vtr_flow/tasks/koios_task_list.txt b/vtr_flow/tasks/koios_task_list.txt new file mode 100644 index 00000000000..893a9cdd78b --- /dev/null +++ b/vtr_flow/tasks/koios_task_list.txt @@ -0,0 +1,6 @@ +regression_tests/vtr_reg_nightly_test4/koios_medium +regression_tests/vtr_reg_nightly_test6/koios_other +regression_tests/vtr_reg_weekly/koios_bwave_float_large +regression_tests/vtr_reg_weekly/koios_dla_large +regression_tests/vtr_reg_weekly/koios_large +regression_tests/vtr_reg_weekly/koios_proxy diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_no_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_no_timing/config/golden_results.txt index aab4bc19474..a19aa57c938 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_no_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_no_timing/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time - k4_N10_memSize16384_memData64.xml ch_intrinsics.v common 2.23 vpr 62.52 MiB -1 -1 0.44 18128 3 0.11 -1 -1 33248 -1 -1 71 99 1 0 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64024 99 130 353 483 1 222 301 13 13 169 clb auto 22.9 MiB 0.06 730 30541 5185 13290 12066 62.5 MiB 0.05 0.00 28 1583 11 3.33e+06 2.25e+06 384474. 2275.00 0.60 - k4_N10_memSize16384_memData64.xml diffeq1.v common 3.94 vpr 66.34 MiB -1 -1 0.54 23352 23 0.30 -1 -1 34272 -1 -1 77 162 0 5 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67928 162 96 1200 1141 1 675 340 13 13 169 clb auto 26.0 MiB 0.18 5120 92848 24971 61178 6699 66.3 MiB 0.19 0.00 52 9701 16 3.33e+06 2.76e+06 671819. 3975.26 1.21 - k4_N10_memSize16384_memData64.xml single_wire.v common 0.68 vpr 60.16 MiB -1 -1 0.06 16212 1 0.03 -1 -1 29556 -1 -1 0 1 0 0 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61604 1 1 1 2 0 1 2 3 3 9 -1 auto 21.3 MiB 0.00 2 3 0 3 0 60.2 MiB 0.00 0.00 2 1 1 30000 0 1489.46 165.495 0.00 - k4_N10_memSize16384_memData64.xml single_ff.v common 0.73 vpr 60.14 MiB -1 -1 0.15 16376 1 0.02 -1 -1 29780 -1 -1 1 2 0 0 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61580 2 1 3 4 1 3 4 3 3 9 -1 auto 21.3 MiB 0.00 6 9 6 0 3 60.1 MiB 0.00 0.00 16 5 1 30000 30000 2550.78 283.420 0.01 + k4_N10_memSize16384_memData64.xml ch_intrinsics.v common 1.71 vpr 62.29 MiB -1 -1 0.45 18372 3 0.09 -1 -1 33140 -1 -1 71 99 1 0 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63780 99 130 353 483 1 222 301 13 13 169 clb auto 22.7 MiB 0.06 730 30541 5185 13290 12066 62.3 MiB 0.05 0.00 28 1583 11 3.33e+06 2.25e+06 384474. 2275.00 0.18 + k4_N10_memSize16384_memData64.xml diffeq1.v common 3.90 vpr 66.30 MiB -1 -1 0.72 23492 23 0.30 -1 -1 34028 -1 -1 77 162 0 5 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67888 162 96 1200 1141 1 675 340 13 13 169 clb auto 25.9 MiB 0.18 5120 92848 24971 61178 6699 66.3 MiB 0.19 0.00 52 9637 13 3.33e+06 2.76e+06 671819. 3975.26 1.14 + k4_N10_memSize16384_memData64.xml single_wire.v common 2.10 vpr 59.81 MiB -1 -1 0.16 16372 1 0.17 -1 -1 29680 -1 -1 0 1 0 0 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 61244 1 1 1 2 0 1 2 3 3 9 -1 auto 21.3 MiB 0.00 2 3 0 3 0 59.8 MiB 0.01 0.00 2 1 1 30000 0 1489.46 165.495 0.01 + k4_N10_memSize16384_memData64.xml single_ff.v common 2.13 vpr 59.62 MiB -1 -1 0.15 16244 1 0.17 -1 -1 29552 -1 -1 1 2 0 0 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 61048 2 1 3 4 1 3 4 3 3 9 -1 auto 21.2 MiB 0.00 6 9 6 0 3 59.6 MiB 0.01 0.00 16 5 1 30000 30000 2550.78 283.420 0.01 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing/config/golden_results.txt index 67331c4ebeb..751bc75b90b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing/config/golden_results.txt @@ -1,9 +1,9 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml ch_intrinsics.v common 3.79 vpr 64.14 MiB -1 -1 0.42 18236 3 0.09 -1 -1 33188 -1 -1 71 99 1 0 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65676 99 130 344 474 1 225 301 13 13 169 clb auto 24.4 MiB 0.09 670 76909 23210 36946 16753 64.1 MiB 0.26 0.00 2.16096 -124.917 -2.16096 2.16096 0.32 0.00129032 0.00122184 0.1026 0.0970333 -1 -1 -1 -1 32 1294 10 6.63067e+06 4.37447e+06 323148. 1912.12 1.31 0.41172 0.377314 11612 59521 -1 1127 11 526 869 34973 10462 1.97404 1.97404 -140.169 -1.97404 -0.343814 -0.101108 396943. 2348.77 0.10 0.05 0.06 -1 -1 0.10 0.0333808 0.0307491 - k6_N10_mem32K_40nm.xml ch_intrinsics.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 3.81 vpr 64.05 MiB -1 -1 0.41 18040 3 0.09 -1 -1 33320 -1 -1 71 99 1 0 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65588 99 130 344 474 1 225 301 13 13 169 clb auto 24.3 MiB 0.09 670 76909 23210 36946 16753 64.1 MiB 0.26 0.00 2.16096 -124.917 -2.16096 2.16096 0.31 0.00129523 0.00122583 0.102212 0.0966604 -1 -1 -1 -1 32 1294 10 6.63067e+06 4.37447e+06 323148. 1912.12 1.31 0.406344 0.372511 11612 59521 -1 1127 11 526 869 34973 10462 1.97404 1.97404 -140.169 -1.97404 -0.343814 -0.101108 396943. 2348.77 0.10 0.05 0.06 -1 -1 0.10 0.0333856 0.0307499 - k6_N10_mem32K_40nm.xml diffeq1.v common 11.07 vpr 67.31 MiB -1 -1 0.73 22916 15 0.35 -1 -1 34340 -1 -1 61 162 0 5 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68924 162 96 1009 950 1 665 324 16 16 256 mult_36 auto 27.9 MiB 0.28 5631 94844 28473 58959 7412 67.3 MiB 0.68 0.01 21.7383 -1576.03 -21.7383 21.7383 0.52 0.00334089 0.00313474 0.301598 0.282973 -1 -1 -1 -1 44 10661 49 1.21132e+07 5.26753e+06 665287. 2598.78 6.02 1.62204 1.48659 20656 131250 -1 8667 20 3482 8436 990185 277410 22.0559 22.0559 -1674.9 -22.0559 0 0 864808. 3378.16 0.21 0.34 0.12 -1 -1 0.21 0.151934 0.140555 - k6_N10_mem32K_40nm.xml diffeq1.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 11.23 vpr 67.06 MiB -1 -1 0.73 23204 15 0.35 -1 -1 34356 -1 -1 61 162 0 5 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68668 162 96 1009 950 1 665 324 16 16 256 mult_36 auto 27.6 MiB 0.27 5631 94844 28473 58959 7412 67.1 MiB 0.69 0.01 21.7383 -1576.03 -21.7383 21.7383 0.52 0.00336493 0.00315749 0.301999 0.283119 -1 -1 -1 -1 44 10661 49 1.21132e+07 5.26753e+06 665287. 2598.78 6.18 1.63915 1.50303 20656 131250 -1 8667 20 3482 8436 990185 277410 22.0559 22.0559 -1674.9 -22.0559 0 0 864808. 3378.16 0.21 0.34 0.12 -1 -1 0.21 0.151674 0.140243 - k6_N10_mem32K_40nm.xml single_wire.v common 0.71 vpr 61.63 MiB -1 -1 0.15 16380 1 0.02 -1 -1 29720 -1 -1 0 1 0 0 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 63108 1 1 1 2 0 1 2 3 3 9 -1 auto 23.0 MiB 0.00 2 3 0 3 0 61.6 MiB 0.00 0.00 0.18684 -0.18684 -0.18684 nan 0.00 1.0494e-05 7.076e-06 7.8316e-05 5.7044e-05 -1 -1 -1 -1 2 1 1 53894 0 1165.58 129.509 0.00 0.00113075 0.00106709 254 297 -1 1 1 1 1 15 7 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00105433 0.00102822 - k6_N10_mem32K_40nm.xml single_wire.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 0.70 vpr 61.38 MiB -1 -1 0.14 16336 1 0.02 -1 -1 29760 -1 -1 0 1 0 0 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 62848 1 1 1 2 0 1 2 3 3 9 -1 auto 22.7 MiB 0.00 2 3 0 3 0 61.4 MiB 0.00 0.00 0.18684 -0.18684 -0.18684 nan 0.00 1.0478e-05 7.086e-06 6.5653e-05 4.7198e-05 -1 -1 -1 -1 2 1 1 53894 0 1165.58 129.509 0.00 0.00141342 0.00135618 254 297 -1 1 1 1 1 15 7 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00109338 0.00106763 - k6_N10_mem32K_40nm.xml single_ff.v common 0.72 vpr 61.40 MiB -1 -1 0.12 16364 1 0.02 -1 -1 29716 -1 -1 1 2 0 0 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 62872 2 1 3 4 1 3 4 3 3 9 -1 auto 22.8 MiB 0.00 6 9 3 5 1 61.4 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.00 1.5219e-05 1.1422e-05 0.000111249 8.9402e-05 -1 -1 -1 -1 2 2 2 53894 53894 1165.58 129.509 0.00 0.00146865 0.00138013 254 297 -1 2 2 3 3 56 20 0.577715 0.577715 -0.9588 -0.577715 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00114176 0.00110413 - k6_N10_mem32K_40nm.xml single_ff.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 0.75 vpr 61.35 MiB -1 -1 0.14 16260 1 0.02 -1 -1 29632 -1 -1 1 2 0 0 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 62820 2 1 3 4 1 3 4 3 3 9 -1 auto 22.7 MiB 0.00 6 9 3 5 1 61.3 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.00 1.5145e-05 1.1318e-05 0.000103946 8.1944e-05 -1 -1 -1 -1 2 2 2 53894 53894 1165.58 129.509 0.00 0.00129416 0.0012252 254 297 -1 2 2 3 3 56 20 0.577715 0.577715 -0.9588 -0.577715 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00133017 0.00128358 + k6_N10_mem32K_40nm.xml ch_intrinsics.v common 3.47 vpr 63.16 MiB -1 -1 0.44 18236 3 0.17 -1 -1 33188 -1 -1 71 99 1 0 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64672 99 130 344 474 1 225 301 13 13 169 clb auto 23.3 MiB 0.09 736 75901 22924 36629 16348 63.2 MiB 0.26 0.00 2.16096 -125.507 -2.16096 2.16096 0.16 0.00128139 0.00121469 0.100824 0.095478 -1 -1 -1 -1 32 1361 16 6.63067e+06 4.37447e+06 323148. 1912.12 0.39 0.254103 0.235005 11612 59521 -1 1272 10 497 712 34049 10041 1.99692 1.99692 -142.118 -1.99692 -0.13959 -0.0561481 396943. 2348.77 0.01 0.05 0.06 -1 -1 0.01 0.0312641 0.0288189 + k6_N10_mem32K_40nm.xml ch_intrinsics.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 3.44 vpr 63.16 MiB -1 -1 0.50 18152 3 0.14 -1 -1 33088 -1 -1 71 99 1 0 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64676 99 130 344 474 1 225 301 13 13 169 clb auto 23.2 MiB 0.11 736 75901 22924 36629 16348 63.2 MiB 0.26 0.00 2.16096 -125.507 -2.16096 2.16096 0.16 0.00128481 0.00121739 0.100806 0.0954483 -1 -1 -1 -1 32 1361 16 6.63067e+06 4.37447e+06 323148. 1912.12 0.39 0.254201 0.235091 11612 59521 -1 1272 10 497 712 34049 10041 1.99692 1.99692 -142.118 -1.99692 -0.13959 -0.0561481 396943. 2348.77 0.01 0.05 0.06 -1 -1 0.01 0.0311227 0.0286984 + k6_N10_mem32K_40nm.xml diffeq1.v common 9.49 vpr 67.11 MiB -1 -1 0.77 23280 15 0.36 -1 -1 34140 -1 -1 61 162 0 5 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 68724 162 96 1009 950 1 665 324 16 16 256 mult_36 auto 27.7 MiB 0.29 5596 100404 30167 62963 7274 67.1 MiB 0.72 0.01 21.2727 -1572.97 -21.2727 21.2727 0.25 0.00332766 0.00312916 0.315543 0.296132 -1 -1 -1 -1 40 11119 33 1.21132e+07 5.26753e+06 612675. 2393.26 4.42 1.40293 1.28823 19892 118481 -1 8936 23 3487 7703 996102 285541 21.8294 21.8294 -1657.3 -21.8294 0 0 771607. 3014.09 0.03 0.36 0.10 -1 -1 0.03 0.165646 0.152968 + k6_N10_mem32K_40nm.xml diffeq1.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 9.36 vpr 66.73 MiB -1 -1 0.76 23068 15 0.37 -1 -1 34060 -1 -1 61 162 0 5 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 68332 162 96 1009 950 1 665 324 16 16 256 mult_36 auto 27.4 MiB 0.27 5596 100404 30167 62963 7274 66.7 MiB 0.73 0.01 21.2727 -1572.97 -21.2727 21.2727 0.25 0.00332438 0.00312633 0.31865 0.29876 -1 -1 -1 -1 40 11119 33 1.21132e+07 5.26753e+06 612675. 2393.26 4.32 1.38842 1.27429 19892 118481 -1 8936 23 3487 7703 996102 285541 21.8294 21.8294 -1657.3 -21.8294 0 0 771607. 3014.09 0.03 0.36 0.10 -1 -1 0.03 0.165924 0.153299 + k6_N10_mem32K_40nm.xml single_wire.v common 2.19 vpr 61.04 MiB -1 -1 0.10 16040 1 0.17 -1 -1 29628 -1 -1 0 1 0 0 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62508 1 1 1 2 0 1 2 3 3 9 -1 auto 22.4 MiB 0.03 2 3 0 3 0 61.0 MiB 0.00 0.00 0.18684 -0.18684 -0.18684 nan 0.00 1.0106e-05 6.693e-06 6.7577e-05 4.7955e-05 -1 -1 -1 -1 2 1 1 53894 0 1165.58 129.509 0.00 0.00184576 0.00171316 254 297 -1 1 1 1 1 15 7 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00130358 0.00127692 + k6_N10_mem32K_40nm.xml single_wire.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 2.14 vpr 61.03 MiB -1 -1 0.18 16180 1 0.17 -1 -1 29612 -1 -1 0 1 0 0 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62496 1 1 1 2 0 1 2 3 3 9 -1 auto 22.5 MiB 0.01 2 3 0 3 0 61.0 MiB 0.00 0.00 0.18684 -0.18684 -0.18684 nan 0.00 3.4991e-05 2.3839e-05 0.000154694 0.000110075 -1 -1 -1 -1 2 1 1 53894 0 1165.58 129.509 0.01 0.00205152 0.00184775 254 297 -1 1 1 1 1 15 7 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00106138 0.00103434 + k6_N10_mem32K_40nm.xml single_ff.v common 2.12 vpr 60.94 MiB -1 -1 0.17 16352 1 0.17 -1 -1 29692 -1 -1 1 2 0 0 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62400 2 1 3 4 1 3 4 3 3 9 -1 auto 22.4 MiB 0.01 6 9 3 5 1 60.9 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.00 1.5239e-05 1.148e-05 9.224e-05 7.1486e-05 -1 -1 -1 -1 2 2 2 53894 53894 1165.58 129.509 0.00 0.00131631 0.00123081 254 297 -1 2 2 3 3 56 20 0.577715 0.577715 -0.9588 -0.577715 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.0011347 0.00109647 + k6_N10_mem32K_40nm.xml single_ff.v common_--reorder_rr_graph_nodes_algorithm_random_shuffle 2.11 vpr 61.02 MiB -1 -1 0.17 16384 1 0.17 -1 -1 29576 -1 -1 1 2 0 0 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62488 2 1 3 4 1 3 4 3 3 9 -1 auto 22.5 MiB 0.00 6 9 3 5 1 61.0 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.00 1.54e-05 1.1599e-05 9.8314e-05 7.6493e-05 -1 -1 -1 -1 2 2 2 53894 53894 1165.58 129.509 0.00 0.001247 0.00116724 254 297 -1 2 2 3 3 56 20 0.577715 0.577715 -0.9588 -0.577715 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00104656 0.00101086 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing_no_sdc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing_no_sdc/config/golden_results.txt index f8224ce61bf..e5e577a6aa0 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing_no_sdc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_basic/basic_timing_no_sdc/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml mkPktMerge.v common 14.48 vpr 69.41 MiB -1 -1 1.43 25504 2 0.13 -1 -1 33808 -1 -1 43 311 15 0 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 71080 311 156 972 1128 1 953 525 28 28 784 memory auto 28.9 MiB 0.44 9159 207991 76469 122068 9454 69.4 MiB 1.18 0.02 4.10864 -4363.81 -4.10864 4.10864 1.88 0.00581049 0.00492665 0.571738 0.503271 -1 -1 -1 -1 38 14134 13 4.25198e+07 1.05374e+07 1.95643e+06 2495.44 4.44 1.4407 1.2729 61576 387106 -1 13120 12 2507 3155 746004 220560 4.19903 4.19903 -4897.24 -4.19903 -19.5582 -0.360359 2.46901e+06 3149.24 0.67 0.31 0.33 -1 -1 0.67 0.171757 0.154277 + k6_N10_mem32K_40nm.xml mkPktMerge.v common 14.27 vpr 75.54 MiB -1 -1 1.67 25360 2 0.13 -1 -1 33796 -1 -1 43 311 15 0 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77356 311 156 972 1128 1 953 525 28 28 784 memory auto 28.9 MiB 0.44 8505 220693 82593 126911 11189 69.5 MiB 1.24 0.02 3.82651 -4329.36 -3.82651 3.82651 0.84 0.00554225 0.00490893 0.598549 0.528234 -1 -1 -1 -1 40 13414 12 4.25198e+07 1.05374e+07 2.03169e+06 2591.44 6.02 1.94301 1.71815 62360 400487 -1 12485 12 2406 2992 760238 228941 4.26893 4.26893 -4812.21 -4.26893 -13.8425 -0.321515 2.55406e+06 3257.73 0.09 0.29 0.34 -1 -1 0.09 0.16964 0.153486 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_basic/hdl_include_yosys/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_basic/hdl_include_yosys/config/golden_results.txt index 02aded8804a..cbe871a6d70 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_basic/hdl_include_yosys/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_basic/hdl_include_yosys/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time -k4_N10_memSize16384_memData64.xml ch_intrinsics_modified.v common 2.65 vpr 62.39 MiB -1 -1 0.47 18396 3 0.09 -1 -1 33152 -1 -1 71 99 1 0 success v8.0.0-11851-gfde0f8fc1-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T14:30:30 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 63892 99 130 353 483 1 222 301 13 13 169 clb auto 22.7 MiB 0.06 723 26509 3069 10019 13421 62.4 MiB 0.04 0.00 28 1598 8 3.33e+06 2.25e+06 384474. 2275.00 0.98 +k4_N10_memSize16384_memData64.xml ch_intrinsics_modified.v common 2.71 vpr 61.64 MiB -1 -1 0.45 18444 3 0.09 -1 -1 32856 -1 -1 71 99 1 0 success v8.0.0-11920-g63becbef4-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-12-04T15:29:41 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63120 99 130 353 483 1 222 301 13 13 169 clb auto 21.8 MiB 0.06 723 26509 3069 10019 13421 61.6 MiB 0.04 0.00 28 1598 8 3.33e+06 2.25e+06 384474. 2275.00 0.18 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/blanket/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/blanket/config/golden_results.txt index e28c0960805..1c07cad2e4f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/blanket/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/blanket/config/golden_results.txt @@ -1,3 +1,3 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_mem32K_40nm.xml multiclock_output_and_latch.v common 0.45 vpr 64.20 MiB -1 -1 0.07 20736 1 0.03 -1 -1 35464 -1 -1 2 6 0 0 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65736 6 1 13 14 2 8 9 4 4 16 clb auto 25.8 MiB 0.00 15 27 4 16 7 64.2 MiB 0.00 0.00 0.876768 -3.25729 -0.876768 0.545 0.01 3.3326e-05 2.4624e-05 0.000207932 0.000172543 20 18 16 107788 107788 10441.3 652.579 0.01 0.00186873 0.00161723 742 1670 -1 18 2 7 7 153 93 1.4073 0.545 -4.29789 -1.4073 0 0 13748.8 859.301 0.00 0.00 0.00 -1 -1 0.00 0.00108236 0.00102087 -k6_frac_N10_mem32K_40nm.xml multiclock_reader_writer.v common 0.49 vpr 64.30 MiB -1 -1 0.09 20608 1 0.04 -1 -1 35572 -1 -1 2 3 0 0 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65840 3 -1 23 23 2 3 5 4 4 16 clb auto 26.0 MiB 0.01 2 12 2 3 7 64.3 MiB 0.00 0.00 0.545 -7.62503 -0.545 0.545 0.01 5.8243e-05 5.0672e-05 0.000432079 0.000390226 8 1 1 107788 107788 4888.88 305.555 0.02 0.00256655 0.00231135 622 902 -1 1 1 1 1 9 6 0.54641 0.545 -7.63564 -0.54641 0 0 5552.67 347.042 0.00 0.00 0.00 -1 -1 0.00 0.00154902 0.00148079 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_mem32K_40nm.xml multiclock_output_and_latch.v common 0.91 vpr 62.53 MiB -1 -1 0.10 16916 1 0.05 -1 -1 32024 -1 -1 2 6 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64032 6 1 13 14 2 8 9 4 4 16 clb auto 23.8 MiB 0.01 22 27 6 15 6 62.5 MiB 0.00 0.00 1.02737 -3.61973 -1.02737 0.545 0.01 3.8829e-05 2.8382e-05 0.000261465 0.000217889 -1 -1 -1 -1 20 22 8 107788 107788 10441.3 652.579 0.01 0.00235757 0.00212728 742 1670 -1 21 1 6 6 146 96 1.40641 0.545 -4.38899 -1.40641 0 0 13748.8 859.301 0.00 0.00 0.00 -1 -1 0.00 0.00170712 0.00164334 + k6_frac_N10_mem32K_40nm.xml multiclock_reader_writer.v common 0.93 vpr 62.57 MiB -1 -1 0.14 17260 1 0.06 -1 -1 31980 -1 -1 2 3 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64076 3 -1 23 23 2 3 5 4 4 16 clb auto 23.8 MiB 0.01 3 12 2 3 7 62.6 MiB 0.00 0.00 0.620297 -7.93119 -0.620297 0.545 0.01 6.0445e-05 5.1078e-05 0.000540631 0.00048682 -1 -1 -1 -1 8 1 1 107788 107788 4888.88 305.555 0.01 0.00313926 0.00293123 622 902 -1 1 1 1 1 8 6 0.54641 0.545 -7.63564 -0.54641 0 0 5552.67 347.042 0.00 0.00 0.00 -1 -1 0.00 0.00237872 0.0022794 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/iterative/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/iterative/config/golden_results.txt index c5973b977a6..ace8a8d93f0 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/iterative/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/iterative/config/golden_results.txt @@ -1,3 +1,3 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_mem32K_40nm.xml multiclock_output_and_latch.v common 0.56 vpr 64.33 MiB -1 -1 0.07 20736 1 0.04 -1 -1 35300 -1 -1 2 6 0 0 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65872 6 1 13 14 2 8 9 4 4 16 clb auto 26.0 MiB 0.00 15 27 4 16 7 64.3 MiB 0.00 0.00 0.876768 -3.25729 -0.876768 0.545 0.01 3.8575e-05 3.0523e-05 0.000220522 0.000177612 20 18 16 107788 107788 10441.3 652.579 0.01 0.00189872 0.00159852 742 1670 -1 18 2 7 7 153 93 1.4073 0.545 -4.29789 -1.4073 0 0 13748.8 859.301 0.00 0.00 0.00 -1 -1 0.00 0.00112306 0.00106033 -k6_frac_N10_mem32K_40nm.xml multiclock_reader_writer.v common 0.57 vpr 64.14 MiB -1 -1 0.09 20736 1 0.04 -1 -1 35416 -1 -1 1 2 0 0 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65680 2 -1 16 16 1 2 3 3 3 9 -1 auto 25.9 MiB 0.01 2 6 3 0 3 64.1 MiB 0.00 0.00 0.545 -3.815 -0.545 0.545 0.00 6.3651e-05 3.6185e-05 0.000421892 0.000363995 2 1 1 53894 53894 1178.84 130.982 0.01 0.00245442 0.00219784 283 309 -1 1 1 1 1 9 6 0.551715 0.551715 -3.84186 -0.551715 0 0 1178.84 130.982 0.00 0.00 0.00 -1 -1 0.00 0.00140976 0.00134385 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_mem32K_40nm.xml multiclock_output_and_latch.v common 2.12 vpr 61.70 MiB -1 -1 0.11 16536 1 0.08 -1 -1 31596 -1 -1 2 6 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63184 6 1 13 14 2 8 9 4 4 16 clb auto 23.2 MiB 0.01 22 27 6 15 6 61.7 MiB 0.00 0.00 1.02737 -3.61973 -1.02737 0.545 0.01 3.8582e-05 2.7966e-05 0.000277936 0.000219445 -1 -1 -1 -1 20 22 8 107788 107788 10441.3 652.579 0.02 0.00248443 0.00222718 742 1670 -1 21 1 6 6 146 96 1.40641 0.545 -4.38899 -1.40641 0 0 13748.8 859.301 0.00 0.00 0.00 -1 -1 0.00 0.00178059 0.00171145 + k6_frac_N10_mem32K_40nm.xml multiclock_reader_writer.v common 2.12 vpr 61.63 MiB -1 -1 0.15 16580 1 0.06 -1 -1 31648 -1 -1 1 2 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63112 2 -1 16 16 1 2 3 3 3 9 -1 auto 23.2 MiB 0.01 3 6 4 0 2 61.6 MiB 0.00 0.00 0.545 -3.815 -0.545 0.545 0.00 4.1349e-05 3.4027e-05 0.000423997 0.000380122 -1 -1 -1 -1 2 1 1 53894 53894 1178.84 130.982 0.00 0.00258339 0.00244324 283 309 -1 1 1 1 1 8 6 0.551715 0.551715 -3.84186 -0.551715 0 0 1178.84 130.982 0.00 0.00 0.00 -1 -1 0.00 0.00225406 0.00217221 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/multiclock_mcnc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/multiclock_mcnc/config/golden_results.txt index a82f6475eb8..2b26635347b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/multiclock_mcnc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/multiclock_mcnc/config/golden_results.txt @@ -1,11 +1,11 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_40nm.xml bigkey.blif common 5.34 vpr 66.09 MiB -1 -1 -1 -1 3 0.41 -1 -1 38400 -1 -1 53 229 -1 -1 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 67676 229 197 1023 1220 1 510 479 16 16 256 io auto 28.2 MiB 0.25 3590 165303 54609 101546 9148 66.1 MiB 0.56 0.01 2.09742 -520.234 -2.09742 2.09742 0.72 0.00198922 0.0017893 0.194468 0.174387 38 6231 19 1.05632e+07 2.85638e+06 667532. 2607.55 1.61 0.552311 0.501002 25328 137766 -1 5709 10 1328 2368 138222 31100 2.53491 2.53491 -631.681 -2.53491 0 0 843755. 3295.92 0.23 0.09 0.11 -1 -1 0.23 0.0694375 0.0651755 -k6_frac_N10_40nm.xml clma.blif common 5.81 vpr 63.61 MiB -1 -1 -1 -1 7 2.43 -1 -1 43060 -1 -1 77 36 -1 -1 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65132 36 82 542 624 1 390 195 11 11 121 clb auto 25.6 MiB 0.39 2049 40310 15727 18888 5695 63.6 MiB 0.19 0.00 4.12702 -139.772 -4.12702 4.12702 0.35 0.00089595 0.000795753 0.0662268 0.0581277 40 4765 27 4.36541e+06 4.14984e+06 303235. 2506.08 1.27 0.24116 0.212364 11571 60661 -1 3811 17 2179 7425 230352 49600 4.72633 4.72633 -172.493 -4.72633 0 0 379421. 3135.71 0.10 0.10 0.05 -1 -1 0.10 0.0504584 0.0466881 -k6_frac_N10_40nm.xml diffeq.blif common 3.47 vpr 65.28 MiB -1 -1 -1 -1 8 0.38 -1 -1 37780 -1 -1 51 64 -1 -1 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66848 64 39 941 980 1 450 154 10 10 100 clb auto 27.4 MiB 0.49 2676 18694 4142 13457 1095 65.3 MiB 0.17 0.00 4.29927 -776.73 -4.29927 4.29927 0.21 0.00140232 0.00123094 0.0782133 0.0687319 46 4588 16 3.44922e+06 2.74859e+06 276332. 2763.32 1.14 0.400394 0.355124 9816 55112 -1 4229 15 1767 5248 152494 32440 5.1527 5.1527 -909.086 -5.1527 0 0 354105. 3541.05 0.09 0.11 0.05 -1 -1 0.09 0.074353 0.068615 -k6_frac_N10_40nm.xml dsip.blif common 7.16 vpr 67.73 MiB -1 -1 -1 -1 3 0.29 -1 -1 38168 -1 -1 68 229 -1 -1 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 69360 229 197 1135 1332 1 648 494 16 16 256 io auto 29.8 MiB 0.61 4921 178126 59233 109418 9475 67.7 MiB 0.72 0.01 2.05678 -537.964 -2.05678 2.05678 0.70 0.00258579 0.00228238 0.249464 0.221181 36 8990 21 1.05632e+07 3.66479e+06 638738. 2495.07 2.87 0.913578 0.809462 24820 128426 -1 8106 12 2276 5612 323677 69139 2.59846 2.59846 -678.186 -2.59846 0 0 786978. 3074.13 0.24 0.17 0.11 -1 -1 0.24 0.102783 0.0965443 -k6_frac_N10_40nm.xml elliptic.blif common 11.17 vpr 75.88 MiB -1 -1 -1 -1 10 1.31 -1 -1 42108 -1 -1 133 131 -1 -1 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 77704 131 114 2471 2585 1 967 378 14 14 196 clb auto 38.7 MiB 2.04 9202 87802 25510 58148 4144 75.9 MiB 0.81 0.01 6.00251 -3050.7 -6.00251 6.00251 0.50 0.00442638 0.00375412 0.333118 0.286228 64 16031 41 7.76074e+06 7.1679e+06 810706. 4136.26 3.96 1.36942 1.18204 22444 164128 -1 14254 16 4284 17997 698961 120654 7.04139 7.04139 -3672.36 -7.04139 0 0 1.00880e+06 5146.95 0.27 0.37 0.15 -1 -1 0.27 0.21767 0.199157 -k6_frac_N10_40nm.xml frisc.blif common 20.76 vpr 77.35 MiB -1 -1 -1 -1 12 2.02 -1 -1 42412 -1 -1 153 20 -1 -1 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 79204 20 116 2477 2593 1 1097 289 15 15 225 clb auto 40.1 MiB 2.38 13235 56634 14640 37590 4404 77.3 MiB 0.80 0.01 7.08157 -3353.27 -7.08157 7.08157 0.61 0.00557214 0.00505815 0.329992 0.284762 80 22726 42 9.10809e+06 8.24578e+06 1.12687e+06 5008.33 11.88 2.38469 2.06328 28171 234221 -1 19580 15 5661 25029 1100753 177994 8.34828 8.34828 -3982.46 -8.34828 0 0 1.41774e+06 6301.08 0.40 0.47 0.23 -1 -1 0.40 0.24451 0.223131 -k6_frac_N10_40nm.xml s298.blif common 3.93 vpr 65.13 MiB -1 -1 -1 -1 8 0.54 -1 -1 37848 -1 -1 62 4 -1 -1 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 66692 4 6 671 677 1 352 72 10 10 100 clb auto 27.2 MiB 0.63 3508 3797 558 3049 190 65.1 MiB 0.09 0.00 4.79301 -39.1818 -4.79301 4.79301 0.21 0.00107169 0.000871246 0.0396977 0.0348858 50 5642 44 3.44922e+06 3.34143e+06 295697. 2956.97 1.34 0.354454 0.310134 10016 58256 -1 5050 17 2138 10782 337740 61219 5.55589 5.55589 -47.4222 -5.55589 0 0 379824. 3798.24 0.09 0.16 0.05 -1 -1 0.09 0.0836831 0.0767868 -k6_frac_N10_40nm.xml s38417.blif common 18.17 vpr 81.67 MiB -1 -1 -1 -1 6 3.18 -1 -1 45752 -1 -1 178 29 -1 -1 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 83628 29 106 3450 3556 1 1206 313 16 16 256 clb auto 44.6 MiB 1.73 9735 73591 18515 47646 7430 81.7 MiB 1.01 0.01 4.1003 -2647.73 -4.1003 4.1003 0.71 0.00572737 0.00502875 0.490793 0.413594 50 16598 31 1.05632e+07 9.59313e+06 843755. 3295.92 8.36 2.66872 2.25339 27116 171776 -1 14184 14 5218 17339 563986 111457 4.90849 4.90849 -3063.34 -4.90849 0 0 1.08660e+06 4244.53 0.30 0.40 0.15 -1 -1 0.30 0.294514 0.26614 -k6_frac_N10_40nm.xml s38584.1.blif common 15.38 vpr 81.98 MiB -1 -1 -1 -1 6 2.31 -1 -1 44476 -1 -1 197 38 -1 -1 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 83944 38 304 3259 3563 1 1569 539 17 17 289 clb auto 45.1 MiB 2.22 10132 186859 56261 111331 19267 82.0 MiB 1.57 0.02 3.66766 -2189.34 -3.66766 3.66766 0.83 0.00720702 0.00587597 0.662359 0.559768 58 18045 29 1.21262e+07 1.06171e+07 1.11519e+06 3858.79 4.91 2.07239 1.77997 32499 230706 -1 16150 15 5235 13970 535313 110316 4.52216 4.52216 -2592.43 -4.52216 0 0 1.41877e+06 4909.24 0.44 0.45 0.21 -1 -1 0.44 0.337035 0.302445 -k6_frac_N10_40nm.xml tseng.blif common 3.22 vpr 63.44 MiB -1 -1 -1 -1 7 0.26 -1 -1 36620 -1 -1 34 52 -1 -1 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 64964 52 122 664 786 1 355 208 8 8 64 io clb auto 25.5 MiB 0.48 1722 24848 5456 17914 1478 63.4 MiB 0.15 0.00 3.67867 -543.309 -3.67867 3.67867 0.13 0.00118347 0.00104151 0.0584783 0.0523041 60 3348 21 1.94018e+06 1.8324e+06 209903. 3279.73 1.38 0.400601 0.357549 6596 40562 -1 2925 12 1076 2803 103485 26847 4.47179 4.47179 -637.579 -4.47179 0 0 263485. 4116.95 0.06 0.07 0.04 -1 -1 0.06 0.0496902 0.0465196 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_40nm.xml bigkey.blif common 6.03 vpr 64.18 MiB -1 -1 -1 -1 3 0.52 -1 -1 34720 -1 -1 53 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65716 229 197 1023 1220 1 510 479 16 16 256 io auto 23.6 MiB 0.35 3641 154065 46430 98015 9620 64.2 MiB 0.72 0.01 2.42373 -577.247 -2.42373 2.42373 0.36 0.00384602 0.00349572 0.296143 0.271678 -1 -1 -1 -1 36 6328 35 1.05632e+07 2.85638e+06 638738. 2495.07 1.93 1.0007 0.917076 24820 128426 -1 5481 8 1306 2376 126862 31374 2.67597 2.67597 -632.008 -2.67597 0 0 786978. 3074.13 0.04 0.15 0.12 -1 -1 0.04 0.11083 0.104176 + k6_frac_N10_40nm.xml clma.blif common 9.71 vpr 61.27 MiB -1 -1 -1 -1 7 3.14 -1 -1 39932 -1 -1 79 36 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62740 36 82 542 624 1 389 197 11 11 121 clb auto 21.7 MiB 0.60 2089 43172 15722 20877 6573 61.3 MiB 0.31 0.01 4.60077 -165.07 -4.60077 4.60077 0.14 0.00147192 0.00133772 0.126064 0.114454 -1 -1 -1 -1 44 4139 30 4.36541e+06 4.25763e+06 327165. 2703.84 3.55 0.883664 0.783925 11931 67129 -1 3316 16 1708 5716 138864 32420 4.83136 4.83136 -175.151 -4.83136 0 0 426099. 3521.48 0.02 0.16 0.06 -1 -1 0.02 0.101559 0.0936106 + k6_frac_N10_40nm.xml diffeq.blif common 4.49 vpr 63.36 MiB -1 -1 -1 -1 8 0.52 -1 -1 34256 -1 -1 52 64 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64884 64 39 941 980 1 449 155 10 10 100 clb auto 23.4 MiB 0.76 2832 12635 2438 9282 915 63.4 MiB 0.20 0.01 4.98272 -882.824 -4.98272 4.98272 0.11 0.00295588 0.00259149 0.100311 0.0902062 -1 -1 -1 -1 46 4591 19 3.44922e+06 2.80249e+06 276332. 2763.32 1.60 0.775874 0.679691 9816 55112 -1 4111 18 1656 5042 136520 29654 5.41789 5.41789 -952.235 -5.41789 0 0 354105. 3541.05 0.01 0.17 0.05 -1 -1 0.01 0.125756 0.115657 + k6_frac_N10_40nm.xml dsip.blif common 7.14 vpr 64.52 MiB -1 -1 -1 -1 3 0.40 -1 -1 34652 -1 -1 68 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66068 229 197 1135 1332 1 648 494 16 16 256 io auto 24.8 MiB 0.78 5390 162510 50223 103313 8974 64.5 MiB 1.03 0.02 2.31397 -600.978 -2.31397 2.31397 0.34 0.00602326 0.00554387 0.451127 0.410954 -1 -1 -1 -1 34 9972 32 1.05632e+07 3.66479e+06 613832. 2397.78 2.69 1.43645 1.29189 24564 122629 -1 8332 13 2339 5868 306706 68264 2.51113 2.51113 -669.569 -2.51113 0 0 751777. 2936.63 0.04 0.27 0.11 -1 -1 0.04 0.172623 0.161651 + k6_frac_N10_40nm.xml elliptic.blif common 13.54 vpr 72.58 MiB -1 -1 -1 -1 10 1.77 -1 -1 37472 -1 -1 134 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74324 131 114 2471 2585 1 965 379 14 14 196 clb auto 31.6 MiB 3.12 9493 78526 21300 53244 3982 72.6 MiB 1.13 0.02 6.66989 -3362.18 -6.66989 6.66989 0.25 0.0073855 0.00682539 0.510617 0.432228 -1 -1 -1 -1 64 15463 36 7.76074e+06 7.2218e+06 810706. 4136.26 4.40 2.27 1.95789 22444 164128 -1 13666 16 4234 17970 605416 107406 6.78748 6.78748 -3469.91 -6.78748 0 0 1.00880e+06 5146.95 0.04 0.57 0.15 -1 -1 0.04 0.380082 0.346066 + k6_frac_N10_40nm.xml frisc.blif common 19.09 vpr 73.82 MiB -1 -1 -1 -1 12 2.63 -1 -1 37332 -1 -1 154 20 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75588 20 116 2477 2593 1 1095 290 15 15 225 clb auto 33.0 MiB 3.90 12890 61666 15631 41457 4578 73.8 MiB 1.29 0.02 8.02167 -3816.55 -8.02167 8.02167 0.29 0.00799854 0.00736702 0.607385 0.536113 -1 -1 -1 -1 74 21484 43 9.10809e+06 8.29968e+06 1.06098e+06 4715.46 6.97 3.19949 2.845 27275 217117 -1 19434 16 5788 25215 1069833 185373 8.27179 8.27179 -4029.23 -8.27179 0 0 1.32822e+06 5903.22 0.06 0.85 0.22 -1 -1 0.06 0.50277 0.462472 + k6_frac_N10_40nm.xml s298.blif common 6.89 vpr 61.61 MiB -1 -1 -1 -1 8 0.90 -1 -1 33640 -1 -1 61 4 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63092 4 6 671 677 1 350 71 10 10 100 clb auto 22.3 MiB 1.13 3495 3137 432 2529 176 61.6 MiB 0.13 0.00 5.3014 -43.7499 -5.3014 5.3014 0.10 0.00213324 0.00193977 0.0653912 0.0590662 -1 -1 -1 -1 54 5597 27 3.44922e+06 3.28753e+06 316276. 3162.76 2.70 0.969741 0.854177 10312 63908 -1 5157 17 2266 11525 327366 61505 5.48392 5.48392 -46.8399 -5.48392 0 0 411339. 4113.39 0.02 0.24 0.06 -1 -1 0.02 0.132836 0.122294 + k6_frac_N10_40nm.xml s38417.blif common 19.91 vpr 78.25 MiB -1 -1 -1 -1 6 4.38 -1 -1 42652 -1 -1 176 29 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80128 29 106 3450 3556 1 1190 311 16 16 256 clb auto 37.0 MiB 2.32 8949 65597 16026 43107 6464 78.2 MiB 1.45 0.02 4.50231 -2905.37 -4.50231 4.50231 0.31 0.013459 0.0123921 0.819893 0.68577 -1 -1 -1 -1 46 15732 39 1.05632e+07 9.48534e+06 786978. 3074.13 7.54 3.76391 3.16115 26604 162157 -1 13635 14 5142 17426 519616 106370 4.53102 4.53102 -2987.6 -4.53102 0 0 1.01084e+06 3948.58 0.05 0.64 0.15 -1 -1 0.05 0.491437 0.438055 + k6_frac_N10_40nm.xml s38584.1.blif common 19.73 vpr 78.57 MiB -1 -1 -1 -1 6 3.21 -1 -1 40768 -1 -1 203 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80452 38 304 3259 3563 1 1559 545 17 17 289 clb auto 37.4 MiB 3.40 10446 174095 49766 105233 19096 78.6 MiB 2.06 0.03 4.29015 -2399.8 -4.29015 4.29015 0.36 0.0108513 0.0100424 0.949535 0.807306 -1 -1 -1 -1 58 17767 23 1.21262e+07 1.09405e+07 1.11519e+06 3858.79 6.55 4.0366 3.46133 32499 230706 -1 16008 15 5250 14700 516522 110817 4.81093 4.81093 -2541.32 -4.81093 0 0 1.41877e+06 4909.24 0.08 0.69 0.21 -1 -1 0.08 0.542118 0.483109 + k6_frac_N10_40nm.xml tseng.blif common 4.86 vpr 60.55 MiB -1 -1 -1 -1 7 0.56 -1 -1 34224 -1 -1 33 52 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62000 52 122 664 786 1 358 207 8 8 64 io clb auto 20.9 MiB 0.71 1908 22239 4478 16280 1481 60.5 MiB 0.19 0.01 4.25559 -597.466 -4.25559 4.25559 0.07 0.00207961 0.0019366 0.0799798 0.0739686 -1 -1 -1 -1 58 3323 26 1.94018e+06 1.7785e+06 203254. 3175.84 1.37 0.640949 0.573504 6532 39661 -1 2850 14 1079 2916 89573 24965 4.64398 4.64398 -644.298 -4.64398 0 0 258247. 4035.11 0.01 0.11 0.03 -1 -1 0.01 0.0836637 0.0773858 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/once/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/once/config/golden_results.txt index 2c1e5143a34..594c4215910 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/once/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/once/config/golden_results.txt @@ -1,4 +1,4 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_mem32K_40nm.xml multiclock_output_and_latch.v common 0.48 vpr 64.32 MiB -1 -1 0.08 20608 1 0.04 -1 -1 35284 -1 -1 2 6 0 0 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65868 6 1 13 14 2 8 9 4 4 16 clb auto 26.0 MiB 0.00 15 27 4 16 7 64.3 MiB 0.00 0.00 0.876768 -3.25729 -0.876768 0.545 0.01 4.3317e-05 3.4454e-05 0.000280058 0.000232486 20 18 16 107788 107788 10441.3 652.579 0.01 0.00200757 0.00171843 742 1670 -1 18 2 7 7 153 93 1.4073 0.545 -4.29789 -1.4073 0 0 13748.8 859.301 0.00 0.00 0.00 -1 -1 0.00 0.00115658 0.00109103 -k6_frac_N10_mem32K_40nm.xml multiclock_reader_writer.v common 0.51 vpr 64.29 MiB -1 -1 0.08 20864 1 0.04 -1 -1 35208 -1 -1 2 3 0 0 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65828 3 -1 23 23 2 3 5 4 4 16 clb auto 25.9 MiB 0.01 2 12 2 3 7 64.3 MiB 0.00 0.00 0.545 -7.62503 -0.545 0.545 0.01 5.9477e-05 5.1394e-05 0.000445997 0.000401154 8 1 1 107788 107788 4888.88 305.555 0.02 0.00275649 0.00249621 622 902 -1 1 1 1 1 9 6 0.54641 0.545 -7.63564 -0.54641 0 0 5552.67 347.042 0.00 0.00 0.00 -1 -1 0.00 0.00158614 0.00151091 -k6_frac_N10_mem32K_40nm.xml multiclock_separate_and_latch.v common 0.42 vpr 64.03 MiB -1 -1 0.06 20352 1 0.01 -1 -1 33064 -1 -1 1 3 0 0 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65568 3 1 5 6 1 4 5 3 3 9 -1 auto 25.8 MiB 0.00 6 12 8 0 4 64.0 MiB 0.00 0.00 0.544641 -0.918653 -0.544641 0.544641 0.00 2.3999e-05 2.0452e-05 0.000114281 9.2013e-05 20 5 1 53894 53894 4880.82 542.314 0.00 0.00099982 0.000925813 379 725 -1 17 1 3 3 68 57 1.45014 1.45014 -1.99566 -1.45014 0 0 6579.40 731.044 0.00 0.00 0.00 -1 -1 0.00 0.000913044 0.000879537 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_mem32K_40nm.xml multiclock_output_and_latch.v common 2.08 vpr 61.77 MiB -1 -1 0.12 16500 1 0.10 -1 -1 31836 -1 -1 2 6 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63252 6 1 13 14 2 8 9 4 4 16 clb auto 23.2 MiB 0.01 22 27 6 15 6 61.8 MiB 0.00 0.00 1.02737 -3.61973 -1.02737 0.545 0.01 3.6498e-05 2.6643e-05 0.000260655 0.000218319 -1 -1 -1 -1 20 22 8 107788 107788 10441.3 652.579 0.01 0.00250948 0.00220504 742 1670 -1 21 1 6 6 146 96 1.40641 0.545 -4.38899 -1.40641 0 0 13748.8 859.301 0.00 0.00 0.00 -1 -1 0.00 0.00176399 0.00169239 + k6_frac_N10_mem32K_40nm.xml multiclock_reader_writer.v common 2.09 vpr 61.68 MiB -1 -1 0.15 16776 1 0.07 -1 -1 31648 -1 -1 2 3 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63160 3 -1 23 23 2 3 5 4 4 16 clb auto 23.2 MiB 0.01 3 12 2 3 7 61.7 MiB 0.00 0.00 0.620297 -7.93119 -0.620297 0.545 0.01 6.5504e-05 5.6164e-05 0.000543565 0.00049453 -1 -1 -1 -1 8 1 1 107788 107788 4888.88 305.555 0.01 0.00311117 0.00290556 622 902 -1 1 1 1 1 8 6 0.54641 0.545 -7.63564 -0.54641 0 0 5552.67 347.042 0.00 0.01 0.00 -1 -1 0.00 0.00221081 0.00210995 + k6_frac_N10_mem32K_40nm.xml multiclock_separate_and_latch.v common 2.05 vpr 61.70 MiB -1 -1 0.10 16420 1 0.10 -1 -1 30004 -1 -1 1 3 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63180 3 1 5 6 1 4 5 3 3 9 -1 auto 23.1 MiB 0.01 9 12 5 4 3 61.7 MiB 0.00 0.00 0.52647 -0.88231 -0.52647 0.52647 0.00 2.1504e-05 1.601e-05 0.000159881 0.000125763 -1 -1 -1 -1 20 10 1 53894 53894 4880.82 542.314 0.01 0.00174411 0.00161402 379 725 -1 22 1 3 3 79 69 1.8363 1.8363 -2.38182 -1.8363 0 0 6579.40 731.044 0.00 0.00 0.00 -1 -1 0.00 0.00154197 0.00149823 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/vanilla/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/vanilla/config/golden_results.txt index 33cdd16f53c..248d5d735f9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/vanilla/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock/func_multiclock/vanilla/config/golden_results.txt @@ -1,3 +1,3 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_mem32K_40nm.xml multiclock_output_and_latch.v common 0.57 vpr 64.32 MiB -1 -1 0.07 20096 1 0.04 -1 -1 35412 -1 -1 2 6 0 0 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65864 6 1 13 14 2 8 9 4 4 16 clb auto 25.9 MiB 0.00 15 27 4 16 7 64.3 MiB 0.00 0.00 0.876768 -3.25729 -0.876768 0.545 0.01 3.822e-05 2.8683e-05 0.0002492 0.000209176 20 18 16 107788 107788 10441.3 652.579 0.01 0.0019759 0.00167615 742 1670 -1 18 2 7 7 153 93 1.4073 0.545 -4.29789 -1.4073 0 0 13748.8 859.301 0.00 0.00 0.00 -1 -1 0.00 0.00115121 0.00108599 -k6_frac_N10_mem32K_40nm.xml multiclock_reader_writer.v common 0.57 vpr 64.39 MiB -1 -1 0.09 20480 1 0.04 -1 -1 35548 -1 -1 1 2 0 0 success v8.0.0-9278-g8174f522c9-dirty release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.2.0-37-generic x86_64 2023-12-25T17:01:11 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing 65932 2 -1 16 16 1 2 3 3 3 9 -1 auto 26.1 MiB 0.01 2 6 3 0 3 64.4 MiB 0.00 0.00 0.545 -3.815 -0.545 0.545 0.00 4.0902e-05 3.4981e-05 0.000354436 0.000323261 2 1 1 53894 53894 1178.84 130.982 0.01 0.00253626 0.00228473 283 309 -1 1 1 1 1 9 6 0.551715 0.551715 -3.84186 -0.551715 0 0 1178.84 130.982 0.00 0.00 0.00 -1 -1 0.00 0.00134392 0.00129235 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_mem32K_40nm.xml multiclock_output_and_latch.v common 2.08 vpr 61.64 MiB -1 -1 0.11 16584 1 0.10 -1 -1 31848 -1 -1 2 6 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63124 6 1 13 14 2 8 9 4 4 16 clb auto 23.2 MiB 0.01 22 27 6 15 6 61.6 MiB 0.00 0.00 1.02737 -3.61973 -1.02737 0.545 0.01 4.0075e-05 2.932e-05 0.00026592 0.0002219 -1 -1 -1 -1 20 22 8 107788 107788 10441.3 652.579 0.01 0.00240468 0.00215628 742 1670 -1 21 1 6 6 146 96 1.40641 0.545 -4.38899 -1.40641 0 0 13748.8 859.301 0.00 0.00 0.00 -1 -1 0.00 0.00168822 0.00161939 + k6_frac_N10_mem32K_40nm.xml multiclock_reader_writer.v common 2.11 vpr 61.76 MiB -1 -1 0.14 16908 1 0.09 -1 -1 31852 -1 -1 1 2 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63240 2 -1 16 16 1 2 3 3 3 9 -1 auto 23.3 MiB 0.01 3 6 4 0 2 61.8 MiB 0.01 0.00 0.545 -3.815 -0.545 0.545 0.01 6.1203e-05 5.2668e-05 0.000507564 0.000460754 -1 -1 -1 -1 2 1 1 53894 53894 1178.84 130.982 0.01 0.00295561 0.00280974 283 309 -1 1 1 1 1 8 6 0.551715 0.551715 -3.84186 -0.551715 0 0 1178.84 130.982 0.00 0.00 0.00 -1 -1 0.00 0.00212103 0.00204508 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/func_multiclock/blanket/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/func_multiclock/blanket/config/golden_results.txt index 039f772d88f..e9d880c30a2 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/func_multiclock/blanket/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/func_multiclock/blanket/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time k6_frac_N10_mem32K_40nm.xml multiclock_output_and_latch.v common 11.99 vpr 255.45 MiB 0.11 36912 -1 -1 1 0.05 -1 -1 34700 -1 -1 2 6 0 0 success v8.0.0-7653-g7c8f300-dirty release VTR_ASSERT_LEVEL=3 sanitizers GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-21 14:13:39 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 261584 6 1 13 14 2 8 9 4 4 16 clb auto 101.0 MiB 0.11 13 244.4 MiB 0.04 0 0.875884 -3.21653 -0.875884 0.545 0.47 0.000264546 0.000241337 0.00754986 0.00454282 20 15 7 107788 107788 10441.3 652.579 0.66 0.0136677 0.00891098 742 1670 -1 15 14 32 32 476 268 0 0 476 268 32 32 0 0 45 42 0 0 51 45 0 0 32 32 0 0 205 79 0 0 111 38 0 0 32 0 0 0 0 0 32 0 0 1.31811 0.545 -4.12048 -1.31811 0 0 13748.8 859.301 0.01 0.04 0.18 -1 -1 0.01 0.00722654 0.00593545 k6_frac_N10_mem32K_40nm.xml multiclock_reader_writer.v common 12.96 vpr 261.56 MiB 0.15 45980 -1 -1 1 0.06 -1 -1 34932 -1 -1 2 3 0 0 success v8.0.0-7653-g7c8f300-dirty release VTR_ASSERT_LEVEL=3 sanitizers GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-21 14:13:39 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 267836 3 1 25 26 2 8 6 4 4 16 clb auto 106.4 MiB 0.87 17 249.9 MiB 0.03 0 0.571 -8.64803 -0.571 0.557849 0.47 0.000543454 0.000488368 0.00346482 0.00253954 20 19 1 107788 107788 10441.3 652.579 0.67 0.0113116 0.00855232 742 1670 -1 27 1 6 6 63 36 0 0 63 36 6 6 0 0 9 6 0 0 9 9 0 0 6 6 0 0 18 3 0 0 15 6 0 0 6 0 0 0 0 0 6 0 0 0.865 0.557849 -8.82275 -0.865 0 0 13748.8 859.301 0.01 0.04 0.17 -1 -1 0.01 0.00501901 0.00409753 -k6_frac_N10_mem32K_40nm.xml multiclock_separate_and_latch.v common 11.88 vpr 254.22 MiB 0.15 35980 -1 -1 1 0 -1 -1 32420 -1 -1 2 6 0 0 success v8.0.0-7653-g7c8f300-dirty release VTR_ASSERT_LEVEL=3 sanitizers GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-21 14:13:39 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 260320 6 2 10 12 2 8 10 4 4 16 clb auto 100.4 MiB 0.06 12 243.6 MiB 0.03 0 0.544641 -1.83465 -0.544641 nan 0.47 0.000504445 0.000240584 0.00477542 0.00228264 20 15 1 107788 107788 10441.3 652.579 0.64 0.00804976 0.00416003 742 1670 -1 13 1 6 6 148 96 0 0 148 96 6 6 0 0 18 16 0 0 18 18 0 0 6 6 0 0 53 27 0 0 47 23 0 0 6 0 0 0 0 0 6 0 0 0.81248 nan -2.54321 -0.81248 0 0 13748.8 859.301 0.01 0.02 0.18 -1 -1 0.01 0.00215701 0.00121245 +k6_frac_N10_mem32K_40nm.xml multiclock_separate_and_latch.v common 11.88 vpr 254.22 MiB 0.15 35980 -1 -1 1 0 -1 -1 32420 -1 -1 2 6 0 0 success v8.0.0-7653-g7c8f300-dirty release VTR_ASSERT_LEVEL=3 sanitizers GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-21 14:13:39 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 260320 6 2 10 12 2 8 10 4 4 16 clb auto 100.4 MiB 0.06 12 243.6 MiB 0.03 0 0.544641 -1.83465 -0.544641 nan 0.47 0.000504445 0.000240584 0.00477542 0.00228264 20 27 1 107788 107788 10441.3 652.579 0.64 0.00804976 0.00416003 742 1670 -1 13 1 6 6 148 96 0 0 148 96 6 6 0 0 18 16 0 0 18 18 0 0 6 6 0 0 53 27 0 0 47 23 0 0 6 0 0 0 0 0 6 0 0 0.81248 nan -2.54321 -0.81248 0 0 13748.8 859.301 0.01 0.02 0.18 -1 -1 0.01 0.00215701 0.00121245 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/func_multiclock/multiclock_mcnc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/func_multiclock/multiclock_mcnc/config/golden_results.txt index dabe58c85d0..9a02931e25d 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/func_multiclock/multiclock_mcnc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/func_multiclock/multiclock_mcnc/config/golden_results.txt @@ -1,11 +1,11 @@ -arch circuit script_params vtr_flow_elapsed_time error odin_synth_time max_odin_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_40nm.xml bigkey.blif common 5.28 -1 -1 3 0.34 -1 -1 35056 -1 -1 53 229 -1 -1 success v8.0.0-3535-ge4ce792ea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-05-17T19:06:09 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow/tasks/regression_tests 46028 229 197 1023 1220 1 510 479 16 16 256 io auto 0.21 3413 0.56 0.00 2.1735 -526.299 -2.1735 2.1735 0.39 0.00138821 0.00120378 0.19781 0.168309 40 6116 17 1.05632e+07 2.85638e+06 697968. 2726.44 2.40 0.722292 0.640772 5568 9 1309 2279 135603 31763 2.49868 2.49868 -621.799 -2.49868 0 0 870840. 3401.72 0.16 0.07 0.0510449 0.0478126 -k6_frac_N10_40nm.xml clma.blif common 5.08 -1 -1 7 2.05 -1 -1 39340 -1 -1 77 36 -1 -1 success v8.0.0-3535-ge4ce792ea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-05-17T19:06:09 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow/tasks/regression_tests 32676 36 82 542 624 1 390 195 11 11 121 clb auto 0.35 2039 0.33 0.00 4.11584 -147.948 -4.11584 4.11584 0.15 0.000539492 0.000452693 0.106625 0.0857617 40 4434 24 4.36541e+06 4.14984e+06 303235. 2506.08 1.15 0.303278 0.252285 3724 17 2001 6967 214647 45904 4.75061 4.75061 -182.205 -4.75061 0 0 379421. 3135.71 0.06 0.06 0.0359416 0.0326671 -k6_frac_N10_40nm.xml diffeq.blif common 3.20 -1 -1 8 0.40 -1 -1 34060 -1 -1 51 64 -1 -1 success v8.0.0-3535-ge4ce792ea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-05-17T19:06:09 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow/tasks/regression_tests 34940 64 39 941 980 1 450 154 10 10 100 clb auto 0.42 2664 0.24 0.00 4.29897 -783.884 -4.29897 4.29897 0.12 0.000839617 0.000669735 0.100486 0.0795009 46 5017 28 3.44922e+06 2.74859e+06 276332. 2763.32 1.05 0.326802 0.269909 4217 16 1848 5381 166684 35585 4.95374 4.95374 -932.485 -4.95374 0 0 354105. 3541.05 0.05 0.08 0.0542635 0.0494947 -k6_frac_N10_40nm.xml dsip.blif common 6.16 -1 -1 3 0.30 -1 -1 34940 -1 -1 68 229 -1 -1 success v8.0.0-3535-ge4ce792ea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-05-17T19:06:09 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow/tasks/regression_tests 47348 229 197 1135 1332 1 648 494 16 16 256 io auto 0.53 4829 0.66 0.01 2.11998 -540.899 -2.11998 2.11998 0.39 0.0015698 0.00130015 0.210921 0.176933 36 9346 20 1.05632e+07 3.66479e+06 638738. 2495.07 2.79 0.723593 0.631411 8188 14 2350 5770 322638 68924 2.64997 2.64997 -662.41 -2.64997 0 0 786978. 3074.13 0.15 0.12 0.076965 0.0713829 -k6_frac_N10_40nm.xml elliptic.blif common 10.48 -1 -1 10 1.16 -1 -1 37272 -1 -1 133 131 -1 -1 success v8.0.0-3535-ge4ce792ea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-05-17T19:06:09 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow/tasks/regression_tests 56752 131 114 2471 2585 1 967 378 14 14 196 clb auto 1.84 9009 0.83 0.01 6.26362 -3059.17 -6.26362 6.26362 0.28 0.00212795 0.00173305 0.286733 0.219064 64 15845 47 7.76074e+06 7.1679e+06 810706. 4136.26 4.27 1.22946 1.00099 14220 16 4723 20791 818717 140043 7.05815 7.05815 -3531.55 -7.05815 0 0 1.00880e+06 5146.95 0.16 0.27 0.154401 0.13779 -k6_frac_N10_40nm.xml frisc.blif common 13.84 -1 -1 12 1.91 -1 -1 37256 -1 -1 153 20 -1 -1 success v8.0.0-3535-ge4ce792ea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-05-17T19:06:09 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow/tasks/regression_tests 62524 20 116 2477 2593 1 1097 289 15 15 225 clb auto 2.14 12755 0.94 0.01 6.79269 -3257.02 -6.79269 6.79269 0.34 0.00217438 0.00179657 0.327538 0.24583 76 21374 38 9.10809e+06 8.24578e+06 1.08042e+06 4801.85 6.09 1.27911 1.034 19100 16 5620 24310 1037891 171913 8.29693 8.29693 -3979.77 -8.29693 0 0 1.34805e+06 5991.31 0.22 0.35 0.179872 0.160752 -k6_frac_N10_40nm.xml s298.blif common 3.83 -1 -1 8 0.53 -1 -1 34316 -1 -1 62 4 -1 -1 success v8.0.0-3535-ge4ce792ea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-05-17T19:06:09 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow/tasks/regression_tests 34504 4 6 671 677 1 352 72 10 10 100 clb auto 0.56 3591 0.22 0.00 4.75477 -39.1418 -4.75477 4.75477 0.12 0.000769266 0.000586248 0.09084 0.0707559 52 5575 27 3.44922e+06 3.34143e+06 305142. 3051.42 1.47 0.36114 0.295188 5399 17 2374 11722 416659 74881 5.56322 5.56322 -46.7971 -5.56322 0 0 401807. 4018.07 0.06 0.11 0.0543908 0.049368 -k6_frac_N10_40nm.xml s38417.blif common 12.03 -1 -1 6 2.75 -1 -1 42360 -1 -1 177 29 -1 -1 success v8.0.0-3535-ge4ce792ea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-05-17T19:06:09 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow/tasks/regression_tests 63792 29 106 3450 3556 1 1202 312 16 16 256 clb auto 1.56 8615 1.15 0.01 3.98518 -2557.22 -3.98518 3.98518 0.39 0.00302166 0.00218012 0.456703 0.332458 46 14847 24 1.05632e+07 9.53924e+06 786978. 3074.13 3.52 1.37805 1.08296 13205 15 5027 16599 520957 107073 4.83175 4.83175 -2954.7 -4.83175 0 0 1.01084e+06 3948.58 0.17 0.30 0.238471 0.215337 -k6_frac_N10_40nm.xml s38584.1.blif common 12.46 -1 -1 6 2.08 -1 -1 40804 -1 -1 194 38 -1 -1 success v8.0.0-3535-ge4ce792ea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-05-17T19:06:09 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow/tasks/regression_tests 66920 38 304 3256 3560 1 1587 536 16 16 256 clb auto 1.98 10122 1.65 0.01 3.64177 -2138.5 -3.64177 3.64177 0.40 0.00317473 0.00262755 0.578661 0.434442 58 18006 25 1.05632e+07 1.04554e+07 977637. 3818.90 3.81 1.57474 1.25106 15785 16 5100 13564 505628 106920 4.7203 4.7203 -2481.9 -4.7203 0 0 1.24374e+06 4858.37 0.21 0.26 0.211794 0.191547 -k6_frac_N10_40nm.xml tseng.blif common 2.48 -1 -1 7 0.23 -1 -1 34700 -1 -1 34 52 -1 -1 success v8.0.0-3535-ge4ce792ea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-05-17T19:06:09 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow/tasks/regression_tests 31260 52 122 664 786 1 355 208 8 8 64 io clb auto 0.41 1686 0.21 0.00 3.70214 -536.987 -3.70214 3.70214 0.07 0.000641877 0.000544632 0.0739312 0.060713 58 3324 47 1.94018e+06 1.8324e+06 203254. 3175.84 0.73 0.26935 0.22784 2902 11 1075 2671 103928 28289 4.55633 4.55633 -641.099 -4.55633 0 0 258247. 4035.11 0.04 0.07 0.046514 0.0424413 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_40nm.xml bigkey.blif common 7.20 vpr 66.95 MiB -1 -1 -1 -1 3 0.52 -1 -1 38552 -1 -1 53 229 -1 -1 success v8.0.0-12164-g463dd1c36-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-20T15:42:53 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68552 229 197 1023 1220 1 510 479 16 16 256 io auto 27.5 MiB 0.24 3857 159684 50415 100566 8703 66.9 MiB 0.65 0.01 2.28488 -572.083 -2.28488 2.28488 0.43 0.00217367 0.00193462 0.259289 0.232202 -1 -1 -1 -1 34 6784 36 1.05632e+07 2.85638e+06 613832. 2397.78 4.05 1.01365 0.918671 24564 122629 -1 5850 11 1312 2352 132518 32277 2.76257 2.76257 -655.553 -2.76257 0 0 751777. 2936.63 0.03 0.09 0.08 -1 -1 0.03 0.069929 0.0656002 + k6_frac_N10_40nm.xml clma.blif common 5.94 vpr 64.80 MiB -1 -1 -1 -1 7 2.23 -1 -1 42980 -1 -1 79 36 -1 -1 success v8.0.0-12164-g463dd1c36-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-20T15:42:53 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66352 36 82 542 624 1 389 197 11 11 121 clb auto 25.3 MiB 0.48 2127 40880 16866 18710 5304 64.8 MiB 0.32 0.01 4.57111 -169.888 -4.57111 4.57111 0.20 0.00196234 0.001766 0.116536 0.103509 -1 -1 -1 -1 40 4700 26 4.36541e+06 4.25763e+06 303235. 2506.08 1.35 0.43712 0.38801 11571 60661 -1 3777 19 2230 7887 214455 46253 4.82804 4.82804 -186.1 -4.82804 0 0 379421. 3135.71 0.02 0.16 0.07 -1 -1 0.02 0.0896115 0.0822627 + k6_frac_N10_40nm.xml diffeq.blif common 2.92 vpr 66.61 MiB -1 -1 -1 -1 8 0.37 -1 -1 38160 -1 -1 52 64 -1 -1 success v8.0.0-12164-g463dd1c36-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-20T15:42:53 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68208 64 39 941 980 1 449 155 10 10 100 clb auto 26.8 MiB 0.50 2810 15963 3441 11602 920 66.6 MiB 0.14 0.00 4.99118 -866.838 -4.99118 4.99118 0.09 0.00121728 0.00102812 0.0599001 0.0516492 -1 -1 -1 -1 46 4616 20 3.44922e+06 2.80249e+06 276332. 2763.32 0.93 0.392993 0.343157 9816 55112 -1 4188 15 1800 5546 151236 33167 5.08131 5.08131 -922.585 -5.08131 0 0 354105. 3541.05 0.01 0.11 0.04 -1 -1 0.01 0.0801531 0.0743673 + k6_frac_N10_40nm.xml dsip.blif common 8.04 vpr 68.18 MiB -1 -1 -1 -1 3 0.46 -1 -1 38252 -1 -1 68 229 -1 -1 success v8.0.0-12164-g463dd1c36-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-20T15:42:53 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69816 229 197 1135 1332 1 648 494 16 16 256 io auto 28.6 MiB 0.85 5312 156654 46092 101923 8639 68.2 MiB 0.99 0.01 2.37422 -599.955 -2.37422 2.37422 0.49 0.00367277 0.00324389 0.347682 0.309361 -1 -1 -1 -1 36 8936 22 1.05632e+07 3.66479e+06 638738. 2495.07 3.13 1.35387 1.20985 24820 128426 -1 8053 12 2277 5560 281512 63324 2.6192 2.6192 -669.375 -2.6192 0 0 786978. 3074.13 0.05 0.25 0.18 -1 -1 0.05 0.157791 0.147117 + k6_frac_N10_40nm.xml elliptic.blif common 11.55 vpr 75.93 MiB -1 -1 -1 -1 10 1.41 -1 -1 42312 -1 -1 134 131 -1 -1 success v8.0.0-12164-g463dd1c36-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-20T15:42:53 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 77748 131 114 2471 2585 1 965 379 14 14 196 clb auto 35.1 MiB 2.51 9456 89494 25858 59393 4243 75.9 MiB 0.77 0.01 6.79623 -3397.75 -6.79623 6.79623 0.20 0.00440022 0.00362715 0.301337 0.256198 -1 -1 -1 -1 66 15578 22 7.76074e+06 7.2218e+06 836700. 4268.88 4.49 1.74713 1.51878 22640 168496 -1 13700 18 4265 18260 614637 108468 7.3276 7.3276 -3617 -7.3276 0 0 1.03533e+06 5282.27 0.04 0.41 0.14 -1 -1 0.04 0.267713 0.242444 + k6_frac_N10_40nm.xml frisc.blif common 16.16 vpr 77.31 MiB -1 -1 -1 -1 12 2.01 -1 -1 42652 -1 -1 154 20 -1 -1 success v8.0.0-12164-g463dd1c36-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-20T15:42:53 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 79164 20 116 2477 2593 1 1095 290 15 15 225 clb auto 36.4 MiB 3.95 13105 58789 14981 39464 4344 77.3 MiB 1.35 0.02 8.00138 -3807.11 -8.00138 8.00138 0.43 0.0081328 0.00719848 0.558575 0.477365 -1 -1 -1 -1 76 21862 33 9.10809e+06 8.29968e+06 1.08042e+06 4801.85 5.74 1.98425 1.72803 27499 221939 -1 19174 16 5769 25045 1026308 173738 8.21541 8.21541 -3991.17 -8.21541 0 0 1.34805e+06 5991.31 0.05 0.46 0.18 -1 -1 0.05 0.251615 0.230637 + k6_frac_N10_40nm.xml s298.blif common 4.60 vpr 65.82 MiB -1 -1 -1 -1 8 0.82 -1 -1 37972 -1 -1 61 4 -1 -1 success v8.0.0-12164-g463dd1c36-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-20T15:42:53 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67404 4 6 671 677 1 350 71 10 10 100 clb auto 26.5 MiB 1.11 3540 2845 401 2327 117 65.8 MiB 0.12 0.00 5.48084 -44.2852 -5.48084 5.48084 0.16 0.00206735 0.00177304 0.0590776 0.0525925 -1 -1 -1 -1 52 5763 37 3.44922e+06 3.28753e+06 305142. 3051.42 1.18 0.421351 0.369249 10212 61796 -1 5098 18 2211 10437 290027 55717 5.61219 5.61219 -47.3284 -5.61219 0 0 401807. 4018.07 0.01 0.15 0.05 -1 -1 0.01 0.0927923 0.0846279 + k6_frac_N10_40nm.xml s38417.blif common 17.91 vpr 81.74 MiB -1 -1 -1 -1 6 4.10 -1 -1 45508 -1 -1 176 29 -1 -1 success v8.0.0-12164-g463dd1c36-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-20T15:42:53 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 83700 29 106 3450 3556 1 1190 311 16 16 256 clb auto 40.6 MiB 2.62 8975 59279 14510 38832 5937 81.7 MiB 1.37 0.02 4.49038 -2868.52 -4.49038 4.49038 0.48 0.0101802 0.00890923 0.68275 0.55608 -1 -1 -1 -1 48 14949 25 1.05632e+07 9.48534e+06 819368. 3200.65 6.19 3.10939 2.62069 26860 167058 -1 13109 14 4799 16110 475247 99748 4.67678 4.67678 -2975.81 -4.67678 0 0 1.04748e+06 4091.72 0.04 0.38 0.13 -1 -1 0.04 0.295567 0.270634 + k6_frac_N10_40nm.xml s38584.1.blif common 17.99 vpr 82.03 MiB -1 -1 -1 -1 6 3.06 -1 -1 44604 -1 -1 203 38 -1 -1 success v8.0.0-12164-g463dd1c36-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-20T15:42:53 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 83996 38 304 3259 3563 1 1559 545 17 17 289 clb auto 41.0 MiB 3.38 10700 171870 46563 105348 19959 82.0 MiB 2.17 0.02 4.2184 -2435.96 -4.2184 4.2184 0.56 0.0059931 0.00531266 0.863249 0.745275 -1 -1 -1 -1 56 19548 40 1.21262e+07 1.09405e+07 1.07492e+06 3719.43 5.63 3.22677 2.77835 31923 220089 -1 16589 15 5335 14804 532142 114643 4.62993 4.62993 -2587.89 -4.62993 0 0 1.37091e+06 4743.65 0.05 0.41 0.17 -1 -1 0.05 0.315014 0.290558 + k6_frac_N10_40nm.xml tseng.blif common 3.72 vpr 64.95 MiB -1 -1 -1 -1 7 0.30 -1 -1 36976 -1 -1 33 52 -1 -1 success v8.0.0-12164-g463dd1c36-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-20T15:42:53 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66504 52 122 664 786 1 358 207 8 8 64 io clb auto 25.4 MiB 0.60 1979 19791 3963 14597 1231 64.9 MiB 0.21 0.01 4.35959 -604.543 -4.35959 4.35959 0.09 0.00213824 0.00191283 0.0821371 0.0733419 -1 -1 -1 -1 58 3402 44 1.94018e+06 1.7785e+06 203254. 3175.84 1.42 0.631719 0.559346 6532 39661 -1 2996 15 1183 3162 100447 27815 4.60411 4.60411 -641.316 -4.60411 0 0 258247. 4035.11 0.01 0.12 0.05 -1 -1 0.01 0.0870702 0.080662 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/func_multiclock/once/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/func_multiclock/once/config/golden_results.txt index b9631c0caf9..564cc8c3dc6 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/func_multiclock/once/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/func_multiclock/once/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops crit_path_total_internal_heap_pushes crit_path_total_internal_heap_pops crit_path_total_external_heap_pushes crit_path_total_external_heap_pops crit_path_total_external_SOURCE_pushes crit_path_total_external_SOURCE_pops crit_path_total_internal_SOURCE_pushes crit_path_total_internal_SOURCE_pops crit_path_total_external_SINK_pushes crit_path_total_external_SINK_pops crit_path_total_internal_SINK_pushes crit_path_total_internal_SINK_pops crit_path_total_external_IPIN_pushes crit_path_total_external_IPIN_pops crit_path_total_internal_IPIN_pushes crit_path_total_internal_IPIN_pops crit_path_total_external_OPIN_pushes crit_path_total_external_OPIN_pops crit_path_total_internal_OPIN_pushes crit_path_total_internal_OPIN_pops crit_path_total_external_CHANX_pushes crit_path_total_external_CHANX_pops crit_path_total_internal_CHANX_pushes crit_path_total_internal_CHANX_pops crit_path_total_external_CHANY_pushes crit_path_total_external_CHANY_pops crit_path_total_internal_CHANY_pushes crit_path_total_internal_CHANY_pops crit_path_rt_node_SOURCE_pushes crit_path_rt_node_SINK_pushes crit_path_rt_node_IPIN_pushes crit_path_rt_node_OPIN_pushes crit_path_rt_node_CHANX_pushes crit_path_rt_node_CHANY_pushes crit_path_adding_all_rt crit_path_adding_high_fanout_rt crit_path_total_number_of_adding_all_rt_from_calling_high_fanout_rt critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time k6_frac_N10_mem32K_40nm.xml multiclock_output_and_latch.v common 12.22 vpr 254.93 MiB 0.1 37000 -1 -1 1 0.05 -1 -1 34808 -1 -1 2 6 0 0 success v8.0.0-7653-g7c8f300-dirty release VTR_ASSERT_LEVEL=3 sanitizers GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-21 14:13:39 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 261052 6 1 13 14 2 8 9 4 4 16 clb auto 100.7 MiB 0.11 13 244.1 MiB 0.04 0 0.875884 -3.21653 -0.875884 0.545 0.47 0.000263443 0.000240838 0.00748415 0.00450484 20 15 7 107788 107788 10441.3 652.579 0.66 0.0136082 0.00886525 742 1670 -1 15 14 32 32 476 268 0 0 476 268 32 32 0 0 45 42 0 0 51 45 0 0 32 32 0 0 205 79 0 0 111 38 0 0 32 0 0 0 0 0 32 0 0 1.31811 0.545 -4.12048 -1.31811 0 0 13748.8 859.301 0.01 0.04 0.18 -1 -1 0.01 0.00739705 0.00603502 k6_frac_N10_mem32K_40nm.xml multiclock_reader_writer.v common 14.79 vpr 261.44 MiB 0.12 46076 -1 -1 1 0.05 -1 -1 34892 -1 -1 2 3 0 0 success v8.0.0-7653-g7c8f300-dirty release VTR_ASSERT_LEVEL=3 sanitizers GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-21 14:13:39 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 267712 3 1 25 26 2 8 6 4 4 16 clb auto 106.3 MiB 1 17 250.0 MiB 0.04 0 0.571 -8.64803 -0.571 0.557849 0.53 0.000560438 0.000505834 0.00360459 0.00262507 20 19 1 107788 107788 10441.3 652.579 0.76 0.0119539 0.00893362 742 1670 -1 27 1 6 6 63 36 0 0 63 36 6 6 0 0 9 6 0 0 9 9 0 0 6 6 0 0 18 3 0 0 15 6 0 0 6 0 0 0 0 0 6 0 0 0.865 0.557849 -8.82275 -0.865 0 0 13748.8 859.301 0.01 0.03 0.17 -1 -1 0.01 0.00502268 0.00406987 -k6_frac_N10_mem32K_40nm.xml multiclock_separate_and_latch.v common 12.05 vpr 254.23 MiB 0.11 35864 -1 -1 1 0.01 -1 -1 32648 -1 -1 2 6 0 0 success v8.0.0-7653-g7c8f300-dirty release VTR_ASSERT_LEVEL=3 sanitizers GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-21 14:13:39 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 260328 6 2 10 12 2 8 10 4 4 16 clb auto 100.4 MiB 0.06 12 243.6 MiB 0.03 0 0.544641 -1.83465 -0.544641 nan 0.47 0.000489919 0.000226814 0.00463432 0.00218307 20 15 1 107788 107788 10441.3 652.579 0.64 0.00773058 0.00396899 742 1670 -1 13 1 6 6 148 96 0 0 148 96 6 6 0 0 18 16 0 0 18 18 0 0 6 6 0 0 53 27 0 0 47 23 0 0 6 0 0 0 0 0 6 0 0 0.81248 nan -2.54321 -0.81248 0 0 13748.8 859.301 0.01 0.02 0.18 -1 -1 0.01 0.00224126 0.00124363 +k6_frac_N10_mem32K_40nm.xml multiclock_separate_and_latch.v common 12.05 vpr 254.23 MiB 0.11 35864 -1 -1 1 0.01 -1 -1 32648 -1 -1 2 6 0 0 success v8.0.0-7653-g7c8f300-dirty release VTR_ASSERT_LEVEL=3 sanitizers GNU 9.4.0 on Linux-4.13.1-041301-generic x86_64 2023-04-21 14:13:39 agent-1 /home/mahmo494/RL_experiment/vtr-verilog-to-routing/vtr_flow/tasks 260328 6 2 10 12 2 8 10 4 4 16 clb auto 100.4 MiB 0.06 12 243.6 MiB 0.03 0 0.544641 -1.83465 -0.544641 nan 0.47 0.000489919 0.000226814 0.00463432 0.00218307 20 27 1 107788 107788 10441.3 652.579 0.64 0.00773058 0.00396899 742 1670 -1 13 1 6 6 148 96 0 0 148 96 6 6 0 0 18 16 0 0 18 18 0 0 6 6 0 0 53 27 0 0 47 23 0 0 6 0 0 0 0 0 6 0 0 0.81248 nan -2.54321 -0.81248 0 0 13748.8 859.301 0.01 0.02 0.18 -1 -1 0.01 0.00224126 0.00124363 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/task_list.txt b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/task_list.txt index 5c3e9b08ebb..53cfaf40acf 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/task_list.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_multiclock_odin/task_list.txt @@ -1,5 +1,5 @@ regression_tests/vtr_reg_multiclock_odin/func_multiclock/blanket -regression_tests/vtr_reg_multiclock_odin/func_multiclock/blanket_odin +regression_tests/vtr_reg_multiclock_odin/func_multiclock/multiclock_mcnc regression_tests/vtr_reg_multiclock_odin/func_multiclock/iterative regression_tests/vtr_reg_multiclock_odin/func_multiclock/once regression_tests/vtr_reg_multiclock_odin/func_multiclock/vanilla diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/figure_8/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/figure_8/config/golden_results.txt index 5c9945e3546..99080e3a8c6 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/figure_8/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/figure_8/config/golden_results.txt @@ -1,211 +1,211 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_004bits.v common 2.74 vpr 60.62 MiB -1 -1 0.15 17236 2 0.06 -1 -1 31940 -1 -1 2 9 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62076 9 5 28 33 1 21 16 17 17 289 -1 unnamed_device 22.0 MiB 0.01 135 76 35 40 1 60.6 MiB 0.00 0.00 0.900447 -11.7037 -0.900447 0.900447 0.66 8.645e-05 7.8124e-05 0.000583333 0.000531116 20 236 9 6.55708e+06 24110 394039. 1363.46 0.45 0.00366263 0.00324976 19870 87366 -1 236 7 61 67 5096 1298 0.83871 0.83871 -12.1586 -0.83871 0 0 477104. 1650.88 0.14 0.01 0.08 -1 -1 0.14 0.00258961 0.00232587 13 6 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_005bits.v common 2.94 vpr 60.76 MiB -1 -1 0.14 17160 2 0.06 -1 -1 32004 -1 -1 2 11 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62220 11 6 34 40 1 24 19 17 17 289 -1 unnamed_device 22.1 MiB 0.01 55 569 131 359 79 60.8 MiB 0.01 0.00 0.900447 -11.5834 -0.900447 0.900447 0.65 0.00010434 9.5102e-05 0.00271084 0.00247011 26 205 12 6.55708e+06 24110 477104. 1650.88 0.56 0.0146662 0.0123484 21022 109990 -1 188 9 116 121 5329 1929 0.819447 0.819447 -12.7928 -0.819447 0 0 585099. 2024.56 0.17 0.01 0.10 -1 -1 0.17 0.00323617 0.00286903 16 7 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_006bits.v common 2.81 vpr 60.62 MiB -1 -1 0.16 17216 3 0.05 -1 -1 31852 -1 -1 3 13 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62072 13 7 41 48 1 32 23 17 17 289 -1 unnamed_device 22.0 MiB 0.02 85 567 111 433 23 60.6 MiB 0.01 0.00 1.58811 -16.0101 -1.58811 1.58811 0.66 0.000125009 0.000114627 0.00264833 0.00243277 20 282 10 6.55708e+06 36165 394039. 1363.46 0.45 0.00862391 0.00785706 19870 87366 -1 238 10 108 128 6200 1949 1.50711 1.50711 -17.0526 -1.50711 0 0 477104. 1650.88 0.14 0.01 0.08 -1 -1 0.14 0.00386995 0.00340331 19 9 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_007bits.v common 2.81 vpr 60.71 MiB -1 -1 0.15 17060 3 0.05 -1 -1 31872 -1 -1 3 15 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62172 15 8 47 55 1 38 26 17 17 289 -1 unnamed_device 22.0 MiB 0.01 208 862 165 657 40 60.7 MiB 0.01 0.00 1.23151 -19.784 -1.23151 1.23151 0.67 0.000144141 0.000132564 0.00370168 0.00340074 20 451 13 6.55708e+06 36165 394039. 1363.46 0.45 0.00903024 0.00801953 19870 87366 -1 409 8 146 164 9675 2532 1.05785 1.05785 -21.0828 -1.05785 0 0 477104. 1650.88 0.14 0.01 0.08 -1 -1 0.14 0.00383752 0.00340253 23 10 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_008bits.v common 3.01 vpr 60.81 MiB -1 -1 0.12 17156 3 0.05 -1 -1 31920 -1 -1 4 17 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62268 17 9 56 65 1 42 30 17 17 289 -1 unnamed_device 22.1 MiB 0.05 126 720 180 518 22 60.8 MiB 0.01 0.00 1.70831 -21.3388 -1.70831 1.70831 0.67 0.000172392 0.000158744 0.00325091 0.00300139 26 341 10 6.55708e+06 48220 477104. 1650.88 0.58 0.0213417 0.017943 21022 109990 -1 308 11 131 145 7970 2470 1.58811 1.58811 -22.2734 -1.58811 0 0 585099. 2024.56 0.17 0.01 0.10 -1 -1 0.17 0.00523909 0.004569 25 14 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_009bits.v common 3.13 vpr 61.11 MiB -1 -1 0.14 17116 4 0.05 -1 -1 31968 -1 -1 4 19 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62576 19 10 60 70 1 48 33 17 17 289 -1 unnamed_device 22.4 MiB 0.02 138 1593 371 925 297 61.1 MiB 0.02 0.00 1.58811 -24.9956 -1.58811 1.58811 0.66 0.00018571 0.000171683 0.00622386 0.00574361 30 399 18 6.55708e+06 48220 526063. 1820.29 0.66 0.0278424 0.0236006 21886 126133 -1 284 8 179 216 8061 2693 1.50711 1.50711 -23.7908 -1.50711 0 0 666494. 2306.21 0.19 0.01 0.11 -1 -1 0.19 0.00473893 0.0041935 29 13 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_010bits.v common 4.03 vpr 60.91 MiB -1 -1 0.16 17260 4 0.06 -1 -1 31804 -1 -1 5 21 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62372 21 11 69 80 1 54 37 17 17 289 -1 unnamed_device 22.4 MiB 0.06 171 2965 791 1478 696 60.9 MiB 0.02 0.00 1.74751 -28.6395 -1.74751 1.74751 0.67 0.000207694 0.000192004 0.0107634 0.00994613 22 811 29 6.55708e+06 60275 420624. 1455.45 1.56 0.0517123 0.0436832 20158 92377 -1 540 10 251 342 20280 6103 1.62731 1.62731 -31.7476 -1.62731 0 0 500653. 1732.36 0.15 0.02 0.09 -1 -1 0.15 0.00574609 0.00502617 33 17 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.14 vpr 60.95 MiB -1 -1 0.16 17272 5 0.06 -1 -1 31920 -1 -1 6 23 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62412 23 12 76 88 1 61 41 17 17 289 -1 unnamed_device 22.4 MiB 0.02 238 4031 1480 1575 976 60.9 MiB 0.03 0.00 2.07857 -33.1668 -2.07857 2.07857 0.71 0.000224038 0.00020653 0.0136882 0.0126411 28 584 15 6.55708e+06 72330 500653. 1732.36 0.63 0.0387169 0.0335188 21310 115450 -1 529 13 230 289 18701 4954 1.7455 1.7455 -33.8966 -1.7455 0 0 612192. 2118.31 0.18 0.02 0.10 -1 -1 0.18 0.00707647 0.00615885 37 19 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.05 vpr 60.94 MiB -1 -1 0.17 17472 5 0.06 -1 -1 31972 -1 -1 6 25 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62400 25 13 83 96 1 66 44 17 17 289 -1 unnamed_device 22.5 MiB 0.02 377 3894 1439 2026 429 60.9 MiB 0.03 0.00 1.80097 -39.3305 -1.80097 1.80097 0.67 0.000245713 0.000227127 0.0131117 0.0121218 26 788 14 6.55708e+06 72330 477104. 1650.88 0.61 0.0394861 0.0342105 21022 109990 -1 751 16 314 450 35118 8247 1.77504 1.77504 -42.0175 -1.77504 0 0 585099. 2024.56 0.17 0.02 0.10 -1 -1 0.17 0.00872282 0.0075648 40 21 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_013bits.v common 3.30 vpr 61.05 MiB -1 -1 0.12 17516 5 0.06 -1 -1 31788 -1 -1 7 27 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62520 27 14 91 105 1 70 48 17 17 289 -1 unnamed_device 22.5 MiB 0.02 268 2832 643 1749 440 61.1 MiB 0.02 0.00 1.74751 -36.363 -1.74751 1.74751 0.74 0.000271359 0.000251479 0.00969306 0.00898067 26 762 34 6.55708e+06 84385 477104. 1650.88 0.71 0.0479897 0.0409888 21022 109990 -1 661 16 290 427 42857 13493 1.53665 1.53665 -38.6649 -1.53665 0 0 585099. 2024.56 0.17 0.03 0.10 -1 -1 0.17 0.00953989 0.00824962 42 24 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_014bits.v common 3.13 vpr 61.13 MiB -1 -1 0.16 17524 6 0.06 -1 -1 32148 -1 -1 7 29 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62600 29 15 95 110 1 74 51 17 17 289 -1 unnamed_device 22.6 MiB 0.04 461 5503 1446 3252 805 61.1 MiB 0.04 0.00 2.47436 -49.4238 -2.47436 2.47436 0.66 0.000279667 0.000258883 0.0172866 0.0160272 26 940 26 6.55708e+06 84385 477104. 1650.88 0.64 0.0530306 0.0459924 21022 109990 -1 852 12 295 406 27209 6503 2.23396 2.23396 -49.7314 -2.23396 0 0 585099. 2024.56 0.17 0.02 0.10 -1 -1 0.17 0.00812706 0.00709187 45 23 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_015bits.v common 3.93 vpr 61.00 MiB -1 -1 0.14 17484 6 0.06 -1 -1 31924 -1 -1 10 31 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62468 31 16 104 120 1 81 57 17 17 289 -1 unnamed_device 22.5 MiB 0.04 437 6052 2221 2945 886 61.0 MiB 0.04 0.00 1.9467 -48.0703 -1.9467 1.9467 0.66 0.000308224 0.000285345 0.0182087 0.0168722 26 997 14 6.55708e+06 120550 477104. 1650.88 1.43 0.0891978 0.0760177 21022 109990 -1 875 12 357 519 35201 8911 1.97424 1.97424 -51.2253 -1.97424 0 0 585099. 2024.56 0.17 0.02 0.10 -1 -1 0.17 0.00888323 0.00774253 50 27 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.29 vpr 61.07 MiB -1 -1 0.17 17428 7 0.06 -1 -1 32056 -1 -1 7 33 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62536 33 17 112 129 1 86 57 17 17 289 -1 unnamed_device 22.6 MiB 0.06 291 5943 1850 2720 1373 61.1 MiB 0.04 0.00 2.81093 -55.3708 -2.81093 2.81093 0.65 0.000327812 0.000304276 0.0189577 0.0175892 26 985 22 6.55708e+06 84385 477104. 1650.88 0.77 0.0592365 0.0515228 21022 109990 -1 764 13 340 442 30767 11058 2.5809 2.5809 -57.4402 -2.5809 0 0 585099. 2024.56 0.17 0.03 0.10 -1 -1 0.17 0.009848 0.00859524 52 30 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_018bits.v common 3.30 vpr 61.16 MiB -1 -1 0.18 17464 7 0.06 -1 -1 32068 -1 -1 10 37 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62628 37 19 127 146 1 99 66 17 17 289 -1 unnamed_device 22.5 MiB 0.03 520 5519 1325 3449 745 61.2 MiB 0.04 0.00 3.12482 -68.231 -3.12482 3.12482 0.66 0.000366828 0.000340613 0.0162433 0.0150584 28 1061 11 6.55708e+06 120550 500653. 1732.36 0.65 0.0541799 0.0472377 21310 115450 -1 962 9 299 403 23764 6046 2.79176 2.79176 -68.6483 -2.79176 0 0 612192. 2118.31 0.18 0.03 0.10 -1 -1 0.18 0.0101929 0.00901767 59 35 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_020bits.v common 3.22 vpr 61.16 MiB -1 -1 0.17 17408 8 0.06 -1 -1 32016 -1 -1 11 41 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62632 41 21 139 160 1 110 73 17 17 289 -1 unnamed_device 22.5 MiB 0.05 468 9497 2136 5919 1442 61.2 MiB 0.06 0.00 3.11516 -75.6589 -3.11516 3.11516 0.65 0.000398342 0.000369999 0.0261698 0.0243142 26 1200 13 6.55708e+06 132605 477104. 1650.88 0.68 0.0685837 0.0604621 21022 109990 -1 1055 11 406 541 31085 8462 2.9023 2.9023 -77.3553 -2.9023 0 0 585099. 2024.56 0.17 0.03 0.10 -1 -1 0.17 0.0106512 0.00938817 67 37 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_022bits.v common 4.89 vpr 61.29 MiB -1 -1 0.18 17472 9 0.07 -1 -1 31956 -1 -1 13 45 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62760 45 23 153 176 1 123 81 17 17 289 -1 unnamed_device 22.5 MiB 0.21 636 10406 4261 6108 37 61.3 MiB 0.06 0.00 3.15236 -84.0115 -3.15236 3.15236 0.66 0.000433887 0.000402031 0.0271458 0.0251881 30 1243 13 6.55708e+06 156715 526063. 1820.29 2.09 0.137701 0.119279 21886 126133 -1 1121 13 401 514 24209 6555 3.0597 3.0597 -84.1453 -3.0597 0 0 666494. 2306.21 0.19 0.03 0.11 -1 -1 0.19 0.0126038 0.0110916 74 41 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_024bits.v common 4.96 vpr 61.43 MiB -1 -1 0.19 17552 10 0.07 -1 -1 31968 -1 -1 12 49 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62904 49 25 166 191 1 129 86 17 17 289 -1 unnamed_device 22.7 MiB 0.09 684 7457 1697 4897 863 61.4 MiB 0.05 0.00 3.70816 -98.1534 -3.70816 3.70816 0.65 0.000469331 0.000436345 0.0199461 0.0185434 28 1493 34 6.55708e+06 144660 500653. 1732.36 2.28 0.135027 0.1168 21310 115450 -1 1272 12 423 577 35128 9092 3.58796 3.58796 -99.0644 -3.58796 0 0 612192. 2118.31 0.18 0.03 0.10 -1 -1 0.18 0.0133462 0.0118212 79 44 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_028bits.v common 4.88 vpr 61.52 MiB -1 -1 0.16 17464 11 0.08 -1 -1 32180 -1 -1 14 57 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62992 57 29 198 227 1 159 100 17 17 289 -1 unnamed_device 23.0 MiB 0.18 886 14020 4097 8043 1880 61.5 MiB 0.08 0.00 4.12928 -123.627 -4.12928 4.12928 0.66 0.000569495 0.00052983 0.0360377 0.0335206 28 1846 13 6.55708e+06 168770 500653. 1732.36 2.10 0.162815 0.142082 21310 115450 -1 1677 11 546 710 49330 11707 3.87722 3.87722 -125.497 -3.87722 0 0 612192. 2118.31 0.18 0.04 0.10 -1 -1 0.18 0.0155799 0.013858 93 56 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_032bits.v common 3.79 vpr 61.71 MiB -1 -1 0.21 17756 13 0.08 -1 -1 32116 -1 -1 16 65 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63188 65 33 224 257 1 180 114 17 17 289 -1 unnamed_device 23.1 MiB 0.22 826 13362 2721 10353 288 61.7 MiB 0.08 0.00 4.35602 -142.897 -4.35602 4.35602 0.65 0.000640239 0.000596542 0.032983 0.0307208 30 1923 15 6.55708e+06 192880 526063. 1820.29 0.88 0.103373 0.0916773 21886 126133 -1 1661 11 677 907 51084 13514 4.02296 4.02296 -140.256 -4.02296 0 0 666494. 2306.21 0.19 0.04 0.11 -1 -1 0.19 0.0164996 0.0146991 107 62 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_048bits.v common 6.46 vpr 62.64 MiB -1 -1 0.26 18136 19 0.10 -1 -1 32292 -1 -1 24 97 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64144 97 49 340 389 1 266 170 17 17 289 -1 unnamed_device 23.5 MiB 0.21 1319 34480 11756 18994 3730 62.6 MiB 0.17 0.00 7.55025 -291.095 -7.55025 7.55025 0.66 0.000977538 0.000912289 0.0748882 0.0698031 36 2772 30 6.55708e+06 289320 612192. 2118.31 3.26 0.332618 0.296208 22750 144809 -1 2396 20 939 1325 112348 38851 6.97679 6.97679 -283.59 -6.97679 0 0 782063. 2706.10 0.21 0.08 0.13 -1 -1 0.21 0.0382111 0.0340511 161 98 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_064bits.v common 5.00 vpr 63.37 MiB -1 -1 0.29 18284 26 0.10 -1 -1 32564 -1 -1 35 129 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64892 129 65 453 518 1 353 229 17 17 289 -1 unnamed_device 24.3 MiB 0.18 1825 50629 14926 30325 5378 63.4 MiB 0.24 0.00 10.2543 -489.043 -10.2543 10.2543 0.66 0.00132504 0.00123931 0.0996393 0.0931935 36 3634 13 6.55708e+06 421925 612192. 2118.31 1.66 0.352251 0.318118 22750 144809 -1 3317 12 1246 1770 111506 27954 9.31476 9.31476 -453.748 -9.31476 0 0 782063. 2706.10 0.21 0.08 0.13 -1 -1 0.21 0.0355162 0.0321623 213 131 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 0.56 abc 29.24 MiB -1 -1 0.14 17208 1 0.02 -1 -1 29944 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22848 9 5 30 31 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.56 abc 29.38 MiB -1 -1 0.11 17212 1 0.02 -1 -1 30084 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23212 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.54 abc 29.30 MiB -1 -1 0.13 17256 1 0.03 -1 -1 30008 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23236 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.59 abc 29.38 MiB -1 -1 0.14 17256 1 0.02 -1 -1 30084 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22880 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.56 abc 29.37 MiB -1 -1 0.15 17124 1 0.02 -1 -1 30076 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23256 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.72 abc 29.29 MiB -1 -1 0.15 17228 1 0.03 -1 -1 29992 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22924 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.57 abc 29.33 MiB -1 -1 0.15 17228 1 0.03 -1 -1 30036 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23016 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.55 abc 29.37 MiB -1 -1 0.14 17056 1 0.02 -1 -1 30076 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23104 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.61 abc 29.31 MiB -1 -1 0.16 17212 1 0.02 -1 -1 30012 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23048 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.50 abc 29.54 MiB -1 -1 0.16 17304 1 0.02 -1 -1 30252 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23076 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.53 abc 29.37 MiB -1 -1 0.15 17292 1 0.02 -1 -1 30072 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23160 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.59 abc 29.30 MiB -1 -1 0.14 17224 1 0.03 -1 -1 30000 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23064 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.57 abc 29.26 MiB -1 -1 0.17 17484 1 0.03 -1 -1 29964 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23044 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.50 abc 29.45 MiB -1 -1 0.14 17468 1 0.02 -1 -1 30152 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23228 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.56 abc 29.38 MiB -1 -1 0.14 17516 1 0.03 -1 -1 30088 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23304 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.61 abc 29.27 MiB -1 -1 0.17 17408 1 0.03 -1 -1 29968 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23272 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.60 abc 29.45 MiB -1 -1 0.16 17440 1 0.03 -1 -1 30152 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23312 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.58 abc 29.36 MiB -1 -1 0.15 17364 1 0.03 -1 -1 30060 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23572 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.62 abc 29.35 MiB -1 -1 0.17 17468 1 0.03 -1 -1 30052 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23328 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.50 abc 29.47 MiB -1 -1 0.12 17572 1 0.03 -1 -1 30176 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23700 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.68 abc 29.56 MiB -1 -1 0.20 17916 1 0.03 -1 -1 30272 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23988 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 0.48 abc 29.23 MiB -1 -1 0.10 17228 1 0.02 -1 -1 29928 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22800 9 5 30 31 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.54 abc 29.25 MiB -1 -1 0.14 17220 1 0.02 -1 -1 29952 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22588 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.57 abc 29.28 MiB -1 -1 0.13 17148 1 0.02 -1 -1 29984 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22676 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.54 abc 29.30 MiB -1 -1 0.17 17192 1 0.02 -1 -1 30008 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22740 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.56 abc 29.44 MiB -1 -1 0.15 17124 1 0.02 -1 -1 30148 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22664 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.55 abc 29.33 MiB -1 -1 0.15 17256 1 0.02 -1 -1 30032 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22620 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.56 abc 29.45 MiB -1 -1 0.14 17268 1 0.02 -1 -1 30156 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22640 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.49 abc 29.52 MiB -1 -1 0.07 17312 1 0.02 -1 -1 30232 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22884 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.59 abc 29.30 MiB -1 -1 0.16 17268 1 0.02 -1 -1 30000 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22736 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.49 abc 29.35 MiB -1 -1 0.12 17312 1 0.02 -1 -1 30052 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22704 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.58 abc 29.40 MiB -1 -1 0.16 17208 1 0.02 -1 -1 30104 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22772 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.58 abc 29.38 MiB -1 -1 0.14 17612 1 0.02 -1 -1 30088 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22948 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.47 abc 29.18 MiB -1 -1 0.15 17276 1 0.02 -1 -1 29880 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22728 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.57 abc 29.36 MiB -1 -1 0.14 17456 1 0.03 -1 -1 30064 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22852 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.58 abc 29.39 MiB -1 -1 0.16 17524 1 0.03 -1 -1 30100 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22860 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.54 abc 29.34 MiB -1 -1 0.15 17312 1 0.03 -1 -1 30044 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22936 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.60 abc 29.52 MiB -1 -1 0.16 17260 1 0.02 -1 -1 30228 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23004 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.57 abc 29.36 MiB -1 -1 0.16 17356 1 0.02 -1 -1 30064 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23028 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.63 abc 29.34 MiB -1 -1 0.16 17376 1 0.02 -1 -1 30040 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23240 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.71 abc 29.41 MiB -1 -1 0.18 17680 1 0.03 -1 -1 30120 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23440 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.64 abc 29.86 MiB -1 -1 0.20 17752 1 0.03 -1 -1 30572 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23736 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 3.80 vpr 61.16 MiB -1 -1 0.15 17284 1 0.02 -1 -1 29968 -1 -1 2 9 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62628 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 22.5 MiB 0.01 43 476 113 309 54 61.2 MiB 0.01 0.00 0.792048 -9.40096 -0.792048 0.792048 0.66 8.7514e-05 7.9344e-05 0.00236896 0.00214809 20 127 14 6.64007e+06 25116 394039. 1363.46 1.51 0.0133243 0.0111289 20530 87850 -1 129 9 85 85 5128 1673 0.901248 0.901248 -10.0628 -0.901248 0 0 477104. 1650.88 0.16 0.01 0.05 -1 -1 0.16 0.00289847 0.00258414 13 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 2.98 vpr 61.02 MiB -1 -1 0.15 17248 1 0.02 -1 -1 29876 -1 -1 2 11 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62480 11 6 41 42 1 26 19 17 17 289 -1 unnamed_device 22.4 MiB 0.01 57 869 279 533 57 61.0 MiB 0.01 0.00 0.803048 -11.5224 -0.803048 0.803048 0.66 0.00010455 9.5432e-05 0.00399114 0.0036423 26 182 43 6.64007e+06 25116 477104. 1650.88 0.59 0.0206078 0.0172257 21682 110474 -1 164 18 158 158 7451 2508 0.923248 0.923248 -12.587 -0.923248 0 0 585099. 2024.56 0.21 0.01 0.10 -1 -1 0.21 0.00462477 0.00398154 16 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 3.00 vpr 61.17 MiB -1 -1 0.13 17144 1 0.02 -1 -1 30000 -1 -1 4 13 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62640 13 7 48 49 1 32 24 17 17 289 -1 unnamed_device 22.5 MiB 0.01 117 1010 285 572 153 61.2 MiB 0.01 0.00 0.825048 -14.462 -0.825048 0.825048 0.66 0.000118471 0.000108009 0.00392714 0.00358242 26 316 12 6.64007e+06 50232 477104. 1650.88 0.58 0.0175211 0.0147768 21682 110474 -1 281 13 146 146 9424 2462 1.05445 1.05445 -17.1111 -1.05445 0 0 585099. 2024.56 0.17 0.01 0.13 -1 -1 0.17 0.00429923 0.00374286 20 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 2.99 vpr 61.23 MiB -1 -1 0.14 17292 1 0.02 -1 -1 30004 -1 -1 3 15 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62700 15 8 55 56 1 38 26 17 17 289 -1 unnamed_device 22.5 MiB 0.02 110 1508 446 794 268 61.2 MiB 0.01 0.00 1.18536 -16.9991 -1.18536 1.18536 0.66 0.000140568 0.000128858 0.00601152 0.00552509 26 285 13 6.64007e+06 37674 477104. 1650.88 0.63 0.0217539 0.0185572 21682 110474 -1 258 15 160 160 10027 2964 1.09645 1.09645 -19.1204 -1.09645 0 0 585099. 2024.56 0.17 0.01 0.10 -1 -1 0.17 0.00522504 0.00451674 22 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 3.12 vpr 61.25 MiB -1 -1 0.14 17264 1 0.02 -1 -1 29992 -1 -1 4 17 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62716 17 9 62 63 1 41 30 17 17 289 -1 unnamed_device 22.6 MiB 0.02 120 1870 496 982 392 61.2 MiB 0.02 0.00 1.19636 -19.4451 -1.19636 1.19636 0.66 0.000157592 0.000145272 0.00695102 0.00639888 32 282 10 6.64007e+06 50232 554710. 1919.41 0.66 0.0236195 0.0202305 22834 132086 -1 266 11 163 163 7509 2385 0.987248 0.987248 -20.6018 -0.987248 0 0 701300. 2426.64 0.20 0.01 0.14 -1 -1 0.20 0.00477563 0.00418139 25 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 2.91 vpr 61.45 MiB -1 -1 0.12 17204 1 0.02 -1 -1 30068 -1 -1 4 19 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62920 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 23.0 MiB 0.02 130 2165 691 1177 297 61.4 MiB 0.02 0.00 1.20736 -22.2309 -1.20736 1.20736 0.66 0.000174873 0.00016127 0.00782606 0.00722942 30 316 17 6.64007e+06 50232 526063. 1820.29 0.64 0.0282901 0.0242219 22546 126617 -1 254 13 166 166 8480 2498 0.943248 0.943248 -21.1902 -0.943248 0 0 666494. 2306.21 0.19 0.02 0.12 -1 -1 0.19 0.00568189 0.00493208 28 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 3.07 vpr 61.39 MiB -1 -1 0.12 17240 1 0.03 -1 -1 30136 -1 -1 5 21 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62868 21 11 76 77 1 49 37 17 17 289 -1 unnamed_device 22.9 MiB 0.03 165 2599 732 1310 557 61.4 MiB 0.02 0.00 1.21836 -25.3249 -1.21836 1.21836 0.69 0.000193189 0.000178691 0.00881087 0.00813973 26 421 16 6.64007e+06 62790 477104. 1650.88 0.60 0.0307987 0.0263916 21682 110474 -1 398 14 218 218 16639 4369 1.10745 1.10745 -27.6146 -1.10745 0 0 585099. 2024.56 0.17 0.02 0.10 -1 -1 0.17 0.00642337 0.00555372 31 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.09 vpr 61.24 MiB -1 -1 0.10 17368 1 0.02 -1 -1 30052 -1 -1 5 23 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62712 23 12 83 84 1 55 40 17 17 289 -1 unnamed_device 22.7 MiB 0.03 164 2828 891 1242 695 61.2 MiB 0.02 0.00 1.22936 -27.5958 -1.22936 1.22936 0.66 0.000210126 0.000194466 0.00937181 0.00868417 30 486 22 6.64007e+06 62790 526063. 1820.29 0.67 0.0349793 0.0299751 22546 126617 -1 367 11 239 239 12208 3632 1.12945 1.12945 -28.0041 -1.12945 0 0 666494. 2306.21 0.19 0.02 0.11 -1 -1 0.19 0.00589239 0.00513321 34 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.12 vpr 61.24 MiB -1 -1 0.12 17196 1 0.02 -1 -1 30092 -1 -1 5 25 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62708 25 13 90 91 1 61 43 17 17 289 -1 unnamed_device 22.8 MiB 0.05 222 3193 974 1551 668 61.2 MiB 0.02 0.00 1.24036 -30.5145 -1.24036 1.24036 0.66 0.000206241 0.000189613 0.0101926 0.00944232 32 527 13 6.64007e+06 62790 554710. 1919.41 0.68 0.0366008 0.0318265 22834 132086 -1 447 16 229 229 13941 3724 1.00925 1.00925 -30.3671 -1.00925 0 0 701300. 2426.64 0.20 0.02 0.12 -1 -1 0.20 0.00782296 0.00672908 37 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 3.22 vpr 61.45 MiB -1 -1 0.14 17216 1 0.02 -1 -1 30064 -1 -1 6 27 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62920 27 14 97 98 1 67 47 17 17 289 -1 unnamed_device 23.0 MiB 0.03 213 3743 1348 1918 477 61.4 MiB 0.03 0.00 1.25136 -33.0163 -1.25136 1.25136 0.72 0.000237901 0.000220345 0.0112493 0.0104016 30 584 22 6.64007e+06 75348 526063. 1820.29 0.68 0.0400304 0.0344975 22546 126617 -1 463 18 358 358 22591 6437 0.934248 0.934248 -31.8611 -0.934248 0 0 666494. 2306.21 0.19 0.03 0.11 -1 -1 0.19 0.0112772 0.00977003 40 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 3.16 vpr 61.38 MiB -1 -1 0.14 17212 1 0.02 -1 -1 29984 -1 -1 7 29 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62852 29 15 104 105 1 73 51 17 17 289 -1 unnamed_device 22.8 MiB 0.02 274 4093 1352 1973 768 61.4 MiB 0.03 0.00 1.26236 -36.4784 -1.26236 1.26236 0.66 0.000251147 0.000232594 0.0117031 0.0108389 28 654 15 6.64007e+06 87906 500653. 1732.36 0.72 0.0405765 0.0351595 21970 115934 -1 549 10 281 281 17248 4733 1.04225 1.04225 -36.7874 -1.04225 0 0 612192. 2118.31 0.18 0.02 0.11 -1 -1 0.18 0.00651327 0.00572868 44 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 3.20 vpr 61.29 MiB -1 -1 0.15 17164 1 0.02 -1 -1 30276 -1 -1 7 31 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62756 31 16 111 112 1 79 54 17 17 289 -1 unnamed_device 22.8 MiB 0.03 288 4848 1950 2799 99 61.3 MiB 0.03 0.00 1.62267 -39.6749 -1.62267 1.62267 0.65 0.000271475 0.000251522 0.0137149 0.0127063 32 617 15 6.64007e+06 87906 554710. 1919.41 0.70 0.0433545 0.037688 22834 132086 -1 575 13 332 332 22282 5973 1.08425 1.08425 -39.233 -1.08425 0 0 701300. 2426.64 0.20 0.02 0.12 -1 -1 0.20 0.0081444 0.00711377 46 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.18 vpr 61.52 MiB -1 -1 0.11 17260 1 0.02 -1 -1 30136 -1 -1 7 33 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62992 33 17 118 119 1 82 57 17 17 289 -1 unnamed_device 23.0 MiB 0.03 322 6597 2432 2696 1469 61.5 MiB 0.04 0.00 1.63367 -43.7768 -1.63367 1.63367 0.66 0.000292255 0.000270622 0.018541 0.017196 32 754 18 6.64007e+06 87906 554710. 1919.41 0.71 0.0556481 0.0485561 22834 132086 -1 622 14 383 383 25542 6916 1.09525 1.09525 -42.2648 -1.09525 0 0 701300. 2426.64 0.20 0.02 0.12 -1 -1 0.20 0.0090182 0.00784311 49 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 3.22 vpr 61.57 MiB -1 -1 0.16 17496 1 0.02 -1 -1 30072 -1 -1 8 37 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63048 37 19 132 133 1 90 64 17 17 289 -1 unnamed_device 23.0 MiB 0.04 339 6795 2758 3901 136 61.6 MiB 0.05 0.00 1.66184 -49.3449 -1.66184 1.66184 0.66 0.000328879 0.000305453 0.0186569 0.0173502 30 877 21 6.64007e+06 100464 526063. 1820.29 0.72 0.0534963 0.046625 22546 126617 -1 725 16 455 455 38637 11330 1.11845 1.11845 -47.0517 -1.11845 0 0 666494. 2306.21 0.19 0.03 0.11 -1 -1 0.19 0.0110638 0.00958809 55 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 3.29 vpr 61.68 MiB -1 -1 0.16 17352 1 0.03 -1 -1 30360 -1 -1 8 41 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63160 41 21 146 147 1 102 70 17 17 289 -1 unnamed_device 23.0 MiB 0.04 391 7990 3267 4602 121 61.7 MiB 0.05 0.00 1.67767 -55.9871 -1.67767 1.67767 0.65 0.000358075 0.000332611 0.0210013 0.0195326 30 1076 48 6.64007e+06 100464 526063. 1820.29 0.77 0.0781432 0.0678559 22546 126617 -1 826 17 556 556 45036 11307 1.25945 1.25945 -54.9829 -1.25945 0 0 666494. 2306.21 0.19 0.03 0.11 -1 -1 0.19 0.0125554 0.0108745 61 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 3.36 vpr 61.55 MiB -1 -1 0.17 17408 1 0.02 -1 -1 30468 -1 -1 10 45 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63024 45 23 160 161 1 114 78 17 17 289 -1 unnamed_device 22.9 MiB 0.03 547 9208 3773 5318 117 61.5 MiB 0.06 0.00 1.69967 -63.665 -1.69967 1.69967 0.66 0.000390372 0.000363778 0.0228801 0.0212812 32 1215 20 6.64007e+06 125580 554710. 1919.41 0.75 0.068449 0.0601539 22834 132086 -1 988 17 576 576 45950 11224 1.17345 1.17345 -60.6848 -1.17345 0 0 701300. 2426.64 0.20 0.04 0.12 -1 -1 0.20 0.0135273 0.0117909 68 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 3.30 vpr 61.69 MiB -1 -1 0.16 17496 1 0.02 -1 -1 30488 -1 -1 10 49 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63172 49 25 174 175 1 123 84 17 17 289 -1 unnamed_device 23.0 MiB 0.04 529 5574 1023 4232 319 61.7 MiB 0.04 0.00 2.07098 -70.8466 -2.07098 2.07098 0.66 0.000421093 0.000392253 0.0140061 0.0130568 30 1206 16 6.64007e+06 125580 526063. 1820.29 0.75 0.0609755 0.053322 22546 126617 -1 1033 12 520 520 32652 8716 1.31245 1.31245 -69.5843 -1.31245 0 0 666494. 2306.21 0.19 0.03 0.11 -1 -1 0.19 0.011216 0.00987288 73 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 3.41 vpr 62.00 MiB -1 -1 0.13 17528 1 0.02 -1 -1 30020 -1 -1 11 57 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63488 57 29 202 203 1 143 97 17 17 289 -1 unnamed_device 23.3 MiB 0.04 688 14749 3296 10627 826 62.0 MiB 0.08 0.00 2.11498 -86.7749 -2.11498 2.11498 0.68 0.000485921 0.00045307 0.0336544 0.0313608 32 1653 15 6.64007e+06 138138 554710. 1919.41 0.76 0.0862939 0.0768333 22834 132086 -1 1374 14 675 675 48554 12572 1.43265 1.43265 -84.9238 -1.43265 0 0 701300. 2426.64 0.20 0.04 0.12 -1 -1 0.20 0.0143687 0.0126195 85 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 3.52 vpr 62.02 MiB -1 -1 0.16 17364 1 0.03 -1 -1 30016 -1 -1 13 65 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63508 65 33 230 231 1 164 111 17 17 289 -1 unnamed_device 23.4 MiB 0.03 791 18731 4146 13545 1040 62.0 MiB 0.10 0.00 2.50829 -102.158 -2.50829 2.50829 0.66 0.000568801 0.000530582 0.0416036 0.0388114 32 1849 21 6.64007e+06 163254 554710. 1919.41 0.82 0.107446 0.0958091 22834 132086 -1 1541 21 798 798 73275 21259 1.30145 1.30145 -92.1899 -1.30145 0 0 701300. 2426.64 0.20 0.06 0.12 -1 -1 0.20 0.022426 0.0196464 97 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 3.77 vpr 62.88 MiB -1 -1 0.19 17720 1 0.03 -1 -1 30268 -1 -1 19 97 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64384 97 49 342 343 1 246 165 17 17 289 -1 unnamed_device 24.0 MiB 0.06 1480 31353 9242 19771 2340 62.9 MiB 0.18 0.00 3.38291 -181.53 -3.38291 3.38291 0.66 0.000869775 0.000815118 0.0622449 0.0583122 32 3008 21 6.64007e+06 238602 554710. 1919.41 0.89 0.16522 0.149537 22834 132086 -1 2560 16 1013 1013 92739 21618 1.53945 1.53945 -150.326 -1.53945 0 0 701300. 2426.64 0.21 0.08 0.12 -1 -1 0.21 0.0315009 0.028471 145 2 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 4.23 vpr 63.48 MiB -1 -1 0.21 17928 1 0.03 -1 -1 30708 -1 -1 25 129 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65000 129 65 454 455 1 328 219 17 17 289 -1 unnamed_device 24.3 MiB 0.08 2038 51621 17664 30557 3400 63.5 MiB 0.30 0.01 4.25753 -269.429 -4.25753 4.25753 0.66 0.00121076 0.00113937 0.0979391 0.0921344 32 3930 16 6.64007e+06 313950 554710. 1919.41 1.00 0.232884 0.213016 22834 132086 -1 3494 21 1388 1388 126838 29064 1.83045 1.83045 -210.947 -1.83045 0 0 701300. 2426.64 0.20 0.11 0.12 -1 -1 0.20 0.0468537 0.0421696 193 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 2.77 vpr 60.86 MiB -1 -1 0.08 17284 1 0.02 -1 -1 29916 -1 -1 2 9 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62324 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 22.2 MiB 0.01 41 456 116 304 36 60.9 MiB 0.01 0.00 0.781048 -9.22036 -0.781048 0.781048 0.66 0.000104203 9.5767e-05 0.00233402 0.00212179 26 126 10 6.65987e+06 25356 477104. 1650.88 0.50 0.00819264 0.00695085 21682 110474 -1 107 6 56 56 2239 782 0.71851 0.71851 -8.88158 -0.71851 0 0 585099. 2024.56 0.17 0.01 0.10 -1 -1 0.17 0.00252282 0.00228879 13 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 2.90 vpr 61.00 MiB -1 -1 0.15 17288 1 0.02 -1 -1 29916 -1 -1 2 11 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62460 11 6 41 42 1 26 19 17 17 289 -1 unnamed_device 22.3 MiB 0.01 72 1094 334 508 252 61.0 MiB 0.01 0.00 0.803048 -11.753 -0.803048 0.803048 0.66 0.000105682 9.6175e-05 0.00493217 0.00449786 26 213 10 6.65987e+06 25356 477104. 1650.88 0.57 0.0165703 0.014106 21682 110474 -1 158 11 121 121 5746 1754 0.912248 0.912248 -12.0702 -0.912248 0 0 585099. 2024.56 0.17 0.01 0.10 -1 -1 0.17 0.00360333 0.00316902 16 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 2.99 vpr 61.24 MiB -1 -1 0.17 16984 1 0.02 -1 -1 30068 -1 -1 4 13 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62708 13 7 48 49 1 32 24 17 17 289 -1 unnamed_device 22.5 MiB 0.01 113 670 139 437 94 61.2 MiB 0.01 0.00 0.825048 -14.1032 -0.825048 0.825048 0.66 0.000121874 0.000111722 0.00280615 0.0025762 26 293 16 6.65987e+06 50712 477104. 1650.88 0.59 0.0174431 0.014634 21682 110474 -1 283 18 187 187 18934 4701 1.06545 1.06545 -17.5297 -1.06545 0 0 585099. 2024.56 0.17 0.02 0.10 -1 -1 0.17 0.00532044 0.00456315 20 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 3.01 vpr 60.85 MiB -1 -1 0.15 17228 1 0.02 -1 -1 30000 -1 -1 3 15 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62308 15 8 55 56 1 38 26 17 17 289 -1 unnamed_device 22.2 MiB 0.01 111 1508 461 714 333 60.8 MiB 0.01 0.00 1.18536 -17.1757 -1.18536 1.18536 0.66 0.000139613 0.000128169 0.00599238 0.00550345 28 296 13 6.65987e+06 38034 500653. 1732.36 0.61 0.0216038 0.0184157 21970 115934 -1 262 9 136 136 8292 2563 1.08545 1.08545 -18.9312 -1.08545 0 0 612192. 2118.31 0.18 0.01 0.11 -1 -1 0.18 0.00402982 0.00356521 22 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 3.09 vpr 61.07 MiB -1 -1 0.15 17224 1 0.02 -1 -1 30180 -1 -1 4 17 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62540 17 9 62 63 1 41 30 17 17 289 -1 unnamed_device 22.6 MiB 0.01 119 1594 461 883 250 61.1 MiB 0.02 0.00 1.19636 -19.4942 -1.19636 1.19636 0.66 0.000159612 0.000146961 0.00596969 0.00549907 32 330 16 6.65987e+06 50712 554710. 1919.41 0.67 0.0240947 0.0205144 22834 132086 -1 281 13 133 133 8022 2338 1.09645 1.09645 -21.4834 -1.09645 0 0 701300. 2426.64 0.20 0.01 0.13 -1 -1 0.20 0.00530799 0.00462174 25 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 3.09 vpr 61.21 MiB -1 -1 0.15 17180 1 0.02 -1 -1 29948 -1 -1 4 19 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62676 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 22.7 MiB 0.01 130 2165 641 1112 412 61.2 MiB 0.02 0.00 1.20736 -22.0911 -1.20736 1.20736 0.66 0.000173899 0.000160531 0.00781201 0.00721594 26 359 15 6.65987e+06 50712 477104. 1650.88 0.64 0.0275906 0.0236264 21682 110474 -1 352 13 197 197 17592 4637 1.21665 1.21665 -25.5322 -1.21665 0 0 585099. 2024.56 0.17 0.02 0.10 -1 -1 0.17 0.00586274 0.00508496 28 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 3.09 vpr 61.23 MiB -1 -1 0.16 17160 1 0.03 -1 -1 30152 -1 -1 5 21 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62704 21 11 76 77 1 49 37 17 17 289 -1 unnamed_device 22.7 MiB 0.02 146 2599 849 1247 503 61.2 MiB 0.02 0.00 1.21836 -24.7702 -1.21836 1.21836 0.67 0.000193897 0.000178638 0.00884964 0.00818584 28 451 15 6.65987e+06 63390 500653. 1732.36 0.63 0.0309412 0.0265705 21970 115934 -1 403 18 284 284 24774 6503 1.14559 1.14559 -26.5125 -1.14559 0 0 612192. 2118.31 0.18 0.02 0.11 -1 -1 0.18 0.00760464 0.00650486 31 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.13 vpr 61.11 MiB -1 -1 0.16 17228 1 0.02 -1 -1 30068 -1 -1 5 23 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62572 23 12 83 84 1 55 40 17 17 289 -1 unnamed_device 22.6 MiB 0.02 164 2624 822 1205 597 61.1 MiB 0.02 0.00 1.22936 -27.5927 -1.22936 1.22936 0.71 0.000212415 0.00019654 0.00879453 0.00814611 28 553 19 6.65987e+06 63390 500653. 1732.36 0.65 0.0335815 0.0287785 21970 115934 -1 441 15 295 295 28098 7446 1.10745 1.10745 -28.9722 -1.10745 0 0 612192. 2118.31 0.18 0.02 0.11 -1 -1 0.18 0.00725138 0.00626258 34 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.20 vpr 61.31 MiB -1 -1 0.15 17200 1 0.02 -1 -1 30076 -1 -1 5 25 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62780 25 13 90 91 1 61 43 17 17 289 -1 unnamed_device 22.8 MiB 0.02 223 3193 975 1461 757 61.3 MiB 0.03 0.00 1.24036 -30.6298 -1.24036 1.24036 0.67 0.000223378 0.000206877 0.0103334 0.00956946 32 562 14 6.65987e+06 63390 554710. 1919.41 0.72 0.0352523 0.030479 22834 132086 -1 455 15 258 258 14799 4178 1.12945 1.12945 -31.8095 -1.12945 0 0 701300. 2426.64 0.20 0.02 0.12 -1 -1 0.20 0.00753138 0.00650194 37 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 3.26 vpr 61.30 MiB -1 -1 0.15 17140 1 0.02 -1 -1 30016 -1 -1 6 27 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62776 27 14 97 98 1 67 47 17 17 289 -1 unnamed_device 22.8 MiB 0.02 203 3743 1266 1895 582 61.3 MiB 0.04 0.00 1.25136 -33.0797 -1.25136 1.25136 0.69 0.000180835 0.000163976 0.0114849 0.010514 28 693 32 6.65987e+06 76068 500653. 1732.36 0.76 0.0446686 0.038274 21970 115934 -1 573 17 425 425 38592 10533 1.17465 1.17465 -34.4327 -1.17465 0 0 612192. 2118.31 0.18 0.03 0.11 -1 -1 0.18 0.00877668 0.00758502 40 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 3.18 vpr 61.19 MiB -1 -1 0.15 17488 1 0.02 -1 -1 30008 -1 -1 7 29 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62656 29 15 104 105 1 73 51 17 17 289 -1 unnamed_device 22.7 MiB 0.02 264 4093 1437 1752 904 61.2 MiB 0.03 0.00 1.26236 -36.2215 -1.26236 1.26236 0.66 0.000253145 0.000234295 0.011807 0.0109381 32 670 15 6.65987e+06 88746 554710. 1919.41 0.69 0.0396472 0.0343721 22834 132086 -1 581 23 359 359 103468 51390 1.17345 1.17345 -38.6162 -1.17345 0 0 701300. 2426.64 0.20 0.05 0.12 -1 -1 0.20 0.011457 0.00981357 44 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 3.29 vpr 61.08 MiB -1 -1 0.16 17596 1 0.02 -1 -1 30368 -1 -1 7 31 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62548 31 16 111 112 1 79 54 17 17 289 -1 unnamed_device 22.6 MiB 0.02 288 4848 1943 2811 94 61.1 MiB 0.03 0.00 1.62267 -39.7289 -1.62267 1.62267 0.66 0.000296047 0.000275111 0.0137689 0.012758 32 700 13 6.65987e+06 88746 554710. 1919.41 0.70 0.042975 0.0373677 22834 132086 -1 588 19 363 363 27152 7268 1.05125 1.05125 -39.1147 -1.05125 0 0 701300. 2426.64 0.20 0.03 0.12 -1 -1 0.20 0.0105454 0.00909287 46 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.25 vpr 61.33 MiB -1 -1 0.15 17480 1 0.02 -1 -1 30064 -1 -1 7 33 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62800 33 17 118 119 1 82 57 17 17 289 -1 unnamed_device 22.7 MiB 0.02 308 6597 2389 2698 1510 61.3 MiB 0.04 0.00 1.63367 -42.5457 -1.63367 1.63367 0.66 0.000296697 0.000275059 0.0184716 0.0171333 32 736 23 6.65987e+06 88746 554710. 1919.41 0.71 0.0510157 0.0445487 22834 132086 -1 635 17 405 405 33264 8740 1.19345 1.19345 -42.9014 -1.19345 0 0 701300. 2426.64 0.20 0.03 0.12 -1 -1 0.20 0.0103838 0.00898409 49 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 3.28 vpr 61.46 MiB -1 -1 0.16 17452 1 0.02 -1 -1 30060 -1 -1 8 37 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62932 37 19 132 133 1 90 64 17 17 289 -1 unnamed_device 22.9 MiB 0.02 338 6795 2757 3910 128 61.5 MiB 0.04 0.00 1.66184 -49.2226 -1.66184 1.66184 0.66 0.000327462 0.000304172 0.0184939 0.0172006 30 841 20 6.65987e+06 101424 526063. 1820.29 0.70 0.0569636 0.0496511 22546 126617 -1 737 14 426 426 36938 10631 1.14045 1.14045 -47.2201 -1.14045 0 0 666494. 2306.21 0.19 0.03 0.11 -1 -1 0.19 0.0102395 0.00889686 55 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 4.87 vpr 61.34 MiB -1 -1 0.16 17428 1 0.03 -1 -1 30360 -1 -1 8 41 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62816 41 21 146 147 1 102 70 17 17 289 -1 unnamed_device 22.7 MiB 0.03 394 7990 3280 4604 106 61.3 MiB 0.05 0.00 1.67767 -56.0732 -1.67767 1.67767 0.66 0.00035967 0.000334382 0.0209516 0.0194941 32 1087 23 6.65987e+06 101424 554710. 1919.41 2.30 0.105571 0.0908996 22834 132086 -1 908 14 558 558 45347 11907 1.25945 1.25945 -56.4254 -1.25945 0 0 701300. 2426.64 0.20 0.03 0.09 -1 -1 0.20 0.0109338 0.00953004 61 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 5.15 vpr 61.45 MiB -1 -1 0.16 17632 1 0.02 -1 -1 30336 -1 -1 10 45 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62924 45 23 160 161 1 114 78 17 17 289 -1 unnamed_device 22.8 MiB 0.03 488 9208 3771 5298 139 61.4 MiB 0.06 0.00 1.69967 -62.9619 -1.69967 1.69967 0.69 0.00039092 0.000363271 0.0226572 0.0210694 36 1124 25 6.65987e+06 126780 612192. 2118.31 2.52 0.126137 0.108853 23410 145293 -1 962 14 514 514 44638 11488 1.23745 1.23745 -61.3906 -1.23745 0 0 782063. 2706.10 0.21 0.04 0.13 -1 -1 0.21 0.0118808 0.0104196 68 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 3.30 vpr 61.90 MiB -1 -1 0.12 17348 1 0.02 -1 -1 30420 -1 -1 10 49 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63384 49 25 174 175 1 123 84 17 17 289 -1 unnamed_device 23.2 MiB 0.06 522 5025 948 3851 226 61.9 MiB 0.04 0.00 2.07098 -69.6207 -2.07098 2.07098 0.66 0.000420978 0.000392155 0.0127708 0.011908 30 1271 23 6.65987e+06 126780 526063. 1820.29 0.79 0.0636972 0.055469 22546 126617 -1 1026 17 511 511 34093 9196 1.56385 1.56385 -74.8887 -1.56385 0 0 666494. 2306.21 0.19 0.04 0.11 -1 -1 0.19 0.0145203 0.012684 73 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 5.06 vpr 61.59 MiB -1 -1 0.17 17468 1 0.03 -1 -1 30012 -1 -1 11 57 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63068 57 29 202 203 1 143 97 17 17 289 -1 unnamed_device 22.9 MiB 0.04 695 10531 2311 7690 530 61.6 MiB 0.07 0.00 2.11498 -86.4875 -2.11498 2.11498 0.65 0.00048302 0.000449442 0.0244451 0.0227725 34 1558 37 6.65987e+06 139458 585099. 2024.56 2.46 0.142403 0.124251 23122 138558 -1 1366 17 580 580 51089 13175 1.36745 1.36745 -83.7116 -1.36745 0 0 742403. 2568.87 0.21 0.04 0.12 -1 -1 0.21 0.0164841 0.0145102 85 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 3.48 vpr 61.76 MiB -1 -1 0.17 17524 1 0.03 -1 -1 30016 -1 -1 13 65 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63244 65 33 230 231 1 164 111 17 17 289 -1 unnamed_device 23.2 MiB 0.03 796 12613 2902 8977 734 61.8 MiB 0.08 0.00 2.50829 -102.591 -2.50829 2.50829 0.68 0.000565242 0.000528385 0.0283548 0.0264917 30 1787 26 6.65987e+06 164814 526063. 1820.29 0.84 0.0999576 0.0884406 22546 126617 -1 1473 14 694 694 50016 13024 1.44759 1.44759 -96.299 -1.44759 0 0 666494. 2306.21 0.19 0.04 0.11 -1 -1 0.19 0.0164922 0.0145668 97 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 3.89 vpr 62.69 MiB -1 -1 0.18 17684 1 0.03 -1 -1 30276 -1 -1 19 97 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64196 97 49 342 343 1 246 165 17 17 289 -1 unnamed_device 23.8 MiB 0.05 1495 31353 9814 19140 2399 62.7 MiB 0.18 0.00 3.38291 -183.11 -3.38291 3.38291 0.65 0.000863126 0.000809109 0.0624506 0.0585064 32 3051 40 6.65987e+06 240882 554710. 1919.41 0.94 0.19035 0.171649 22834 132086 -1 2704 26 1139 1139 155858 42034 1.62545 1.62545 -154.798 -1.62545 0 0 701300. 2426.64 0.20 0.10 0.12 -1 -1 0.20 0.0405798 0.0361239 145 2 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 4.29 vpr 63.19 MiB -1 -1 0.20 17880 1 0.03 -1 -1 30500 -1 -1 25 129 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64708 129 65 454 455 1 328 219 17 17 289 -1 unnamed_device 24.3 MiB 0.08 2040 51621 17960 30273 3388 63.2 MiB 0.30 0.01 4.25753 -270.446 -4.25753 4.25753 0.66 0.00106095 0.0010003 0.0958688 0.0900199 32 4135 45 6.65987e+06 316950 554710. 1919.41 1.09 0.286974 0.260813 22834 132086 -1 3554 15 1373 1373 144561 33087 1.85245 1.85245 -211.327 -1.85245 0 0 701300. 2426.64 0.20 0.10 0.12 -1 -1 0.20 0.0359556 0.0324692 193 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_004bits.v common 2.86 vpr 61.84 MiB -1 -1 0.15 17184 1 0.02 -1 -1 29952 -1 -1 1 9 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63328 9 5 34 35 1 15 15 17 17 289 -1 unnamed_device 23.1 MiB 0.02 31 357 99 212 46 61.8 MiB 0.01 0.00 0.723895 -9.81308 -0.723895 0.723895 0.70 8.7282e-05 7.9147e-05 0.00204764 0.00185217 18 109 13 6.95648e+06 14475.7 376052. 1301.22 0.47 0.0126091 0.0106259 22882 88689 -1 106 10 63 63 4495 1485 0.74674 0.74674 -10.4754 -0.74674 0 0 470940. 1629.55 0.13 0.01 0.08 -1 -1 0.13 0.00303795 0.00270532 7 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_005bits.v common 3.92 vpr 61.95 MiB -1 -1 0.10 17236 1 0.02 -1 -1 29888 -1 -1 1 11 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63436 11 6 41 42 1 20 18 17 17 289 -1 unnamed_device 23.3 MiB 0.03 45 409 82 317 10 61.9 MiB 0.01 0.00 0.723895 -12.1585 -0.723895 0.723895 0.68 0.000104663 9.5475e-05 0.00223257 0.00203559 22 170 8 6.95648e+06 14475.7 443629. 1535.05 1.56 0.0190578 0.0157985 23458 102101 -1 133 9 59 59 3523 1154 0.723895 0.723895 -13.1609 -0.723895 0 0 531479. 1839.03 0.15 0.01 0.09 -1 -1 0.15 0.00347157 0.00310975 8 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_006bits.v common 3.89 vpr 61.98 MiB -1 -1 0.15 17192 1 0.02 -1 -1 30072 -1 -1 2 13 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63464 13 7 48 49 1 25 22 17 17 289 -1 unnamed_device 23.4 MiB 0.03 75 472 115 342 15 62.0 MiB 0.01 0.00 0.905094 -15.1774 -0.905094 0.905094 0.68 0.00012189 0.000111654 0.00233885 0.00214172 20 205 8 6.95648e+06 28951.4 414966. 1435.87 1.38 0.0122566 0.0104883 23170 95770 -1 200 10 84 84 5135 1594 0.87204 0.87204 -16.4471 -0.87204 0 0 503264. 1741.40 0.14 0.01 0.09 -1 -1 0.14 0.00388988 0.00344828 10 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_007bits.v common 3.25 vpr 61.77 MiB -1 -1 0.17 17228 1 0.02 -1 -1 30004 -1 -1 2 15 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63256 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 23.3 MiB 0.03 182 997 285 587 125 61.8 MiB 0.01 0.00 0.852632 -19.1795 -0.852632 0.852632 0.70 0.000138925 0.000127494 0.00432536 0.00398115 24 369 14 6.95648e+06 28951.4 470940. 1629.55 0.59 0.0203047 0.0172057 24034 113901 -1 347 15 149 149 13529 3216 1.10323 1.10323 -22.1823 -1.10323 0 0 586450. 2029.24 0.16 0.02 0.10 -1 -1 0.16 0.005486 0.00477732 11 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_008bits.v common 3.06 vpr 61.90 MiB -1 -1 0.15 17124 1 0.02 -1 -1 30020 -1 -1 2 17 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63384 17 9 62 63 1 37 28 17 17 289 -1 unnamed_device 23.3 MiB 0.03 221 616 171 414 31 61.9 MiB 0.01 0.00 0.852632 -23.0752 -0.852632 0.852632 0.68 0.000156976 0.000144516 0.00281936 0.0025914 26 427 11 6.95648e+06 28951.4 503264. 1741.40 0.61 0.0198989 0.016773 24322 120374 -1 413 10 164 164 15751 3684 0.949732 0.949732 -24.7469 -0.949732 0 0 618332. 2139.56 0.17 0.01 0.11 -1 -1 0.17 0.00460572 0.00406062 13 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_009bits.v common 4.94 vpr 61.88 MiB -1 -1 0.16 17144 1 0.02 -1 -1 30232 -1 -1 2 19 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63368 19 10 69 70 1 44 31 17 17 289 -1 unnamed_device 23.4 MiB 0.04 108 2527 936 1406 185 61.9 MiB 0.02 0.00 0.874632 -21.915 -0.874632 0.874632 0.68 0.000174667 0.000161174 0.00982014 0.00906234 34 384 17 6.95648e+06 28951.4 618332. 2139.56 2.35 0.0519176 0.043602 25762 151098 -1 300 23 302 302 18535 6038 1.12523 1.12523 -23.9556 -1.12523 0 0 787024. 2723.27 0.21 0.02 0.13 -1 -1 0.21 0.00849309 0.00723359 14 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_010bits.v common 3.60 vpr 61.99 MiB -1 -1 0.15 17012 1 0.02 -1 -1 30176 -1 -1 2 21 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63476 21 11 76 77 1 49 34 17 17 289 -1 unnamed_device 23.5 MiB 0.04 124 1849 591 958 300 62.0 MiB 0.02 0.00 0.896632 -24.606 -0.896632 0.896632 0.67 0.000192675 0.000178253 0.00710392 0.00656778 34 464 39 6.95648e+06 28951.4 618332. 2139.56 1.07 0.0510483 0.0426537 25762 151098 -1 362 32 415 415 29570 8454 1.10803 1.10803 -26.2823 -1.10803 0 0 787024. 2723.27 0.21 0.03 0.13 -1 -1 0.21 0.011713 0.00986011 16 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_011bits.v common 3.24 vpr 62.27 MiB -1 -1 0.16 17232 1 0.02 -1 -1 30004 -1 -1 3 23 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63768 23 12 83 84 1 55 38 17 17 289 -1 unnamed_device 23.7 MiB 0.04 149 1424 335 1059 30 62.3 MiB 0.02 0.00 0.879432 -27.154 -0.879432 0.879432 0.68 0.000183203 0.000167598 0.00543142 0.00502988 28 532 47 6.95648e+06 43427 531479. 1839.03 0.73 0.0393068 0.0331151 24610 126494 -1 457 11 280 280 18391 5462 1.06403 1.06403 -32.0123 -1.06403 0 0 648988. 2245.63 0.18 0.02 0.11 -1 -1 0.18 0.00609298 0.00531886 17 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_012bits.v common 3.63 vpr 61.99 MiB -1 -1 0.15 17148 1 0.03 -1 -1 29996 -1 -1 3 25 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63476 25 13 90 91 1 60 41 17 17 289 -1 unnamed_device 23.4 MiB 0.04 191 1581 337 1210 34 62.0 MiB 0.02 0.00 0.918632 -30.4288 -0.918632 0.918632 0.68 0.000224111 0.000207562 0.00575802 0.00534334 34 576 15 6.95648e+06 43427 618332. 2139.56 1.06 0.0478747 0.040272 25762 151098 -1 469 13 301 301 25512 7050 1.14103 1.14103 -34.6799 -1.14103 0 0 787024. 2723.27 0.21 0.02 0.13 -1 -1 0.21 0.00708738 0.00617617 19 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_013bits.v common 3.31 vpr 62.01 MiB -1 -1 0.15 17284 1 0.02 -1 -1 30108 -1 -1 3 27 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63496 27 14 97 98 1 66 44 17 17 289 -1 unnamed_device 23.5 MiB 0.04 373 2046 513 1237 296 62.0 MiB 0.02 0.00 0.951632 -36.1138 -0.951632 0.951632 0.68 0.000237905 0.000220439 0.00701976 0.00650806 30 806 29 6.95648e+06 43427 556674. 1926.21 0.73 0.0387382 0.0330004 25186 138497 -1 740 15 338 338 31466 6815 1.23953 1.23953 -40.3343 -1.23953 0 0 706193. 2443.58 0.19 0.02 0.12 -1 -1 0.19 0.00817822 0.0071029 20 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_014bits.v common 3.71 vpr 62.25 MiB -1 -1 0.16 17448 1 0.02 -1 -1 29992 -1 -1 4 29 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63740 29 15 104 105 1 72 48 17 17 289 -1 unnamed_device 23.6 MiB 0.03 471 3180 797 1969 414 62.2 MiB 0.03 0.00 0.951632 -40.3696 -0.951632 0.951632 0.68 0.000303996 0.000282063 0.0105737 0.00979692 34 943 21 6.95648e+06 57902.7 618332. 2139.56 1.11 0.0601265 0.051146 25762 151098 -1 907 13 383 383 44092 8818 1.17403 1.17403 -47.4039 -1.17403 0 0 787024. 2723.27 0.21 0.03 0.13 -1 -1 0.21 0.00787889 0.00688785 23 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_015bits.v common 3.83 vpr 62.00 MiB -1 -1 0.16 17504 1 0.03 -1 -1 30248 -1 -1 3 31 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63492 31 16 111 112 1 78 50 17 17 289 -1 unnamed_device 23.4 MiB 0.05 264 3822 1541 2241 40 62.0 MiB 0.03 0.00 1.33396 -40.3826 -1.33396 1.33396 0.68 0.000270886 0.000250971 0.0121153 0.0112397 34 748 29 6.95648e+06 43427 618332. 2139.56 1.17 0.0688746 0.0587304 25762 151098 -1 618 19 437 437 34249 8680 1.21603 1.21603 -44.255 -1.21603 0 0 787024. 2723.27 0.21 0.03 0.13 -1 -1 0.21 0.0107725 0.00930388 24 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_016bits.v common 5.00 vpr 62.34 MiB -1 -1 0.15 17420 1 0.03 -1 -1 30048 -1 -1 4 33 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63832 33 17 118 119 1 81 54 17 17 289 -1 unnamed_device 23.7 MiB 0.06 280 4848 1977 2824 47 62.3 MiB 0.04 0.00 1.34496 -43.4863 -1.34496 1.34496 0.68 0.000340372 0.000315622 0.0158838 0.0147285 34 846 37 6.95648e+06 57902.7 618332. 2139.56 2.29 0.112294 0.0951773 25762 151098 -1 606 14 401 401 28162 7406 1.20503 1.20503 -45.4821 -1.20503 0 0 787024. 2723.27 0.21 0.03 0.13 -1 -1 0.21 0.00922062 0.00805291 25 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_018bits.v common 4.06 vpr 62.36 MiB -1 -1 0.17 17484 1 0.02 -1 -1 30084 -1 -1 4 37 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63852 37 19 132 133 1 87 60 17 17 289 -1 unnamed_device 23.7 MiB 0.09 310 6963 2911 4006 46 62.4 MiB 0.05 0.00 1.36696 -49.8294 -1.36696 1.36696 0.68 0.000327057 0.000303873 0.0205925 0.0191589 34 994 23 6.95648e+06 57902.7 618332. 2139.56 1.35 0.0863385 0.0744203 25762 151098 -1 765 19 512 512 55670 13459 1.42263 1.42263 -55.9177 -1.42263 0 0 787024. 2723.27 0.21 0.04 0.13 -1 -1 0.21 0.0128797 0.011132 28 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_020bits.v common 3.99 vpr 62.29 MiB -1 -1 0.16 17496 1 0.02 -1 -1 30328 -1 -1 4 41 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63780 41 21 146 147 1 95 66 17 17 289 -1 unnamed_device 23.6 MiB 0.08 348 7115 2951 4114 50 62.3 MiB 0.05 0.00 1.38896 -56.0872 -1.38896 1.38896 0.68 0.000356708 0.000331613 0.0203231 0.018915 34 1081 30 6.95648e+06 57902.7 618332. 2139.56 1.26 0.0931371 0.0803289 25762 151098 -1 859 23 578 578 97985 34449 1.27723 1.27723 -60.4816 -1.27723 0 0 787024. 2723.27 0.21 0.05 0.13 -1 -1 0.21 0.0160421 0.0138688 31 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_022bits.v common 4.16 vpr 62.35 MiB -1 -1 0.17 17388 1 0.02 -1 -1 30308 -1 -1 5 45 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63844 45 23 160 161 1 108 73 17 17 289 -1 unnamed_device 23.7 MiB 0.09 398 9041 3734 5246 61 62.3 MiB 0.06 0.00 1.41096 -61.6599 -1.41096 1.41096 0.68 0.000388088 0.000360795 0.0243281 0.0226419 36 1130 36 6.95648e+06 72378.4 648988. 2245.63 1.37 0.0888332 0.0775055 26050 158493 -1 893 16 577 577 59790 13864 1.24903 1.24903 -64.1802 -1.24903 0 0 828058. 2865.25 0.22 0.04 0.14 -1 -1 0.22 0.0134008 0.0117343 34 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_024bits.v common 5.83 vpr 62.46 MiB -1 -1 0.16 17468 1 0.02 -1 -1 30256 -1 -1 5 49 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63960 49 25 174 175 1 119 79 17 17 289 -1 unnamed_device 23.8 MiB 0.09 521 10050 4309 5679 62 62.5 MiB 0.06 0.00 1.43296 -70.1603 -1.43296 1.43296 0.67 0.000421895 0.000392614 0.026221 0.0244102 36 1304 23 6.95648e+06 72378.4 648988. 2245.63 3.05 0.1406 0.121724 26050 158493 -1 1030 17 612 612 59690 13565 1.41353 1.41353 -73.8115 -1.41353 0 0 828058. 2865.25 0.22 0.04 0.14 -1 -1 0.22 0.0149726 0.013118 37 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_028bits.v common 6.65 vpr 62.59 MiB -1 -1 0.17 17464 1 0.03 -1 -1 30112 -1 -1 6 57 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64088 57 29 202 203 1 142 92 17 17 289 -1 unnamed_device 23.9 MiB 0.07 560 13961 5920 7973 68 62.6 MiB 0.08 0.00 1.47696 -82.5806 -1.47696 1.47696 0.68 0.000486994 0.000453244 0.0343411 0.0319713 44 1567 49 6.95648e+06 86854.1 787024. 2723.27 3.81 0.218821 0.190202 27778 195446 -1 1178 16 789 789 69897 17053 1.71293 1.71293 -93.4275 -1.71293 0 0 997811. 3452.63 0.26 0.05 0.17 -1 -1 0.26 0.0162237 0.0142906 43 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_032bits.v common 6.57 vpr 62.62 MiB -1 -1 0.17 17772 1 0.03 -1 -1 30088 -1 -1 7 65 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64128 65 33 230 231 1 162 105 17 17 289 -1 unnamed_device 24.1 MiB 0.08 758 16160 6842 9176 142 62.6 MiB 0.09 0.00 1.88129 -97.0955 -1.88129 1.88129 0.67 0.000568281 0.000530239 0.0389098 0.036328 46 1513 18 6.95648e+06 101330 828058. 2865.25 3.65 0.182353 0.160035 28066 200906 -1 1326 20 938 938 82737 18232 1.39433 1.39433 -94.6526 -1.39433 0 0 1.01997e+06 3529.29 0.26 0.06 0.17 -1 -1 0.26 0.0222592 0.0195882 49 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_048bits.v common 13.20 vpr 63.59 MiB -1 -1 0.19 17748 1 0.03 -1 -1 30248 -1 -1 10 97 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65116 97 49 342 343 1 243 156 17 17 289 -1 unnamed_device 24.4 MiB 0.10 1331 30743 8901 19960 1882 63.6 MiB 0.16 0.00 2.41762 -163.869 -2.41762 2.41762 0.68 0.000868882 0.000814468 0.0660178 0.0618779 46 2969 39 6.95648e+06 144757 828058. 2865.25 10.06 0.463365 0.412468 28066 200906 -1 2418 23 1272 1272 164877 46134 1.59703 1.59703 -158.435 -1.59703 0 0 1.01997e+06 3529.29 0.26 0.10 0.17 -1 -1 0.26 0.0374983 0.0335237 73 2 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml adder_064bits.v common 8.79 vpr 64.01 MiB -1 -1 0.21 17776 1 0.03 -1 -1 30480 -1 -1 13 129 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65548 129 65 454 455 1 324 207 17 17 289 -1 unnamed_device 24.9 MiB 0.12 2090 36315 10749 23078 2488 64.0 MiB 0.21 0.01 2.95395 -243.637 -2.95395 2.95395 0.68 0.00119694 0.00112643 0.0741031 0.0697002 60 3530 29 6.95648e+06 188184 1.01997e+06 3529.29 5.38 0.462086 0.415678 30658 258169 -1 3123 16 1299 1299 131280 27013 1.66773 1.66773 -209.901 -1.66773 0 0 1.27783e+06 4421.56 0.32 0.10 0.22 -1 -1 0.32 0.0389481 0.0352944 97 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_004bits.v common 2.86 vpr 61.61 MiB -1 -1 0.14 17324 1 0.02 -1 -1 29988 -1 -1 1 9 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63092 9 5 34 35 1 17 15 17 17 289 -1 unnamed_device 22.9 MiB 0.01 35 375 107 237 31 61.6 MiB 0.01 0.00 0.712895 -9.56286 -0.712895 0.712895 0.68 8.7064e-05 7.8893e-05 0.00212669 0.0019303 22 122 12 6.99608e+06 14715.7 443629. 1535.05 0.53 0.0122226 0.010287 23458 102101 -1 88 10 56 56 2042 763 0.834592 0.834592 -9.47336 -0.834592 0 0 531479. 1839.03 0.15 0.01 0.09 -1 -1 0.15 0.00302037 0.00268799 7 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_005bits.v common 2.97 vpr 61.53 MiB -1 -1 0.15 17256 1 0.02 -1 -1 29912 -1 -1 1 11 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63008 11 6 41 42 1 20 18 17 17 289 -1 unnamed_device 22.8 MiB 0.01 44 409 92 302 15 61.5 MiB 0.01 0.00 0.837432 -12.9697 -0.837432 0.837432 0.68 0.000104705 9.5595e-05 0.00222083 0.00202997 22 151 9 6.99608e+06 14715.7 443629. 1535.05 0.55 0.0137541 0.0115757 23458 102101 -1 153 8 64 64 3866 1186 0.837432 0.837432 -14.2048 -0.837432 0 0 531479. 1839.03 0.15 0.01 0.09 -1 -1 0.15 0.00312463 0.00279849 8 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_006bits.v common 3.89 vpr 61.70 MiB -1 -1 0.16 17168 1 0.02 -1 -1 30152 -1 -1 2 13 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63184 13 7 48 49 1 26 22 17 17 289 -1 unnamed_device 23.0 MiB 0.01 66 472 112 343 17 61.7 MiB 0.01 0.00 0.802432 -14.7849 -0.802432 0.802432 0.69 0.000121719 0.000111519 0.00233076 0.00213648 20 205 8 6.99608e+06 29431.4 414966. 1435.87 1.49 0.0127904 0.010883 23170 95770 -1 181 13 88 88 5268 1792 0.916732 0.916732 -15.8316 -0.916732 0 0 503264. 1741.40 0.14 0.01 0.09 -1 -1 0.14 0.00435924 0.00380947 10 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_007bits.v common 3.06 vpr 61.55 MiB -1 -1 0.14 17332 1 0.02 -1 -1 30148 -1 -1 2 15 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63032 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 22.8 MiB 0.02 80 745 225 479 41 61.6 MiB 0.01 0.00 0.859432 -18.0958 -0.859432 0.859432 0.68 0.000140434 0.000129114 0.00336029 0.003095 26 226 21 6.99608e+06 29431.4 503264. 1741.40 0.63 0.0207047 0.0174372 24322 120374 -1 219 8 108 108 6712 2063 0.940679 0.940679 -18.5361 -0.940679 0 0 618332. 2139.56 0.17 0.01 0.11 -1 -1 0.17 0.00383384 0.00341969 11 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_008bits.v common 3.09 vpr 62.01 MiB -1 -1 0.15 17212 1 0.04 -1 -1 30120 -1 -1 2 17 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63500 17 9 62 63 1 38 28 17 17 289 -1 unnamed_device 23.5 MiB 0.02 203 658 170 428 60 62.0 MiB 0.01 0.00 0.87204 -21.2308 -0.87204 0.87204 0.68 0.000155534 0.00014286 0.00291886 0.00268597 26 454 14 6.99608e+06 29431.4 503264. 1741.40 0.62 0.0208548 0.01753 24322 120374 -1 397 16 215 215 23320 5491 1.11618 1.11618 -24.5247 -1.11618 0 0 618332. 2139.56 0.17 0.02 0.11 -1 -1 0.17 0.00594626 0.00511258 13 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_009bits.v common 5.35 vpr 61.82 MiB -1 -1 0.15 17208 1 0.02 -1 -1 30064 -1 -1 2 19 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63304 19 10 69 70 1 44 31 17 17 289 -1 unnamed_device 23.3 MiB 0.02 110 2479 792 1104 583 61.8 MiB 0.02 0.00 0.846432 -21.9214 -0.846432 0.846432 0.68 0.000174702 0.000161124 0.00958988 0.00884645 36 343 46 6.99608e+06 29431.4 648988. 2245.63 2.80 0.0627426 0.0522955 26050 158493 -1 255 16 264 264 11899 3964 1.09703 1.09703 -22.5274 -1.09703 0 0 828058. 2865.25 0.22 0.02 0.14 -1 -1 0.22 0.00658358 0.00568186 14 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_010bits.v common 3.63 vpr 61.95 MiB -1 -1 0.15 17104 1 0.02 -1 -1 30080 -1 -1 2 21 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63436 21 11 76 77 1 49 34 17 17 289 -1 unnamed_device 23.5 MiB 0.02 134 1739 500 978 261 61.9 MiB 0.02 0.00 0.857432 -24.4123 -0.857432 0.857432 0.70 0.00019256 0.000177985 0.00675256 0.00624408 34 406 27 6.99608e+06 29431.4 618332. 2139.56 1.08 0.0469744 0.039314 25762 151098 -1 352 19 335 335 20191 5998 1.16733 1.16733 -25.6028 -1.16733 0 0 787024. 2723.27 0.21 0.02 0.13 -1 -1 0.21 0.00793836 0.0067661 16 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_011bits.v common 3.19 vpr 61.93 MiB -1 -1 0.15 17348 1 0.03 -1 -1 30000 -1 -1 3 23 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63420 23 12 83 84 1 54 38 17 17 289 -1 unnamed_device 23.4 MiB 0.02 157 1298 273 992 33 61.9 MiB 0.01 0.00 0.879432 -27.0809 -0.879432 0.879432 0.69 0.000209784 0.000194331 0.00498719 0.00462021 30 505 13 6.99608e+06 44147 556674. 1926.21 0.68 0.0282405 0.0240418 25186 138497 -1 420 17 320 320 22894 6682 1.13198 1.13198 -31.7562 -1.13198 0 0 706193. 2443.58 0.19 0.02 0.12 -1 -1 0.19 0.00791286 0.00680288 17 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_012bits.v common 3.19 vpr 61.80 MiB -1 -1 0.14 17248 1 0.02 -1 -1 29996 -1 -1 3 25 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63280 25 13 90 91 1 60 41 17 17 289 -1 unnamed_device 23.3 MiB 0.02 214 1861 414 1414 33 61.8 MiB 0.02 0.00 0.890432 -31.2249 -0.890432 0.890432 0.68 0.000223146 0.000206487 0.00656913 0.00606521 26 594 14 6.99608e+06 44147 503264. 1741.40 0.67 0.0311123 0.0265403 24322 120374 -1 540 14 356 356 28447 7724 1.08798 1.08798 -35.908 -1.08798 0 0 618332. 2139.56 0.17 0.02 0.11 -1 -1 0.17 0.00739638 0.00642002 19 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_013bits.v common 3.23 vpr 61.92 MiB -1 -1 0.16 17132 1 0.02 -1 -1 30056 -1 -1 3 27 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63408 27 14 97 98 1 66 44 17 17 289 -1 unnamed_device 23.4 MiB 0.02 395 2354 574 1438 342 61.9 MiB 0.02 0.00 0.912432 -36.6204 -0.912432 0.912432 0.67 0.000244515 0.000221455 0.00801856 0.00743288 30 788 16 6.99608e+06 44147 556674. 1926.21 0.71 0.0349545 0.0299783 25186 138497 -1 710 15 331 331 30405 6379 1.18218 1.18218 -41.7645 -1.18218 0 0 706193. 2443.58 0.19 0.02 0.12 -1 -1 0.19 0.00813372 0.0070695 20 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_014bits.v common 3.71 vpr 61.95 MiB -1 -1 0.14 17028 1 0.02 -1 -1 29952 -1 -1 4 29 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63440 29 15 104 105 1 72 48 17 17 289 -1 unnamed_device 23.4 MiB 0.02 470 2571 633 1642 296 62.0 MiB 0.02 0.00 0.923432 -39.2056 -0.923432 0.923432 0.68 0.000250872 0.000232665 0.00822485 0.00762679 34 973 32 6.99608e+06 58862.7 618332. 2139.56 1.13 0.0617908 0.0524284 25762 151098 -1 866 15 496 496 57332 11353 1.16733 1.16733 -45.2827 -1.16733 0 0 787024. 2723.27 0.21 0.03 0.13 -1 -1 0.21 0.00859555 0.00746824 23 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_015bits.v common 3.79 vpr 61.86 MiB -1 -1 0.14 17492 1 0.02 -1 -1 30324 -1 -1 3 31 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63344 31 16 111 112 1 78 50 17 17 289 -1 unnamed_device 23.3 MiB 0.02 264 3822 1512 2266 44 61.9 MiB 0.03 0.00 1.29476 -39.4641 -1.29476 1.29476 0.67 0.000270176 0.000249996 0.0120612 0.0111781 34 827 32 6.99608e+06 44147 618332. 2139.56 1.22 0.07025 0.0598733 25762 151098 -1 591 16 411 411 33312 8308 1.16103 1.16103 -42.916 -1.16103 0 0 787024. 2723.27 0.21 0.03 0.13 -1 -1 0.21 0.00951946 0.00825769 24 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_016bits.v common 5.69 vpr 61.93 MiB -1 -1 0.18 17544 1 0.02 -1 -1 29996 -1 -1 4 33 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63420 33 17 118 119 1 81 54 17 17 289 -1 unnamed_device 23.3 MiB 0.03 279 4848 1973 2828 47 61.9 MiB 0.04 0.00 1.31676 -42.9938 -1.31676 1.31676 0.68 0.000292437 0.000271383 0.0146563 0.0135941 36 795 29 6.99608e+06 58862.7 648988. 2245.63 2.92 0.0905261 0.0770042 26050 158493 -1 613 15 414 414 36028 8736 1.22703 1.22703 -46.2691 -1.22703 0 0 828058. 2865.25 0.22 0.03 0.14 -1 -1 0.22 0.0096414 0.00838491 25 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_018bits.v common 3.91 vpr 62.07 MiB -1 -1 0.16 17500 1 0.03 -1 -1 30144 -1 -1 4 37 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63560 37 19 132 133 1 87 60 17 17 289 -1 unnamed_device 23.5 MiB 0.03 309 6963 2905 3992 66 62.1 MiB 0.05 0.00 1.33876 -48.9536 -1.33876 1.33876 0.67 0.000328569 0.000305361 0.0205895 0.0191523 36 858 19 6.99608e+06 58862.7 648988. 2245.63 1.26 0.0838954 0.07231 26050 158493 -1 707 14 444 444 42628 10193 1.34133 1.34133 -53.1429 -1.34133 0 0 828058. 2865.25 0.22 0.03 0.14 -1 -1 0.22 0.0102672 0.00892568 28 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_020bits.v common 4.04 vpr 62.10 MiB -1 -1 0.16 17520 1 0.02 -1 -1 30328 -1 -1 4 41 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63588 41 21 146 147 1 94 66 17 17 289 -1 unnamed_device 23.5 MiB 0.03 346 7115 2925 4148 42 62.1 MiB 0.05 0.00 1.34976 -55.318 -1.34976 1.34976 0.68 0.000358867 0.000333622 0.0203294 0.0189181 34 1135 29 6.99608e+06 58862.7 618332. 2139.56 1.34 0.0959932 0.082851 25762 151098 -1 832 15 501 501 54380 12460 1.29733 1.29733 -59.146 -1.29733 0 0 787024. 2723.27 0.21 0.04 0.13 -1 -1 0.21 0.011617 0.01012 31 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_022bits.v common 4.23 vpr 62.14 MiB -1 -1 0.15 17468 1 0.02 -1 -1 30340 -1 -1 5 45 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63632 45 23 160 161 1 107 73 17 17 289 -1 unnamed_device 23.6 MiB 0.03 396 9041 3748 5233 60 62.1 MiB 0.06 0.00 1.37176 -60.8627 -1.37176 1.37176 0.68 0.000388136 0.000360981 0.0243639 0.0226856 36 1189 23 6.99608e+06 73578.4 648988. 2245.63 1.55 0.101626 0.088275 26050 158493 -1 900 14 588 588 51751 12479 1.26003 1.26003 -64.2225 -1.26003 0 0 828058. 2865.25 0.22 0.04 0.14 -1 -1 0.22 0.0120573 0.0105776 34 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_024bits.v common 4.44 vpr 62.25 MiB -1 -1 0.16 17324 1 0.02 -1 -1 30332 -1 -1 5 49 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63740 49 25 174 175 1 118 79 17 17 289 -1 unnamed_device 23.6 MiB 0.04 446 10050 4202 5799 49 62.2 MiB 0.06 0.00 1.39376 -66.6204 -1.39376 1.39376 0.68 0.00042006 0.000390522 0.0262349 0.0244202 38 1265 21 6.99608e+06 73578.4 678818. 2348.85 1.69 0.108307 0.0943365 26626 170182 -1 974 15 641 641 56777 14042 1.26003 1.26003 -68.4016 -1.26003 0 0 902133. 3121.57 0.23 0.04 0.15 -1 -1 0.23 0.0136353 0.0119655 37 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_028bits.v common 6.07 vpr 62.44 MiB -1 -1 0.17 17468 1 0.03 -1 -1 30020 -1 -1 6 57 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63940 57 29 202 203 1 141 92 17 17 289 -1 unnamed_device 23.7 MiB 0.04 634 13961 5842 8023 96 62.4 MiB 0.08 0.00 1.44876 -81.1127 -1.44876 1.44876 0.67 0.00048998 0.000454837 0.03434 0.031956 36 1508 35 6.99608e+06 88294.1 648988. 2245.63 3.27 0.178122 0.155283 26050 158493 -1 1254 26 824 824 164581 67296 1.21798 1.21798 -79.2356 -1.21798 0 0 828058. 2865.25 0.22 0.08 0.14 -1 -1 0.22 0.0235237 0.0205368 43 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_032bits.v common 8.40 vpr 62.50 MiB -1 -1 0.17 17500 1 0.03 -1 -1 30004 -1 -1 7 65 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64000 65 33 230 231 1 162 105 17 17 289 -1 unnamed_device 23.7 MiB 0.04 748 16160 6967 9054 139 62.5 MiB 0.09 0.00 1.85309 -96.1412 -1.85309 1.85309 0.68 0.000568976 0.000530368 0.0389571 0.036365 38 1779 24 6.99608e+06 103010 678818. 2348.85 5.60 0.278523 0.2427 26626 170182 -1 1376 19 818 818 76794 17306 1.44933 1.44933 -96.9713 -1.44933 0 0 902133. 3121.57 0.23 0.06 0.15 -1 -1 0.23 0.0212076 0.0186419 49 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_048bits.v common 7.67 vpr 63.32 MiB -1 -1 0.18 17640 1 0.03 -1 -1 30292 -1 -1 10 97 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64840 97 49 342 343 1 243 156 17 17 289 -1 unnamed_device 24.2 MiB 0.06 1337 28648 7802 19085 1761 63.3 MiB 0.15 0.00 2.38942 -163.539 -2.38942 2.38942 0.68 0.000872898 0.00081786 0.0620783 0.0581913 48 2814 49 6.99608e+06 147157 865456. 2994.66 4.55 0.363188 0.324068 28354 207349 -1 2569 19 1227 1227 180485 40236 1.61903 1.61903 -164.1 -1.61903 0 0 1.05005e+06 3633.38 0.27 0.09 0.18 -1 -1 0.27 0.0321226 0.0287397 73 2 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml adder_064bits.v common 6.27 vpr 63.64 MiB -1 -1 0.21 17952 1 0.03 -1 -1 30520 -1 -1 13 129 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65168 129 65 454 455 1 324 207 17 17 289 -1 unnamed_device 24.6 MiB 0.08 2094 36315 10889 23051 2375 63.6 MiB 0.21 0.01 2.92575 -240.987 -2.92575 2.92575 0.68 0.00119458 0.00112296 0.073826 0.069397 48 3917 29 6.99608e+06 191304 865456. 2994.66 2.94 0.32935 0.297819 28354 207349 -1 3565 17 1465 1465 231203 51048 1.80403 1.80403 -226.084 -1.80403 0 0 1.05005e+06 3633.38 0.27 0.11 0.19 -1 -1 0.27 0.0403266 0.0364437 97 2 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_004bits.v common 2.85 vpr 61.00 MiB -1 -1 0.15 17268 2 0.05 -1 -1 32092 -1 -1 1 9 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62468 9 5 28 33 1 16 15 17 17 289 -1 unnamed_device 22.4 MiB 0.01 35 357 92 226 39 61.0 MiB 0.01 0.00 0.883748 -9.933 -0.883748 0.883748 0.68 8.4734e-05 7.6497e-05 0.00196888 0.00178132 18 144 17 6.79088e+06 13472 376052. 1301.22 0.45 0.00665622 0.00588373 22222 88205 -1 110 7 44 44 1714 684 0.883748 0.883748 -10.7206 -0.883748 0 0 470940. 1629.55 0.13 0.01 0.08 -1 -1 0.13 0.00263552 0.00238471 8 6 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_005bits.v common 3.15 vpr 61.11 MiB -1 -1 0.15 17188 2 0.05 -1 -1 31992 -1 -1 2 11 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62572 11 6 34 40 1 23 19 17 17 289 -1 unnamed_device 22.5 MiB 0.01 56 369 83 271 15 61.1 MiB 0.01 0.00 1.02368 -13.1464 -1.02368 1.02368 0.67 0.000104014 9.4812e-05 0.00189463 0.00172822 30 193 10 6.79088e+06 26944 556674. 1926.21 0.65 0.0134574 0.0112841 24526 138013 -1 152 7 57 63 2733 866 1.02368 1.02368 -13.6271 -1.02368 0 0 706193. 2443.58 0.19 0.01 0.12 -1 -1 0.19 0.00305668 0.00274947 10 7 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_006bits.v common 2.91 vpr 61.02 MiB -1 -1 0.10 17192 3 0.05 -1 -1 31792 -1 -1 2 13 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62488 13 7 41 48 1 30 22 17 17 289 -1 unnamed_device 22.4 MiB 0.01 84 562 132 416 14 61.0 MiB 0.01 0.00 1.14898 -15.8855 -1.14898 1.14898 0.67 0.000124296 0.000113845 0.00272442 0.00249969 22 271 8 6.79088e+06 26944 443629. 1535.05 0.53 0.0157781 0.0133199 22798 101617 -1 217 9 88 92 4745 1508 1.05944 1.05944 -16.9561 -1.05944 0 0 531479. 1839.03 0.15 0.01 0.09 -1 -1 0.15 0.0036879 0.00326724 11 9 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_007bits.v common 4.48 vpr 61.07 MiB -1 -1 0.15 17232 3 0.05 -1 -1 31864 -1 -1 2 15 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62540 15 8 47 55 1 36 25 17 17 289 -1 unnamed_device 22.4 MiB 0.01 105 1609 647 944 18 61.1 MiB 0.02 0.00 1.18818 -19.2647 -1.18818 1.18818 0.67 0.000142352 0.000130597 0.00684084 0.00628587 30 250 15 6.79088e+06 26944 556674. 1926.21 1.99 0.047319 0.0395125 24526 138013 -1 190 7 100 110 4759 1654 1.13784 1.13784 -18.8555 -1.13784 0 0 706193. 2443.58 0.19 0.01 0.12 -1 -1 0.19 0.00369383 0.00329045 13 10 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_008bits.v common 4.52 vpr 61.31 MiB -1 -1 0.15 17224 3 0.05 -1 -1 31932 -1 -1 2 17 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62784 17 9 56 65 1 43 28 17 17 289 -1 unnamed_device 22.9 MiB 0.08 180 1246 468 656 122 61.3 MiB 0.01 0.00 1.56413 -24.3613 -1.56413 1.56413 0.67 0.000172411 0.000158785 0.00569691 0.00526618 30 428 17 6.79088e+06 26944 556674. 1926.21 1.99 0.0479332 0.0397559 24526 138013 -1 371 8 143 180 9396 2451 1.31353 1.31353 -24.1605 -1.31353 0 0 706193. 2443.58 0.19 0.01 0.13 -1 -1 0.19 0.00455928 0.0040393 16 14 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_009bits.v common 3.80 vpr 61.19 MiB -1 -1 0.16 17096 4 0.06 -1 -1 31940 -1 -1 2 19 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62660 19 10 60 70 1 47 31 17 17 289 -1 unnamed_device 22.7 MiB 0.07 125 1183 237 903 43 61.2 MiB 0.01 0.00 1.43883 -25.2844 -1.43883 1.43883 0.67 0.000182863 0.000168735 0.00508335 0.00468617 26 422 10 6.79088e+06 26944 503264. 1741.40 1.28 0.0436852 0.0363998 23662 119890 -1 385 8 159 169 9032 2746 1.38849 1.38849 -27.4637 -1.38849 0 0 618332. 2139.56 0.17 0.01 0.11 -1 -1 0.17 0.00465726 0.00411883 16 13 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_010bits.v common 4.73 vpr 61.26 MiB -1 -1 0.16 17116 4 0.06 -1 -1 31656 -1 -1 3 21 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62732 21 11 69 80 1 55 35 17 17 289 -1 unnamed_device 22.8 MiB 0.10 146 2315 597 1240 478 61.3 MiB 0.02 0.00 1.81478 -30.6537 -1.81478 1.81478 0.67 0.000233285 0.000212021 0.00979652 0.00898605 30 577 23 6.79088e+06 40416 556674. 1926.21 2.10 0.067969 0.0567212 24526 138013 -1 424 15 287 352 13181 4459 1.80359 1.80359 -32.3094 -1.80359 0 0 706193. 2443.58 0.19 0.02 0.13 -1 -1 0.19 0.00749291 0.0064867 20 17 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_011bits.v common 3.30 vpr 61.33 MiB -1 -1 0.16 17216 5 0.06 -1 -1 31980 -1 -1 3 23 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62804 23 12 76 88 1 61 38 17 17 289 -1 unnamed_device 22.8 MiB 0.09 193 1550 321 1191 38 61.3 MiB 0.02 0.00 1.86512 -34.3431 -1.86512 1.86512 0.70 0.000225159 0.000208312 0.00631286 0.00584168 26 629 24 6.79088e+06 40416 503264. 1741.40 0.66 0.0348279 0.0296583 23662 119890 -1 529 12 278 322 16645 5124 1.63914 1.63914 -36.9746 -1.63914 0 0 618332. 2139.56 0.18 0.02 0.11 -1 -1 0.18 0.00687658 0.00602414 22 19 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_012bits.v common 3.38 vpr 61.41 MiB -1 -1 0.17 17316 5 0.06 -1 -1 31972 -1 -1 3 25 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62880 25 13 83 96 1 66 41 17 17 289 -1 unnamed_device 22.8 MiB 0.14 391 2351 591 1461 299 61.4 MiB 0.02 0.00 1.86512 -43.6064 -1.86512 1.86512 0.67 0.000239733 0.000221592 0.00887868 0.00822825 30 769 18 6.79088e+06 40416 556674. 1926.21 0.69 0.0368013 0.0316589 24526 138013 -1 699 9 221 270 16069 3867 1.63914 1.63914 -42.5992 -1.63914 0 0 706193. 2443.58 0.19 0.02 0.12 -1 -1 0.19 0.00626565 0.00555905 23 21 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_013bits.v common 4.36 vpr 61.74 MiB -1 -1 0.16 17500 5 0.06 -1 -1 31864 -1 -1 5 27 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63224 27 14 91 105 1 72 46 17 17 289 -1 unnamed_device 23.2 MiB 0.25 357 5294 1465 2962 867 61.7 MiB 0.05 0.00 2.15497 -46.2823 -2.15497 2.15497 0.67 0.000360865 0.000333566 0.0266747 0.0247208 30 821 13 6.79088e+06 67360 556674. 1926.21 1.51 0.0843095 0.0727651 24526 138013 -1 699 10 243 302 17846 4568 1.89323 1.89323 -44.8083 -1.89323 0 0 706193. 2443.58 0.19 0.02 0.12 -1 -1 0.19 0.0072577 0.00639563 28 24 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_014bits.v common 3.52 vpr 61.38 MiB -1 -1 0.18 17272 6 0.06 -1 -1 32108 -1 -1 4 29 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62852 29 15 95 110 1 77 48 17 17 289 -1 unnamed_device 22.9 MiB 0.21 360 2310 483 1816 11 61.4 MiB 0.02 0.00 2.44482 -48.4646 -2.44482 2.44482 0.67 0.000280721 0.000260207 0.00834682 0.00774917 28 954 41 6.79088e+06 53888 531479. 1839.03 0.81 0.0450354 0.038363 23950 126010 -1 836 14 342 390 33121 7878 2.15502 2.15502 -51.5387 -2.15502 0 0 648988. 2245.63 0.18 0.03 0.11 -1 -1 0.18 0.00959984 0.00839508 27 23 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_015bits.v common 3.90 vpr 61.50 MiB -1 -1 0.17 17532 6 0.06 -1 -1 32088 -1 -1 4 31 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62976 31 16 104 120 1 80 51 17 17 289 -1 unnamed_device 22.9 MiB 0.19 344 5785 2509 2987 289 61.5 MiB 0.04 0.00 2.28032 -52.1136 -2.28032 2.28032 0.67 0.0003118 0.000289312 0.0202131 0.0187579 32 928 19 6.79088e+06 53888 586450. 2029.24 1.05 0.064077 0.0554577 24814 144142 -1 708 11 314 391 26325 7062 2.10469 2.10469 -51.4167 -2.10469 0 0 744469. 2576.02 0.20 0.02 0.13 -1 -1 0.20 0.00859488 0.00753021 30 27 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_016bits.v common 4.41 vpr 61.61 MiB -1 -1 0.16 17376 7 0.06 -1 -1 31964 -1 -1 5 33 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63084 33 17 112 129 1 88 55 17 17 289 -1 unnamed_device 23.0 MiB 0.56 588 6295 2131 3069 1095 61.6 MiB 0.04 0.00 2.86773 -66.1506 -2.86773 2.86773 0.67 0.000328014 0.000304251 0.020987 0.0194988 32 1316 39 6.79088e+06 67360 586450. 2029.24 1.18 0.0760223 0.0653166 24814 144142 -1 1100 15 369 491 48901 10354 2.74243 2.74243 -67.6659 -2.74243 0 0 744469. 2576.02 0.20 0.03 0.13 -1 -1 0.20 0.0110843 0.00962143 31 30 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_018bits.v common 5.10 vpr 61.61 MiB -1 -1 0.18 17560 7 0.06 -1 -1 31932 -1 -1 6 37 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63092 37 19 127 146 1 99 62 17 17 289 -1 unnamed_device 23.0 MiB 0.81 464 4820 996 3804 20 61.6 MiB 0.04 0.00 3.16102 -73.9362 -3.16102 3.16102 0.67 0.00036881 0.00034252 0.0156651 0.0145648 30 1094 21 6.79088e+06 80832 556674. 1926.21 1.62 0.0958325 0.0820414 24526 138013 -1 930 12 343 419 31667 7571 2.82088 2.82088 -72.5283 -2.82088 0 0 706193. 2443.58 0.24 0.03 0.12 -1 -1 0.24 0.0106908 0.00940112 38 35 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_020bits.v common 5.50 vpr 61.51 MiB -1 -1 0.19 17548 8 0.06 -1 -1 31928 -1 -1 6 41 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62984 41 21 139 160 1 108 68 17 17 289 -1 unnamed_device 22.8 MiB 0.35 505 7106 1657 5163 286 61.5 MiB 0.05 0.00 2.83873 -77.6199 -2.83873 2.83873 0.67 0.000402572 0.000373912 0.0218416 0.020279 34 1266 21 6.79088e+06 80832 618332. 2139.56 2.45 0.134165 0.115261 25102 150614 -1 1117 11 431 551 40310 9787 2.77049 2.77049 -77.9628 -2.77049 0 0 787024. 2723.27 0.21 0.03 0.13 -1 -1 0.21 0.0108635 0.00960992 41 37 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_022bits.v common 4.59 vpr 61.65 MiB -1 -1 0.18 17292 9 0.07 -1 -1 32180 -1 -1 6 45 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63128 45 23 153 176 1 120 74 17 17 289 -1 unnamed_device 23.0 MiB 0.72 590 6894 2871 4005 18 61.6 MiB 0.05 0.00 3.23598 -92.3007 -3.23598 3.23598 0.67 0.000432902 0.000401397 0.020668 0.0191906 34 1351 20 6.79088e+06 80832 618332. 2139.56 1.19 0.105048 0.0910545 25102 150614 -1 1122 12 451 530 31995 8248 3.02115 3.02115 -88.8232 -3.02115 0 0 787024. 2723.27 0.21 0.03 0.13 -1 -1 0.21 0.0124109 0.010985 44 41 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_024bits.v common 4.68 vpr 61.69 MiB -1 -1 0.18 17416 10 0.09 -1 -1 32012 -1 -1 8 49 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63172 49 25 166 191 1 133 82 17 17 289 -1 unnamed_device 23.2 MiB 0.67 780 4888 1012 3715 161 61.7 MiB 0.04 0.00 3.56504 -106.707 -3.56504 3.56504 0.67 0.000474061 0.000440964 0.0143932 0.0133954 34 1630 20 6.79088e+06 107776 618332. 2139.56 1.33 0.108445 0.0937976 25102 150614 -1 1427 17 511 602 48474 10960 3.311 3.311 -105.632 -3.311 0 0 787024. 2723.27 0.21 0.04 0.13 -1 -1 0.21 0.0167352 0.0147215 48 44 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_028bits.v common 4.78 vpr 62.07 MiB -1 -1 0.20 17392 11 0.09 -1 -1 32196 -1 -1 9 57 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63556 57 29 198 227 1 155 95 17 17 289 -1 unnamed_device 23.6 MiB 0.70 615 10463 2656 6907 900 62.1 MiB 0.06 0.00 4.24968 -125.714 -4.24968 4.24968 0.67 0.000571579 0.000532061 0.0293594 0.0273517 34 1590 48 6.79088e+06 121248 618332. 2139.56 1.34 0.163461 0.14228 25102 150614 -1 1284 13 514 639 38670 10280 4.07404 4.07404 -123.729 -4.07404 0 0 787024. 2723.27 0.21 0.04 0.13 -1 -1 0.21 0.0165509 0.0145999 57 56 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_032bits.v common 6.51 vpr 62.35 MiB -1 -1 0.21 17704 13 0.08 -1 -1 32276 -1 -1 10 65 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63848 65 33 224 257 1 176 108 17 17 289 -1 unnamed_device 23.8 MiB 1.18 1039 17327 5389 9753 2185 62.4 MiB 0.10 0.00 5.03658 -164.476 -5.03658 5.03658 0.67 0.000644856 0.000600659 0.0451421 0.0420494 34 2111 21 6.79088e+06 134720 618332. 2139.56 2.53 0.212154 0.18596 25102 150614 -1 1951 17 658 812 57443 13536 4.69644 4.69644 -161.975 -4.69644 0 0 787024. 2723.27 0.21 0.05 0.13 -1 -1 0.21 0.0223091 0.0196989 64 62 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_048bits.v common 6.57 vpr 63.11 MiB -1 -1 0.14 18000 19 0.10 -1 -1 32348 -1 -1 13 97 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64628 97 49 340 389 1 267 159 17 17 289 -1 unnamed_device 24.0 MiB 2.23 1448 34989 14739 20194 56 63.1 MiB 0.18 0.00 7.67179 -314.547 -7.67179 7.67179 0.69 0.000980222 0.000914632 0.0829087 0.0773761 34 3339 25 6.79088e+06 175136 618332. 2139.56 1.37 0.232321 0.20888 25102 150614 -1 2818 17 986 1334 105250 24236 7.17059 7.17059 -305.484 -7.17059 0 0 787024. 2723.27 0.21 0.07 0.13 -1 -1 0.21 0.0344741 0.0308882 100 98 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_064bits.v common 11.23 vpr 63.65 MiB -1 -1 0.29 18292 26 0.11 -1 -1 32492 -1 -1 18 129 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65176 129 65 453 518 1 352 212 17 17 289 -1 unnamed_device 24.6 MiB 2.77 1945 46275 14597 28490 3188 63.6 MiB 0.23 0.00 10.4214 -508.403 -10.4214 10.4214 0.67 0.00132456 0.00123988 0.101507 0.0949667 34 4511 24 6.79088e+06 242496 618332. 2139.56 5.15 0.551898 0.49538 25102 150614 -1 3827 14 1334 1727 133903 30875 9.77697 9.77697 -492.577 -9.77697 0 0 787024. 2723.27 0.21 0.09 0.13 -1 -1 0.21 0.0410984 0.037241 128 131 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_004bits.v common 3.91 vpr 61.55 MiB -1 -1 0.15 17248 1 0.02 -1 -1 29988 -1 -1 2 9 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63024 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 22.8 MiB 0.03 43 336 105 225 6 61.5 MiB 0.01 0.00 0.789073 -10.0094 -0.789073 0.789073 0.68 8.7679e-05 7.9436e-05 0.00175469 0.0015854 20 136 14 6.87369e+06 27947.7 414966. 1435.87 1.50 0.0114568 0.00958632 23170 95770 -1 113 8 55 55 2097 728 0.856592 0.856592 -10.2958 -0.856592 0 0 503264. 1741.40 0.14 0.01 0.09 -1 -1 0.14 0.00279377 0.00250365 10 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_005bits.v common 3.05 vpr 61.51 MiB -1 -1 0.14 17312 1 0.02 -1 -1 29868 -1 -1 3 11 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62988 11 6 41 42 1 27 20 17 17 289 -1 unnamed_device 22.9 MiB 0.04 117 938 246 627 65 61.5 MiB 0.01 0.00 0.959892 -14.2224 -0.959892 0.959892 0.68 0.000107948 9.6931e-05 0.00413019 0.0037464 26 272 8 6.87369e+06 41921.5 503264. 1741.40 0.59 0.0153276 0.0130105 24322 120374 -1 258 8 93 93 6319 1814 0.959892 0.959892 -15.8531 -0.959892 0 0 618332. 2139.56 0.21 0.01 0.09 -1 -1 0.21 0.00308312 0.00274983 13 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_006bits.v common 3.14 vpr 61.36 MiB -1 -1 0.16 17080 1 0.02 -1 -1 29956 -1 -1 3 13 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62836 13 7 48 49 1 33 23 17 17 289 -1 unnamed_device 22.7 MiB 0.04 80 1015 253 581 181 61.4 MiB 0.01 0.00 0.833073 -15.3829 -0.833073 0.833073 0.72 0.000121427 0.000111267 0.00425289 0.00389515 28 315 25 6.87369e+06 41921.5 531479. 1839.03 0.66 0.0202449 0.0170146 24610 126494 -1 255 21 272 272 14672 4719 1.19797 1.19797 -17.7235 -1.19797 0 0 648988. 2245.63 0.18 0.02 0.11 -1 -1 0.18 0.00582061 0.0049659 15 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_007bits.v common 3.19 vpr 61.51 MiB -1 -1 0.14 17300 1 0.02 -1 -1 30024 -1 -1 3 15 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62984 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 22.7 MiB 0.06 111 1850 765 1045 40 61.5 MiB 0.02 0.00 1.2044 -18.3056 -1.2044 1.2044 0.74 0.000140138 0.000128592 0.00736814 0.00677776 26 273 8 6.87369e+06 41921.5 503264. 1741.40 0.62 0.0222446 0.0191421 24322 120374 -1 267 14 193 193 10664 3465 1.11467 1.11467 -21.0262 -1.11467 0 0 618332. 2139.56 0.17 0.01 0.11 -1 -1 0.17 0.00494673 0.00428534 17 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_008bits.v common 3.16 vpr 61.75 MiB -1 -1 0.14 17172 1 0.02 -1 -1 30160 -1 -1 3 17 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63232 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 23.0 MiB 0.05 120 2097 820 1095 182 61.8 MiB 0.03 0.00 1.2154 -21.3928 -1.2154 1.2154 0.68 0.000518909 0.000478182 0.00954671 0.00879961 26 327 26 6.87369e+06 41921.5 503264. 1741.40 0.64 0.0303643 0.0260452 24322 120374 -1 256 12 175 175 7585 2676 0.967373 0.967373 -21.4146 -0.967373 0 0 618332. 2139.56 0.18 0.01 0.11 -1 -1 0.18 0.00498944 0.00434628 18 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_009bits.v common 4.73 vpr 61.50 MiB -1 -1 0.14 17296 1 0.02 -1 -1 30152 -1 -1 3 19 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62980 19 10 69 70 1 45 32 17 17 289 -1 unnamed_device 23.0 MiB 0.05 132 2382 895 1227 260 61.5 MiB 0.02 0.00 1.2264 -24.3424 -1.2264 1.2264 0.70 0.000174753 0.000161295 0.00887127 0.0081888 32 342 16 6.87369e+06 41921.5 586450. 2029.24 2.17 0.0430115 0.0362157 25474 144626 -1 277 13 188 188 9045 2956 0.853073 0.853073 -22.6611 -0.853073 0 0 744469. 2576.02 0.20 0.02 0.13 -1 -1 0.20 0.00566905 0.00491758 20 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_010bits.v common 3.26 vpr 61.68 MiB -1 -1 0.14 17264 1 0.02 -1 -1 30132 -1 -1 3 21 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63164 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 23.2 MiB 0.05 144 2600 927 1051 622 61.7 MiB 0.02 0.00 1.2374 -27.1393 -1.2374 1.2374 0.67 0.000192908 0.000178394 0.00935846 0.00864369 32 427 14 6.87369e+06 41921.5 586450. 2029.24 0.70 0.0306836 0.0263424 25474 144626 -1 349 12 217 217 15210 4129 1.10367 1.10367 -28.5107 -1.10367 0 0 744469. 2576.02 0.20 0.02 0.13 -1 -1 0.20 0.00588194 0.0050958 22 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_011bits.v common 3.34 vpr 61.50 MiB -1 -1 0.15 17156 1 0.02 -1 -1 29944 -1 -1 4 23 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62980 23 12 83 84 1 53 39 17 17 289 -1 unnamed_device 22.8 MiB 0.05 161 3207 1185 1655 367 61.5 MiB 0.03 0.00 1.2484 -30.0181 -1.2484 1.2484 0.68 0.000210612 0.000194916 0.0108948 0.0100922 32 481 13 6.87369e+06 55895.4 586450. 2029.24 0.71 0.0335314 0.0289439 25474 144626 -1 413 15 256 256 21497 5433 1.12567 1.12567 -31.5994 -1.12567 0 0 744469. 2576.02 0.20 0.02 0.13 -1 -1 0.20 0.00724864 0.00624799 24 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_012bits.v common 4.10 vpr 61.75 MiB -1 -1 0.15 17184 1 0.03 -1 -1 30000 -1 -1 4 25 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63228 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 23.0 MiB 0.05 185 3066 1189 1684 193 61.7 MiB 0.03 0.00 1.2594 -33.1885 -1.2594 1.2594 0.68 0.00022404 0.000207062 0.00999871 0.00920824 30 615 17 6.87369e+06 55895.4 556674. 1926.21 1.55 0.0570123 0.0480452 25186 138497 -1 513 22 448 448 34211 8399 1.17597 1.17597 -34.3039 -1.17597 0 0 706193. 2443.58 0.20 0.03 0.12 -1 -1 0.20 0.00990806 0.00845199 26 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_013bits.v common 3.65 vpr 61.70 MiB -1 -1 0.09 17236 1 0.02 -1 -1 29992 -1 -1 4 27 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63176 27 14 97 98 1 67 45 17 17 289 -1 unnamed_device 22.9 MiB 0.05 213 3965 1588 2336 41 61.7 MiB 0.03 0.00 1.2704 -36.288 -1.2704 1.2704 0.68 0.000237431 0.000219543 0.0125415 0.0115936 34 721 25 6.87369e+06 55895.4 618332. 2139.56 1.10 0.0609137 0.0518256 25762 151098 -1 589 20 464 464 40651 10612 1.19797 1.19797 -38.5769 -1.19797 0 0 787024. 2723.27 0.21 0.03 0.13 -1 -1 0.21 0.0098341 0.00843389 28 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_014bits.v common 5.04 vpr 61.62 MiB -1 -1 0.17 17636 1 0.02 -1 -1 29960 -1 -1 5 29 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63104 29 15 104 105 1 74 49 17 17 289 -1 unnamed_device 23.2 MiB 0.07 237 4232 1719 2454 59 61.6 MiB 0.03 0.00 1.2814 -39.5262 -1.2814 1.2814 0.69 0.00025177 0.000232586 0.0125905 0.0116432 34 871 25 6.87369e+06 69869.2 618332. 2139.56 2.40 0.079398 0.0672669 25762 151098 -1 667 19 530 530 42842 11447 1.19797 1.19797 -41.7719 -1.19797 0 0 787024. 2723.27 0.21 0.03 0.13 -1 -1 0.21 0.00987761 0.00848143 31 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_015bits.v common 4.14 vpr 61.68 MiB -1 -1 0.15 17472 1 0.02 -1 -1 30296 -1 -1 5 31 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63160 31 16 111 112 1 80 52 17 17 289 -1 unnamed_device 23.2 MiB 0.13 290 5096 2068 2936 92 61.7 MiB 0.04 0.00 1.65273 -43.1089 -1.65273 1.65273 0.68 0.000270835 0.000250663 0.0151058 0.0139874 30 651 17 6.87369e+06 69869.2 556674. 1926.21 1.61 0.0753013 0.06438 25186 138497 -1 555 14 349 349 20738 5613 1.18967 1.18967 -42.4244 -1.18967 0 0 706193. 2443.58 0.19 0.02 0.08 -1 -1 0.19 0.00848612 0.00736887 33 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_016bits.v common 3.31 vpr 61.83 MiB -1 -1 0.15 17556 1 0.02 -1 -1 30004 -1 -1 5 33 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63316 33 17 118 119 1 83 55 17 17 289 -1 unnamed_device 23.3 MiB 0.08 305 6087 2501 3496 90 61.8 MiB 0.04 0.00 1.66373 -46.473 -1.66373 1.66373 0.68 0.000289384 0.000268076 0.017735 0.016463 30 704 16 6.87369e+06 69869.2 556674. 1926.21 0.71 0.049865 0.0434789 25186 138497 -1 594 15 396 396 26462 7106 1.10837 1.10837 -44.7628 -1.10837 0 0 706193. 2443.58 0.19 0.03 0.12 -1 -1 0.19 0.00946652 0.00819901 34 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_018bits.v common 4.32 vpr 61.81 MiB -1 -1 0.16 17376 1 0.03 -1 -1 30088 -1 -1 5 37 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63296 37 19 132 133 1 89 61 17 17 289 -1 unnamed_device 23.2 MiB 0.07 338 5821 2339 3395 87 61.8 MiB 0.04 0.00 1.68573 -53.1446 -1.68573 1.68573 0.67 0.000331983 0.00030891 0.0168326 0.0156666 30 889 19 6.87369e+06 69869.2 556674. 1926.21 1.73 0.0876477 0.0749122 25186 138497 -1 717 13 426 426 32408 8353 1.25567 1.25567 -53.9391 -1.25567 0 0 706193. 2443.58 0.19 0.03 0.12 -1 -1 0.19 0.00950495 0.00826691 38 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_020bits.v common 3.83 vpr 61.99 MiB -1 -1 0.16 17440 1 0.02 -1 -1 30316 -1 -1 6 41 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63480 41 21 146 147 1 101 68 17 17 289 -1 unnamed_device 23.4 MiB 0.07 382 7382 2999 4271 112 62.0 MiB 0.05 0.00 1.70773 -60.515 -1.70773 1.70773 0.68 0.00036779 0.00034229 0.0202702 0.0188494 34 1055 16 6.87369e+06 83843 618332. 2139.56 1.15 0.0872034 0.0751779 25762 151098 -1 880 13 543 543 61364 17119 1.24467 1.24467 -58.6078 -1.24467 0 0 787024. 2723.27 0.21 0.04 0.13 -1 -1 0.21 0.0103488 0.00905556 42 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_022bits.v common 4.02 vpr 62.00 MiB -1 -1 0.17 17468 1 0.02 -1 -1 30320 -1 -1 7 45 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63492 45 23 160 161 1 115 75 17 17 289 -1 unnamed_device 23.4 MiB 0.11 444 8449 3437 4860 152 62.0 MiB 0.05 0.00 1.72973 -67.7337 -1.72973 1.72973 0.68 0.000392695 0.000364823 0.0219802 0.0204547 34 1370 26 6.87369e+06 97816.9 618332. 2139.56 1.30 0.0832593 0.0724742 25762 151098 -1 1015 13 608 608 51492 13168 1.28867 1.28867 -65.2983 -1.28867 0 0 787024. 2723.27 0.21 0.04 0.13 -1 -1 0.21 0.0111065 0.00972696 47 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_024bits.v common 4.01 vpr 62.07 MiB -1 -1 0.17 17528 1 0.02 -1 -1 30404 -1 -1 7 49 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63560 49 25 174 175 1 124 81 17 17 289 -1 unnamed_device 23.5 MiB 0.09 605 10056 2373 7370 313 62.1 MiB 0.07 0.00 2.11206 -78.5497 -2.11206 2.11206 0.67 0.000422072 0.000392985 0.0255691 0.0238238 34 1389 20 6.87369e+06 97816.9 618332. 2139.56 1.18 0.106841 0.0930413 25762 151098 -1 1234 14 660 660 60348 14534 1.34797 1.34797 -75.6162 -1.34797 0 0 787024. 2723.27 0.21 0.04 0.13 -1 -1 0.21 0.0125046 0.010949 50 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_028bits.v common 4.05 vpr 62.18 MiB -1 -1 0.15 17476 1 0.02 -1 -1 29948 -1 -1 8 57 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63672 57 29 202 203 1 142 94 17 17 289 -1 unnamed_device 23.6 MiB 0.09 728 10957 2389 8188 380 62.2 MiB 0.07 0.00 2.15606 -94.7378 -2.15606 2.15606 0.68 0.000487876 0.000454841 0.0263095 0.0245419 34 1647 17 6.87369e+06 111791 618332. 2139.56 1.24 0.114196 0.100013 25762 151098 -1 1490 15 722 722 68358 15964 1.40297 1.40297 -91.1627 -1.40297 0 0 787024. 2723.27 0.21 0.05 0.13 -1 -1 0.21 0.0151007 0.0132963 58 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_032bits.v common 4.19 vpr 62.34 MiB -1 -1 0.16 17500 1 0.03 -1 -1 29988 -1 -1 9 65 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63840 65 33 230 231 1 165 107 17 17 289 -1 unnamed_device 23.6 MiB 0.09 980 16046 5179 9796 1071 62.3 MiB 0.10 0.00 2.56039 -112.877 -2.56039 2.56039 0.69 0.000564608 0.000527347 0.0374872 0.0350016 34 2030 21 6.87369e+06 125765 618332. 2139.56 1.34 0.147487 0.12985 25762 151098 -1 1789 14 795 795 84552 18473 1.56397 1.56397 -108.864 -1.56397 0 0 787024. 2723.27 0.21 0.05 0.13 -1 -1 0.21 0.016502 0.0145659 66 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_048bits.v common 4.53 vpr 62.94 MiB -1 -1 0.20 17760 1 0.03 -1 -1 30208 -1 -1 13 97 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64452 97 49 342 343 1 247 159 17 17 289 -1 unnamed_device 24.0 MiB 0.11 1359 29399 8657 18746 1996 62.9 MiB 0.19 0.00 3.45705 -190.622 -3.45705 3.45705 0.68 0.00087045 0.000815363 0.0641279 0.0600066 34 3218 25 6.87369e+06 181660 618332. 2139.56 1.50 0.197697 0.178077 25762 151098 -1 2654 15 1106 1106 106227 24679 1.75797 1.75797 -169.209 -1.75797 0 0 787024. 2723.27 0.21 0.07 0.13 -1 -1 0.21 0.0258674 0.0231158 98 2 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_064bits.v common 7.05 vpr 63.44 MiB -1 -1 0.21 18004 1 0.03 -1 -1 30592 -1 -1 17 129 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64964 129 65 454 455 1 329 211 17 17 289 -1 unnamed_device 24.5 MiB 0.13 1993 46609 15041 27382 4186 63.4 MiB 0.26 0.01 4.35372 -288.791 -4.35372 4.35372 0.68 0.00119389 0.00112361 0.0919029 0.0864594 36 4415 26 6.87369e+06 237555 648988. 2245.63 3.76 0.420444 0.379351 26050 158493 -1 3728 19 1637 1637 172818 38660 1.87067 1.87067 -227.723 -1.87067 0 0 828058. 2865.25 0.22 0.11 0.14 -1 -1 0.22 0.0432552 0.0389188 130 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_004bits.v common 3.85 vpr 61.40 MiB -1 -1 0.15 17076 1 0.02 -1 -1 29916 -1 -1 2 9 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62872 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 22.7 MiB 0.03 45 356 107 237 12 61.4 MiB 0.01 0.00 0.789073 -10.0295 -0.789073 0.789073 0.68 8.8051e-05 7.9597e-05 0.00183557 0.00166161 22 154 18 6.89349e+06 28187.7 443629. 1535.05 1.42 0.0176875 0.014543 23458 102101 -1 114 18 98 98 3924 1491 0.90532 0.90532 -9.87556 -0.90532 0 0 531479. 1839.03 0.17 0.03 0.09 -1 -1 0.17 0.0086586 0.00725806 10 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_005bits.v common 4.24 vpr 61.38 MiB -1 -1 0.07 17232 1 0.02 -1 -1 30060 -1 -1 3 11 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62856 11 6 41 42 1 27 20 17 17 289 -1 unnamed_device 22.7 MiB 0.03 62 641 164 455 22 61.4 MiB 0.01 0.00 0.817273 -12.6839 -0.817273 0.817273 0.67 0.00010533 9.6259e-05 0.00282302 0.0025714 26 209 13 6.89349e+06 42281.5 503264. 1741.40 1.82 0.0298085 0.0244578 24322 120374 -1 199 9 87 87 5417 1739 0.942573 0.942573 -14.086 -0.942573 0 0 618332. 2139.56 0.22 0.01 0.12 -1 -1 0.22 0.00325929 0.00289589 13 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_006bits.v common 3.10 vpr 61.35 MiB -1 -1 0.15 17092 1 0.02 -1 -1 29960 -1 -1 3 13 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62820 13 7 48 49 1 33 23 17 17 289 -1 unnamed_device 22.6 MiB 0.04 80 1015 241 576 198 61.3 MiB 0.01 0.00 0.833073 -15.3861 -0.833073 0.833073 0.67 0.000121884 0.000111712 0.00424574 0.00389074 28 312 20 6.89349e+06 42281.5 531479. 1839.03 0.64 0.0193223 0.0163021 24610 126494 -1 244 25 292 292 17449 5462 1.08367 1.08367 -16.6538 -1.08367 0 0 648988. 2245.63 0.18 0.02 0.11 -1 -1 0.18 0.00657205 0.00555051 15 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_007bits.v common 3.73 vpr 61.24 MiB -1 -1 0.11 17240 1 0.02 -1 -1 30064 -1 -1 3 15 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62712 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 22.6 MiB 0.06 110 1850 727 959 164 61.2 MiB 0.02 0.00 1.2044 -18.413 -1.2044 1.2044 0.68 0.000134194 0.00012004 0.00718063 0.0065967 26 295 32 6.89349e+06 42281.5 503264. 1741.40 1.29 0.0431683 0.0358815 24322 120374 -1 218 12 161 161 7484 2547 0.956373 0.956373 -18.2239 -0.956373 0 0 618332. 2139.56 0.17 0.01 0.11 -1 -1 0.17 0.00457467 0.00398854 17 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_008bits.v common 4.58 vpr 61.50 MiB -1 -1 0.15 17304 1 0.02 -1 -1 30032 -1 -1 3 17 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62972 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 22.8 MiB 0.04 120 2097 836 1147 114 61.5 MiB 0.02 0.00 1.2154 -21.3749 -1.2154 1.2154 0.68 0.000157577 0.0001451 0.00794598 0.00731835 32 320 18 6.89349e+06 42281.5 586450. 2029.24 2.03 0.0483007 0.0402159 25474 144626 -1 237 18 158 158 8304 2719 0.96932 0.96932 -20.6745 -0.96932 0 0 744469. 2576.02 0.20 0.02 0.13 -1 -1 0.20 0.00639046 0.00545052 18 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_009bits.v common 3.15 vpr 61.38 MiB -1 -1 0.15 17144 1 0.02 -1 -1 30004 -1 -1 3 19 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62852 19 10 69 70 1 45 32 17 17 289 -1 unnamed_device 22.6 MiB 0.04 132 2432 921 1234 277 61.4 MiB 0.02 0.00 1.2264 -24.2382 -1.2264 1.2264 0.70 0.00017559 0.000161937 0.00903211 0.00833549 26 385 13 6.89349e+06 42281.5 503264. 1741.40 0.61 0.028358 0.0243091 24322 120374 -1 326 13 188 188 11131 3367 1.12567 1.12567 -26.3034 -1.12567 0 0 618332. 2139.56 0.17 0.02 0.11 -1 -1 0.17 0.00566447 0.00489787 20 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_010bits.v common 4.69 vpr 61.70 MiB -1 -1 0.16 17240 1 0.02 -1 -1 30076 -1 -1 3 21 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63184 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 23.3 MiB 0.07 144 2657 904 1108 645 61.7 MiB 0.02 0.00 1.2374 -27.0223 -1.2374 1.2374 0.68 0.000193191 0.000178582 0.00958407 0.0088574 32 414 15 6.89349e+06 42281.5 586450. 2029.24 2.12 0.0593495 0.0495628 25474 144626 -1 365 13 200 200 17605 4541 1.14767 1.14767 -29.691 -1.14767 0 0 744469. 2576.02 0.20 0.02 0.13 -1 -1 0.20 0.00609421 0.00526856 22 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_011bits.v common 4.62 vpr 61.57 MiB -1 -1 0.14 17292 1 0.02 -1 -1 30000 -1 -1 4 23 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63048 23 12 83 84 1 53 39 17 17 289 -1 unnamed_device 22.9 MiB 0.05 161 3207 1169 1568 470 61.6 MiB 0.02 0.00 1.2484 -30.0849 -1.2484 1.2484 0.70 0.000208728 0.000192972 0.0107645 0.00995636 30 508 23 6.89349e+06 56375.4 556674. 1926.21 2.09 0.0559501 0.0471621 25186 138497 -1 412 16 276 276 21287 5430 1.14287 1.14287 -30.9185 -1.14287 0 0 706193. 2443.58 0.19 0.02 0.13 -1 -1 0.19 0.00749804 0.0064525 24 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_012bits.v common 4.31 vpr 61.73 MiB -1 -1 0.15 17184 1 0.02 -1 -1 30012 -1 -1 4 25 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63208 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 23.1 MiB 0.05 194 3066 1220 1817 29 61.7 MiB 0.02 0.00 1.2594 -33.4928 -1.2594 1.2594 0.71 0.000227703 0.000210777 0.0101504 0.00939565 28 566 20 6.89349e+06 56375.4 531479. 1839.03 1.75 0.0702117 0.0590204 24610 126494 -1 508 14 334 334 23293 6293 1.16062 1.16062 -34.8765 -1.16062 0 0 648988. 2245.63 0.18 0.02 0.11 -1 -1 0.18 0.00717823 0.0062033 26 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_013bits.v common 5.06 vpr 61.51 MiB -1 -1 0.13 17228 1 0.02 -1 -1 30056 -1 -1 4 27 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62988 27 14 97 98 1 67 45 17 17 289 -1 unnamed_device 22.8 MiB 0.05 212 3965 1580 2332 53 61.5 MiB 0.03 0.00 1.2704 -36.2189 -1.2704 1.2704 0.68 0.000237244 0.000219204 0.0124599 0.0115117 36 666 21 6.89349e+06 56375.4 648988. 2245.63 2.45 0.0794831 0.0670493 26050 158493 -1 565 17 407 407 30578 8238 1.18067 1.18067 -37.9109 -1.18067 0 0 828058. 2865.25 0.22 0.03 0.14 -1 -1 0.22 0.00861616 0.00741841 28 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_014bits.v common 4.56 vpr 61.76 MiB -1 -1 0.10 17164 1 0.02 -1 -1 29940 -1 -1 5 29 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63240 29 15 104 105 1 74 49 17 17 289 -1 unnamed_device 23.0 MiB 0.06 267 4232 1438 1805 989 61.8 MiB 0.03 0.00 1.2814 -39.3367 -1.2814 1.2814 0.68 0.00025057 0.000231901 0.0125591 0.0116212 32 800 16 6.89349e+06 70469.2 586450. 2029.24 1.95 0.0747419 0.0634269 25474 144626 -1 696 20 474 474 53826 12663 1.07887 1.07887 -41.1685 -1.07887 0 0 744469. 2576.02 0.20 0.03 0.13 -1 -1 0.20 0.0102231 0.00876635 31 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_015bits.v common 4.92 vpr 61.71 MiB -1 -1 0.16 17184 1 0.02 -1 -1 30348 -1 -1 5 31 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63196 31 16 111 112 1 80 52 17 17 289 -1 unnamed_device 23.2 MiB 0.08 290 5096 2068 2923 105 61.7 MiB 0.04 0.00 1.65273 -43.2743 -1.65273 1.65273 0.68 0.00026946 0.000249594 0.0148796 0.0137875 32 810 23 6.89349e+06 70469.2 586450. 2029.24 2.27 0.0753431 0.0641536 25474 144626 -1 607 17 388 388 32529 8494 1.21167 1.21167 -43.3168 -1.21167 0 0 744469. 2576.02 0.20 0.03 0.13 -1 -1 0.20 0.00970255 0.00836801 33 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_016bits.v common 4.21 vpr 61.70 MiB -1 -1 0.13 17256 1 0.03 -1 -1 30048 -1 -1 5 33 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63176 33 17 118 119 1 83 55 17 17 289 -1 unnamed_device 23.2 MiB 0.09 305 6087 2495 3491 101 61.7 MiB 0.04 0.00 1.66373 -47.069 -1.66373 1.66373 0.68 0.000290045 0.000268814 0.0179511 0.0166518 30 713 21 6.89349e+06 70469.2 556674. 1926.21 1.48 0.0734606 0.0630285 25186 138497 -1 602 13 392 392 27294 7261 1.21167 1.21167 -46.7 -1.21167 0 0 706193. 2443.58 0.22 0.03 0.16 -1 -1 0.22 0.00853679 0.00742914 34 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_018bits.v common 5.08 vpr 61.67 MiB -1 -1 0.13 17480 1 0.02 -1 -1 30160 -1 -1 5 37 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63148 37 19 132 133 1 89 61 17 17 289 -1 unnamed_device 23.2 MiB 0.07 342 5821 2357 3387 77 61.7 MiB 0.04 0.00 1.68573 -54.1107 -1.68573 1.68573 0.68 0.000328562 0.000305394 0.0168304 0.0156577 34 894 16 6.89349e+06 70469.2 618332. 2139.56 2.38 0.0827565 0.0708403 25762 151098 -1 786 12 419 419 34308 8532 1.35897 1.35897 -55.4515 -1.35897 0 0 787024. 2723.27 0.23 0.03 0.13 -1 -1 0.23 0.00896989 0.0078058 38 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_020bits.v common 3.98 vpr 61.75 MiB -1 -1 0.15 17444 1 0.02 -1 -1 30440 -1 -1 6 41 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63236 41 21 146 147 1 101 68 17 17 289 -1 unnamed_device 23.1 MiB 0.07 382 7382 2994 4283 105 61.8 MiB 0.05 0.00 1.70773 -60.6787 -1.70773 1.70773 0.67 0.000356003 0.000330603 0.0200354 0.018613 34 1137 29 6.89349e+06 84563 618332. 2139.56 1.25 0.0941227 0.0810155 25762 151098 -1 896 14 497 497 49470 12006 1.27767 1.27767 -59.8203 -1.27767 0 0 787024. 2723.27 0.21 0.03 0.14 -1 -1 0.21 0.0133111 0.0116975 42 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_022bits.v common 6.02 vpr 61.94 MiB -1 -1 0.14 17312 1 0.02 -1 -1 30336 -1 -1 7 45 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63424 45 23 160 161 1 115 75 17 17 289 -1 unnamed_device 23.3 MiB 0.09 440 8449 3423 4877 149 61.9 MiB 0.05 0.00 1.72973 -67.5802 -1.72973 1.72973 0.68 0.000388278 0.000360736 0.0217766 0.0202537 40 1047 17 6.89349e+06 98656.9 706193. 2443.58 3.27 0.145235 0.124592 26914 176310 -1 971 14 537 537 52525 12929 1.44227 1.44227 -66.651 -1.44227 0 0 926341. 3205.33 0.24 0.04 0.16 -1 -1 0.24 0.0115703 0.0100724 47 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_024bits.v common 4.08 vpr 62.10 MiB -1 -1 0.17 17524 1 0.02 -1 -1 30324 -1 -1 7 49 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63588 49 25 174 175 1 124 81 17 17 289 -1 unnamed_device 23.5 MiB 0.08 602 10056 2329 7462 265 62.1 MiB 0.06 0.00 2.11206 -78.5198 -2.11206 2.11206 0.68 0.000430072 0.000400231 0.0253041 0.0235722 34 1406 36 6.89349e+06 98656.9 618332. 2139.56 1.35 0.116771 0.10141 25762 151098 -1 1216 11 566 566 50636 12121 1.24467 1.24467 -73.5762 -1.24467 0 0 787024. 2723.27 0.21 0.03 0.14 -1 -1 0.21 0.0105211 0.00924665 50 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_028bits.v common 3.99 vpr 61.96 MiB -1 -1 0.16 17572 1 0.02 -1 -1 30100 -1 -1 8 57 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63452 57 29 202 203 1 142 94 17 17 289 -1 unnamed_device 23.4 MiB 0.08 735 7975 1707 6009 259 62.0 MiB 0.06 0.00 2.15606 -94.8271 -2.15606 2.15606 0.68 0.000487556 0.000454494 0.0194204 0.0181076 34 1652 13 6.89349e+06 112751 618332. 2139.56 1.22 0.107832 0.0941081 25762 151098 -1 1422 12 657 657 62311 14519 1.26667 1.26667 -86.3714 -1.26667 0 0 787024. 2723.27 0.21 0.04 0.13 -1 -1 0.21 0.0132587 0.0116877 58 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_032bits.v common 4.10 vpr 62.23 MiB -1 -1 0.18 17400 1 0.02 -1 -1 30160 -1 -1 9 65 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63728 65 33 230 231 1 165 107 17 17 289 -1 unnamed_device 23.5 MiB 0.08 978 16046 4505 10523 1018 62.2 MiB 0.10 0.00 2.56039 -115.373 -2.56039 2.56039 0.68 0.000566344 0.000527588 0.0374318 0.0349269 34 2012 20 6.89349e+06 126845 618332. 2139.56 1.24 0.146585 0.129049 25762 151098 -1 1824 15 739 739 76313 16556 1.42297 1.42297 -105.171 -1.42297 0 0 787024. 2723.27 0.21 0.05 0.14 -1 -1 0.21 0.0176832 0.0156096 66 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_048bits.v common 4.48 vpr 63.08 MiB -1 -1 0.15 17628 1 0.03 -1 -1 30272 -1 -1 13 97 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64592 97 49 342 343 1 247 159 17 17 289 -1 unnamed_device 24.1 MiB 0.11 1365 29399 8841 18664 1894 63.1 MiB 0.17 0.00 3.45705 -190.368 -3.45705 3.45705 0.67 0.000863648 0.000809352 0.0613637 0.0575136 34 3239 21 6.89349e+06 183220 618332. 2139.56 1.49 0.233748 0.209831 25762 151098 -1 2600 12 1016 1016 99325 23153 1.77997 1.77997 -168.573 -1.77997 0 0 787024. 2723.27 0.21 0.07 0.15 -1 -1 0.21 0.021962 0.0197184 98 2 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml adder_064bits.v common 6.89 vpr 63.66 MiB -1 -1 0.20 18036 1 0.04 -1 -1 30528 -1 -1 17 129 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65184 129 65 454 455 1 329 211 17 17 289 -1 unnamed_device 24.7 MiB 0.12 1975 46609 14694 27844 4071 63.7 MiB 0.26 0.01 4.35372 -287.807 -4.35372 4.35372 0.68 0.00121008 0.00113985 0.0921106 0.0866821 36 4420 32 6.89349e+06 239595 648988. 2245.63 3.65 0.429033 0.387492 26050 158493 -1 3675 14 1471 1471 153704 34188 1.98497 1.98497 -234.187 -1.98497 0 0 828058. 2865.25 0.22 0.10 0.14 -1 -1 0.22 0.0341292 0.030824 130 2 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_004bits.v common 1.81 vpr 61.53 MiB -1 -1 0.14 17176 2 0.05 -1 -1 31920 -1 -1 2 9 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63004 9 5 28 33 1 17 16 17 17 289 -1 unnamed_device 22.7 MiB 0.01 102 56 22 34 0 61.5 MiB 0.00 0.00 1.25905 -11.4776 -1.25905 1.25905 0.35 8.882e-05 8.0374e-05 0.000503367 0.000460617 -1 -1 -1 -1 20 177 7 6.55708e+06 24110 394039. 1363.46 0.24 0.00338036 0.00301975 19870 87366 -1 145 5 37 42 2127 683 1.13885 1.13885 -10.6853 -1.13885 0 0 477104. 1650.88 0.02 0.01 0.07 -1 -1 0.02 0.00234608 0.00214041 13 6 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_005bits.v common 1.75 vpr 61.79 MiB -1 -1 0.15 17260 2 0.07 -1 -1 31784 -1 -1 2 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63268 11 6 34 40 1 20 19 17 17 289 -1 unnamed_device 23.1 MiB 0.01 68 419 92 314 13 61.8 MiB 0.01 0.00 1.13885 -12.6274 -1.13885 1.13885 0.36 0.000104018 9.4606e-05 0.00207701 0.00189506 -1 -1 -1 -1 20 166 5 6.55708e+06 24110 394039. 1363.46 0.25 0.00525114 0.0047588 19870 87366 -1 137 4 34 37 1601 538 1.01865 1.01865 -12.5587 -1.01865 0 0 477104. 1650.88 0.02 0.01 0.07 -1 -1 0.02 0.00240353 0.00219298 16 7 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_006bits.v common 1.70 vpr 61.61 MiB -1 -1 0.12 17184 3 0.05 -1 -1 31860 -1 -1 3 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63088 13 7 41 48 1 27 23 17 17 289 -1 unnamed_device 22.9 MiB 0.01 135 631 147 469 15 61.6 MiB 0.01 0.00 1.37725 -16.6067 -1.37725 1.37725 0.32 0.000129747 0.00011854 0.00286597 0.002624 -1 -1 -1 -1 20 273 10 6.55708e+06 36165 394039. 1363.46 0.25 0.007305 0.00653074 19870 87366 -1 227 7 76 83 3857 1249 1.25705 1.25705 -17.2319 -1.25705 0 0 477104. 1650.88 0.02 0.01 0.08 -1 -1 0.02 0.0033876 0.00303842 19 9 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_007bits.v common 1.80 vpr 61.52 MiB -1 -1 0.13 17272 3 0.04 -1 -1 31840 -1 -1 4 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62992 15 8 47 55 1 35 27 17 17 289 -1 unnamed_device 23.1 MiB 0.01 246 1107 227 797 83 61.5 MiB 0.01 0.00 1.23151 -21.1845 -1.23151 1.23151 0.32 0.000140574 0.000128754 0.00439077 0.0040239 -1 -1 -1 -1 22 399 8 6.55708e+06 48220 420624. 1455.45 0.33 0.0233791 0.0196844 20158 92377 -1 374 12 138 186 9733 2657 1.23151 1.23151 -21.7797 -1.23151 0 0 500653. 1732.36 0.02 0.01 0.05 -1 -1 0.02 0.00470751 0.00408781 23 10 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_008bits.v common 1.98 vpr 61.69 MiB -1 -1 0.16 17380 3 0.05 -1 -1 32048 -1 -1 6 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63172 17 9 56 65 1 37 32 17 17 289 -1 unnamed_device 23.3 MiB 0.01 141 1132 207 843 82 61.7 MiB 0.01 0.00 1.73785 -23.0011 -1.73785 1.73785 0.32 0.000177397 0.000163282 0.00448382 0.00413693 -1 -1 -1 -1 26 292 10 6.55708e+06 72330 477104. 1650.88 0.34 0.0230579 0.0195262 21022 109990 -1 253 10 116 150 5905 2088 1.73785 1.73785 -22.979 -1.73785 0 0 585099. 2024.56 0.03 0.01 0.09 -1 -1 0.03 0.00488895 0.00427019 26 14 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_009bits.v common 2.00 vpr 61.60 MiB -1 -1 0.17 17588 4 0.08 -1 -1 31936 -1 -1 6 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63080 19 10 60 70 1 46 35 17 17 289 -1 unnamed_device 23.2 MiB 0.02 206 890 192 689 9 61.6 MiB 0.01 0.00 1.83817 -26.8738 -1.83817 1.83817 0.32 0.00018623 0.000172113 0.00345229 0.00318807 -1 -1 -1 -1 24 503 11 6.55708e+06 72330 448715. 1552.65 0.34 0.023586 0.0197806 20734 103517 -1 456 14 189 265 14696 4171 1.79897 1.79897 -29.1306 -1.79897 0 0 554710. 1919.41 0.02 0.02 0.09 -1 -1 0.02 0.00625358 0.00539304 29 13 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_010bits.v common 1.85 vpr 61.68 MiB -1 -1 0.11 17620 4 0.06 -1 -1 31780 -1 -1 7 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63156 21 11 69 80 1 45 39 17 17 289 -1 unnamed_device 23.2 MiB 0.02 234 1425 276 1119 30 61.7 MiB 0.01 0.00 2.00308 -29.8235 -2.00308 2.00308 0.32 0.00021215 0.000195206 0.00517815 0.0047725 -1 -1 -1 -1 20 484 10 6.55708e+06 84385 394039. 1363.46 0.26 0.011519 0.0102836 19870 87366 -1 431 8 137 199 8705 2803 1.8657 1.8657 -30.3926 -1.8657 0 0 477104. 1650.88 0.02 0.01 0.08 -1 -1 0.02 0.00515178 0.00455678 33 17 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_011bits.v common 2.06 vpr 61.65 MiB -1 -1 0.13 17464 5 0.06 -1 -1 32020 -1 -1 7 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63128 23 12 76 88 1 52 42 17 17 289 -1 unnamed_device 23.1 MiB 0.02 299 2130 460 1580 90 61.6 MiB 0.02 0.00 2.1851 -34.7155 -2.1851 2.1851 0.31 0.000225836 0.000208793 0.00737873 0.0068159 -1 -1 -1 -1 30 557 11 6.55708e+06 84385 526063. 1820.29 0.39 0.0385933 0.032892 21886 126133 -1 477 9 132 177 10121 2634 2.0649 2.0649 -34.6717 -2.0649 0 0 666494. 2306.21 0.03 0.01 0.10 -1 -1 0.03 0.0056861 0.00499978 36 19 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_012bits.v common 1.97 vpr 61.68 MiB -1 -1 0.15 17448 5 0.06 -1 -1 32056 -1 -1 8 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63164 25 13 83 96 1 61 46 17 17 289 -1 unnamed_device 23.1 MiB 0.03 382 1604 307 1258 39 61.7 MiB 0.02 0.00 2.1433 -40.194 -2.1433 2.1433 0.32 0.0002374 0.000218617 0.00535399 0.0049428 -1 -1 -1 -1 20 750 24 6.55708e+06 96440 394039. 1363.46 0.28 0.0174527 0.0152737 19870 87366 -1 675 11 204 284 17644 4645 2.0231 2.0231 -41.4897 -2.0231 0 0 477104. 1650.88 0.02 0.02 0.08 -1 -1 0.02 0.00708701 0.00625295 39 21 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_013bits.v common 1.98 vpr 61.82 MiB -1 -1 0.12 17548 5 0.06 -1 -1 31808 -1 -1 10 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63308 27 14 91 105 1 72 51 17 17 289 -1 unnamed_device 23.2 MiB 0.05 487 1931 345 1368 218 61.8 MiB 0.02 0.00 2.31696 -45.6334 -2.31696 2.31696 0.32 0.000303653 0.000273882 0.00640562 0.00591371 -1 -1 -1 -1 26 873 12 6.55708e+06 120550 477104. 1650.88 0.36 0.0365231 0.0313217 21022 109990 -1 816 8 208 332 18433 4780 1.9839 1.9839 -46.0894 -1.9839 0 0 585099. 2024.56 0.03 0.02 0.09 -1 -1 0.03 0.00631768 0.005585 44 24 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_014bits.v common 1.92 vpr 61.82 MiB -1 -1 0.15 17672 6 0.07 -1 -1 32032 -1 -1 10 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63308 29 15 95 110 1 74 54 17 17 289 -1 unnamed_device 23.2 MiB 0.03 326 1992 324 1638 30 61.8 MiB 0.02 0.00 2.92362 -47.3926 -2.92362 2.92362 0.32 0.000283404 0.000262906 0.00638465 0.00592117 -1 -1 -1 -1 26 681 9 6.55708e+06 120550 477104. 1650.88 0.29 0.0229025 0.019846 21022 109990 -1 645 14 253 412 18759 5604 2.76422 2.76422 -48.0089 -2.76422 0 0 585099. 2024.56 0.03 0.02 0.09 -1 -1 0.03 0.00906397 0.00783781 46 23 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_015bits.v common 2.02 vpr 61.88 MiB -1 -1 0.17 17476 6 0.07 -1 -1 31980 -1 -1 10 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63364 31 16 104 120 1 74 57 17 17 289 -1 unnamed_device 23.2 MiB 0.03 413 2455 496 1792 167 61.9 MiB 0.02 0.00 2.5437 -52.0473 -2.5437 2.5437 0.32 0.000314967 0.000292737 0.00803663 0.00746438 -1 -1 -1 -1 28 797 9 6.55708e+06 120550 500653. 1732.36 0.37 0.0394837 0.0339214 21310 115450 -1 706 7 169 223 12972 3468 2.5437 2.5437 -53.9588 -2.5437 0 0 612192. 2118.31 0.03 0.02 0.10 -1 -1 0.03 0.0066224 0.00586415 50 27 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_016bits.v common 1.89 vpr 61.88 MiB -1 -1 0.17 17512 7 0.07 -1 -1 32112 -1 -1 10 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63364 33 17 112 129 1 80 60 17 17 289 -1 unnamed_device 23.1 MiB 0.03 455 2400 450 1872 78 61.9 MiB 0.01 0.00 2.77173 -56.4743 -2.77173 2.77173 0.28 0.000151138 0.000138573 0.00382632 0.0035109 -1 -1 -1 -1 22 966 13 6.55708e+06 120550 420624. 1455.45 0.24 0.0223788 0.0192259 20158 92377 -1 820 14 243 337 17062 5047 2.6619 2.6619 -58.7642 -2.6619 0 0 500653. 1732.36 0.02 0.02 0.08 -1 -1 0.02 0.010673 0.0092982 54 30 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_018bits.v common 2.09 vpr 61.96 MiB -1 -1 0.17 17540 7 0.06 -1 -1 31948 -1 -1 13 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63444 37 19 127 146 1 95 69 17 17 289 -1 unnamed_device 23.1 MiB 0.04 580 5286 1128 3575 583 62.0 MiB 0.04 0.00 2.83296 -65.8925 -2.83296 2.83296 0.32 0.000370259 0.000344095 0.0149742 0.013844 -1 -1 -1 -1 28 987 8 6.55708e+06 156715 500653. 1732.36 0.38 0.0517571 0.0451646 21310 115450 -1 947 6 224 327 15796 4347 2.6201 2.6201 -68.3998 -2.6201 0 0 612192. 2118.31 0.03 0.02 0.10 -1 -1 0.03 0.00692764 0.00618013 63 35 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_020bits.v common 2.16 vpr 61.99 MiB -1 -1 0.15 17432 8 0.07 -1 -1 31996 -1 -1 14 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63480 41 21 139 160 1 106 76 17 17 289 -1 unnamed_device 22.9 MiB 0.04 588 8396 2008 5342 1046 62.0 MiB 0.05 0.00 3.1799 -77.5868 -3.1799 3.1799 0.34 0.000399995 0.000371199 0.0222085 0.0206233 -1 -1 -1 -1 26 1120 12 6.55708e+06 168770 477104. 1650.88 0.38 0.0643844 0.0565876 21022 109990 -1 1022 10 319 425 23447 6363 3.1799 3.1799 -79.2365 -3.1799 0 0 585099. 2024.56 0.03 0.02 0.12 -1 -1 0.03 0.00931512 0.00841851 67 37 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_022bits.v common 2.19 vpr 62.45 MiB -1 -1 0.13 17484 9 0.07 -1 -1 31884 -1 -1 15 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63948 45 23 153 176 1 107 83 17 17 289 -1 unnamed_device 23.1 MiB 0.05 536 7643 1816 4897 930 62.4 MiB 0.05 0.00 4.01419 -88.5998 -4.01419 4.01419 0.32 0.000435711 0.000404292 0.0198098 0.0183771 -1 -1 -1 -1 26 1149 13 6.55708e+06 180825 477104. 1650.88 0.44 0.0661535 0.0580745 21022 109990 -1 1003 13 321 492 25700 7436 3.87922 3.87922 -89.4779 -3.87922 0 0 585099. 2024.56 0.03 0.03 0.10 -1 -1 0.03 0.0114958 0.0102772 73 41 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_024bits.v common 2.19 vpr 62.10 MiB -1 -1 0.13 17776 10 0.07 -1 -1 32012 -1 -1 15 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63588 49 25 166 191 1 117 89 17 17 289 -1 unnamed_device 22.7 MiB 0.04 524 8207 1797 5151 1259 62.1 MiB 0.05 0.00 4.48062 -100.236 -4.48062 4.48062 0.32 0.000471573 0.000438453 0.0210235 0.0195468 -1 -1 -1 -1 26 1157 12 6.55708e+06 180825 477104. 1650.88 0.44 0.070761 0.0623165 21022 109990 -1 1039 10 321 455 24838 7158 4.40948 4.40948 -103.002 -4.40948 0 0 585099. 2024.56 0.03 0.03 0.09 -1 -1 0.03 0.0115319 0.01024 78 44 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_028bits.v common 2.40 vpr 62.41 MiB -1 -1 0.16 17836 11 0.07 -1 -1 32112 -1 -1 20 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63908 57 29 198 227 1 147 106 17 17 289 -1 unnamed_device 23.0 MiB 0.05 782 8606 1708 6393 505 62.4 MiB 0.06 0.00 4.94665 -134.466 -4.94665 4.94665 0.33 0.000571874 0.000532504 0.0215301 0.0200481 -1 -1 -1 -1 28 1522 13 6.55708e+06 241100 500653. 1732.36 0.43 0.0827513 0.0729032 21310 115450 -1 1371 8 367 517 27034 7537 4.59642 4.59642 -133.949 -4.59642 0 0 612192. 2118.31 0.03 0.03 0.11 -1 -1 0.03 0.0120118 0.0107143 93 56 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_032bits.v common 2.50 vpr 62.60 MiB -1 -1 0.19 17800 13 0.08 -1 -1 32188 -1 -1 20 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64100 65 33 224 257 1 164 118 17 17 289 -1 unnamed_device 22.9 MiB 0.06 928 17169 4443 10653 2073 62.6 MiB 0.10 0.00 5.28408 -153.681 -5.28408 5.28408 0.36 0.000649312 0.000604542 0.0408491 0.0380181 -1 -1 -1 -1 30 1659 12 6.55708e+06 241100 526063. 1820.29 0.47 0.109688 0.0977858 21886 126133 -1 1555 13 429 584 31745 8729 5.08288 5.08288 -153.594 -5.08288 0 0 666494. 2306.21 0.04 0.03 0.12 -1 -1 0.04 0.016224 0.0145393 107 62 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_048bits.v common 2.63 vpr 63.23 MiB -1 -1 0.20 18112 19 0.10 -1 -1 32232 -1 -1 34 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64752 97 49 340 389 1 260 180 17 17 289 -1 unnamed_device 23.4 MiB 0.10 1520 22532 5263 15517 1752 63.2 MiB 0.13 0.00 7.62655 -301.388 -7.62655 7.62655 0.29 0.000991752 0.000926486 0.0481233 0.0448481 -1 -1 -1 -1 30 2687 13 6.55708e+06 409870 526063. 1820.29 0.51 0.154423 0.138579 21886 126133 -1 2432 11 662 955 55712 14661 7.28333 7.28333 -297.521 -7.28333 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0249464 0.0224501 165 98 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_064bits.v common 3.24 vpr 63.93 MiB -1 -1 0.28 18296 26 0.13 -1 -1 32396 -1 -1 41 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65464 129 65 453 518 1 334 235 17 17 289 -1 unnamed_device 24.1 MiB 0.10 1951 55259 16458 32932 5869 63.9 MiB 0.28 0.00 10.6369 -487.594 -10.6369 10.6369 0.34 0.00134162 0.00125451 0.10975 0.102432 -1 -1 -1 -1 30 3742 35 6.55708e+06 494255 526063. 1820.29 0.78 0.289369 0.262587 21886 126133 -1 3039 12 909 1148 67590 18881 10.0187 10.0187 -472.64 -10.0187 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0361792 0.0327806 210 131 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 0.49 abc 29.28 MiB -1 -1 0.10 17348 1 0.02 -1 -1 29980 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23980 9 5 30 31 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.58 abc 29.28 MiB -1 -1 0.12 17328 1 0.03 -1 -1 29984 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23928 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.66 abc 29.27 MiB -1 -1 0.14 17352 1 0.02 -1 -1 29972 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24144 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.66 abc 29.22 MiB -1 -1 0.14 17312 1 0.02 -1 -1 29924 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24024 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.63 abc 29.30 MiB -1 -1 0.14 17436 1 0.02 -1 -1 30000 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23980 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.61 abc 29.30 MiB -1 -1 0.15 17384 1 0.02 -1 -1 30000 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24072 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.58 abc 29.34 MiB -1 -1 0.16 17320 1 0.03 -1 -1 30048 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23932 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.55 abc 29.36 MiB -1 -1 0.14 17188 1 0.02 -1 -1 30068 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24076 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.83 abc 29.29 MiB -1 -1 0.16 17156 1 0.02 -1 -1 29992 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23980 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.67 abc 29.23 MiB -1 -1 0.15 17352 1 0.02 -1 -1 29936 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23964 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.64 abc 29.44 MiB -1 -1 0.15 17296 1 0.02 -1 -1 30144 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24080 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.82 abc 29.46 MiB -1 -1 0.16 17372 1 0.02 -1 -1 30164 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24028 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.90 abc 29.28 MiB -1 -1 0.16 17348 1 0.02 -1 -1 29984 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24032 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 1.13 abc 29.58 MiB -1 -1 0.16 17496 1 0.02 -1 -1 30288 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24004 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 1.11 abc 29.28 MiB -1 -1 0.15 17584 1 0.03 -1 -1 29980 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24108 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 1.33 abc 29.30 MiB -1 -1 0.15 17496 1 0.04 -1 -1 30004 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24068 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 1.13 abc 29.30 MiB -1 -1 0.16 17684 1 0.02 -1 -1 30000 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24012 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.59 abc 29.43 MiB -1 -1 0.16 17528 1 0.02 -1 -1 30140 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24212 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.61 abc 29.43 MiB -1 -1 0.14 17660 1 0.03 -1 -1 30136 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24056 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.60 abc 29.41 MiB -1 -1 0.12 17788 1 0.03 -1 -1 30116 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24088 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 1.42 abc 29.55 MiB -1 -1 0.21 18072 1 0.03 -1 -1 30256 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24028 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 0.58 abc 29.32 MiB -1 -1 0.15 17340 1 0.02 -1 -1 30024 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23756 9 5 30 31 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.56 abc 29.36 MiB -1 -1 0.14 17204 1 0.02 -1 -1 30060 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23848 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.46 abc 29.20 MiB -1 -1 0.08 17420 1 0.02 -1 -1 29904 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23768 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.55 abc 29.36 MiB -1 -1 0.16 17368 1 0.02 -1 -1 30060 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23832 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.50 abc 29.30 MiB -1 -1 0.11 17336 1 0.03 -1 -1 30000 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23792 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.56 abc 29.30 MiB -1 -1 0.14 17268 1 0.02 -1 -1 30008 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23780 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.64 abc 29.29 MiB -1 -1 0.15 17364 1 0.03 -1 -1 29996 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23676 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.77 abc 29.50 MiB -1 -1 0.15 17480 1 0.02 -1 -1 30208 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23908 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.87 abc 29.35 MiB -1 -1 0.13 17308 1 0.03 -1 -1 30056 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23860 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.78 abc 29.34 MiB -1 -1 0.15 17316 1 0.02 -1 -1 30044 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23840 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.90 abc 29.28 MiB -1 -1 0.13 17320 1 0.03 -1 -1 29984 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23792 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.55 abc 29.28 MiB -1 -1 0.15 17412 1 0.02 -1 -1 29984 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23760 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.57 abc 29.43 MiB -1 -1 0.14 17428 1 0.02 -1 -1 30136 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23804 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.59 abc 29.38 MiB -1 -1 0.15 17580 1 0.02 -1 -1 30084 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23888 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.59 abc 29.28 MiB -1 -1 0.16 17688 1 0.02 -1 -1 29980 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23704 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.54 abc 29.29 MiB -1 -1 0.14 17696 1 0.02 -1 -1 29988 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23960 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.53 abc 29.36 MiB -1 -1 0.09 17412 1 0.02 -1 -1 30060 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23772 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.64 abc 29.38 MiB -1 -1 0.15 17288 1 0.03 -1 -1 30088 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23700 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.68 abc 29.30 MiB -1 -1 0.17 17596 1 0.03 -1 -1 30000 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23696 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.67 abc 29.53 MiB -1 -1 0.14 17704 1 0.03 -1 -1 30236 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23772 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.81 abc 29.84 MiB -1 -1 0.22 18144 1 0.04 -1 -1 30556 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23768 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 1.67 vpr 61.95 MiB -1 -1 0.09 17252 1 0.03 -1 -1 30116 -1 -1 3 9 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63432 9 5 34 35 1 20 17 17 17 289 -1 unnamed_device 23.2 MiB 0.01 145 80 29 46 5 61.9 MiB 0.00 0.00 0.83871 -12.0914 -0.83871 0.83871 0.32 8.9493e-05 8.1196e-05 0.000627793 0.000575929 -1 -1 -1 -1 20 218 6 6.64007e+06 37674 394039. 1363.46 0.25 0.00366787 0.00331915 20530 87850 -1 213 8 56 56 3444 969 0.890248 0.890248 -12.2124 -0.890248 0 0 477104. 1650.88 0.02 0.01 0.08 -1 -1 0.02 0.0028001 0.00250588 14 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 1.81 vpr 61.82 MiB -1 -1 0.15 17384 1 0.02 -1 -1 29928 -1 -1 4 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63308 11 6 41 42 1 26 21 17 17 289 -1 unnamed_device 23.1 MiB 0.01 179 525 133 355 37 61.8 MiB 0.01 0.00 0.803048 -13.1622 -0.803048 0.803048 0.33 0.000104816 9.5546e-05 0.00232117 0.00211796 -1 -1 -1 -1 20 294 8 6.64007e+06 50232 394039. 1363.46 0.25 0.00578063 0.00517738 20530 87850 -1 279 8 74 74 5640 1476 0.923248 0.923248 -15.592 -0.923248 0 0 477104. 1650.88 0.02 0.01 0.08 -1 -1 0.02 0.00307315 0.00274174 17 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 1.86 vpr 61.86 MiB -1 -1 0.14 17352 1 0.02 -1 -1 30052 -1 -1 5 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63344 13 7 48 49 1 32 25 17 17 289 -1 unnamed_device 23.5 MiB 0.01 158 889 183 672 34 61.9 MiB 0.01 0.00 0.825048 -14.9551 -0.825048 0.825048 0.32 0.00012299 0.000112599 0.00344711 0.00315992 -1 -1 -1 -1 22 332 15 6.64007e+06 62790 420624. 1455.45 0.31 0.0177773 0.0149893 20818 92861 -1 288 10 103 103 5874 1694 0.934248 0.934248 -16.7505 -0.934248 0 0 500653. 1732.36 0.02 0.01 0.08 -1 -1 0.02 0.00384738 0.00340089 20 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 1.89 vpr 61.98 MiB -1 -1 0.15 17472 1 0.02 -1 -1 30136 -1 -1 4 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63468 15 8 55 56 1 38 27 17 17 289 -1 unnamed_device 23.6 MiB 0.02 130 827 161 522 144 62.0 MiB 0.01 0.00 1.18536 -16.9426 -1.18536 1.18536 0.32 0.000141038 0.000129717 0.00333865 0.00306739 -1 -1 -1 -1 22 336 15 6.64007e+06 50232 420624. 1455.45 0.34 0.0179109 0.0151424 20818 92861 -1 281 7 118 118 7761 2504 1.08545 1.08545 -19.5322 -1.08545 0 0 500653. 1732.36 0.02 0.01 0.08 -1 -1 0.02 0.0039426 0.00354868 22 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 2.04 vpr 61.95 MiB -1 -1 0.14 17348 1 0.02 -1 -1 30048 -1 -1 5 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63440 17 9 62 63 1 41 31 17 17 289 -1 unnamed_device 23.5 MiB 0.02 156 1759 570 827 362 62.0 MiB 0.02 0.00 1.19636 -19.8289 -1.19636 1.19636 0.32 0.000158366 0.000145724 0.00632531 0.00582349 -1 -1 -1 -1 32 266 11 6.64007e+06 62790 554710. 1919.41 0.38 0.0232912 0.0199009 22834 132086 -1 249 10 97 97 4881 1477 0.834048 0.834048 -18.8492 -0.834048 0 0 701300. 2426.64 0.03 0.01 0.11 -1 -1 0.03 0.00447744 0.00392841 25 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 1.90 vpr 61.86 MiB -1 -1 0.14 17316 1 0.03 -1 -1 30144 -1 -1 5 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63348 19 10 69 70 1 44 34 17 17 289 -1 unnamed_device 23.4 MiB 0.02 160 1574 392 922 260 61.9 MiB 0.02 0.00 1.20736 -22.28 -1.20736 1.20736 0.31 0.000177199 0.000163665 0.00559114 0.00516294 -1 -1 -1 -1 22 389 20 6.64007e+06 62790 420624. 1455.45 0.33 0.0271204 0.0230692 20818 92861 -1 335 13 170 170 11128 3516 1.05245 1.05245 -23.8346 -1.05245 0 0 500653. 1732.36 0.03 0.02 0.09 -1 -1 0.03 0.00547305 0.00480176 28 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 1.93 vpr 62.00 MiB -1 -1 0.15 17268 1 0.03 -1 -1 30160 -1 -1 6 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63488 21 11 76 77 1 49 38 17 17 289 -1 unnamed_device 23.4 MiB 0.02 326 2054 512 1388 154 62.0 MiB 0.02 0.00 1.21836 -28.0305 -1.21836 1.21836 0.32 0.000193986 0.000179202 0.0069198 0.00639758 -1 -1 -1 -1 26 550 12 6.64007e+06 75348 477104. 1650.88 0.35 0.0275302 0.0234892 21682 110474 -1 504 11 163 163 10372 2794 1.00925 1.00925 -29.7279 -1.00925 0 0 585099. 2024.56 0.03 0.02 0.10 -1 -1 0.03 0.00561273 0.00490548 31 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 1.92 vpr 62.17 MiB -1 -1 0.10 17364 1 0.03 -1 -1 30004 -1 -1 7 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63660 23 12 83 84 1 55 42 17 17 289 -1 unnamed_device 23.5 MiB 0.02 202 2274 577 1358 339 62.2 MiB 0.02 0.00 1.22936 -27.3302 -1.22936 1.22936 0.32 0.000217044 0.000200805 0.00744746 0.00689241 -1 -1 -1 -1 26 516 20 6.64007e+06 87906 477104. 1650.88 0.37 0.03361 0.0286167 21682 110474 -1 423 19 254 254 15495 4842 1.14165 1.14165 -28.5397 -1.14165 0 0 585099. 2024.56 0.03 0.02 0.09 -1 -1 0.03 0.00847906 0.00723997 35 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 2.03 vpr 62.03 MiB -1 -1 0.13 17356 1 0.02 -1 -1 30120 -1 -1 8 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63516 25 13 90 91 1 61 46 17 17 289 -1 unnamed_device 23.5 MiB 0.02 225 3162 1067 1505 590 62.0 MiB 0.03 0.00 1.24036 -30.3091 -1.24036 1.24036 0.32 0.000223716 0.000206705 0.00935455 0.00864973 -1 -1 -1 -1 28 585 26 6.64007e+06 100464 500653. 1732.36 0.41 0.0378559 0.0324088 21970 115934 -1 409 25 362 362 24657 7379 1.02145 1.02145 -29.5255 -1.02145 0 0 612192. 2118.31 0.04 0.03 0.10 -1 -1 0.04 0.00908575 0.00781132 38 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 2.07 vpr 62.03 MiB -1 -1 0.15 17336 1 0.02 -1 -1 30088 -1 -1 9 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63520 27 14 97 98 1 67 50 17 17 289 -1 unnamed_device 23.5 MiB 0.02 251 4650 1464 2253 933 62.0 MiB 0.03 0.00 1.25136 -32.7881 -1.25136 1.25136 0.32 0.000239703 0.00022173 0.0129775 0.0119987 -1 -1 -1 -1 32 519 22 6.64007e+06 113022 554710. 1919.41 0.41 0.0419733 0.0362927 22834 132086 -1 437 14 276 276 16327 5300 1.03125 1.03125 -33.0873 -1.03125 0 0 701300. 2426.64 0.03 0.02 0.12 -1 -1 0.03 0.00771732 0.00669493 41 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 1.96 vpr 62.14 MiB -1 -1 0.15 17348 1 0.02 -1 -1 29924 -1 -1 9 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63628 29 15 104 105 1 73 53 17 17 289 -1 unnamed_device 23.5 MiB 0.01 462 4409 1391 2113 905 62.1 MiB 0.03 0.00 1.26236 -39.7652 -1.26236 1.26236 0.32 0.000252152 0.000233455 0.012075 0.0111778 -1 -1 -1 -1 26 812 23 6.64007e+06 113022 477104. 1650.88 0.39 0.0429496 0.0370839 21682 110474 -1 713 17 323 323 26583 6736 1.03125 1.03125 -39.6574 -1.03125 0 0 585099. 2024.56 0.03 0.03 0.09 -1 -1 0.03 0.00919565 0.00794342 44 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 2.09 vpr 62.16 MiB -1 -1 0.15 17408 1 0.02 -1 -1 30248 -1 -1 9 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63656 31 16 111 112 1 79 56 17 17 289 -1 unnamed_device 23.5 MiB 0.03 343 4978 1636 2249 1093 62.2 MiB 0.04 0.00 1.62267 -39.5838 -1.62267 1.62267 0.30 0.000273462 0.000253412 0.0135717 0.0125654 -1 -1 -1 -1 30 686 17 6.64007e+06 113022 526063. 1820.29 0.40 0.0434449 0.0377212 22546 126617 -1 539 11 219 219 10604 3260 0.95891 0.95891 -36.3522 -0.95891 0 0 666494. 2306.21 0.03 0.02 0.10 -1 -1 0.03 0.00745402 0.00655316 46 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 2.01 vpr 62.13 MiB -1 -1 0.08 17640 1 0.02 -1 -1 30132 -1 -1 9 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63624 33 17 118 119 1 82 59 17 17 289 -1 unnamed_device 23.4 MiB 0.03 352 6329 2210 2989 1130 62.1 MiB 0.04 0.00 1.63367 -42.2226 -1.63367 1.63367 0.32 0.000292013 0.000271082 0.0171275 0.0158779 -1 -1 -1 -1 28 736 12 6.64007e+06 113022 500653. 1732.36 0.42 0.0478919 0.0418393 21970 115934 -1 618 18 335 335 18999 5605 1.21545 1.21545 -44.5486 -1.21545 0 0 612192. 2118.31 0.03 0.03 0.08 -1 -1 0.03 0.0108162 0.00934396 49 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 2.08 vpr 62.25 MiB -1 -1 0.14 17540 1 0.02 -1 -1 30084 -1 -1 11 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63740 37 19 132 133 1 90 67 17 17 289 -1 unnamed_device 23.5 MiB 0.03 393 6867 2746 3975 146 62.2 MiB 0.05 0.00 1.65567 -49.3018 -1.65567 1.65567 0.32 0.000329974 0.000306635 0.0176624 0.0164096 -1 -1 -1 -1 30 805 15 6.64007e+06 138138 526063. 1820.29 0.41 0.0534439 0.0465752 22546 126617 -1 630 14 325 325 20407 5991 1.07325 1.07325 -45.7613 -1.07325 0 0 666494. 2306.21 0.03 0.03 0.10 -1 -1 0.03 0.0100761 0.0087606 55 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 2.04 vpr 62.60 MiB -1 -1 0.13 17640 1 0.03 -1 -1 30388 -1 -1 13 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64100 41 21 146 147 1 102 75 17 17 289 -1 unnamed_device 23.3 MiB 0.02 587 9239 3659 4903 677 62.6 MiB 0.06 0.00 1.67767 -57.8173 -1.67767 1.67767 0.32 0.000360642 0.000335237 0.0220756 0.0205309 -1 -1 -1 -1 30 1014 13 6.64007e+06 163254 526063. 1820.29 0.42 0.0603789 0.0531045 22546 126617 -1 868 10 304 304 26082 6742 1.02025 1.02025 -52.0508 -1.02025 0 0 666494. 2306.21 0.03 0.03 0.10 -1 -1 0.03 0.00886711 0.00781227 62 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 2.27 vpr 62.54 MiB -1 -1 0.16 17708 1 0.02 -1 -1 30284 -1 -1 14 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64044 45 23 160 161 1 114 82 17 17 289 -1 unnamed_device 23.2 MiB 0.03 505 10228 3751 5231 1246 62.5 MiB 0.06 0.00 1.69967 -61.6923 -1.69967 1.69967 0.32 0.000396366 0.000369082 0.0236606 0.0219962 -1 -1 -1 -1 32 1098 29 6.64007e+06 175812 554710. 1919.41 0.53 0.0745634 0.0654141 22834 132086 -1 841 14 524 524 35053 10407 1.18565 1.18565 -55.6154 -1.18565 0 0 701300. 2426.64 0.03 0.03 0.11 -1 -1 0.03 0.0118029 0.0103314 68 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 2.22 vpr 62.62 MiB -1 -1 0.14 17656 1 0.03 -1 -1 30336 -1 -1 14 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64128 49 25 174 175 1 123 88 17 17 289 -1 unnamed_device 23.3 MiB 0.04 715 8278 1733 6367 178 62.6 MiB 0.06 0.00 2.07098 -71.4065 -2.07098 2.07098 0.32 0.000425886 0.000396892 0.0193216 0.0179715 -1 -1 -1 -1 30 1249 15 6.64007e+06 175812 526063. 1820.29 0.44 0.0656005 0.0577432 22546 126617 -1 1106 11 343 343 25695 6324 1.09525 1.09525 -65.1787 -1.09525 0 0 666494. 2306.21 0.03 0.03 0.10 -1 -1 0.03 0.0113828 0.0101237 73 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 2.31 vpr 62.69 MiB -1 -1 0.16 17512 1 0.03 -1 -1 30076 -1 -1 18 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64196 57 29 202 203 1 143 104 17 17 289 -1 unnamed_device 23.3 MiB 0.04 774 16452 3708 11655 1089 62.7 MiB 0.10 0.00 2.11498 -86.7435 -2.11498 2.11498 0.32 0.000485084 0.000450927 0.0341069 0.0317077 -1 -1 -1 -1 32 1465 18 6.64007e+06 226044 554710. 1919.41 0.49 0.0895901 0.0796678 22834 132086 -1 1213 17 542 542 36079 10159 1.35645 1.35645 -81.4884 -1.35645 0 0 701300. 2426.64 0.03 0.04 0.11 -1 -1 0.03 0.016593 0.0145733 86 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 2.38 vpr 62.91 MiB -1 -1 0.18 17672 1 0.03 -1 -1 30064 -1 -1 19 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64424 65 33 230 231 1 164 117 17 17 289 -1 unnamed_device 23.3 MiB 0.05 1165 18135 6420 10093 1622 62.9 MiB 0.11 0.00 2.50829 -108.778 -2.50829 2.50829 0.32 0.0005667 0.000528433 0.0374509 0.0349335 -1 -1 -1 -1 30 1825 43 6.64007e+06 238602 526063. 1820.29 0.51 0.122573 0.10861 22546 126617 -1 1603 14 500 500 39832 9325 1.21425 1.21425 -91.6209 -1.21425 0 0 666494. 2306.21 0.03 0.04 0.10 -1 -1 0.03 0.016578 0.0146599 97 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 2.65 vpr 63.45 MiB -1 -1 0.17 17900 1 0.03 -1 -1 30280 -1 -1 29 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64976 97 49 342 343 1 246 175 17 17 289 -1 unnamed_device 23.9 MiB 0.07 1660 32449 9442 20195 2812 63.5 MiB 0.20 0.00 3.38291 -180.139 -3.38291 3.38291 0.32 0.000868353 0.000813665 0.0603321 0.056479 -1 -1 -1 -1 30 2741 24 6.64007e+06 364182 526063. 1820.29 0.58 0.167976 0.151769 22546 126617 -1 2404 13 827 827 63818 16162 1.39605 1.39605 -140.523 -1.39605 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0236694 0.0212549 145 2 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 2.96 vpr 63.93 MiB -1 -1 0.19 18156 1 0.03 -1 -1 30516 -1 -1 39 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65460 129 65 454 455 1 328 233 17 17 289 -1 unnamed_device 24.4 MiB 0.11 2246 49637 16069 29592 3976 63.9 MiB 0.33 0.01 4.25753 -269.223 -4.25753 4.25753 0.32 0.00123279 0.00116168 0.0889312 0.0837218 -1 -1 -1 -1 32 3518 14 6.64007e+06 489762 554710. 1919.41 0.66 0.226555 0.20755 22834 132086 -1 3131 12 959 959 74779 19366 1.56805 1.56805 -190.941 -1.56805 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0304292 0.0275916 193 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_004bits.v common 1.70 vpr 61.73 MiB -1 -1 0.12 17344 1 0.03 -1 -1 29928 -1 -1 3 9 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63216 9 5 34 35 1 20 17 17 17 289 -1 unnamed_device 22.9 MiB 0.01 148 80 29 46 5 61.7 MiB 0.00 0.00 0.83871 -11.7447 -0.83871 0.83871 0.32 8.8927e-05 8.028e-05 0.000604437 0.000548194 -1 -1 -1 -1 20 230 8 6.65987e+06 38034 394039. 1363.46 0.25 0.00388017 0.00347642 20530 87850 -1 214 10 61 61 5414 1452 0.83871 0.83871 -11.8866 -0.83871 0 0 477104. 1650.88 0.02 0.01 0.08 -1 -1 0.02 0.0031468 0.00280409 14 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 1.72 vpr 61.69 MiB -1 -1 0.14 17312 1 0.02 -1 -1 29920 -1 -1 4 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63168 11 6 41 42 1 26 21 17 17 289 -1 unnamed_device 23.0 MiB 0.01 189 525 130 355 40 61.7 MiB 0.01 0.00 0.803048 -13.1363 -0.803048 0.803048 0.32 0.000104272 9.5105e-05 0.00233488 0.00212885 -1 -1 -1 -1 20 304 9 6.65987e+06 50712 394039. 1363.46 0.26 0.00586479 0.00523143 20530 87850 -1 282 10 105 105 8718 2335 0.83871 0.83871 -14.5944 -0.83871 0 0 477104. 1650.88 0.03 0.01 0.08 -1 -1 0.03 0.00378422 0.00342251 17 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 1.86 vpr 61.82 MiB -1 -1 0.13 17280 1 0.02 -1 -1 29868 -1 -1 5 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63308 13 7 48 49 1 32 25 17 17 289 -1 unnamed_device 23.4 MiB 0.01 147 1105 244 814 47 61.8 MiB 0.01 0.00 0.830189 -14.844 -0.830189 0.830189 0.33 0.00012577 0.000112704 0.00426613 0.00390409 -1 -1 -1 -1 26 305 15 6.65987e+06 63390 477104. 1650.88 0.34 0.0191538 0.0162467 21682 110474 -1 272 12 128 128 8327 2403 0.950389 0.950389 -16.4625 -0.950389 0 0 585099. 2024.56 0.03 0.01 0.09 -1 -1 0.03 0.00423977 0.00371064 20 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 2.02 vpr 61.80 MiB -1 -1 0.16 17392 1 0.02 -1 -1 30000 -1 -1 4 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63288 15 8 55 56 1 38 27 17 17 289 -1 unnamed_device 23.4 MiB 0.01 132 947 214 545 188 61.8 MiB 0.01 0.00 1.20253 -16.9819 -1.20253 1.20253 0.34 0.00014165 0.000130082 0.00397733 0.00363354 -1 -1 -1 -1 32 286 17 6.65987e+06 50712 554710. 1919.41 0.38 0.0203804 0.0172185 22834 132086 -1 207 12 103 103 5880 1787 0.856048 0.856048 -16.2356 -0.856048 0 0 701300. 2426.64 0.03 0.01 0.11 -1 -1 0.03 0.00468142 0.00409653 22 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 1.96 vpr 61.77 MiB -1 -1 0.14 17420 1 0.02 -1 -1 30048 -1 -1 5 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63256 17 9 62 63 1 41 31 17 17 289 -1 unnamed_device 23.4 MiB 0.02 169 1039 221 683 135 61.8 MiB 0.01 0.00 1.19636 -19.6785 -1.19636 1.19636 0.34 0.000160216 0.000147534 0.00392966 0.00362469 -1 -1 -1 -1 30 342 14 6.65987e+06 63390 526063. 1820.29 0.37 0.0217562 0.0183847 22546 126617 -1 290 13 143 143 7116 2212 0.823048 0.823048 -19.6378 -0.823048 0 0 666494. 2306.21 0.03 0.01 0.10 -1 -1 0.03 0.00533708 0.00464817 25 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 1.96 vpr 61.78 MiB -1 -1 0.10 17400 1 0.02 -1 -1 30056 -1 -1 5 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63264 19 10 69 70 1 44 34 17 17 289 -1 unnamed_device 23.3 MiB 0.02 158 1684 407 960 317 61.8 MiB 0.02 0.00 1.20736 -22.0789 -1.20736 1.20736 0.32 0.000176486 0.000162987 0.00597002 0.0055165 -1 -1 -1 -1 30 316 15 6.65987e+06 63390 526063. 1820.29 0.37 0.0258595 0.0220154 22546 126617 -1 281 20 183 183 9032 2990 1.07445 1.07445 -22.6488 -1.07445 0 0 666494. 2306.21 0.05 0.02 0.14 -1 -1 0.05 0.00796327 0.00683749 28 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 1.96 vpr 61.98 MiB -1 -1 0.14 17436 1 0.02 -1 -1 30148 -1 -1 6 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63472 21 11 76 77 1 49 38 17 17 289 -1 unnamed_device 23.4 MiB 0.02 335 1865 426 1314 125 62.0 MiB 0.02 0.00 1.21836 -28.0156 -1.21836 1.21836 0.32 0.000195988 0.000181389 0.00640653 0.00592412 -1 -1 -1 -1 26 555 11 6.65987e+06 76068 477104. 1650.88 0.35 0.0272629 0.0232932 21682 110474 -1 547 16 214 214 18567 4835 1.08545 1.08545 -31.2059 -1.08545 0 0 585099. 2024.56 0.03 0.02 0.09 -1 -1 0.03 0.00706697 0.00607694 31 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 2.03 vpr 62.06 MiB -1 -1 0.16 17404 1 0.02 -1 -1 30128 -1 -1 7 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63552 23 12 83 84 1 55 42 17 17 289 -1 unnamed_device 23.4 MiB 0.02 204 3498 1194 1551 753 62.1 MiB 0.03 0.00 1.22936 -27.6572 -1.22936 1.22936 0.32 0.000212462 0.000196166 0.0109339 0.0101005 -1 -1 -1 -1 30 454 21 6.65987e+06 88746 526063. 1820.29 0.39 0.0363204 0.0312013 22546 126617 -1 361 18 221 221 11264 3655 1.04739 1.04739 -26.9812 -1.04739 0 0 666494. 2306.21 0.03 0.02 0.10 -1 -1 0.03 0.00812448 0.00696129 35 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 1.99 vpr 61.94 MiB -1 -1 0.15 17252 1 0.02 -1 -1 29996 -1 -1 8 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63428 25 13 90 91 1 61 46 17 17 289 -1 unnamed_device 23.4 MiB 0.02 266 3244 1063 1597 584 61.9 MiB 0.03 0.00 1.24036 -31.1975 -1.24036 1.24036 0.32 0.000180091 0.000164931 0.00943785 0.00872483 -1 -1 -1 -1 28 564 34 6.65987e+06 101424 500653. 1732.36 0.43 0.046281 0.0393218 21970 115934 -1 503 13 227 227 15114 4347 1.14045 1.14045 -33.3649 -1.14045 0 0 612192. 2118.31 0.03 0.02 0.11 -1 -1 0.03 0.00698344 0.00607333 38 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 2.09 vpr 62.05 MiB -1 -1 0.15 17416 1 0.02 -1 -1 29976 -1 -1 9 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63544 27 14 97 98 1 67 50 17 17 289 -1 unnamed_device 23.4 MiB 0.02 267 4650 1648 2149 853 62.1 MiB 0.04 0.00 1.25136 -33.284 -1.25136 1.25136 0.32 0.000283328 0.000262351 0.0142241 0.0131692 -1 -1 -1 -1 32 627 21 6.65987e+06 114102 554710. 1919.41 0.42 0.0429261 0.0372136 22834 132086 -1 519 21 333 333 18881 5985 1.12945 1.12945 -35.2713 -1.12945 0 0 701300. 2426.64 0.03 0.04 0.11 -1 -1 0.03 0.0149715 0.0126565 41 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 2.07 vpr 61.92 MiB -1 -1 0.15 17644 1 0.02 -1 -1 29984 -1 -1 9 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63408 29 15 104 105 1 73 53 17 17 289 -1 unnamed_device 23.3 MiB 0.02 324 3518 788 2107 623 61.9 MiB 0.03 0.00 1.26236 -35.6797 -1.26236 1.26236 0.32 0.000253071 0.000234583 0.00979541 0.0090734 -1 -1 -1 -1 30 698 25 6.65987e+06 114102 526063. 1820.29 0.42 0.0425967 0.0366082 22546 126617 -1 520 15 327 327 17260 5451 1.02039 1.02039 -33.4205 -1.02039 0 0 666494. 2306.21 0.03 0.02 0.08 -1 -1 0.03 0.00850795 0.00740024 44 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 2.14 vpr 61.97 MiB -1 -1 0.16 17636 1 0.02 -1 -1 30264 -1 -1 9 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63460 31 16 111 112 1 79 56 17 17 289 -1 unnamed_device 23.3 MiB 0.02 363 4978 1495 2401 1082 62.0 MiB 0.04 0.00 1.62267 -39.2597 -1.62267 1.62267 0.32 0.000271456 0.000251613 0.0137065 0.0126797 -1 -1 -1 -1 28 787 18 6.65987e+06 114102 500653. 1732.36 0.43 0.0450106 0.0390537 21970 115934 -1 646 20 384 384 28172 7864 1.10039 1.10039 -40.1031 -1.10039 0 0 612192. 2118.31 0.03 0.03 0.10 -1 -1 0.03 0.0116488 0.010003 46 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 2.15 vpr 61.95 MiB -1 -1 0.15 17460 1 0.02 -1 -1 30056 -1 -1 9 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63440 33 17 118 119 1 82 59 17 17 289 -1 unnamed_device 23.3 MiB 0.02 358 6329 2576 3638 115 62.0 MiB 0.05 0.00 1.63367 -43.0819 -1.63367 1.63367 0.32 0.000297215 0.00027577 0.0173583 0.0161231 -1 -1 -1 -1 30 697 17 6.65987e+06 114102 526063. 1820.29 0.41 0.0506732 0.0443138 22546 126617 -1 563 18 309 309 15903 4551 0.975189 0.975189 -38.2274 -0.975189 0 0 666494. 2306.21 0.03 0.03 0.09 -1 -1 0.03 0.0107508 0.00928908 49 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 2.29 vpr 62.15 MiB -1 -1 0.17 17580 1 0.02 -1 -1 30004 -1 -1 11 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63640 37 19 132 133 1 90 67 17 17 289 -1 unnamed_device 23.3 MiB 0.03 395 6867 2582 3609 676 62.1 MiB 0.05 0.00 1.65567 -48.7086 -1.65567 1.65567 0.32 0.000325622 0.000302353 0.0174514 0.0161951 -1 -1 -1 -1 28 927 37 6.65987e+06 139458 500653. 1732.36 0.49 0.0658178 0.0568171 21970 115934 -1 701 14 374 374 29107 8630 1.23745 1.23745 -49.5635 -1.23745 0 0 612192. 2118.31 0.02 0.02 0.07 -1 -1 0.02 0.00594303 0.00527331 55 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 2.41 vpr 62.28 MiB -1 -1 0.12 17468 1 0.02 -1 -1 30460 -1 -1 13 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63772 41 21 146 147 1 102 75 17 17 289 -1 unnamed_device 23.0 MiB 0.03 491 9081 3707 5187 187 62.3 MiB 0.06 0.00 1.67767 -56.6155 -1.67767 1.67767 0.32 0.00035997 0.000334363 0.0218976 0.020333 -1 -1 -1 -1 30 1037 25 6.65987e+06 164814 526063. 1820.29 0.49 0.0667016 0.0582429 22546 126617 -1 743 15 369 369 22934 6790 1.02419 1.02419 -50.6361 -1.02419 0 0 666494. 2306.21 0.03 0.03 0.10 -1 -1 0.03 0.0117394 0.0102506 62 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 3.61 vpr 62.31 MiB -1 -1 0.11 17580 1 0.02 -1 -1 30308 -1 -1 14 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63808 45 23 160 161 1 114 82 17 17 289 -1 unnamed_device 23.1 MiB 0.03 499 10228 3488 4555 2185 62.3 MiB 0.06 0.00 1.69967 -61.5408 -1.69967 1.69967 0.32 0.000393075 0.000365679 0.0237597 0.0221074 -1 -1 -1 -1 30 1113 21 6.65987e+06 177492 526063. 1820.29 1.46 0.127983 0.11047 22546 126617 -1 863 16 593 593 46003 13579 1.18459 1.18459 -55.1595 -1.18459 0 0 666494. 2306.21 0.03 0.04 0.10 -1 -1 0.03 0.0130362 0.0113889 68 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 2.71 vpr 62.41 MiB -1 -1 0.16 17728 1 0.02 -1 -1 30344 -1 -1 14 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63908 49 25 174 175 1 123 88 17 17 289 -1 unnamed_device 23.1 MiB 0.03 627 5353 1046 4033 274 62.4 MiB 0.04 0.00 2.07098 -70.3136 -2.07098 2.07098 0.32 0.000433307 0.000403645 0.0129451 0.0120394 -1 -1 -1 -1 32 1170 15 6.65987e+06 177492 554710. 1919.41 0.45 0.0588027 0.0514064 22834 132086 -1 1054 17 432 432 30738 8937 1.32345 1.32345 -69.7201 -1.32345 0 0 701300. 2426.64 0.03 0.04 0.11 -1 -1 0.03 0.0145867 0.0127516 73 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 2.29 vpr 62.52 MiB -1 -1 0.16 17584 1 0.04 -1 -1 30108 -1 -1 18 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64016 57 29 202 203 1 143 104 17 17 289 -1 unnamed_device 23.4 MiB 0.04 750 10596 2249 7776 571 62.5 MiB 0.07 0.00 2.11498 -85.1831 -2.11498 2.11498 0.31 0.000494895 0.000460975 0.0226536 0.02111 -1 -1 -1 -1 32 1479 15 6.65987e+06 228204 554710. 1919.41 0.47 0.0757256 0.0669986 22834 132086 -1 1199 18 520 520 35837 10747 1.36745 1.36745 -82.2692 -1.36745 0 0 701300. 2426.64 0.03 0.04 0.11 -1 -1 0.03 0.0174867 0.0153336 86 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 2.36 vpr 62.79 MiB -1 -1 0.14 17900 1 0.03 -1 -1 29940 -1 -1 19 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64296 65 33 230 231 1 164 117 17 17 289 -1 unnamed_device 23.2 MiB 0.04 1148 18135 6273 10055 1807 62.8 MiB 0.11 0.00 2.50829 -108.743 -2.50829 2.50829 0.31 0.000567141 0.000528695 0.0376235 0.035098 -1 -1 -1 -1 32 1762 17 6.65987e+06 240882 554710. 1919.41 0.48 0.101169 0.0902369 22834 132086 -1 1641 35 572 572 92037 50076 1.42045 1.42045 -99.1377 -1.42045 0 0 701300. 2426.64 0.03 0.09 0.11 -1 -1 0.03 0.034255 0.0298774 97 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 2.76 vpr 62.72 MiB -1 -1 0.15 17948 1 0.04 -1 -1 30296 -1 -1 29 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64224 97 49 342 343 1 246 175 17 17 289 -1 unnamed_device 23.6 MiB 0.05 1644 32449 9393 19839 3217 62.7 MiB 0.19 0.00 3.38291 -180.76 -3.38291 3.38291 0.32 0.000880433 0.000823692 0.0609751 0.0571335 -1 -1 -1 -1 32 2858 35 6.65987e+06 367662 554710. 1919.41 0.66 0.183589 0.165605 22834 132086 -1 2422 21 853 853 74293 19928 1.63645 1.63645 -152.543 -1.63645 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0343826 0.0306978 145 2 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 3.04 vpr 63.06 MiB -1 -1 0.22 18240 1 0.04 -1 -1 30524 -1 -1 39 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64576 129 65 454 455 1 328 233 17 17 289 -1 unnamed_device 24.1 MiB 0.07 2278 49637 16106 29325 4206 63.1 MiB 0.32 0.01 4.25753 -269.84 -4.25753 4.25753 0.32 0.00119888 0.00112752 0.0868525 0.0816403 -1 -1 -1 -1 32 3524 24 6.65987e+06 494442 554710. 1919.41 0.71 0.239232 0.218193 22834 132086 -1 3207 15 1082 1082 88606 22556 1.61205 1.61205 -194.499 -1.61205 0 0 701300. 2426.64 0.03 0.09 0.13 -1 -1 0.03 0.0362035 0.0327639 193 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_004bits.v common 1.77 vpr 62.61 MiB -1 -1 0.15 17432 1 0.02 -1 -1 30000 -1 -1 1 9 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64112 9 5 34 35 1 15 15 17 17 289 -1 unnamed_device 23.9 MiB 0.02 49 141 51 88 2 62.6 MiB 0.00 0.00 0.723895 -9.92304 -0.723895 0.723895 0.26 8.8052e-05 7.9849e-05 0.000999121 0.000908116 -1 -1 -1 -1 20 98 10 6.95648e+06 14475.7 414966. 1435.87 0.30 0.0111389 0.00932378 23170 95770 -1 97 7 39 39 2258 798 0.74674 0.74674 -9.97418 -0.74674 0 0 503264. 1741.40 0.02 0.01 0.08 -1 -1 0.02 0.00275107 0.00248696 7 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_005bits.v common 1.90 vpr 62.60 MiB -1 -1 0.14 17416 1 0.02 -1 -1 29904 -1 -1 1 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64100 11 6 41 42 1 20 18 17 17 289 -1 unnamed_device 24.1 MiB 0.05 62 455 89 352 14 62.6 MiB 0.01 0.00 0.723895 -12.1764 -0.723895 0.723895 0.34 0.000105243 9.602e-05 0.00245462 0.00224036 -1 -1 -1 -1 20 159 11 6.95648e+06 14475.7 414966. 1435.87 0.28 0.006791 0.00608146 23170 95770 -1 135 8 71 71 4591 1516 0.74674 0.74674 -13.0356 -0.74674 0 0 503264. 1741.40 0.02 0.01 0.10 -1 -1 0.02 0.00316305 0.00283131 8 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_006bits.v common 1.98 vpr 62.57 MiB -1 -1 0.14 17264 1 0.02 -1 -1 29984 -1 -1 2 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64076 13 7 48 49 1 25 22 17 17 289 -1 unnamed_device 24.1 MiB 0.05 78 532 113 404 15 62.6 MiB 0.01 0.00 0.802432 -14.5369 -0.802432 0.802432 0.35 0.00012283 0.00011251 0.00266091 0.00244373 -1 -1 -1 -1 22 226 14 6.95648e+06 28951.4 443629. 1535.05 0.33 0.0192559 0.0165149 23458 102101 -1 200 12 93 93 4853 1733 1.04203 1.04203 -16.6837 -1.04203 0 0 531479. 1839.03 0.02 0.01 0.10 -1 -1 0.02 0.00425953 0.003729 10 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_007bits.v common 1.92 vpr 62.76 MiB -1 -1 0.09 17224 1 0.03 -1 -1 30004 -1 -1 2 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64268 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 24.3 MiB 0.03 198 745 185 473 87 62.8 MiB 0.01 0.00 0.852632 -19.2076 -0.852632 0.852632 0.34 0.000140716 0.00012916 0.00337215 0.00309938 -1 -1 -1 -1 26 321 13 6.95648e+06 28951.4 503264. 1741.40 0.36 0.0195341 0.0165326 24322 120374 -1 321 11 126 126 8370 2235 1.09223 1.09223 -20.8791 -1.09223 0 0 618332. 2139.56 0.04 0.01 0.12 -1 -1 0.04 0.00464353 0.00415812 11 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_008bits.v common 2.08 vpr 62.92 MiB -1 -1 0.15 17404 1 0.02 -1 -1 30132 -1 -1 2 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64428 17 9 62 63 1 37 28 17 17 289 -1 unnamed_device 24.4 MiB 0.03 223 1078 298 659 121 62.9 MiB 0.01 0.00 0.852632 -21.5769 -0.852632 0.852632 0.33 0.000157576 0.000145183 0.00461473 0.00425641 -1 -1 -1 -1 30 354 9 6.95648e+06 28951.4 556674. 1926.21 0.39 0.0212789 0.0180897 25186 138497 -1 308 12 127 127 6401 1953 0.959892 0.959892 -22.0373 -0.959892 0 0 706193. 2443.58 0.03 0.01 0.11 -1 -1 0.03 0.00509797 0.00446228 13 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_009bits.v common 2.35 vpr 62.62 MiB -1 -1 0.16 17360 1 0.02 -1 -1 30072 -1 -1 4 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64124 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 24.1 MiB 0.02 137 2113 694 1199 220 62.6 MiB 0.02 0.00 0.852632 -22.0391 -0.852632 0.852632 0.33 0.000175963 0.000162527 0.0077691 0.00716049 -1 -1 -1 -1 34 351 30 6.95648e+06 57902.7 618332. 2139.56 0.63 0.045466 0.0380876 25762 151098 -1 282 21 287 287 12600 4481 1.08603 1.08603 -23.81 -1.08603 0 0 787024. 2723.27 0.05 0.03 0.14 -1 -1 0.05 0.00901771 0.00775726 15 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_010bits.v common 2.12 vpr 62.77 MiB -1 -1 0.16 17304 1 0.03 -1 -1 30060 -1 -1 4 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64280 21 11 76 77 1 49 36 17 17 289 -1 unnamed_device 24.3 MiB 0.02 347 1157 244 770 143 62.8 MiB 0.01 0.00 0.896632 -29.3782 -0.896632 0.896632 0.34 0.000195865 0.000181237 0.00446093 0.00413933 -1 -1 -1 -1 32 570 14 6.95648e+06 57902.7 586450. 2029.24 0.45 0.0343905 0.0288988 25474 144626 -1 537 14 225 225 20970 4717 0.993732 0.993732 -31.9978 -0.993732 0 0 744469. 2576.02 0.03 0.02 0.10 -1 -1 0.03 0.00662926 0.0057376 17 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_011bits.v common 2.48 vpr 62.92 MiB -1 -1 0.16 17280 1 0.02 -1 -1 30048 -1 -1 4 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64432 23 12 83 84 1 55 39 17 17 289 -1 unnamed_device 24.3 MiB 0.02 176 2481 826 1259 396 62.9 MiB 0.02 0.00 0.896632 -27.0337 -0.896632 0.896632 0.34 0.000210709 0.000195162 0.00860788 0.00797035 -1 -1 -1 -1 34 483 24 6.95648e+06 57902.7 618332. 2139.56 0.67 0.0520092 0.0437792 25762 151098 -1 363 22 394 394 26948 8701 1.04203 1.04203 -28.5778 -1.04203 0 0 787024. 2723.27 0.04 0.03 0.16 -1 -1 0.04 0.00958538 0.00817473 18 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_012bits.v common 2.16 vpr 62.77 MiB -1 -1 0.15 17464 1 0.02 -1 -1 30040 -1 -1 5 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64280 25 13 90 91 1 60 43 17 17 289 -1 unnamed_device 24.1 MiB 0.02 224 1468 277 1132 59 62.8 MiB 0.02 0.00 0.918632 -30.5074 -0.918632 0.918632 0.34 0.000235964 0.000218668 0.00528816 0.00487691 -1 -1 -1 -1 28 585 18 6.95648e+06 72378.4 531479. 1839.03 0.42 0.0314017 0.026693 24610 126494 -1 522 14 291 291 16745 5276 1.13003 1.13003 -35.7088 -1.13003 0 0 648988. 2245.63 0.03 0.02 0.11 -1 -1 0.03 0.00737591 0.00639021 20 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_013bits.v common 2.17 vpr 62.77 MiB -1 -1 0.15 17312 1 0.02 -1 -1 30064 -1 -1 5 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64276 27 14 97 98 1 66 46 17 17 289 -1 unnamed_device 24.1 MiB 0.03 339 2916 911 1507 498 62.8 MiB 0.02 0.00 0.951632 -33.9905 -0.951632 0.951632 0.33 0.000237851 0.000219672 0.0093005 0.00860411 -1 -1 -1 -1 30 672 15 6.95648e+06 72378.4 556674. 1926.21 0.43 0.0359111 0.0309348 25186 138497 -1 538 13 312 312 21190 5519 1.20223 1.20223 -37.9867 -1.20223 0 0 706193. 2443.58 0.03 0.02 0.11 -1 -1 0.03 0.0076564 0.00668502 21 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_014bits.v common 2.25 vpr 62.77 MiB -1 -1 0.16 17140 1 0.02 -1 -1 29948 -1 -1 5 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64276 29 15 104 105 1 72 49 17 17 289 -1 unnamed_device 24.1 MiB 0.03 501 2808 751 1668 389 62.8 MiB 0.02 0.00 0.951632 -40.8249 -0.951632 0.951632 0.34 0.000242718 0.000218442 0.00856423 0.00788443 -1 -1 -1 -1 28 933 14 6.95648e+06 72378.4 531479. 1839.03 0.51 0.0366332 0.0315532 24610 126494 -1 839 20 482 482 52768 11792 1.09223 1.09223 -45.6629 -1.09223 0 0 648988. 2245.63 0.03 0.03 0.14 -1 -1 0.03 0.0104657 0.00901245 23 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_015bits.v common 2.48 vpr 62.93 MiB -1 -1 0.17 17680 1 0.02 -1 -1 30380 -1 -1 5 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64444 31 16 111 112 1 78 52 17 17 289 -1 unnamed_device 24.2 MiB 0.04 310 4417 1777 2577 63 62.9 MiB 0.03 0.00 1.33396 -40.1371 -1.33396 1.33396 0.33 0.000271468 0.000251395 0.013265 0.0122886 -1 -1 -1 -1 36 703 18 6.95648e+06 72378.4 648988. 2245.63 0.68 0.065333 0.0558986 26050 158493 -1 570 15 424 424 34284 9332 1.09503 1.09503 -39.6024 -1.09503 0 0 828058. 2865.25 0.03 0.03 0.13 -1 -1 0.03 0.00937891 0.00816579 24 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_016bits.v common 2.24 vpr 62.85 MiB -1 -1 0.14 17620 1 0.02 -1 -1 30060 -1 -1 5 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64360 33 17 118 119 1 81 55 17 17 289 -1 unnamed_device 24.2 MiB 0.05 328 4735 1875 2804 56 62.9 MiB 0.04 0.00 1.34496 -43.1769 -1.34496 1.34496 0.33 0.000293442 0.00027197 0.0142331 0.0131982 -1 -1 -1 -1 30 767 17 6.95648e+06 72378.4 556674. 1926.21 0.46 0.0473708 0.0411429 25186 138497 -1 631 20 455 455 37921 9718 1.25333 1.25333 -46.1252 -1.25333 0 0 706193. 2443.58 0.03 0.03 0.11 -1 -1 0.03 0.0119303 0.0103075 25 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_018bits.v common 2.33 vpr 62.87 MiB -1 -1 0.15 17668 1 0.03 -1 -1 30120 -1 -1 5 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64376 37 19 132 133 1 87 61 17 17 289 -1 unnamed_device 24.1 MiB 0.07 367 6541 2699 3775 67 62.9 MiB 0.05 0.00 1.36696 -49.1573 -1.36696 1.36696 0.33 0.000329616 0.000306498 0.0189951 0.0176578 -1 -1 -1 -1 32 856 19 6.95648e+06 72378.4 586450. 2029.24 0.49 0.0571506 0.0498642 25474 144626 -1 628 18 477 477 33113 8842 1.22703 1.22703 -50.8059 -1.22703 0 0 744469. 2576.02 0.03 0.03 0.12 -1 -1 0.03 0.0122266 0.0105782 28 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_020bits.v common 2.39 vpr 63.03 MiB -1 -1 0.17 17652 1 0.02 -1 -1 30388 -1 -1 5 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64544 41 21 146 147 1 95 67 17 17 289 -1 unnamed_device 24.0 MiB 0.07 409 6867 2815 3994 58 63.0 MiB 0.05 0.00 1.38896 -56.2399 -1.38896 1.38896 0.33 0.00036088 0.000335508 0.0193484 0.0179993 -1 -1 -1 -1 30 1163 35 6.95648e+06 72378.4 556674. 1926.21 0.60 0.0698336 0.0607412 25186 138497 -1 785 16 563 563 51275 13421 1.44463 1.44463 -60.8139 -1.44463 0 0 706193. 2443.58 0.03 0.04 0.11 -1 -1 0.03 0.0123152 0.0107333 31 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_022bits.v common 3.70 vpr 63.18 MiB -1 -1 0.17 17588 1 0.03 -1 -1 30316 -1 -1 6 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64696 45 23 160 161 1 108 74 17 17 289 -1 unnamed_device 24.0 MiB 0.08 466 7514 3111 4336 67 63.2 MiB 0.05 0.00 1.41096 -62.5444 -1.41096 1.41096 0.34 0.000390697 0.000363589 0.0201808 0.0187804 -1 -1 -1 -1 30 1395 36 6.95648e+06 86854.1 556674. 1926.21 1.90 0.138593 0.119171 25186 138497 -1 978 18 641 641 63569 17940 1.48863 1.48863 -70.3618 -1.48863 0 0 706193. 2443.58 0.03 0.04 0.12 -1 -1 0.03 0.0146196 0.0127359 34 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_024bits.v common 2.90 vpr 63.12 MiB -1 -1 0.16 17596 1 0.02 -1 -1 30312 -1 -1 8 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64636 49 25 174 175 1 119 82 17 17 289 -1 unnamed_device 23.8 MiB 0.04 520 10228 4157 5955 116 63.1 MiB 0.07 0.00 1.43296 -67.8605 -1.43296 1.43296 0.33 0.000422216 0.000392392 0.0255487 0.0237708 -1 -1 -1 -1 34 1266 38 6.95648e+06 115805 618332. 2139.56 1.06 0.119303 0.103735 25762 151098 -1 942 16 636 636 48693 14140 1.51063 1.51063 -74.0336 -1.51063 0 0 787024. 2723.27 0.03 0.04 0.12 -1 -1 0.03 0.014172 0.0124003 38 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_028bits.v common 3.02 vpr 63.27 MiB -1 -1 0.17 17680 1 0.03 -1 -1 30076 -1 -1 9 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64784 57 29 202 203 1 142 95 17 17 289 -1 unnamed_device 23.9 MiB 0.05 722 11543 4832 6610 101 63.3 MiB 0.07 0.00 1.47696 -83.7156 -1.47696 1.47696 0.33 0.000489569 0.000455061 0.0277562 0.0258156 -1 -1 -1 -1 38 1507 49 6.95648e+06 130281 678818. 2348.85 1.12 0.143453 0.125414 26626 170182 -1 1153 19 711 711 52152 13258 1.36523 1.36523 -83.7828 -1.36523 0 0 902133. 3121.57 0.03 0.05 0.14 -1 -1 0.03 0.0185791 0.0163538 44 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_032bits.v common 3.11 vpr 63.55 MiB -1 -1 0.09 17632 1 0.03 -1 -1 30176 -1 -1 9 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65072 65 33 230 231 1 162 107 17 17 289 -1 unnamed_device 24.0 MiB 0.08 866 16299 6958 9195 146 63.5 MiB 0.10 0.00 1.88129 -97.7109 -1.88129 1.88129 0.34 0.000573807 0.000535131 0.0384883 0.0358947 -1 -1 -1 -1 42 1631 39 6.95648e+06 130281 744469. 2576.02 1.15 0.166265 0.146237 27202 183097 -1 1355 16 747 747 71025 18381 1.44933 1.44933 -95.5906 -1.44933 0 0 949917. 3286.91 0.04 0.05 0.15 -1 -1 0.04 0.0188154 0.0166379 50 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_048bits.v common 3.38 vpr 64.11 MiB -1 -1 0.18 17836 1 0.03 -1 -1 30336 -1 -1 14 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65644 97 49 342 343 1 243 160 17 17 289 -1 unnamed_device 24.3 MiB 0.11 1814 29672 12289 17319 64 64.1 MiB 0.18 0.00 2.41762 -170.667 -2.41762 2.41762 0.33 0.000869886 0.000815239 0.0621123 0.058191 -1 -1 -1 -1 48 2741 23 6.95648e+06 202660 865456. 2994.66 1.22 0.238027 0.213864 28354 207349 -1 2562 20 1103 1103 131768 29384 1.49993 1.49993 -156.421 -1.49993 0 0 1.05005e+06 3633.38 0.04 0.09 0.17 -1 -1 0.04 0.0335475 0.0300032 74 2 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml adder_064bits.v common 4.45 vpr 64.69 MiB -1 -1 0.17 18212 1 0.04 -1 -1 30612 -1 -1 19 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66244 129 65 454 455 1 324 213 17 17 289 -1 unnamed_device 24.9 MiB 0.13 2229 45933 16115 26949 2869 64.7 MiB 0.29 0.01 2.95395 -241.167 -2.95395 2.95395 0.36 0.00119826 0.00112587 0.0975278 0.0913911 -1 -1 -1 -1 54 3485 30 6.95648e+06 275038 949917. 3286.91 1.96 0.36519 0.331033 29506 232905 -1 3162 19 1362 1362 139995 32227 1.85383 1.85383 -217.338 -1.85383 0 0 1.17392e+06 4061.99 0.05 0.11 0.20 -1 -1 0.05 0.044842 0.0404847 98 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_004bits.v common 1.79 vpr 62.32 MiB -1 -1 0.14 17252 1 0.03 -1 -1 29912 -1 -1 1 9 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63812 9 5 34 35 1 17 15 17 17 289 -1 unnamed_device 23.6 MiB 0.01 59 141 41 96 4 62.3 MiB 0.01 0.00 0.712895 -10.0692 -0.712895 0.712895 0.33 8.8152e-05 7.9888e-05 0.00100101 0.000908838 -1 -1 -1 -1 18 92 6 6.99608e+06 14715.7 376052. 1301.22 0.25 0.00381367 0.00342478 22882 88689 -1 92 5 35 35 1411 502 0.74674 0.74674 -9.47336 -0.74674 0 0 470940. 1629.55 0.02 0.01 0.08 -1 -1 0.02 0.00244106 0.00223488 7 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_005bits.v common 1.95 vpr 62.66 MiB -1 -1 0.14 17260 1 0.03 -1 -1 30116 -1 -1 1 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64160 11 6 41 42 1 20 18 17 17 289 -1 unnamed_device 24.0 MiB 0.01 61 409 93 302 14 62.7 MiB 0.01 0.00 0.837432 -13.0771 -0.837432 0.837432 0.34 0.000102121 9.2829e-05 0.00217432 0.00197637 -1 -1 -1 -1 22 165 10 6.99608e+06 14715.7 443629. 1535.05 0.36 0.0173065 0.01441 23458 102101 -1 145 10 68 68 3340 1098 0.837432 0.837432 -14.0795 -0.837432 0 0 531479. 1839.03 0.02 0.01 0.09 -1 -1 0.02 0.00402569 0.00362675 8 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_006bits.v common 1.86 vpr 62.51 MiB -1 -1 0.11 17152 1 0.02 -1 -1 29900 -1 -1 2 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64012 13 7 48 49 1 26 22 17 17 289 -1 unnamed_device 24.0 MiB 0.01 88 442 89 343 10 62.5 MiB 0.02 0.00 0.802432 -14.6238 -0.802432 0.802432 0.33 0.000416283 0.000382138 0.00349914 0.00321787 -1 -1 -1 -1 22 196 8 6.99608e+06 29431.4 443629. 1535.05 0.33 0.0164598 0.0139839 23458 102101 -1 190 12 106 106 6171 2132 0.793379 0.793379 -15.9608 -0.793379 0 0 531479. 1839.03 0.02 0.01 0.09 -1 -1 0.02 0.00429213 0.00376112 10 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_007bits.v common 1.96 vpr 62.73 MiB -1 -1 0.15 17312 1 0.02 -1 -1 30052 -1 -1 2 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64240 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 24.3 MiB 0.01 96 709 205 450 54 62.7 MiB 0.01 0.00 0.859432 -17.7199 -0.859432 0.859432 0.34 0.000140372 0.000128807 0.00323931 0.00297881 -1 -1 -1 -1 26 228 25 6.99608e+06 29431.4 503264. 1741.40 0.37 0.0216731 0.0182166 24322 120374 -1 198 12 131 131 4752 1834 0.927732 0.927732 -17.9149 -0.927732 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.00468069 0.0040988 11 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_008bits.v common 2.08 vpr 62.63 MiB -1 -1 0.15 17184 1 0.02 -1 -1 30084 -1 -1 2 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64132 17 9 62 63 1 38 28 17 17 289 -1 unnamed_device 24.1 MiB 0.02 260 952 218 609 125 62.6 MiB 0.01 0.00 0.824432 -22.1673 -0.824432 0.824432 0.34 0.000156946 0.000144715 0.00406462 0.00375358 -1 -1 -1 -1 32 430 9 6.99608e+06 29431.4 586450. 2029.24 0.41 0.020757 0.0176249 25474 144626 -1 421 9 116 116 11800 2672 0.87204 0.87204 -23.6355 -0.87204 0 0 744469. 2576.02 0.03 0.01 0.12 -1 -1 0.03 0.00440788 0.00390181 13 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_009bits.v common 2.12 vpr 62.43 MiB -1 -1 0.16 17352 1 0.02 -1 -1 30028 -1 -1 4 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63932 19 10 69 70 1 43 33 17 17 289 -1 unnamed_device 23.9 MiB 0.02 137 2477 763 1101 613 62.4 MiB 0.02 0.00 0.846432 -21.9393 -0.846432 0.846432 0.38 0.000171382 0.000154709 0.00923131 0.00850779 -1 -1 -1 -1 28 370 18 6.99608e+06 58862.7 531479. 1839.03 0.39 0.0301008 0.0257969 24610 126494 -1 287 13 210 210 11679 4198 1.18933 1.18933 -23.5118 -1.18933 0 0 648988. 2245.63 0.03 0.02 0.11 -1 -1 0.03 0.00579286 0.00501637 15 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_010bits.v common 2.11 vpr 62.54 MiB -1 -1 0.15 17360 1 0.02 -1 -1 30024 -1 -1 4 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64040 21 11 76 77 1 49 36 17 17 289 -1 unnamed_device 24.1 MiB 0.02 344 1393 312 877 204 62.5 MiB 0.02 0.00 0.857432 -28.7171 -0.857432 0.857432 0.36 0.000195901 0.000181275 0.00523369 0.00484884 -1 -1 -1 -1 26 610 16 6.99608e+06 58862.7 503264. 1741.40 0.40 0.0286559 0.0243167 24322 120374 -1 590 18 316 316 34564 7565 0.938732 0.938732 -31.4793 -0.938732 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00771615 0.00659605 17 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_011bits.v common 2.14 vpr 62.64 MiB -1 -1 0.16 17396 1 0.03 -1 -1 30004 -1 -1 4 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64144 23 12 83 84 1 54 39 17 17 289 -1 unnamed_device 24.1 MiB 0.02 174 2481 746 1270 465 62.6 MiB 0.02 0.00 0.879432 -26.6557 -0.879432 0.879432 0.33 0.00021024 0.000194311 0.00857848 0.00793516 -1 -1 -1 -1 32 441 18 6.99608e+06 58862.7 586450. 2029.24 0.46 0.0332947 0.0284674 25474 144626 -1 372 12 266 266 17399 5603 0.993732 0.993732 -28.012 -0.993732 0 0 744469. 2576.02 0.03 0.02 0.12 -1 -1 0.03 0.00635172 0.00551661 18 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_012bits.v common 2.13 vpr 62.57 MiB -1 -1 0.16 17196 1 0.02 -1 -1 30032 -1 -1 5 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64072 25 13 90 91 1 60 43 17 17 289 -1 unnamed_device 23.9 MiB 0.03 255 1618 324 1252 42 62.6 MiB 0.02 0.00 0.890432 -31.1278 -0.890432 0.890432 0.34 0.000224588 0.000208394 0.00581343 0.00537445 -1 -1 -1 -1 30 526 13 6.99608e+06 73578.4 556674. 1926.21 0.42 0.0313334 0.0268842 25186 138497 -1 496 10 236 236 16715 4353 0.99734 0.99734 -33.2379 -0.99734 0 0 706193. 2443.58 0.03 0.02 0.11 -1 -1 0.03 0.00608414 0.00533822 20 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_013bits.v common 2.17 vpr 62.79 MiB -1 -1 0.16 17396 1 0.02 -1 -1 29940 -1 -1 5 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64292 27 14 97 98 1 66 46 17 17 289 -1 unnamed_device 24.2 MiB 0.02 266 2916 905 1615 396 62.8 MiB 0.02 0.00 0.912432 -33.5679 -0.912432 0.912432 0.34 0.000239142 0.000221307 0.00926954 0.00857757 -1 -1 -1 -1 30 707 44 6.99608e+06 73578.4 556674. 1926.21 0.45 0.0465126 0.039743 25186 138497 -1 505 16 384 384 27767 7373 1.11903 1.11903 -36.5295 -1.11903 0 0 706193. 2443.58 0.03 0.02 0.11 -1 -1 0.03 0.0086096 0.00746295 21 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_014bits.v common 2.23 vpr 62.61 MiB -1 -1 0.16 17404 1 0.02 -1 -1 29992 -1 -1 5 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64116 29 15 104 105 1 72 49 17 17 289 -1 unnamed_device 23.9 MiB 0.03 328 2541 534 1971 36 62.6 MiB 0.02 0.00 0.923432 -36.8485 -0.923432 0.923432 0.34 0.000253876 0.00023465 0.00829029 0.00765966 -1 -1 -1 -1 28 878 26 6.99608e+06 73578.4 531479. 1839.03 0.49 0.0409257 0.0350827 24610 126494 -1 696 17 421 421 38143 10277 1.27733 1.27733 -43.7687 -1.27733 0 0 648988. 2245.63 0.03 0.03 0.10 -1 -1 0.03 0.0099582 0.00858435 23 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_015bits.v common 2.27 vpr 62.73 MiB -1 -1 0.14 17584 1 0.02 -1 -1 30304 -1 -1 5 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64232 31 16 111 112 1 78 52 17 17 289 -1 unnamed_device 24.0 MiB 0.03 331 4514 1860 2555 99 62.7 MiB 0.03 0.00 1.29476 -39.8517 -1.29476 1.29476 0.33 0.000271418 0.000251586 0.0135538 0.0125405 -1 -1 -1 -1 30 845 48 6.99608e+06 73578.4 556674. 1926.21 0.52 0.0569387 0.0488876 25186 138497 -1 616 19 462 462 34517 8954 1.11703 1.11703 -42.4939 -1.11703 0 0 706193. 2443.58 0.03 0.03 0.12 -1 -1 0.03 0.0108951 0.00939784 24 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_016bits.v common 2.18 vpr 62.88 MiB -1 -1 0.09 17568 1 0.02 -1 -1 30068 -1 -1 5 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64384 33 17 118 119 1 81 55 17 17 289 -1 unnamed_device 24.1 MiB 0.03 328 4735 1886 2806 43 62.9 MiB 0.04 0.00 1.31676 -42.6858 -1.31676 1.31676 0.33 0.000291739 0.000270454 0.0141016 0.0130776 -1 -1 -1 -1 30 805 33 6.99608e+06 73578.4 556674. 1926.21 0.49 0.053817 0.046524 25186 138497 -1 641 16 399 399 31520 8272 1.15003 1.15003 -44.2826 -1.15003 0 0 706193. 2443.58 0.03 0.03 0.11 -1 -1 0.03 0.0101561 0.00881561 25 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_018bits.v common 2.07 vpr 62.99 MiB -1 -1 0.13 17664 1 0.03 -1 -1 30056 -1 -1 5 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64500 37 19 132 133 1 87 61 17 17 289 -1 unnamed_device 24.3 MiB 0.03 364 6541 2695 3784 62 63.0 MiB 0.02 0.00 1.33876 -49.2921 -1.33876 1.33876 0.26 0.000154524 0.000136787 0.00893174 0.00815638 -1 -1 -1 -1 32 939 42 6.99608e+06 73578.4 586450. 2029.24 0.51 0.05855 0.049823 25474 144626 -1 693 12 368 368 26349 7167 1.17203 1.17203 -49.9105 -1.17203 0 0 744469. 2576.02 0.03 0.03 0.12 -1 -1 0.03 0.00929189 0.00813969 28 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_020bits.v common 2.35 vpr 62.70 MiB -1 -1 0.16 17492 1 0.02 -1 -1 30308 -1 -1 5 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64208 41 21 146 147 1 94 67 17 17 289 -1 unnamed_device 23.7 MiB 0.03 407 6867 2751 4056 60 62.7 MiB 0.05 0.00 1.34976 -54.4321 -1.34976 1.34976 0.33 0.000360265 0.000334878 0.0193038 0.0179451 -1 -1 -1 -1 32 961 35 6.99608e+06 73578.4 586450. 2029.24 0.57 0.0697677 0.0606438 25474 144626 -1 756 15 428 428 30944 8363 1.24903 1.24903 -58.4693 -1.24903 0 0 744469. 2576.02 0.04 0.03 0.12 -1 -1 0.04 0.0117671 0.0102516 31 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_022bits.v common 2.27 vpr 62.82 MiB -1 -1 0.16 17528 1 0.03 -1 -1 30348 -1 -1 6 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64332 45 23 160 161 1 107 74 17 17 289 -1 unnamed_device 23.7 MiB 0.04 530 7514 3084 4380 50 62.8 MiB 0.05 0.00 1.37176 -61.8029 -1.37176 1.37176 0.33 0.000390883 0.000363367 0.0200903 0.0186905 -1 -1 -1 -1 30 1126 15 6.99608e+06 88294.1 556674. 1926.21 0.48 0.0628619 0.0552343 25186 138497 -1 855 18 558 558 40666 10320 1.16968 1.16968 -63.7857 -1.16968 0 0 706193. 2443.58 0.03 0.04 0.11 -1 -1 0.03 0.014469 0.0126338 34 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_024bits.v common 2.94 vpr 63.00 MiB -1 -1 0.14 17580 1 0.02 -1 -1 30244 -1 -1 8 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64512 49 25 174 175 1 118 82 17 17 289 -1 unnamed_device 23.8 MiB 0.04 521 10228 4171 5954 103 63.0 MiB 0.07 0.00 1.39376 -67.8066 -1.39376 1.39376 0.33 0.000422838 0.000393319 0.0255703 0.0237972 -1 -1 -1 -1 34 1390 34 6.99608e+06 117725 618332. 2139.56 1.09 0.117769 0.102435 25762 151098 -1 986 29 736 736 98243 38158 1.36333 1.36333 -70.765 -1.36333 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0224734 0.0194537 38 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_028bits.v common 3.07 vpr 63.10 MiB -1 -1 0.16 17624 1 0.03 -1 -1 30008 -1 -1 9 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64616 57 29 202 203 1 141 95 17 17 289 -1 unnamed_device 23.7 MiB 0.05 724 11543 4737 6716 90 63.1 MiB 0.07 0.00 1.44876 -82.7694 -1.44876 1.44876 0.34 0.000487581 0.000453293 0.0278211 0.0258836 -1 -1 -1 -1 38 1462 37 6.99608e+06 132441 678818. 2348.85 1.07 0.136751 0.119606 26626 170182 -1 1129 17 624 624 44907 12336 1.24188 1.24188 -80.687 -1.24188 0 0 902133. 3121.57 0.03 0.04 0.14 -1 -1 0.03 0.0169488 0.0148993 44 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_032bits.v common 3.01 vpr 63.09 MiB -1 -1 0.10 17544 1 0.03 -1 -1 30048 -1 -1 9 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 65 33 230 231 1 162 107 17 17 289 -1 unnamed_device 23.7 MiB 0.05 873 16299 6945 9215 139 63.1 MiB 0.10 0.00 1.85309 -97.7499 -1.85309 1.85309 0.34 0.000588436 0.000549662 0.0383991 0.0358314 -1 -1 -1 -1 40 1590 25 6.99608e+06 132441 706193. 2443.58 1.10 0.154029 0.135738 26914 176310 -1 1430 16 781 781 64746 16342 1.32403 1.32403 -95.1334 -1.32403 0 0 926341. 3205.33 0.04 0.05 0.14 -1 -1 0.04 0.0186641 0.0164658 50 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_048bits.v common 3.65 vpr 63.71 MiB -1 -1 0.17 17844 1 0.03 -1 -1 30352 -1 -1 14 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65236 97 49 342 343 1 243 160 17 17 289 -1 unnamed_device 24.0 MiB 0.08 1811 29672 12269 17337 66 63.7 MiB 0.18 0.00 2.38942 -170.114 -2.38942 2.38942 0.33 0.000881923 0.00082713 0.0624841 0.0585536 -1 -1 -1 -1 44 2886 50 6.99608e+06 206020 787024. 2723.27 1.45 0.277812 0.249067 27778 195446 -1 2550 19 1048 1048 107084 28330 1.70033 1.70033 -166.464 -1.70033 0 0 997811. 3452.63 0.04 0.08 0.16 -1 -1 0.04 0.032219 0.0288443 74 2 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml adder_064bits.v common 4.33 vpr 64.00 MiB -1 -1 0.22 18124 1 0.04 -1 -1 30668 -1 -1 19 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65536 129 65 454 455 1 324 213 17 17 289 -1 unnamed_device 24.8 MiB 0.09 2249 45933 16327 26970 2636 64.0 MiB 0.27 0.01 2.92575 -237.867 -2.92575 2.92575 0.33 0.00120023 0.00112835 0.0902407 0.0848321 -1 -1 -1 -1 48 3564 45 6.99608e+06 279598 865456. 2994.66 1.93 0.385981 0.349472 28354 207349 -1 3147 13 1131 1131 98551 21708 1.67588 1.67588 -208.648 -1.67588 0 0 1.05005e+06 3633.38 0.04 0.09 0.17 -1 -1 0.04 0.0333912 0.0303126 98 2 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_004bits.v common 1.87 vpr 61.76 MiB -1 -1 0.13 17292 2 0.06 -1 -1 31964 -1 -1 1 9 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63244 9 5 28 33 1 16 15 17 17 289 -1 unnamed_device 23.0 MiB 0.01 54 141 47 92 2 61.8 MiB 0.00 0.00 0.883748 -10.0813 -0.883748 0.883748 0.33 8.5414e-05 7.7314e-05 0.000966256 0.000875122 -1 -1 -1 -1 20 116 6 6.79088e+06 13472 414966. 1435.87 0.29 0.0100954 0.00839297 22510 95286 -1 96 7 35 35 1420 501 0.883748 0.883748 -9.8435 -0.883748 0 0 503264. 1741.40 0.02 0.01 0.08 -1 -1 0.02 0.00269658 0.00243975 8 6 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_005bits.v common 1.90 vpr 61.89 MiB -1 -1 0.14 17340 2 0.07 -1 -1 31884 -1 -1 2 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63376 11 6 34 40 1 23 19 17 17 289 -1 unnamed_device 23.2 MiB 0.01 78 394 86 293 15 61.9 MiB 0.01 0.00 1.02368 -13.4328 -1.02368 1.02368 0.36 0.000106267 9.6689e-05 0.00206803 0.0018958 -1 -1 -1 -1 22 194 10 6.79088e+06 26944 443629. 1535.05 0.32 0.0137002 0.0115006 22798 101617 -1 190 9 83 96 4150 1453 1.02368 1.02368 -14.6764 -1.02368 0 0 531479. 1839.03 0.03 0.01 0.09 -1 -1 0.03 0.00336983 0.00298904 10 7 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_006bits.v common 1.81 vpr 61.99 MiB -1 -1 0.15 17184 3 0.06 -1 -1 31848 -1 -1 2 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63476 13 7 41 48 1 30 22 17 17 289 -1 unnamed_device 23.3 MiB 0.01 103 562 132 416 14 62.0 MiB 0.01 0.00 1.14898 -15.8855 -1.14898 1.14898 0.33 5.793e-05 5.1492e-05 0.00140278 0.00125839 -1 -1 -1 -1 22 254 12 6.79088e+06 26944 443629. 1535.05 0.33 0.0182156 0.0151027 22798 101617 -1 234 12 97 105 6113 2065 1.14898 1.14898 -17.5434 -1.14898 0 0 531479. 1839.03 0.02 0.01 0.09 -1 -1 0.02 0.00432033 0.00378435 11 9 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_007bits.v common 2.04 vpr 62.02 MiB -1 -1 0.15 17292 3 0.05 -1 -1 31872 -1 -1 2 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63512 15 8 47 55 1 35 25 17 17 289 -1 unnamed_device 23.6 MiB 0.04 109 1285 395 736 154 62.0 MiB 0.01 0.00 1.27433 -19.2894 -1.27433 1.27433 0.34 0.000142197 0.0001303 0.00559248 0.00513681 -1 -1 -1 -1 26 347 17 6.79088e+06 26944 503264. 1741.40 0.37 0.0227498 0.0192919 23662 119890 -1 248 14 147 157 5953 2292 1.27433 1.27433 -20.5245 -1.27433 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.00522063 0.00452381 13 10 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_008bits.v common 2.16 vpr 61.95 MiB -1 -1 0.16 17260 3 0.06 -1 -1 31924 -1 -1 4 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63432 17 9 56 65 1 42 30 17 17 289 -1 unnamed_device 23.5 MiB 0.06 297 1272 281 862 129 61.9 MiB 0.02 0.00 1.56413 -26.212 -1.56413 1.56413 0.34 0.000175567 0.00016209 0.00553938 0.00510909 -1 -1 -1 -1 26 505 11 6.79088e+06 53888 503264. 1741.40 0.36 0.0254124 0.0215936 23662 119890 -1 454 9 113 140 9091 2260 1.31353 1.31353 -26.0895 -1.31353 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.00473594 0.00420527 17 14 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_009bits.v common 2.16 vpr 61.99 MiB -1 -1 0.15 17304 4 0.06 -1 -1 31992 -1 -1 3 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63476 19 10 60 70 1 46 32 17 17 289 -1 unnamed_device 23.5 MiB 0.07 157 2582 733 1373 476 62.0 MiB 0.02 0.00 1.65028 -26.9205 -1.65028 1.65028 0.34 0.000185515 0.000170984 0.0102122 0.00942314 -1 -1 -1 -1 28 440 24 6.79088e+06 40416 531479. 1839.03 0.40 0.0336774 0.0287458 23950 126010 -1 341 14 189 208 10515 3869 1.68943 1.68943 -27.6328 -1.68943 0 0 648988. 2245.63 0.03 0.02 0.11 -1 -1 0.03 0.00634766 0.00548015 17 13 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_010bits.v common 2.09 vpr 62.10 MiB -1 -1 0.16 17224 4 0.06 -1 -1 31688 -1 -1 4 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63592 21 11 69 80 1 54 36 17 17 289 -1 unnamed_device 23.6 MiB 0.06 276 1275 269 996 10 62.1 MiB 0.01 0.00 1.56413 -30.7636 -1.56413 1.56413 0.33 0.000211308 0.000195146 0.00522765 0.00483659 -1 -1 -1 -1 22 697 22 6.79088e+06 53888 443629. 1535.05 0.40 0.0360258 0.0302982 22798 101617 -1 574 14 232 281 20802 5741 1.51379 1.51379 -32.6549 -1.51379 0 0 531479. 1839.03 0.02 0.02 0.09 -1 -1 0.02 0.00716795 0.00620991 21 17 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_011bits.v common 1.98 vpr 62.01 MiB -1 -1 0.17 17236 5 0.06 -1 -1 31940 -1 -1 4 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63496 23 12 76 88 1 60 39 17 17 289 -1 unnamed_device 23.5 MiB 0.06 263 1359 262 1083 14 62.0 MiB 0.02 0.00 1.90432 -34.8738 -1.90432 1.90432 0.33 0.000227626 0.0002106 0.00540807 0.00501505 -1 -1 -1 -1 26 598 16 6.79088e+06 53888 503264. 1741.40 0.38 0.0309708 0.026345 23662 119890 -1 529 13 222 264 13917 4200 1.85054 1.85054 -36.679 -1.85054 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00719778 0.00628971 23 19 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_012bits.v common 2.14 vpr 62.08 MiB -1 -1 0.16 17296 5 0.06 -1 -1 32124 -1 -1 4 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63568 25 13 83 96 1 65 42 17 17 289 -1 unnamed_device 23.5 MiB 0.10 302 2634 595 2020 19 62.1 MiB 0.02 0.00 1.85398 -39.4801 -1.85398 1.85398 0.33 0.000241404 0.000222109 0.00947024 0.00873055 -1 -1 -1 -1 26 756 25 6.79088e+06 53888 503264. 1741.40 0.42 0.0400931 0.0343115 23662 119890 -1 611 16 260 323 16466 4828 1.76444 1.76444 -40.3833 -1.76444 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00875305 0.00755852 24 21 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_013bits.v common 2.20 vpr 62.27 MiB -1 -1 0.16 17516 5 0.06 -1 -1 31696 -1 -1 5 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63764 27 14 91 105 1 70 46 17 17 289 -1 unnamed_device 23.7 MiB 0.08 305 1686 354 1296 36 62.3 MiB 0.02 0.00 2.15497 -44.1132 -2.15497 2.15497 0.33 0.00032784 0.000303874 0.00737664 0.00683614 -1 -1 -1 -1 30 642 13 6.79088e+06 67360 556674. 1926.21 0.41 0.0366048 0.0314193 24526 138013 -1 572 14 257 360 19230 5544 1.89323 1.89323 -42.6809 -1.89323 0 0 706193. 2443.58 0.03 0.04 0.11 -1 -1 0.03 0.0153646 0.0131766 28 24 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_014bits.v common 2.32 vpr 62.30 MiB -1 -1 0.17 17720 6 0.06 -1 -1 32036 -1 -1 5 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63792 29 15 95 110 1 77 49 17 17 289 -1 unnamed_device 23.7 MiB 0.07 324 3342 734 2532 76 62.3 MiB 0.03 0.00 2.42352 -48.7848 -2.42352 2.42352 0.34 0.000283292 0.000262432 0.0115124 0.0106785 -1 -1 -1 -1 26 904 32 6.79088e+06 67360 503264. 1741.40 0.48 0.0553618 0.0473417 23662 119890 -1 757 13 322 384 23522 6789 2.15502 2.15502 -49.648 -2.15502 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00885209 0.00771765 29 23 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_015bits.v common 2.30 vpr 62.27 MiB -1 -1 0.17 17660 6 0.06 -1 -1 32064 -1 -1 5 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63764 31 16 104 120 1 81 52 17 17 289 -1 unnamed_device 23.5 MiB 0.08 317 3641 1047 1889 705 62.3 MiB 0.03 0.00 2.28032 -49.0709 -2.28032 2.28032 0.33 0.000311764 0.00028965 0.0128153 0.0118974 -1 -1 -1 -1 26 896 44 6.79088e+06 67360 503264. 1741.40 0.47 0.0611187 0.0522955 23662 119890 -1 675 11 298 344 27538 10777 2.15502 2.15502 -50.3725 -2.15502 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00864617 0.00757546 31 27 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_016bits.v common 2.48 vpr 62.32 MiB -1 -1 0.18 17592 7 0.06 -1 -1 32008 -1 -1 6 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63820 33 17 112 129 1 84 56 17 17 289 -1 unnamed_device 23.6 MiB 0.08 457 2945 706 2143 96 62.3 MiB 0.03 0.00 2.65628 -60.2407 -2.65628 2.65628 0.33 0.000333352 0.000308992 0.0102584 0.00951554 -1 -1 -1 -1 26 985 18 6.79088e+06 80832 503264. 1741.40 0.46 0.0482989 0.0416039 23662 119890 -1 884 27 360 465 59842 33846 2.35534 2.35534 -59.3742 -2.35534 0 0 618332. 2139.56 0.03 0.05 0.10 -1 -1 0.03 0.0169438 0.0145148 33 30 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_018bits.v common 2.73 vpr 62.16 MiB -1 -1 0.17 17536 7 0.06 -1 -1 31940 -1 -1 8 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63652 37 19 127 146 1 96 64 17 17 289 -1 unnamed_device 23.3 MiB 0.17 372 3620 797 2457 366 62.2 MiB 0.03 0.00 2.98184 -67.3807 -2.98184 2.98184 0.33 0.000368639 0.000342637 0.0117319 0.0108909 -1 -1 -1 -1 30 826 19 6.79088e+06 107776 556674. 1926.21 0.44 0.0543666 0.0470508 24526 138013 -1 708 9 322 399 17487 5742 2.85654 2.85654 -65.312 -2.85654 0 0 706193. 2443.58 0.03 0.02 0.11 -1 -1 0.03 0.00897272 0.00794271 39 35 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_020bits.v common 2.51 vpr 62.41 MiB -1 -1 0.18 17712 8 0.09 -1 -1 31984 -1 -1 9 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63912 41 21 139 160 1 106 71 17 17 289 -1 unnamed_device 23.3 MiB 0.12 468 7517 1635 5720 162 62.4 MiB 0.05 0.00 2.82083 -73.4935 -2.82083 2.82083 0.33 0.000396816 0.000367611 0.0218575 0.0202429 -1 -1 -1 -1 28 1210 23 6.79088e+06 121248 531479. 1839.03 0.51 0.0709664 0.0619985 23950 126010 -1 993 14 403 544 32469 9290 2.64519 2.64519 -75.7719 -2.64519 0 0 648988. 2245.63 0.03 0.03 0.10 -1 -1 0.03 0.0126456 0.0111096 41 37 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_022bits.v common 2.55 vpr 62.49 MiB -1 -1 0.18 17636 9 0.07 -1 -1 31924 -1 -1 9 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63988 45 23 153 176 1 119 77 17 17 289 -1 unnamed_device 23.3 MiB 0.15 477 7086 2063 3975 1048 62.5 MiB 0.05 0.00 3.57268 -91.263 -3.57268 3.57268 0.36 0.000445506 0.0004138 0.0204716 0.0190194 -1 -1 -1 -1 32 1120 12 6.79088e+06 121248 586450. 2029.24 0.47 0.0666694 0.0586499 24814 144142 -1 908 9 364 474 24629 7210 3.27175 3.27175 -87.1614 -3.27175 0 0 744469. 2576.02 0.03 0.03 0.12 -1 -1 0.03 0.0105039 0.00938562 45 41 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_024bits.v common 2.58 vpr 62.59 MiB -1 -1 0.17 17684 10 0.08 -1 -1 31972 -1 -1 10 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64088 49 25 166 191 1 129 84 17 17 289 -1 unnamed_device 23.3 MiB 0.19 888 10149 2418 6579 1152 62.6 MiB 0.06 0.00 3.52584 -103.921 -3.52584 3.52584 0.33 0.000474217 0.000440445 0.028013 0.0260292 -1 -1 -1 -1 26 1697 15 6.79088e+06 134720 503264. 1741.40 0.43 0.0796154 0.0704286 23662 119890 -1 1585 14 501 640 47109 11368 3.40054 3.40054 -105.083 -3.40054 0 0 618332. 2139.56 0.03 0.04 0.10 -1 -1 0.03 0.014678 0.0129495 49 44 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_028bits.v common 2.81 vpr 62.74 MiB -1 -1 0.20 17628 11 0.08 -1 -1 32024 -1 -1 12 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64244 57 29 198 227 1 154 98 17 17 289 -1 unnamed_device 23.3 MiB 0.23 831 11348 3274 6773 1301 62.7 MiB 0.07 0.00 4.16358 -130.313 -4.16358 4.16358 0.33 0.000571622 0.000532297 0.0308967 0.0287436 -1 -1 -1 -1 26 1812 27 6.79088e+06 161664 503264. 1741.40 0.54 0.104091 0.0917454 23662 119890 -1 1543 14 611 793 48973 13212 3.94874 3.94874 -129.41 -3.94874 0 0 618332. 2139.56 0.03 0.04 0.10 -1 -1 0.03 0.0176699 0.0155753 57 56 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_032bits.v common 2.86 vpr 62.93 MiB -1 -1 0.20 17752 13 0.07 -1 -1 32144 -1 -1 11 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64436 65 33 224 257 1 172 109 17 17 289 -1 unnamed_device 23.4 MiB 0.21 818 8689 1747 6820 122 62.9 MiB 0.06 0.00 4.75448 -151.053 -4.75448 4.75448 0.33 0.000651059 0.000607364 0.0238685 0.0222369 -1 -1 -1 -1 30 1902 27 6.79088e+06 148192 556674. 1926.21 0.57 0.106315 0.0936834 24526 138013 -1 1542 15 621 853 49087 13080 4.45354 4.45354 -150.197 -4.45354 0 0 706193. 2443.58 0.03 0.05 0.11 -1 -1 0.03 0.0208553 0.018485 67 62 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_048bits.v common 3.47 vpr 63.47 MiB -1 -1 0.26 18060 19 0.10 -1 -1 32316 -1 -1 20 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64996 97 49 340 389 1 268 166 17 17 289 -1 unnamed_device 23.8 MiB 0.35 1595 32542 9530 19534 3478 63.5 MiB 0.17 0.00 6.87725 -295.573 -6.87725 6.87725 0.33 0.000987348 0.000922056 0.0729955 0.0681292 -1 -1 -1 -1 32 3045 22 6.79088e+06 269440 586450. 2029.24 0.63 0.194659 0.175474 24814 144142 -1 2743 18 968 1302 86378 21246 6.58745 6.58745 -293.066 -6.58745 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0363793 0.0325585 103 98 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_064bits.v common 4.24 vpr 64.23 MiB -1 -1 0.16 18356 26 0.11 -1 -1 32464 -1 -1 24 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65776 129 65 453 518 1 344 218 17 17 289 -1 unnamed_device 24.5 MiB 0.57 1971 49998 15091 29566 5341 64.2 MiB 0.25 0.00 10.4784 -507.086 -10.4784 10.4784 0.33 0.00132893 0.00124528 0.106245 0.0993901 -1 -1 -1 -1 40 3524 26 6.79088e+06 323328 706193. 2443.58 1.34 0.392274 0.354177 26254 175826 -1 3188 13 1107 1495 97622 26410 9.85193 9.85193 -491.088 -9.85193 0 0 926341. 3205.33 0.04 0.11 0.14 -1 -1 0.04 0.0540559 0.0490496 131 131 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_004bits.v common 1.82 vpr 62.44 MiB -1 -1 0.10 17380 1 0.02 -1 -1 30064 -1 -1 2 9 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63936 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 23.6 MiB 0.04 79 296 89 204 3 62.4 MiB 0.01 0.00 0.789073 -10.6008 -0.789073 0.789073 0.34 8.7674e-05 7.9525e-05 0.00159518 0.00144518 -1 -1 -1 -1 20 151 10 6.87369e+06 27947.7 414966. 1435.87 0.28 0.00519648 0.00462685 23170 95770 -1 130 9 79 79 4463 1413 0.789073 0.789073 -11.1729 -0.789073 0 0 503264. 1741.40 0.02 0.01 0.08 -1 -1 0.02 0.00359292 0.00318991 10 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_005bits.v common 1.97 vpr 62.37 MiB -1 -1 0.08 17400 1 0.02 -1 -1 29904 -1 -1 3 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63864 11 6 41 42 1 27 20 17 17 289 -1 unnamed_device 23.6 MiB 0.04 163 695 168 481 46 62.4 MiB 0.01 0.00 0.811073 -14.7125 -0.811073 0.811073 0.40 9.2581e-05 8.1117e-05 0.00258582 0.00229127 -1 -1 -1 -1 20 310 13 6.87369e+06 41921.5 414966. 1435.87 0.31 0.00683924 0.00597313 23170 95770 -1 289 11 141 141 13137 3115 1.05067 1.05067 -17.0701 -1.05067 0 0 503264. 1741.40 0.02 0.01 0.08 -1 -1 0.02 0.00365303 0.00321754 13 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_006bits.v common 2.34 vpr 62.30 MiB -1 -1 0.13 17340 1 0.03 -1 -1 29940 -1 -1 4 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63796 13 7 48 49 1 33 24 17 17 289 -1 unnamed_device 23.8 MiB 0.06 100 806 191 526 89 62.3 MiB 0.01 0.00 0.833073 -15.3512 -0.833073 0.833073 0.34 0.000122088 0.000111989 0.00334155 0.00306422 -1 -1 -1 -1 36 229 22 6.87369e+06 55895.4 648988. 2245.63 0.63 0.0342161 0.0282667 26050 158493 -1 180 17 218 218 8477 3065 0.958373 0.958373 -15.1722 -0.958373 0 0 828058. 2865.25 0.05 0.03 0.11 -1 -1 0.05 0.00917581 0.00770806 15 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_007bits.v common 2.03 vpr 62.57 MiB -1 -1 0.14 17352 1 0.02 -1 -1 29992 -1 -1 3 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64072 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 24.2 MiB 0.05 134 1128 368 603 157 62.6 MiB 0.01 0.00 1.2044 -18.5156 -1.2044 1.2044 0.35 0.000154596 0.000139466 0.00453151 0.00408993 -1 -1 -1 -1 26 307 17 6.87369e+06 41921.5 503264. 1741.40 0.35 0.021363 0.0179662 24322 120374 -1 267 14 146 146 6496 2236 0.989373 0.989373 -20.3361 -0.989373 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.00517942 0.0045165 16 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_008bits.v common 1.99 vpr 62.28 MiB -1 -1 0.08 17312 1 0.02 -1 -1 29984 -1 -1 3 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63776 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 23.8 MiB 0.05 146 1877 632 931 314 62.3 MiB 0.02 0.00 1.2154 -21.3035 -1.2154 1.2154 0.34 0.000157735 0.000145122 0.00722208 0.00664584 -1 -1 -1 -1 26 297 13 6.87369e+06 41921.5 503264. 1741.40 0.37 0.0248125 0.0212051 24322 120374 -1 273 13 150 150 7334 2479 1.00037 1.00037 -22.2134 -1.00037 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.00528613 0.0045942 19 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_009bits.v common 2.16 vpr 62.50 MiB -1 -1 0.14 17120 1 0.02 -1 -1 30024 -1 -1 3 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63996 19 10 69 70 1 45 32 17 17 289 -1 unnamed_device 24.1 MiB 0.07 160 2332 796 1082 454 62.5 MiB 0.02 0.00 1.2264 -24.1787 -1.2264 1.2264 0.34 0.000175241 0.000161634 0.008706 0.00803815 -1 -1 -1 -1 32 327 12 6.87369e+06 41921.5 586450. 2029.24 0.41 0.0280777 0.0241535 25474 144626 -1 286 11 164 164 7398 2391 1.01137 1.01137 -24.7636 -1.01137 0 0 744469. 2576.02 0.04 0.01 0.12 -1 -1 0.04 0.00504681 0.00448936 20 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_010bits.v common 2.07 vpr 62.51 MiB -1 -1 0.15 17260 1 0.02 -1 -1 30120 -1 -1 4 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64008 21 11 76 77 1 48 36 17 17 289 -1 unnamed_device 24.0 MiB 0.07 175 2101 728 1079 294 62.5 MiB 0.02 0.00 1.2374 -27.2124 -1.2374 1.2374 0.34 0.000193984 0.000179478 0.00761103 0.00704442 -1 -1 -1 -1 30 382 13 6.87369e+06 55895.4 556674. 1926.21 0.41 0.0291491 0.0249416 25186 138497 -1 293 13 196 196 9565 2925 1.01137 1.01137 -26.4753 -1.01137 0 0 706193. 2443.58 0.03 0.01 0.08 -1 -1 0.03 0.00399551 0.00355068 22 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_011bits.v common 2.24 vpr 62.55 MiB -1 -1 0.15 17412 1 0.02 -1 -1 30040 -1 -1 5 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64052 23 12 83 84 1 53 40 17 17 289 -1 unnamed_device 24.0 MiB 0.05 194 2488 794 1203 491 62.6 MiB 0.02 0.00 1.2484 -30.0694 -1.2484 1.2484 0.33 0.000210963 0.000194687 0.00835745 0.00773003 -1 -1 -1 -1 32 434 17 6.87369e+06 69869.2 586450. 2029.24 0.45 0.0313966 0.026865 25474 144626 -1 373 20 274 274 18397 5227 1.13667 1.13667 -31.3415 -1.13667 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.0135594 0.0114399 24 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_012bits.v common 2.22 vpr 62.56 MiB -1 -1 0.16 17376 1 0.02 -1 -1 30204 -1 -1 5 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64060 25 13 90 91 1 60 43 17 17 289 -1 unnamed_device 24.0 MiB 0.06 241 3493 1152 1593 748 62.6 MiB 0.03 0.00 1.2594 -33.5756 -1.2594 1.2594 0.34 0.00022384 0.000206819 0.0111138 0.0102745 -1 -1 -1 -1 32 501 21 6.87369e+06 69869.2 586450. 2029.24 0.43 0.0379716 0.0326576 25474 144626 -1 425 8 199 199 11182 3603 0.989373 0.989373 -33.3362 -0.989373 0 0 744469. 2576.02 0.03 0.02 0.12 -1 -1 0.03 0.00518953 0.00458893 26 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_013bits.v common 2.80 vpr 62.49 MiB -1 -1 0.15 17308 1 0.02 -1 -1 30232 -1 -1 5 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63988 27 14 97 98 1 67 46 17 17 289 -1 unnamed_device 23.9 MiB 0.06 240 2998 1051 1663 284 62.5 MiB 0.03 0.00 1.2773 -35.5756 -1.2773 1.2773 0.33 0.000246381 0.00022827 0.00947207 0.00876673 -1 -1 -1 -1 30 618 21 6.87369e+06 69869.2 556674. 1926.21 1.12 0.0733815 0.0616699 25186 138497 -1 480 17 350 350 21752 6218 1.04437 1.04437 -34.6874 -1.04437 0 0 706193. 2443.58 0.03 0.02 0.09 -1 -1 0.03 0.00875841 0.00753696 28 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_014bits.v common 2.19 vpr 62.53 MiB -1 -1 0.16 17388 1 0.02 -1 -1 30104 -1 -1 7 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64032 29 15 104 105 1 74 51 17 17 289 -1 unnamed_device 23.9 MiB 0.07 310 4093 1402 1938 753 62.5 MiB 0.03 0.00 1.2814 -39.7439 -1.2814 1.2814 0.33 0.000252751 0.00023371 0.0117669 0.0108889 -1 -1 -1 -1 28 763 21 6.87369e+06 97816.9 531479. 1839.03 0.43 0.041926 0.0362437 24610 126494 -1 614 12 343 343 23714 6541 1.12567 1.12567 -40.5186 -1.12567 0 0 648988. 2245.63 0.03 0.02 0.11 -1 -1 0.03 0.00730899 0.00637495 31 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_015bits.v common 2.29 vpr 62.38 MiB -1 -1 0.15 17576 1 0.02 -1 -1 30264 -1 -1 6 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63880 31 16 111 112 1 80 53 17 17 289 -1 unnamed_device 23.7 MiB 0.08 337 6389 2578 2834 977 62.4 MiB 0.05 0.00 1.65963 -43.635 -1.65963 1.65963 0.34 0.000271225 0.000250996 0.0184151 0.0170486 -1 -1 -1 -1 32 726 25 6.87369e+06 83843 586450. 2029.24 0.47 0.0547587 0.0475343 25474 144626 -1 583 15 400 400 26645 7674 1.12567 1.12567 -42.064 -1.12567 0 0 744469. 2576.02 0.03 0.03 0.12 -1 -1 0.03 0.00894667 0.007769 32 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_016bits.v common 2.23 vpr 62.55 MiB -1 -1 0.16 17600 1 0.02 -1 -1 30052 -1 -1 6 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64056 33 17 118 119 1 83 56 17 17 289 -1 unnamed_device 23.9 MiB 0.08 354 5727 2338 3295 94 62.6 MiB 0.04 0.00 1.66373 -46.576 -1.66373 1.66373 0.33 0.00029115 0.000269841 0.0164547 0.0152586 -1 -1 -1 -1 30 765 19 6.87369e+06 83843 556674. 1926.21 0.43 0.0498673 0.043428 25186 138497 -1 646 22 430 430 29960 8573 1.22267 1.22267 -46.6259 -1.22267 0 0 706193. 2443.58 0.03 0.03 0.11 -1 -1 0.03 0.0125804 0.0108044 35 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_018bits.v common 2.21 vpr 62.46 MiB -1 -1 0.15 17420 1 0.02 -1 -1 30192 -1 -1 7 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63956 37 19 132 133 1 89 63 17 17 289 -1 unnamed_device 23.7 MiB 0.08 390 6188 2490 3601 97 62.5 MiB 0.04 0.00 1.68573 -53.5906 -1.68573 1.68573 0.33 0.000329317 0.000306042 0.0173699 0.0161432 -1 -1 -1 -1 30 851 16 6.87369e+06 97816.9 556674. 1926.21 0.44 0.0537216 0.0467985 25186 138497 -1 693 11 381 381 25789 7299 1.13037 1.13037 -51.5244 -1.13037 0 0 706193. 2443.58 0.03 0.03 0.11 -1 -1 0.03 0.0086009 0.00751161 38 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_020bits.v common 2.36 vpr 62.71 MiB -1 -1 0.15 17564 1 0.02 -1 -1 30288 -1 -1 8 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64220 41 21 146 147 1 101 70 17 17 289 -1 unnamed_device 23.7 MiB 0.08 447 8134 3300 4657 177 62.7 MiB 0.06 0.00 1.70773 -60.3017 -1.70773 1.70773 0.33 0.00036247 0.000336699 0.0215112 0.0200033 -1 -1 -1 -1 32 937 23 6.87369e+06 111791 586450. 2029.24 0.50 0.0651527 0.0569837 25474 144626 -1 774 21 527 527 36101 10508 1.18067 1.18067 -56.9294 -1.18067 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.0145839 0.0125579 42 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_022bits.v common 2.78 vpr 62.66 MiB -1 -1 0.17 17644 1 0.03 -1 -1 30472 -1 -1 10 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64168 45 23 160 161 1 115 78 17 17 289 -1 unnamed_device 23.6 MiB 0.11 503 9208 3768 5283 157 62.7 MiB 0.06 0.00 1.72973 -67.8771 -1.72973 1.72973 0.33 0.000386869 0.00035906 0.02257 0.0209781 -1 -1 -1 -1 36 1109 22 6.87369e+06 139738 648988. 2245.63 0.82 0.0992719 0.0860563 26050 158493 -1 898 22 643 643 58388 16256 1.24467 1.24467 -62.8028 -1.24467 0 0 828058. 2865.25 0.03 0.05 0.13 -1 -1 0.03 0.0165817 0.0143669 47 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_024bits.v common 2.34 vpr 62.91 MiB -1 -1 0.17 17604 1 0.02 -1 -1 30380 -1 -1 9 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64424 49 25 174 175 1 124 83 17 17 289 -1 unnamed_device 23.7 MiB 0.09 607 6023 1283 4464 276 62.9 MiB 0.05 0.00 2.11206 -76.1943 -2.11206 2.11206 0.33 0.000426528 0.000396958 0.0153869 0.0143251 -1 -1 -1 -1 32 1299 16 6.87369e+06 125765 586450. 2029.24 0.48 0.0620614 0.0543264 25474 144626 -1 1097 14 609 609 45524 12761 1.34167 1.34167 -75.6214 -1.34167 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.0127044 0.0111413 51 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_028bits.v common 2.41 vpr 62.80 MiB -1 -1 0.17 17660 1 0.03 -1 -1 30076 -1 -1 11 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64304 57 29 202 203 1 142 97 17 17 289 -1 unnamed_device 23.5 MiB 0.09 789 12307 2769 8813 725 62.8 MiB 0.08 0.00 2.15606 -94.5222 -2.15606 2.15606 0.33 0.000492644 0.000458135 0.0286183 0.0266446 -1 -1 -1 -1 32 1559 17 6.87369e+06 153712 586450. 2029.24 0.53 0.0833133 0.0738776 25474 144626 -1 1393 18 688 688 59523 16512 1.49997 1.49997 -95.1329 -1.49997 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0173005 0.0151701 58 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_032bits.v common 2.57 vpr 63.14 MiB -1 -1 0.17 17576 1 0.03 -1 -1 30072 -1 -1 12 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64652 65 33 230 231 1 165 110 17 17 289 -1 unnamed_device 23.6 MiB 0.11 981 17205 4139 12245 821 63.1 MiB 0.11 0.00 2.56039 -112.802 -2.56039 2.56039 0.34 0.0005709 0.000533122 0.0389788 0.0363756 -1 -1 -1 -1 32 1799 22 6.87369e+06 167686 586450. 2029.24 0.56 0.106634 0.0949936 25474 144626 -1 1617 15 720 720 63562 16203 1.47797 1.47797 -105.078 -1.47797 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0173981 0.0153283 67 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_048bits.v common 2.89 vpr 63.28 MiB -1 -1 0.15 17828 1 0.03 -1 -1 30264 -1 -1 18 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64796 97 49 342 343 1 247 164 17 17 289 -1 unnamed_device 24.0 MiB 0.12 1584 31076 9475 18689 2912 63.3 MiB 0.19 0.00 3.45705 -193.743 -3.45705 3.45705 0.34 0.0008684 0.000814632 0.0625391 0.0585774 -1 -1 -1 -1 32 3068 37 6.87369e+06 251529 586450. 2029.24 0.78 0.189406 0.170897 25474 144626 -1 2611 15 1093 1093 100501 26292 1.79097 1.79097 -171.802 -1.79097 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0264197 0.0236566 99 2 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_064bits.v common 3.73 vpr 64.25 MiB -1 -1 0.22 18156 1 0.04 -1 -1 30548 -1 -1 24 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65796 129 65 454 455 1 329 218 17 17 289 -1 unnamed_device 24.5 MiB 0.14 1992 52618 19565 29996 3057 64.3 MiB 0.34 0.01 4.35372 -280.144 -4.35372 4.35372 0.36 0.00122296 0.00115029 0.100997 0.0950032 -1 -1 -1 -1 34 3707 21 6.87369e+06 335372 618332. 2139.56 1.28 0.350781 0.318364 25762 151098 -1 3199 18 1360 1360 119854 30025 1.75637 1.75637 -212.671 -1.75637 0 0 787024. 2723.27 0.03 0.10 0.12 -1 -1 0.03 0.04213 0.0380291 131 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_004bits.v common 1.95 vpr 62.20 MiB -1 -1 0.14 17356 1 0.02 -1 -1 29912 -1 -1 2 9 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63688 9 5 34 35 1 20 16 17 17 289 -1 unnamed_device 23.6 MiB 0.05 64 316 84 203 29 62.2 MiB 0.01 0.00 0.789073 -10.2315 -0.789073 0.789073 0.37 6.7432e-05 5.9105e-05 0.0014023 0.00123038 -1 -1 -1 -1 20 131 13 6.89349e+06 28187.7 414966. 1435.87 0.30 0.005288 0.00462994 23170 95770 -1 112 9 43 43 1879 665 0.79102 0.79102 -9.88956 -0.79102 0 0 503264. 1741.40 0.02 0.01 0.08 -1 -1 0.02 0.00293029 0.00260954 10 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_005bits.v common 1.92 vpr 62.25 MiB -1 -1 0.16 17372 1 0.02 -1 -1 29916 -1 -1 3 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63744 11 6 41 42 1 27 20 17 17 289 -1 unnamed_device 23.5 MiB 0.03 158 587 141 409 37 62.2 MiB 0.01 0.00 0.834592 -14.4431 -0.834592 0.834592 0.34 0.000109323 9.9479e-05 0.00278401 0.00252793 -1 -1 -1 -1 22 303 8 6.89349e+06 42281.5 443629. 1535.05 0.32 0.0144953 0.0122181 23458 102101 -1 278 9 87 87 6583 1789 0.914373 0.914373 -16.0104 -0.914373 0 0 531479. 1839.03 0.02 0.01 0.09 -1 -1 0.02 0.00331916 0.00294637 13 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_006bits.v common 2.05 vpr 62.27 MiB -1 -1 0.15 17272 1 0.02 -1 -1 30048 -1 -1 4 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63760 13 7 48 49 1 33 24 17 17 289 -1 unnamed_device 23.6 MiB 0.05 133 1044 310 591 143 62.3 MiB 0.01 0.00 0.833073 -15.9272 -0.833073 0.833073 0.34 0.000124785 0.000114174 0.00422947 0.00386489 -1 -1 -1 -1 26 290 13 6.89349e+06 56375.4 503264. 1741.40 0.36 0.0182724 0.0154763 24322 120374 -1 221 12 125 125 5631 2000 0.94932 0.94932 -16.2556 -0.94932 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.0041284 0.00360823 15 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_007bits.v common 2.07 vpr 62.25 MiB -1 -1 0.09 17396 1 0.03 -1 -1 30132 -1 -1 3 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63740 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 23.8 MiB 0.04 133 1204 333 631 240 62.2 MiB 0.01 0.00 1.2044 -18.4031 -1.2044 1.2044 0.34 0.000139573 0.000128145 0.0049121 0.00451093 -1 -1 -1 -1 32 295 12 6.89349e+06 42281.5 586450. 2029.24 0.41 0.0205912 0.0174698 25474 144626 -1 203 14 129 129 5391 1760 0.853073 0.853073 -17.6358 -0.853073 0 0 744469. 2576.02 0.03 0.01 0.12 -1 -1 0.03 0.00488954 0.00422668 16 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_008bits.v common 1.99 vpr 62.19 MiB -1 -1 0.15 17216 1 0.02 -1 -1 29988 -1 -1 3 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63680 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 23.7 MiB 0.05 147 1877 621 864 392 62.2 MiB 0.02 0.00 1.2154 -21.3086 -1.2154 1.2154 0.33 0.000157194 0.000144466 0.00719049 0.006617 -1 -1 -1 -1 26 326 10 6.89349e+06 42281.5 503264. 1741.40 0.36 0.0239307 0.0204765 24322 120374 -1 297 13 172 172 9130 2854 1.11467 1.11467 -23.1377 -1.11467 0 0 618332. 2139.56 0.03 0.01 0.08 -1 -1 0.03 0.00521984 0.00452385 19 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_009bits.v common 2.15 vpr 62.36 MiB -1 -1 0.15 17412 1 0.02 -1 -1 30144 -1 -1 3 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63856 19 10 69 70 1 45 32 17 17 289 -1 unnamed_device 23.9 MiB 0.04 160 2382 879 1128 375 62.4 MiB 0.02 0.00 1.2264 -24.2382 -1.2264 1.2264 0.35 0.000196203 0.000178208 0.0083255 0.00754874 -1 -1 -1 -1 26 391 28 6.89349e+06 42281.5 503264. 1741.40 0.41 0.0303822 0.0257506 24322 120374 -1 301 12 172 172 9169 2958 0.88802 0.88802 -24.0325 -0.88802 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.0054245 0.00471594 20 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_010bits.v common 2.13 vpr 62.29 MiB -1 -1 0.16 17316 1 0.02 -1 -1 30208 -1 -1 4 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63788 21 11 76 77 1 48 36 17 17 289 -1 unnamed_device 23.8 MiB 0.05 178 2101 697 1026 378 62.3 MiB 0.03 0.00 1.2374 -27.3972 -1.2374 1.2374 0.34 0.000272143 0.000242871 0.014502 0.0134048 -1 -1 -1 -1 26 398 15 6.89349e+06 56375.4 503264. 1741.40 0.41 0.0366146 0.031747 24322 120374 -1 357 12 211 211 13104 3962 1.00232 1.00232 -27.9123 -1.00232 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00586481 0.00508309 22 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_011bits.v common 2.14 vpr 62.36 MiB -1 -1 0.15 17312 1 0.02 -1 -1 30020 -1 -1 5 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63856 23 12 83 84 1 53 40 17 17 289 -1 unnamed_device 23.9 MiB 0.05 194 2488 804 1234 450 62.4 MiB 0.02 0.00 1.2484 -29.9141 -1.2484 1.2484 0.34 0.000209881 0.00019386 0.00831107 0.00768353 -1 -1 -1 -1 32 419 16 6.89349e+06 70469.2 586450. 2029.24 0.44 0.0369654 0.0314569 25474 144626 -1 365 14 187 187 12612 3522 1.15867 1.15867 -31.3059 -1.15867 0 0 744469. 2576.02 0.03 0.02 0.12 -1 -1 0.03 0.00694411 0.00599348 24 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_012bits.v common 2.17 vpr 62.26 MiB -1 -1 0.16 17436 1 0.02 -1 -1 30088 -1 -1 5 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63756 25 13 90 91 1 60 43 17 17 289 -1 unnamed_device 23.7 MiB 0.05 217 3493 1104 1725 664 62.3 MiB 0.05 0.00 1.2594 -32.5677 -1.2594 1.2594 0.34 0.000304479 0.000281407 0.0209988 0.0194009 -1 -1 -1 -1 32 482 20 6.89349e+06 70469.2 586450. 2029.24 0.43 0.0482192 0.0420835 25474 144626 -1 389 12 280 280 15448 4965 1.02237 1.02237 -32.661 -1.02237 0 0 744469. 2576.02 0.03 0.02 0.12 -1 -1 0.03 0.00656711 0.00568522 26 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_013bits.v common 2.48 vpr 62.37 MiB -1 -1 0.16 17368 1 0.02 -1 -1 30088 -1 -1 5 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63868 27 14 97 98 1 67 46 17 17 289 -1 unnamed_device 23.8 MiB 0.05 241 2998 936 1427 635 62.4 MiB 0.03 0.00 1.2704 -35.731 -1.2704 1.2704 0.34 0.000238318 0.000220139 0.00954251 0.00882072 -1 -1 -1 -1 36 554 23 6.89349e+06 70469.2 648988. 2245.63 0.68 0.0569241 0.0482567 26050 158493 -1 470 11 304 304 20930 6434 1.17597 1.17597 -35.5601 -1.17597 0 0 828058. 2865.25 0.03 0.02 0.15 -1 -1 0.03 0.00655121 0.00571957 28 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_014bits.v common 2.30 vpr 62.49 MiB -1 -1 0.15 17252 1 0.03 -1 -1 30068 -1 -1 7 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63988 29 15 104 105 1 74 51 17 17 289 -1 unnamed_device 23.8 MiB 0.06 311 4093 1430 1919 744 62.5 MiB 0.03 0.00 1.2814 -39.6 -1.2814 1.2814 0.34 0.00025249 0.000233658 0.0117448 0.0108621 -1 -1 -1 -1 32 651 22 6.89349e+06 98656.9 586450. 2029.24 0.45 0.0440558 0.0379748 25474 144626 -1 546 15 298 298 20497 5584 0.96032 0.96032 -37.5765 -0.96032 0 0 744469. 2576.02 0.03 0.02 0.13 -1 -1 0.03 0.00837419 0.00725538 31 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_015bits.v common 2.23 vpr 62.42 MiB -1 -1 0.16 17588 1 0.02 -1 -1 30232 -1 -1 6 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63916 31 16 111 112 1 80 53 17 17 289 -1 unnamed_device 23.7 MiB 0.07 342 6191 2383 2979 829 62.4 MiB 0.04 0.00 1.65273 -42.5434 -1.65273 1.65273 0.35 0.000272263 0.000252147 0.0177761 0.0164574 -1 -1 -1 -1 28 782 16 6.89349e+06 84563 531479. 1839.03 0.47 0.050838 0.0446254 24610 126494 -1 632 16 412 412 30562 8836 1.09932 1.09932 -43.4614 -1.09932 0 0 648988. 2245.63 0.03 0.03 0.11 -1 -1 0.03 0.00917276 0.00791503 32 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_016bits.v common 2.23 vpr 62.59 MiB -1 -1 0.16 17496 1 0.02 -1 -1 30196 -1 -1 6 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64092 33 17 118 119 1 83 56 17 17 289 -1 unnamed_device 23.9 MiB 0.07 355 5727 2349 2359 1019 62.6 MiB 0.04 0.00 1.66373 -46.554 -1.66373 1.66373 0.34 0.00029317 0.000271463 0.0164277 0.0152439 -1 -1 -1 -1 30 761 18 6.89349e+06 84563 556674. 1926.21 0.43 0.0452337 0.0395422 25186 138497 -1 615 14 322 322 20560 5635 1.13667 1.13667 -43.9673 -1.13667 0 0 706193. 2443.58 0.03 0.02 0.11 -1 -1 0.03 0.00900274 0.00782068 35 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_018bits.v common 2.26 vpr 62.61 MiB -1 -1 0.15 17668 1 0.02 -1 -1 30096 -1 -1 7 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64116 37 19 132 133 1 89 63 17 17 289 -1 unnamed_device 24.0 MiB 0.07 391 6563 2630 3842 91 62.6 MiB 0.05 0.00 1.68573 -53.2796 -1.68573 1.68573 0.33 0.000328745 0.0003059 0.0180114 0.01674 -1 -1 -1 -1 30 836 17 6.89349e+06 98656.9 556674. 1926.21 0.44 0.0548421 0.0477938 25186 138497 -1 695 15 451 451 29891 8374 1.03337 1.03337 -49.3183 -1.03337 0 0 706193. 2443.58 0.03 0.03 0.13 -1 -1 0.03 0.0118554 0.0102459 38 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_020bits.v common 2.36 vpr 62.61 MiB -1 -1 0.15 17628 1 0.02 -1 -1 30352 -1 -1 8 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64108 41 21 146 147 1 101 70 17 17 289 -1 unnamed_device 23.6 MiB 0.06 444 7702 3109 4434 159 62.6 MiB 0.05 0.00 1.70773 -59.9521 -1.70773 1.70773 0.33 0.000367369 0.000341624 0.0204269 0.0189835 -1 -1 -1 -1 32 990 21 6.89349e+06 112751 586450. 2029.24 0.51 0.0631649 0.0551747 25474 144626 -1 819 18 512 512 38144 10646 1.11467 1.11467 -55.3744 -1.11467 0 0 744469. 2576.02 0.06 0.04 0.12 -1 -1 0.06 0.0153282 0.0133693 42 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_022bits.v common 2.91 vpr 62.58 MiB -1 -1 0.17 17744 1 0.02 -1 -1 30460 -1 -1 10 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64084 45 23 160 161 1 115 78 17 17 289 -1 unnamed_device 23.5 MiB 0.10 525 9208 3753 5295 160 62.6 MiB 0.06 0.00 1.72973 -67.5033 -1.72973 1.72973 0.34 0.000392949 0.000365341 0.0227959 0.0211971 -1 -1 -1 -1 38 1007 50 6.89349e+06 140938 678818. 2348.85 0.90 0.1159 0.10007 26626 170182 -1 870 15 498 498 29786 8882 1.34722 1.34722 -61.6964 -1.34722 0 0 902133. 3121.57 0.04 0.03 0.15 -1 -1 0.04 0.0128133 0.0111561 47 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_024bits.v common 2.37 vpr 62.61 MiB -1 -1 0.16 17696 1 0.02 -1 -1 30324 -1 -1 9 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64116 49 25 174 175 1 124 83 17 17 289 -1 unnamed_device 23.5 MiB 0.08 661 9623 2236 7262 125 62.6 MiB 0.07 0.00 2.11206 -77.8242 -2.11206 2.11206 0.33 0.000423349 0.000394636 0.0238414 0.0221996 -1 -1 -1 -1 32 1386 20 6.89349e+06 126845 586450. 2029.24 0.50 0.0733721 0.0645323 25474 144626 -1 1142 15 563 563 48813 12563 1.46697 1.46697 -78.8793 -1.46697 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.0131862 0.0115318 51 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_028bits.v common 2.40 vpr 63.05 MiB -1 -1 0.14 17632 1 0.02 -1 -1 30148 -1 -1 11 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64564 57 29 202 203 1 142 97 17 17 289 -1 unnamed_device 23.6 MiB 0.08 791 11419 2578 8125 716 63.1 MiB 0.07 0.00 2.15606 -94.8463 -2.15606 2.15606 0.33 0.000485905 0.00045224 0.0262913 0.0244792 -1 -1 -1 -1 32 1495 15 6.89349e+06 155032 586450. 2029.24 0.50 0.0789967 0.0700395 25474 144626 -1 1370 17 655 655 52543 14035 1.33262 1.33262 -89.2547 -1.33262 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.0163034 0.0142892 58 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_032bits.v common 2.53 vpr 63.12 MiB -1 -1 0.17 17808 1 0.03 -1 -1 30108 -1 -1 12 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64632 65 33 230 231 1 165 110 17 17 289 -1 unnamed_device 23.6 MiB 0.09 986 17205 3974 12341 890 63.1 MiB 0.11 0.00 2.56039 -113.021 -2.56039 2.56039 0.33 0.000575876 0.000537719 0.0387672 0.0362165 -1 -1 -1 -1 30 1859 34 6.89349e+06 169126 556674. 1926.21 0.57 0.116327 0.103243 25186 138497 -1 1589 16 746 746 58837 14814 1.31532 1.31532 -101.149 -1.31532 0 0 706193. 2443.58 0.03 0.05 0.11 -1 -1 0.03 0.0180394 0.0158572 67 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_048bits.v common 2.95 vpr 63.42 MiB -1 -1 0.17 17756 1 0.03 -1 -1 30288 -1 -1 18 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64940 97 49 342 343 1 247 164 17 17 289 -1 unnamed_device 23.9 MiB 0.11 1569 31076 8349 20038 2689 63.4 MiB 0.19 0.00 3.45705 -193.838 -3.45705 3.45705 0.33 0.000873253 0.000818313 0.0625323 0.0585782 -1 -1 -1 -1 32 3055 34 6.89349e+06 253689 586450. 2029.24 0.75 0.184089 0.166063 25474 144626 -1 2514 15 1003 1003 86721 22065 1.69397 1.69397 -165.636 -1.69397 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0259565 0.0232087 99 2 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml adder_064bits.v common 3.51 vpr 63.59 MiB -1 -1 0.17 17944 1 0.03 -1 -1 30596 -1 -1 24 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65112 129 65 454 455 1 329 218 17 17 289 -1 unnamed_device 24.4 MiB 0.15 2051 53273 20114 30300 2859 63.6 MiB 0.35 0.01 4.35372 -281.486 -4.35372 4.35372 0.33 0.00119747 0.00112577 0.101104 0.0950117 -1 -1 -1 -1 34 3564 19 6.89349e+06 338252 618332. 2139.56 1.07 0.340154 0.308577 25762 151098 -1 3156 13 1279 1279 97169 24263 1.63107 1.63107 -202.647 -1.63107 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0325631 0.0294317 131 2 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt index 8e03af2eb66..8d0853d10c4 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/multless_consts/config/golden_results.txt @@ -1,1025 +1,1025 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 6.67 vpr 63.87 MiB -1 -1 0.38 21968 14 0.32 -1 -1 36964 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65404 32 32 277 309 1 207 91 17 17 289 -1 unnamed_device 24.9 MiB 0.59 1302 6007 1134 4530 343 63.9 MiB 0.05 0.00 8.06507 -165.8 -8.06507 8.06507 0.97 0.000305931 0.000244893 0.0122392 0.0102339 -1 -1 -1 -1 38 3079 21 6.55708e+06 325485 638502. 2209.35 2.05 0.121956 0.105494 23326 155178 -1 2542 18 1049 3126 148192 34301 6.81156 6.81156 -153.807 -6.81156 0 0 851065. 2944.86 0.33 0.05 0.13 -1 -1 0.33 0.0176175 0.0157702 184 183 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 9.94 vpr 63.73 MiB -1 -1 0.35 22080 14 0.37 -1 -1 36748 -1 -1 30 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65264 30 32 272 304 1 211 92 17 17 289 -1 unnamed_device 24.8 MiB 0.56 1258 10442 2540 7122 780 63.7 MiB 0.08 0.00 8.22247 -160.403 -8.22247 8.22247 1.06 0.000290595 0.000232258 0.0195253 0.0159208 -1 -1 -1 -1 30 3326 21 6.55708e+06 361650 526063. 1820.29 5.07 0.134421 0.113218 21886 126133 -1 2754 19 1372 4095 194818 46233 7.04996 7.04996 -153.465 -7.04996 0 0 666494. 2306.21 0.27 0.07 0.11 -1 -1 0.27 0.0203963 0.018217 184 184 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 7.08 vpr 63.84 MiB -1 -1 0.28 21648 11 0.43 -1 -1 36604 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65372 32 32 280 312 1 203 91 17 17 289 -1 unnamed_device 24.9 MiB 0.37 1264 8863 2060 5892 911 63.8 MiB 0.07 0.00 7.11975 -140.004 -7.11975 7.11975 1.04 0.000290724 0.00023068 0.0166225 0.0137583 -1 -1 -1 -1 36 3173 19 6.55708e+06 325485 612192. 2118.31 2.32 0.126605 0.108913 22750 144809 -1 2760 15 1101 3755 203186 46560 6.31024 6.31024 -137.874 -6.31024 0 0 782063. 2706.10 0.33 0.07 0.14 -1 -1 0.33 0.0219901 0.0200489 186 186 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 7.96 vpr 63.87 MiB -1 -1 0.47 21604 12 0.43 -1 -1 36688 -1 -1 31 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65400 29 32 275 307 1 205 92 17 17 289 -1 unnamed_device 25.1 MiB 0.58 1219 12719 3492 7061 2166 63.9 MiB 0.09 0.00 7.73186 -145.655 -7.73186 7.73186 1.14 0.000296907 0.000231767 0.0239188 0.0195325 -1 -1 -1 -1 34 3645 27 6.55708e+06 373705 585099. 2024.56 2.87 0.163236 0.141224 22462 138074 -1 2819 18 1400 4397 251853 59239 6.56852 6.56852 -134.924 -6.56852 0 0 742403. 2568.87 0.28 0.07 0.12 -1 -1 0.28 0.0200637 0.0180167 190 190 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 7.99 vpr 63.98 MiB -1 -1 0.30 22036 13 0.37 -1 -1 36608 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65520 32 32 302 334 1 238 96 17 17 289 -1 unnamed_device 25.1 MiB 0.60 1537 9294 2316 6044 934 64.0 MiB 0.08 0.00 7.74403 -165.695 -7.74403 7.74403 0.92 0.000324899 0.00026255 0.01984 0.0165313 -1 -1 -1 -1 28 4772 43 6.55708e+06 385760 500653. 1732.36 3.25 0.133232 0.116178 21310 115450 -1 3677 17 1667 4671 277990 64212 6.9195 6.9195 -161.915 -6.9195 0 0 612192. 2118.31 0.26 0.08 0.11 -1 -1 0.26 0.0222519 0.0200705 211 208 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 6.53 vpr 63.99 MiB -1 -1 0.44 21868 13 0.35 -1 -1 36892 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65528 32 32 292 324 1 216 94 17 17 289 -1 unnamed_device 25.2 MiB 0.48 1429 10318 2408 6874 1036 64.0 MiB 0.08 0.00 7.55004 -148.529 -7.55004 7.55004 0.89 0.000355121 0.000289641 0.0192998 0.0157331 -1 -1 -1 -1 30 3702 21 6.55708e+06 361650 526063. 1820.29 1.65 0.103989 0.0904622 21886 126133 -1 3032 18 1348 4431 211919 49585 6.6027 6.6027 -144.169 -6.6027 0 0 666494. 2306.21 0.28 0.08 0.11 -1 -1 0.28 0.0235718 0.0212618 199 198 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 5.98 vpr 63.45 MiB -1 -1 0.27 21368 12 0.25 -1 -1 36280 -1 -1 28 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64972 27 32 229 261 1 178 87 17 17 289 -1 unnamed_device 24.8 MiB 0.24 1042 7383 1841 5010 532 63.4 MiB 0.05 0.00 7.10318 -127.218 -7.10318 7.10318 1.03 0.000275522 0.000225111 0.012112 0.00999416 -1 -1 -1 -1 28 2706 23 6.55708e+06 337540 500653. 1732.36 1.46 0.0722911 0.0623042 21310 115450 -1 2392 22 1205 3250 240801 75713 6.43104 6.43104 -126.122 -6.43104 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0201287 0.0179227 152 150 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 7.65 vpr 63.55 MiB -1 -1 0.29 21512 12 0.26 -1 -1 36352 -1 -1 23 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65080 31 32 229 261 1 185 86 17 17 289 -1 unnamed_device 24.7 MiB 0.35 1218 7457 1700 4721 1036 63.6 MiB 0.06 0.00 6.26429 -136.408 -6.26429 6.26429 1.01 0.000250634 0.00019487 0.0126202 0.0102384 -1 -1 -1 -1 36 3013 36 6.55708e+06 277265 612192. 2118.31 3.29 0.120234 0.103922 22750 144809 -1 2535 19 1046 3086 172167 39148 5.56972 5.56972 -130.236 -5.56972 0 0 782063. 2706.10 0.31 0.06 0.13 -1 -1 0.31 0.0165717 0.0148312 141 138 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 7.25 vpr 63.63 MiB -1 -1 0.38 21564 12 0.27 -1 -1 36580 -1 -1 26 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65160 31 32 235 267 1 192 89 17 17 289 -1 unnamed_device 24.7 MiB 0.51 1147 11573 2830 6597 2146 63.6 MiB 0.07 0.00 6.46263 -134.738 -6.46263 6.46263 0.98 0.000239849 0.000190231 0.0172368 0.0140517 -1 -1 -1 -1 28 3212 35 6.55708e+06 313430 500653. 1732.36 2.22 0.100672 0.0871263 21310 115450 -1 2789 20 1233 3134 288271 89181 5.93292 5.93292 -138.747 -5.93292 0 0 612192. 2118.31 0.28 0.12 0.11 -1 -1 0.28 0.0272491 0.0247628 150 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 6.38 vpr 63.67 MiB -1 -1 0.44 21792 13 0.29 -1 -1 36768 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65196 32 32 250 282 1 193 89 17 17 289 -1 unnamed_device 24.7 MiB 0.41 1201 7613 1790 5065 758 63.7 MiB 0.06 0.00 7.6044 -163.149 -7.6044 7.6044 1.18 0.000263148 0.000209186 0.0145516 0.012102 -1 -1 -1 -1 28 3358 45 6.55708e+06 301375 500653. 1732.36 1.55 0.105403 0.0921085 21310 115450 -1 2771 16 1141 3137 179678 42083 6.54924 6.54924 -157.958 -6.54924 0 0 612192. 2118.31 0.26 0.06 0.16 -1 -1 0.26 0.0171791 0.0154912 157 156 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 6.56 vpr 63.25 MiB -1 -1 0.36 21628 12 0.25 -1 -1 36428 -1 -1 24 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64772 30 32 216 248 1 168 86 17 17 289 -1 unnamed_device 24.8 MiB 0.52 975 6890 1484 5091 315 63.3 MiB 0.05 0.00 7.2876 -142.231 -7.2876 7.2876 1.10 0.000226144 0.000179001 0.0105934 0.00869922 -1 -1 -1 -1 28 2669 16 6.55708e+06 289320 500653. 1732.36 1.81 0.0706326 0.0616944 21310 115450 -1 2344 20 978 2637 155411 35551 6.35264 6.35264 -138.448 -6.35264 0 0 612192. 2118.31 0.29 0.06 0.11 -1 -1 0.29 0.0188124 0.0168309 132 128 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 7.22 vpr 63.11 MiB -1 -1 0.25 21300 12 0.22 -1 -1 36160 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64624 32 32 236 268 1 183 86 17 17 289 -1 unnamed_device 24.5 MiB 0.33 1124 10859 2500 7050 1309 63.1 MiB 0.07 0.00 6.80891 -152.833 -6.80891 6.80891 1.17 0.000252334 0.000202278 0.0169268 0.0138133 -1 -1 -1 -1 28 3221 50 6.55708e+06 265210 500653. 1732.36 2.60 0.113146 0.0975685 21310 115450 -1 2684 17 1091 2924 168986 40534 6.36992 6.36992 -151.051 -6.36992 0 0 612192. 2118.31 0.29 0.07 0.12 -1 -1 0.29 0.0191501 0.0173904 146 142 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 14.59 vpr 63.98 MiB -1 -1 0.38 21936 13 0.33 -1 -1 36688 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65516 32 32 283 315 1 222 93 17 17 289 -1 unnamed_device 25.2 MiB 0.38 1356 6603 1407 4412 784 64.0 MiB 0.06 0.00 8.08695 -168.334 -8.08695 8.08695 1.05 0.00034226 0.000244351 0.0150363 0.0125019 -1 -1 -1 -1 30 3491 49 6.55708e+06 349595 526063. 1820.29 9.94 0.23502 0.201614 21886 126133 -1 2767 15 1170 3517 165224 38864 7.32896 7.32896 -162.198 -7.32896 0 0 666494. 2306.21 0.26 0.06 0.12 -1 -1 0.26 0.0196493 0.017883 191 189 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 7.88 vpr 64.02 MiB -1 -1 0.31 21836 14 0.41 -1 -1 36600 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65560 32 32 303 335 1 241 94 17 17 289 -1 unnamed_device 25.2 MiB 0.59 1549 7123 1334 5022 767 64.0 MiB 0.06 0.00 8.74533 -181.262 -8.74533 8.74533 0.99 0.000317259 0.000252616 0.014619 0.0121365 -1 -1 -1 -1 36 3900 33 6.55708e+06 361650 612192. 2118.31 2.94 0.17112 0.147429 22750 144809 -1 3120 17 1318 3696 194391 45419 7.48896 7.48896 -166.817 -7.48896 0 0 782063. 2706.10 0.33 0.07 0.14 -1 -1 0.33 0.0244896 0.0220938 211 209 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 7.07 vpr 63.25 MiB -1 -1 0.41 21444 11 0.23 -1 -1 36484 -1 -1 27 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64772 29 32 225 257 1 174 88 17 17 289 -1 unnamed_device 24.7 MiB 0.31 935 9838 2446 5532 1860 63.3 MiB 0.06 0.00 6.43815 -124.278 -6.43815 6.43815 1.00 0.000261197 0.000212929 0.0149295 0.0122393 -1 -1 -1 -1 36 2455 49 6.55708e+06 325485 612192. 2118.31 2.62 0.135166 0.115464 22750 144809 -1 2074 16 1026 2882 145880 37165 5.56972 5.56972 -118.41 -5.56972 0 0 782063. 2706.10 0.32 0.05 0.14 -1 -1 0.32 0.0150333 0.0135307 147 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 8.49 vpr 64.11 MiB -1 -1 0.31 22044 12 0.36 -1 -1 36644 -1 -1 33 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65652 32 32 301 333 1 230 97 17 17 289 -1 unnamed_device 25.3 MiB 0.52 1403 9865 2364 6534 967 64.1 MiB 0.08 0.00 7.61832 -157.97 -7.61832 7.61832 0.99 0.000327782 0.000258845 0.0189479 0.0155529 -1 -1 -1 -1 34 4162 40 6.55708e+06 397815 585099. 2024.56 3.52 0.164137 0.141129 22462 138074 -1 3561 16 1531 5053 312901 69003 7.03204 7.03204 -158.379 -7.03204 0 0 742403. 2568.87 0.31 0.12 0.13 -1 -1 0.31 0.0327397 0.030075 209 207 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 7.55 vpr 63.92 MiB -1 -1 0.53 22016 14 0.32 -1 -1 36528 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65452 32 32 277 309 1 217 93 17 17 289 -1 unnamed_device 24.9 MiB 0.41 1439 7653 1673 5509 471 63.9 MiB 0.07 0.00 7.67629 -159.38 -7.67629 7.67629 1.17 0.000375786 0.000299016 0.0163996 0.0135423 -1 -1 -1 -1 34 3661 29 6.55708e+06 349595 585099. 2024.56 2.20 0.132415 0.112606 22462 138074 -1 3227 16 1393 4155 254677 56826 6.78964 6.78964 -153.354 -6.78964 0 0 742403. 2568.87 0.36 0.09 0.15 -1 -1 0.36 0.0251395 0.0228267 184 183 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 6.24 vpr 63.13 MiB -1 -1 0.34 21704 12 0.28 -1 -1 36380 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64644 32 32 227 259 1 178 87 17 17 289 -1 unnamed_device 24.6 MiB 0.45 1135 6807 1403 4768 636 63.1 MiB 0.05 0.00 7.47584 -167.104 -7.47584 7.47584 0.94 0.000287048 0.000235313 0.0126614 0.0105173 -1 -1 -1 -1 28 2836 44 6.55708e+06 277265 500653. 1732.36 1.68 0.098002 0.0846404 21310 115450 -1 2503 18 941 2794 164839 37617 6.2395 6.2395 -153.848 -6.2395 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.019022 0.0171419 141 133 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 5.47 vpr 62.76 MiB -1 -1 0.24 21152 10 0.13 -1 -1 36064 -1 -1 16 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64268 30 32 175 207 1 131 78 17 17 289 -1 unnamed_device 24.1 MiB 0.21 826 8378 2614 4173 1591 62.8 MiB 0.06 0.00 5.4738 -124.829 -5.4738 5.4738 1.12 0.000209649 0.0001723 0.0154905 0.0125672 -1 -1 -1 -1 26 2202 45 6.55708e+06 192880 477104. 1650.88 1.41 0.0669245 0.0570864 21022 109990 -1 1904 17 700 1663 136686 38924 4.68146 4.68146 -121.566 -4.68146 0 0 585099. 2024.56 0.26 0.05 0.11 -1 -1 0.26 0.0130335 0.0114922 91 87 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 6.49 vpr 63.26 MiB -1 -1 0.28 21796 13 0.25 -1 -1 36180 -1 -1 24 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64780 31 32 231 263 1 186 87 17 17 289 -1 unnamed_device 24.7 MiB 0.54 1089 7959 1662 5544 753 63.3 MiB 0.07 0.00 7.1116 -151.06 -7.1116 7.1116 1.14 0.000294447 0.000243104 0.0150854 0.012428 -1 -1 -1 -1 28 3001 25 6.55708e+06 289320 500653. 1732.36 1.26 0.0714237 0.0608185 21310 115450 -1 2590 18 1129 2937 165578 39108 6.72852 6.72852 -153.683 -6.72852 0 0 612192. 2118.31 0.33 0.07 0.16 -1 -1 0.33 0.0213484 0.0193758 149 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 7.54 vpr 63.90 MiB -1 -1 0.28 21992 13 0.36 -1 -1 36320 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65432 32 32 304 336 1 224 95 17 17 289 -1 unnamed_device 25.0 MiB 0.55 1422 6791 1371 4904 516 63.9 MiB 0.10 0.00 8.24149 -161.982 -8.24149 8.24149 1.14 0.00060419 0.000470748 0.0258149 0.0216308 -1 -1 -1 -1 28 3890 47 6.55708e+06 373705 500653. 1732.36 2.34 0.126504 0.108415 21310 115450 -1 3314 25 1965 6443 496259 165088 7.09316 7.09316 -157.694 -7.09316 0 0 612192. 2118.31 0.29 0.20 0.13 -1 -1 0.29 0.0422248 0.0383211 211 210 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 11.44 vpr 63.96 MiB -1 -1 0.55 22100 13 0.53 -1 -1 36880 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65492 32 32 288 320 1 221 91 17 17 289 -1 unnamed_device 25.2 MiB 0.61 1571 7639 1725 5480 434 64.0 MiB 0.07 0.00 7.9256 -167.417 -7.9256 7.9256 1.11 0.000302666 0.000241026 0.015997 0.013073 -1 -1 -1 -1 38 3822 44 6.55708e+06 325485 638502. 2209.35 5.72 0.159658 0.13664 23326 155178 -1 3247 15 1389 4538 246880 54439 6.98824 6.98824 -156.279 -6.98824 0 0 851065. 2944.86 0.34 0.08 0.14 -1 -1 0.34 0.0213937 0.0193678 194 194 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 5.29 vpr 62.74 MiB -1 -1 0.35 21192 9 0.12 -1 -1 35776 -1 -1 24 26 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64248 26 32 152 184 1 120 82 17 17 289 -1 unnamed_device 24.2 MiB 0.24 719 6668 1593 4477 598 62.7 MiB 0.04 0.00 4.68552 -94.026 -4.68552 4.68552 0.97 0.000160626 0.000126746 0.0106757 0.00881459 -1 -1 -1 -1 26 1778 19 6.55708e+06 289320 477104. 1650.88 0.97 0.0399509 0.0339544 21022 109990 -1 1584 15 597 1508 93970 21931 4.38194 4.38194 -95.8946 -4.38194 0 0 585099. 2024.56 0.29 0.04 0.12 -1 -1 0.29 0.00964556 0.0086525 87 76 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 6.81 vpr 63.88 MiB -1 -1 0.28 21764 13 0.37 -1 -1 36352 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65412 32 32 287 319 1 210 89 17 17 289 -1 unnamed_device 24.9 MiB 0.32 1351 10979 3098 6336 1545 63.9 MiB 0.09 0.00 8.17761 -160.563 -8.17761 8.17761 1.02 0.000341022 0.000277271 0.022318 0.018024 -1 -1 -1 -1 30 3690 26 6.55708e+06 301375 526063. 1820.29 2.27 0.118557 0.102785 21886 126133 -1 2989 16 1337 4047 197784 46628 7.2847 7.2847 -155.953 -7.2847 0 0 666494. 2306.21 0.30 0.07 0.12 -1 -1 0.30 0.021681 0.0196366 193 193 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 5.39 vpr 62.77 MiB -1 -1 0.31 21064 8 0.15 -1 -1 35996 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64272 32 32 154 186 1 120 79 17 17 289 -1 unnamed_device 24.3 MiB 0.28 651 6501 1478 4780 243 62.8 MiB 0.04 0.00 4.41277 -92.7441 -4.41277 4.41277 1.05 0.000152474 0.000119553 0.00758851 0.00620956 -1 -1 -1 -1 28 1783 21 6.55708e+06 180825 500653. 1732.36 1.02 0.0397696 0.0339777 21310 115450 -1 1603 14 636 1411 82033 20644 3.82214 3.82214 -95.8147 -3.82214 0 0 612192. 2118.31 0.43 0.03 0.18 -1 -1 0.43 0.00881105 0.00789061 77 60 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 7.67 vpr 63.71 MiB -1 -1 0.46 21672 15 0.36 -1 -1 36436 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65236 32 32 254 286 1 197 90 17 17 289 -1 unnamed_device 24.9 MiB 0.54 1196 7728 1698 5709 321 63.7 MiB 0.06 0.00 8.17826 -163.063 -8.17826 8.17826 1.03 0.000281908 0.000225027 0.0141935 0.0117456 -1 -1 -1 -1 34 3092 21 6.55708e+06 313430 585099. 2024.56 1.99 0.112406 0.0962547 22462 138074 -1 2774 63 2684 8832 1170555 624055 7.22924 7.22924 -156.603 -7.22924 0 0 742403. 2568.87 0.36 0.51 0.15 -1 -1 0.36 0.0766043 0.0682789 165 160 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 7.70 vpr 63.76 MiB -1 -1 0.46 21636 13 0.35 -1 -1 36444 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65292 32 32 260 292 1 207 90 17 17 289 -1 unnamed_device 24.9 MiB 0.37 1290 7929 1849 5090 990 63.8 MiB 0.06 0.00 7.1956 -157.385 -7.1956 7.1956 0.94 0.000271242 0.000215551 0.0145558 0.0119733 -1 -1 -1 -1 36 3101 19 6.55708e+06 313430 612192. 2118.31 2.58 0.14034 0.121549 22750 144809 -1 2628 17 1134 3256 168918 39645 6.21818 6.21818 -146.425 -6.21818 0 0 782063. 2706.10 0.43 0.06 0.25 -1 -1 0.43 0.0198523 0.0179048 168 166 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 7.56 vpr 63.81 MiB -1 -1 0.34 21696 13 0.35 -1 -1 36576 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65340 32 32 279 311 1 212 93 17 17 289 -1 unnamed_device 24.9 MiB 0.28 1302 9753 2433 6184 1136 63.8 MiB 0.07 0.00 7.90993 -159.862 -7.90993 7.90993 1.09 0.000291155 0.000232332 0.0174386 0.0142752 -1 -1 -1 -1 28 3838 36 6.55708e+06 349595 500653. 1732.36 2.42 0.116784 0.101476 21310 115450 -1 2999 21 1654 5200 269562 63388 7.1619 7.1619 -160.267 -7.1619 0 0 612192. 2118.31 0.51 0.17 0.17 -1 -1 0.51 0.0494094 0.0446926 187 185 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 7.57 vpr 63.51 MiB -1 -1 0.32 21384 12 0.23 -1 -1 36416 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65036 32 32 238 270 1 189 85 17 17 289 -1 unnamed_device 24.5 MiB 0.43 1221 7525 1804 5243 478 63.5 MiB 0.06 0.00 6.81858 -149.06 -6.81858 6.81858 0.98 0.000245827 0.000195274 0.0150349 0.0125698 -1 -1 -1 -1 34 3283 26 6.55708e+06 253155 585099. 2024.56 2.90 0.142169 0.123095 22462 138074 -1 2749 15 1023 2989 181961 41293 5.93798 5.93798 -142.769 -5.93798 0 0 742403. 2568.87 0.38 0.08 0.15 -1 -1 0.38 0.0221183 0.0202318 148 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 5.47 vpr 63.09 MiB -1 -1 0.27 21388 11 0.29 -1 -1 36396 -1 -1 23 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64608 30 32 213 245 1 165 85 17 17 289 -1 unnamed_device 24.7 MiB 0.26 989 9199 2086 6295 818 63.1 MiB 0.06 0.00 6.43069 -134.961 -6.43069 6.43069 1.01 0.00023043 0.000181779 0.014267 0.0115604 -1 -1 -1 -1 28 2534 18 6.55708e+06 277265 500653. 1732.36 1.17 0.0643297 0.0553104 21310 115450 -1 2287 14 909 2396 149590 35281 5.62118 5.62118 -131.641 -5.62118 0 0 612192. 2118.31 0.29 0.06 0.12 -1 -1 0.29 0.0187792 0.017212 131 125 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 6.24 vpr 63.33 MiB -1 -1 0.41 21232 11 0.33 -1 -1 36556 -1 -1 28 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64848 28 32 227 259 1 175 88 17 17 289 -1 unnamed_device 24.7 MiB 0.69 932 12958 3701 6997 2260 63.3 MiB 0.08 0.00 6.5982 -125.759 -6.5982 6.5982 1.01 0.000235699 0.000186793 0.0189958 0.0154454 -1 -1 -1 -1 32 2645 24 6.55708e+06 337540 554710. 1919.41 1.22 0.0722868 0.0617306 22174 131602 -1 2361 28 1350 3514 258755 74180 5.86158 5.86158 -127.686 -5.86158 0 0 701300. 2426.64 0.30 0.09 0.13 -1 -1 0.30 0.0225414 0.01988 150 145 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 6.84 vpr 63.87 MiB -1 -1 0.30 21540 12 0.27 -1 -1 35900 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65404 32 32 274 306 1 206 90 17 17 289 -1 unnamed_device 25.0 MiB 0.47 1332 7326 1532 5228 566 63.9 MiB 0.06 0.00 7.49746 -163.819 -7.49746 7.49746 1.15 0.000274956 0.000218242 0.013353 0.0109966 -1 -1 -1 -1 28 3385 27 6.55708e+06 313430 500653. 1732.36 2.16 0.120806 0.105062 21310 115450 -1 2821 17 1262 3325 180704 42519 6.38924 6.38924 -157.17 -6.38924 0 0 612192. 2118.31 0.33 0.07 0.12 -1 -1 0.33 0.0199235 0.0179116 180 180 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 6.43 vpr 63.34 MiB -1 -1 0.30 21388 12 0.27 -1 -1 36508 -1 -1 23 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64860 31 32 237 269 1 178 86 17 17 289 -1 unnamed_device 24.7 MiB 0.86 1141 5378 1025 3934 419 63.3 MiB 0.04 0.00 7.2858 -149.294 -7.2858 7.2858 1.03 0.000241739 0.000191913 0.00972697 0.00805536 -1 -1 -1 -1 28 3003 49 6.55708e+06 277265 500653. 1732.36 1.50 0.0928546 0.0809881 21310 115450 -1 2599 17 1054 2881 159276 37291 6.47024 6.47024 -145.428 -6.47024 0 0 612192. 2118.31 0.29 0.06 0.13 -1 -1 0.29 0.0188806 0.0170346 148 146 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 9.51 vpr 63.16 MiB -1 -1 0.46 21788 10 0.20 -1 -1 36224 -1 -1 22 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64676 29 32 220 252 1 163 83 17 17 289 -1 unnamed_device 24.7 MiB 0.19 1038 7643 2004 4942 697 63.2 MiB 0.05 0.00 5.84872 -123.373 -5.84872 5.84872 1.03 0.000233362 0.000185823 0.0127509 0.0104774 -1 -1 -1 -1 30 2394 20 6.55708e+06 265210 526063. 1820.29 4.78 0.158957 0.137033 21886 126133 -1 2056 13 741 2240 102422 24455 5.08326 5.08326 -116.766 -5.08326 0 0 666494. 2306.21 0.32 0.04 0.16 -1 -1 0.32 0.0148942 0.0134983 137 135 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 7.02 vpr 64.21 MiB -1 -1 0.31 22296 13 0.43 -1 -1 36608 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65748 32 32 315 347 1 239 95 17 17 289 -1 unnamed_device 25.2 MiB 0.35 1471 6791 1284 4967 540 64.2 MiB 0.08 0.00 7.9206 -163.84 -7.9206 7.9206 1.04 0.000602968 0.000488216 0.018513 0.0154932 -1 -1 -1 -1 30 3900 46 6.55708e+06 373705 526063. 1820.29 2.21 0.154139 0.13463 21886 126133 -1 2938 15 1341 4212 195757 47245 7.0789 7.0789 -157.591 -7.0789 0 0 666494. 2306.21 0.35 0.07 0.19 -1 -1 0.35 0.0238909 0.0217376 221 221 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 8.56 vpr 63.86 MiB -1 -1 0.33 22340 14 0.43 -1 -1 36768 -1 -1 28 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65392 32 32 282 314 1 220 92 17 17 289 -1 unnamed_device 24.9 MiB 0.74 1376 6509 1418 4515 576 63.9 MiB 0.06 0.00 7.46584 -163.527 -7.46584 7.46584 1.05 0.000306125 0.00024509 0.0131626 0.0109141 -1 -1 -1 -1 34 3649 24 6.55708e+06 337540 585099. 2024.56 3.19 0.162585 0.140699 22462 138074 -1 3209 19 1483 4380 252993 58147 6.61598 6.61598 -157.876 -6.61598 0 0 742403. 2568.87 0.34 0.09 0.16 -1 -1 0.34 0.02417 0.0217112 191 188 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 8.60 vpr 63.32 MiB -1 -1 0.27 21608 12 0.20 -1 -1 36456 -1 -1 29 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64844 31 32 241 273 1 189 92 17 17 289 -1 unnamed_device 24.7 MiB 0.29 1191 6716 1464 4657 595 63.3 MiB 0.05 0.00 7.49501 -150.663 -7.49501 7.49501 1.04 0.000244937 0.000195741 0.0120585 0.010045 -1 -1 -1 -1 36 2739 49 6.55708e+06 349595 612192. 2118.31 4.27 0.219254 0.192627 22750 144809 -1 2451 14 945 2568 146257 34226 6.3617 6.3617 -139.003 -6.3617 0 0 782063. 2706.10 0.33 0.05 0.14 -1 -1 0.33 0.0158845 0.0143928 156 150 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 9.52 vpr 64.03 MiB -1 -1 0.42 22036 12 0.51 -1 -1 36724 -1 -1 34 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65564 31 32 307 339 1 232 97 17 17 289 -1 unnamed_device 25.2 MiB 0.69 1434 7201 1575 4780 846 64.0 MiB 0.07 0.00 7.50893 -153.023 -7.50893 7.50893 1.30 0.000348128 0.000275686 0.0158615 0.0131646 -1 -1 -1 -1 28 4518 48 6.55708e+06 409870 500653. 1732.36 3.85 0.156448 0.136243 21310 115450 -1 3635 17 1650 4826 282573 65690 6.59244 6.59244 -148.359 -6.59244 0 0 612192. 2118.31 0.35 0.13 0.17 -1 -1 0.35 0.0365671 0.0333584 219 216 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 7.22 vpr 64.03 MiB -1 -1 0.35 22140 14 0.46 -1 -1 36268 -1 -1 29 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65564 31 32 293 325 1 224 92 17 17 289 -1 unnamed_device 25.1 MiB 0.31 1374 5474 1049 3945 480 64.0 MiB 0.05 0.00 8.16861 -161.211 -8.16861 8.16861 0.99 0.000344002 0.000279036 0.0123751 0.0103451 -1 -1 -1 -1 28 3932 23 6.55708e+06 349595 500653. 1732.36 2.37 0.125058 0.110074 21310 115450 -1 3284 18 1452 4273 253909 60691 7.1997 7.1997 -157.552 -7.1997 0 0 612192. 2118.31 0.27 0.11 0.11 -1 -1 0.27 0.0313956 0.0286599 202 202 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 8.85 vpr 63.87 MiB -1 -1 0.35 22104 13 0.36 -1 -1 36452 -1 -1 28 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65400 31 32 276 308 1 223 91 17 17 289 -1 unnamed_device 24.9 MiB 0.44 1387 6619 1451 4703 465 63.9 MiB 0.06 0.00 8.01146 -159.733 -8.01146 8.01146 1.06 0.000289119 0.000229453 0.0147004 0.0122703 -1 -1 -1 -1 36 3546 21 6.55708e+06 337540 612192. 2118.31 3.40 0.19051 0.166707 22750 144809 -1 3161 21 1696 5215 289539 65706 7.10844 7.10844 -156.911 -7.10844 0 0 782063. 2706.10 0.45 0.15 0.14 -1 -1 0.45 0.0373093 0.0334857 185 185 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 7.08 vpr 63.88 MiB -1 -1 0.32 21980 13 0.48 -1 -1 36336 -1 -1 26 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65412 31 32 269 301 1 203 89 17 17 289 -1 unnamed_device 25.0 MiB 0.54 1346 5039 929 3735 375 63.9 MiB 0.05 0.00 7.0422 -139.101 -7.0422 7.0422 1.04 0.000293115 0.00022423 0.0111869 0.00926855 -1 -1 -1 -1 30 3601 27 6.55708e+06 313430 526063. 1820.29 1.81 0.10999 0.0965168 21886 126133 -1 2974 27 1332 4238 413128 180626 6.07044 6.07044 -135.521 -6.07044 0 0 666494. 2306.21 0.28 0.13 0.13 -1 -1 0.28 0.0248838 0.0220096 179 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 6.89 vpr 63.73 MiB -1 -1 0.28 21488 12 0.31 -1 -1 36536 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65264 32 32 264 296 1 196 88 17 17 289 -1 unnamed_device 25.0 MiB 0.47 1210 7108 1610 4933 565 63.7 MiB 0.06 0.00 6.87954 -141.685 -6.87954 6.87954 1.01 0.00028588 0.000228779 0.0151678 0.0125655 -1 -1 -1 -1 28 3162 31 6.55708e+06 289320 500653. 1732.36 1.99 0.107569 0.0930282 21310 115450 -1 2792 16 1149 3239 194030 44473 6.09232 6.09232 -140.235 -6.09232 0 0 612192. 2118.31 0.32 0.10 0.17 -1 -1 0.32 0.028429 0.0254929 171 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 12.00 vpr 64.23 MiB -1 -1 0.47 22784 14 0.63 -1 -1 37308 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65776 32 32 324 356 1 249 95 17 17 289 -1 unnamed_device 25.5 MiB 0.63 1621 7439 1507 5629 303 64.2 MiB 0.07 0.00 8.33767 -177.625 -8.33767 8.33767 1.07 0.00037588 0.000301003 0.0189083 0.0157367 -1 -1 -1 -1 36 4483 50 6.55708e+06 373705 612192. 2118.31 6.11 0.218298 0.18806 22750 144809 -1 3592 17 1560 5035 287104 64510 7.16756 7.16756 -166.325 -7.16756 0 0 782063. 2706.10 0.43 0.09 0.20 -1 -1 0.43 0.0254098 0.0229666 230 230 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 7.67 vpr 63.59 MiB -1 -1 0.30 21312 11 0.27 -1 -1 36104 -1 -1 26 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65120 31 32 249 281 1 192 89 17 17 289 -1 unnamed_device 24.9 MiB 0.48 1199 8009 1837 5098 1074 63.6 MiB 0.06 0.00 6.67634 -139.796 -6.67634 6.67634 1.02 0.000261041 0.000208214 0.0140603 0.0115857 -1 -1 -1 -1 28 3632 25 6.55708e+06 313430 500653. 1732.36 2.76 0.0987693 0.086033 21310 115450 -1 3034 17 1219 3512 237683 52838 6.02098 6.02098 -144.744 -6.02098 0 0 612192. 2118.31 0.31 0.08 0.14 -1 -1 0.31 0.021841 0.0197936 163 158 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 7.46 vpr 63.77 MiB -1 -1 0.33 22048 13 0.37 -1 -1 37020 -1 -1 28 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65304 31 32 284 316 1 206 91 17 17 289 -1 unnamed_device 25.1 MiB 0.56 1233 10087 2475 7168 444 63.8 MiB 0.08 0.00 8.06207 -155.797 -8.06207 8.06207 1.04 0.00030986 0.000248658 0.0188227 0.0153372 -1 -1 -1 -1 30 3293 46 6.55708e+06 337540 526063. 1820.29 2.27 0.153042 0.132616 21886 126133 -1 2675 26 1106 3751 251091 79270 6.93376 6.93376 -147.802 -6.93376 0 0 666494. 2306.21 0.36 0.13 0.14 -1 -1 0.36 0.040024 0.0361317 193 193 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 8.16 vpr 64.00 MiB -1 -1 0.30 21828 12 0.36 -1 -1 36560 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65532 32 32 303 335 1 222 95 17 17 289 -1 unnamed_device 25.2 MiB 0.81 1436 6359 1286 4580 493 64.0 MiB 0.06 0.00 6.95103 -151.174 -6.95103 6.95103 1.07 0.00032749 0.000255422 0.0134952 0.011149 -1 -1 -1 -1 30 3712 38 6.55708e+06 373705 526063. 1820.29 2.61 0.108709 0.0937209 21886 126133 -1 3281 19 1479 5216 261732 58859 5.82238 5.82238 -142.785 -5.82238 0 0 666494. 2306.21 0.35 0.11 0.14 -1 -1 0.35 0.032164 0.029278 211 209 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 6.67 vpr 63.86 MiB -1 -1 0.34 21512 13 0.36 -1 -1 36356 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65396 32 32 272 304 1 203 93 17 17 289 -1 unnamed_device 24.9 MiB 0.35 1308 6183 1201 4740 242 63.9 MiB 0.06 0.00 7.54518 -157.312 -7.54518 7.54518 1.05 0.000290658 0.000230904 0.0142265 0.0118306 -1 -1 -1 -1 30 3205 18 6.55708e+06 349595 526063. 1820.29 1.58 0.0998344 0.0879179 21886 126133 -1 2732 14 1073 3168 149774 35292 6.66944 6.66944 -149.906 -6.66944 0 0 666494. 2306.21 0.34 0.08 0.12 -1 -1 0.34 0.0285362 0.0261881 183 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 7.89 vpr 63.83 MiB -1 -1 0.53 22160 13 0.40 -1 -1 36576 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65364 32 32 271 303 1 212 90 17 17 289 -1 unnamed_device 24.9 MiB 0.37 1388 8532 2067 5744 721 63.8 MiB 0.07 0.00 7.16941 -159.486 -7.16941 7.16941 1.15 0.000306195 0.000247378 0.0166714 0.0138173 -1 -1 -1 -1 30 3289 17 6.55708e+06 313430 526063. 1820.29 2.89 0.104298 0.0911779 21886 126133 -1 2807 26 1192 3527 316815 132051 6.22018 6.22018 -150.463 -6.22018 0 0 666494. 2306.21 0.33 0.11 0.12 -1 -1 0.33 0.0268332 0.0239634 178 177 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 9.05 vpr 64.18 MiB -1 -1 0.35 22008 12 0.34 -1 -1 36424 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65716 32 32 288 320 1 223 94 17 17 289 -1 unnamed_device 25.4 MiB 0.72 1391 9892 2367 6162 1363 64.2 MiB 0.11 0.00 7.40971 -158.505 -7.40971 7.40971 1.20 0.00033274 0.000254211 0.0280536 0.0228368 -1 -1 -1 -1 38 3339 27 6.55708e+06 361650 638502. 2209.35 3.12 0.177059 0.153167 23326 155178 -1 2841 15 1219 4211 197600 45318 6.53898 6.53898 -147.821 -6.53898 0 0 851065. 2944.86 0.45 0.13 0.15 -1 -1 0.45 0.0395501 0.0359756 197 194 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 9.36 vpr 64.16 MiB -1 -1 0.33 22284 13 0.39 -1 -1 36692 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65696 32 32 306 338 1 233 95 17 17 289 -1 unnamed_device 25.3 MiB 0.56 1496 6791 1324 4848 619 64.2 MiB 0.06 0.00 7.58188 -163.597 -7.58188 7.58188 1.12 0.000325483 0.000258459 0.0144872 0.0119711 -1 -1 -1 -1 36 3856 17 6.55708e+06 373705 612192. 2118.31 4.21 0.161359 0.138751 22750 144809 -1 3244 19 1411 4282 222301 51731 6.62764 6.62764 -150.995 -6.62764 0 0 782063. 2706.10 0.36 0.08 0.14 -1 -1 0.36 0.0246051 0.0221588 212 212 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 8.59 vpr 63.81 MiB -1 -1 0.48 21768 14 0.45 -1 -1 36532 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65344 32 32 262 294 1 193 89 17 17 289 -1 unnamed_device 25.0 MiB 0.48 1153 11969 3180 6354 2435 63.8 MiB 0.08 0.00 8.31223 -163.645 -8.31223 8.31223 1.07 0.00027779 0.000219986 0.0208208 0.0169004 -1 -1 -1 -1 34 3446 43 6.55708e+06 301375 585099. 2024.56 3.10 0.151856 0.12947 22462 138074 -1 2795 19 1302 4041 241474 58208 7.7191 7.7191 -164.117 -7.7191 0 0 742403. 2568.87 0.33 0.09 0.14 -1 -1 0.33 0.0243486 0.0219944 168 168 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 7.68 vpr 64.02 MiB -1 -1 0.31 21720 13 0.49 -1 -1 37008 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65556 32 32 291 323 1 224 95 17 17 289 -1 unnamed_device 25.2 MiB 0.68 1425 8519 1811 6143 565 64.0 MiB 0.08 0.00 8.29301 -163.281 -8.29301 8.29301 1.10 0.000342566 0.000268774 0.0191135 0.0158028 -1 -1 -1 -1 30 3639 21 6.55708e+06 373705 526063. 1820.29 2.25 0.130722 0.113841 21886 126133 -1 3048 15 1297 3920 193839 45269 7.32896 7.32896 -156.234 -7.32896 0 0 666494. 2306.21 0.35 0.08 0.20 -1 -1 0.35 0.0251187 0.0228271 198 197 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 14.75 vpr 63.98 MiB -1 -1 0.33 22056 13 0.53 -1 -1 36440 -1 -1 31 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65512 31 32 302 334 1 235 94 17 17 289 -1 unnamed_device 25.1 MiB 0.41 1386 9679 2058 7206 415 64.0 MiB 0.13 0.00 7.87649 -159.745 -7.87649 7.87649 1.19 0.000553808 0.00044557 0.0311377 0.025509 -1 -1 -1 -1 34 4121 50 6.55708e+06 373705 585099. 2024.56 9.15 0.283403 0.240375 22462 138074 -1 3226 30 1557 4685 401962 139749 6.6811 6.6811 -152.747 -6.6811 0 0 742403. 2568.87 0.31 0.13 0.13 -1 -1 0.31 0.0310365 0.027344 213 211 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 7.33 vpr 64.01 MiB -1 -1 0.57 22032 12 0.42 -1 -1 36720 -1 -1 33 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65548 32 32 308 340 1 237 97 17 17 289 -1 unnamed_device 25.2 MiB 0.62 1456 8755 1875 6172 708 64.0 MiB 0.08 0.00 7.82047 -162.193 -7.82047 7.82047 1.03 0.000379155 0.000306418 0.0179809 0.0148268 -1 -1 -1 -1 30 3744 18 6.55708e+06 397815 526063. 1820.29 1.41 0.114431 0.100473 21886 126133 -1 3061 15 1373 3745 174001 41817 7.0025 7.0025 -157.196 -7.0025 0 0 666494. 2306.21 0.32 0.08 0.13 -1 -1 0.32 0.026044 0.0236825 216 214 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 5.89 vpr 63.24 MiB -1 -1 0.26 21504 11 0.19 -1 -1 36328 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64756 32 32 216 248 1 160 83 17 17 289 -1 unnamed_device 24.8 MiB 0.26 1004 9263 2865 4455 1943 63.2 MiB 0.08 0.00 6.22709 -128.104 -6.22709 6.22709 1.13 0.00027297 0.000225973 0.0209142 0.0170426 -1 -1 -1 -1 30 2463 15 6.55708e+06 229045 526063. 1820.29 1.18 0.0711666 0.0605498 21886 126133 -1 2091 18 889 2380 117579 28327 5.24832 5.24832 -126.347 -5.24832 0 0 666494. 2306.21 0.34 0.06 0.13 -1 -1 0.34 0.0194267 0.0175459 126 122 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 8.01 vpr 63.78 MiB -1 -1 0.36 21560 13 0.27 -1 -1 36108 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65312 32 32 254 286 1 195 88 17 17 289 -1 unnamed_device 24.9 MiB 0.53 1233 5938 1147 4519 272 63.8 MiB 0.05 0.00 7.61227 -159.82 -7.61227 7.61227 1.05 0.000269287 0.000211203 0.0117215 0.00978561 -1 -1 -1 -1 26 3709 42 6.55708e+06 289320 477104. 1650.88 2.99 0.105336 0.0916225 21022 109990 -1 3051 20 1257 3519 238150 53555 6.82624 6.82624 -156.727 -6.82624 0 0 585099. 2024.56 0.36 0.09 0.18 -1 -1 0.36 0.0250109 0.0226526 161 160 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 10.44 vpr 64.40 MiB -1 -1 0.52 22560 14 0.58 -1 -1 36960 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65944 32 32 338 370 1 251 98 17 17 289 -1 unnamed_device 25.6 MiB 0.67 1522 6848 1188 5384 276 64.4 MiB 0.07 0.00 8.62537 -170.671 -8.62537 8.62537 1.19 0.000391248 0.000298042 0.0189603 0.0159197 -1 -1 -1 -1 28 4874 46 6.55708e+06 409870 500653. 1732.36 4.22 0.172061 0.14835 21310 115450 -1 4049 29 2764 9243 620816 154672 7.72935 7.72935 -170.936 -7.72935 0 0 612192. 2118.31 0.28 0.21 0.17 -1 -1 0.28 0.0515047 0.0464187 244 244 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 15.48 vpr 63.96 MiB -1 -1 0.35 21856 13 0.40 -1 -1 36580 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65500 32 32 271 303 1 212 91 17 17 289 -1 unnamed_device 25.0 MiB 0.67 1384 6823 1472 4881 470 64.0 MiB 0.06 0.00 7.83243 -170.302 -7.83243 7.83243 1.08 0.000301769 0.000242584 0.0137958 0.0115028 -1 -1 -1 -1 38 3179 15 6.55708e+06 325485 638502. 2209.35 10.04 0.256719 0.221722 23326 155178 -1 2732 14 1108 3287 161533 36680 6.7601 6.7601 -155.279 -6.7601 0 0 851065. 2944.86 0.40 0.06 0.23 -1 -1 0.40 0.0222601 0.0203769 178 177 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 6.48 vpr 62.68 MiB -1 -1 0.43 21432 11 0.24 -1 -1 36460 -1 -1 23 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64180 30 32 224 256 1 165 85 17 17 289 -1 unnamed_device 24.1 MiB 0.24 1068 5665 1228 3746 691 62.7 MiB 0.05 0.00 6.82549 -140.791 -6.82549 6.82549 1.45 0.000234853 0.000186801 0.0113085 0.00938019 -1 -1 -1 -1 28 2758 48 6.55708e+06 277265 500653. 1732.36 1.66 0.0881621 0.0761536 21310 115450 -1 2223 15 863 2453 136374 32055 6.02098 6.02098 -137.567 -6.02098 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.019777 0.0180366 139 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 8.48 vpr 64.57 MiB -1 -1 0.35 22588 15 0.77 -1 -1 36668 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66124 32 32 351 383 1 268 98 17 17 289 -1 unnamed_device 25.8 MiB 0.40 1739 8198 1861 5696 641 64.6 MiB 0.08 0.00 9.48099 -183.773 -9.48099 9.48099 1.15 0.000420696 0.000341923 0.0200125 0.0166597 -1 -1 -1 -1 30 4766 43 6.55708e+06 409870 526063. 1820.29 2.95 0.139597 0.120755 21886 126133 -1 3758 20 2315 7493 367102 83035 8.17861 8.17861 -174.69 -8.17861 0 0 666494. 2306.21 0.31 0.12 0.18 -1 -1 0.31 0.0357776 0.032214 257 257 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 7.95 vpr 64.02 MiB -1 -1 0.30 22104 13 0.41 -1 -1 36876 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65556 32 32 297 329 1 216 91 17 17 289 -1 unnamed_device 25.2 MiB 0.31 1402 8047 1818 5614 615 64.0 MiB 0.07 0.00 8.34252 -168.918 -8.34252 8.34252 1.31 0.000343559 0.000278894 0.0167348 0.0137852 -1 -1 -1 -1 28 3745 33 6.55708e+06 325485 500653. 1732.36 2.89 0.136851 0.118396 21310 115450 -1 3305 32 1424 4263 490479 193477 7.13236 7.13236 -164.015 -7.13236 0 0 612192. 2118.31 0.30 0.18 0.13 -1 -1 0.30 0.0422606 0.0377303 203 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 7.09 vpr 63.24 MiB -1 -1 0.23 21496 11 0.17 -1 -1 36560 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64760 32 32 231 263 1 176 86 17 17 289 -1 unnamed_device 24.7 MiB 0.42 1123 7079 1610 4999 470 63.2 MiB 0.06 0.00 6.21723 -134.883 -6.21723 6.21723 1.00 0.000266795 0.000208289 0.0152409 0.0125642 -1 -1 -1 -1 28 3226 47 6.55708e+06 265210 500653. 1732.36 2.61 0.0953863 0.0824967 21310 115450 -1 2683 25 1169 3414 305780 96470 5.40772 5.40772 -133.925 -5.40772 0 0 612192. 2118.31 0.30 0.10 0.17 -1 -1 0.30 0.0218762 0.0194767 141 137 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 8.14 vpr 64.01 MiB -1 -1 0.48 22000 12 0.52 -1 -1 35708 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65548 32 32 305 337 1 231 94 17 17 289 -1 unnamed_device 25.1 MiB 0.70 1442 7336 1475 5411 450 64.0 MiB 0.07 0.00 7.74548 -154.851 -7.74548 7.74548 1.02 0.000479856 0.000388726 0.0192555 0.0159405 -1 -1 -1 -1 30 3768 28 6.55708e+06 361650 526063. 1820.29 2.37 0.184483 0.164199 21886 126133 -1 2990 17 1354 4447 206420 49162 6.6811 6.6811 -148.12 -6.6811 0 0 666494. 2306.21 0.32 0.07 0.13 -1 -1 0.32 0.0239338 0.0216369 213 211 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 6.36 vpr 63.71 MiB -1 -1 0.26 21316 12 0.27 -1 -1 36216 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65244 32 32 243 275 1 186 90 17 17 289 -1 unnamed_device 24.7 MiB 0.58 1234 7728 1773 5535 420 63.7 MiB 0.06 0.00 7.26258 -154.513 -7.26258 7.26258 1.03 0.000274834 0.000210487 0.0135562 0.0110921 -1 -1 -1 -1 30 3076 20 6.55708e+06 313430 526063. 1820.29 1.56 0.0980878 0.0855943 21886 126133 -1 2578 16 1075 2998 146869 34828 6.50944 6.50944 -149.849 -6.50944 0 0 666494. 2306.21 0.32 0.06 0.16 -1 -1 0.32 0.0199348 0.0180859 153 149 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 5.42 vpr 63.16 MiB -1 -1 0.32 21716 12 0.23 -1 -1 36112 -1 -1 21 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64676 30 32 228 260 1 161 83 17 17 289 -1 unnamed_device 24.6 MiB 0.26 964 11603 3147 6112 2344 63.2 MiB 0.07 0.00 6.97512 -139.748 -6.97512 6.97512 0.95 0.000247819 0.000185839 0.0185906 0.0149974 -1 -1 -1 -1 30 2394 29 6.55708e+06 253155 526063. 1820.29 1.13 0.0793451 0.0678313 21886 126133 -1 1946 17 802 2468 113048 27165 6.11164 6.11164 -132.147 -6.11164 0 0 666494. 2306.21 0.31 0.05 0.13 -1 -1 0.31 0.0157292 0.014103 140 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 7.08 vpr 64.21 MiB -1 -1 0.56 22008 12 0.37 -1 -1 36384 -1 -1 31 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65748 29 32 275 307 1 206 92 17 17 289 -1 unnamed_device 25.2 MiB 0.38 1335 8579 2026 5633 920 64.2 MiB 0.07 0.00 6.72746 -129.302 -6.72746 6.72746 1.04 0.000304165 0.000242082 0.0166336 0.013699 -1 -1 -1 -1 30 3317 43 6.55708e+06 373705 526063. 1820.29 2.13 0.14448 0.126088 21886 126133 -1 2826 18 1244 4120 188907 44459 6.10198 6.10198 -127.139 -6.10198 0 0 666494. 2306.21 0.33 0.08 0.12 -1 -1 0.33 0.0282424 0.0256626 190 190 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 7.59 vpr 64.34 MiB -1 -1 0.30 22052 13 0.45 -1 -1 36376 -1 -1 33 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65888 32 32 330 362 1 256 97 17 17 289 -1 unnamed_device 25.5 MiB 0.94 1542 6535 1180 4663 692 64.3 MiB 0.06 0.00 8.33266 -174.188 -8.33266 8.33266 0.90 0.000364971 0.000262051 0.0136494 0.0113288 -1 -1 -1 -1 30 4033 40 6.55708e+06 397815 526063. 1820.29 2.25 0.146039 0.127498 21886 126133 -1 3267 24 1656 4611 309598 104826 7.16956 7.16956 -164.247 -7.16956 0 0 666494. 2306.21 0.37 0.14 0.13 -1 -1 0.37 0.0375186 0.0333973 238 236 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 10.97 vpr 63.93 MiB -1 -1 0.51 22048 12 0.30 -1 -1 36396 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65468 32 32 290 322 1 219 93 17 17 289 -1 unnamed_device 24.9 MiB 0.73 1337 14373 3637 8257 2479 63.9 MiB 0.17 0.00 7.56736 -150.416 -7.56736 7.56736 1.05 0.00058432 0.000474399 0.0470954 0.0389889 -1 -1 -1 -1 36 3622 43 6.55708e+06 349595 612192. 2118.31 5.17 0.239123 0.206787 22750 144809 -1 3031 29 1892 6473 553405 193941 6.55324 6.55324 -142.68 -6.55324 0 0 782063. 2706.10 0.35 0.19 0.14 -1 -1 0.35 0.036926 0.0326589 198 196 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 6.17 vpr 63.10 MiB -1 -1 0.26 21560 12 0.18 -1 -1 36608 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64616 32 32 214 246 1 164 84 17 17 289 -1 unnamed_device 24.7 MiB 0.72 1128 7404 1881 4703 820 63.1 MiB 0.08 0.00 6.63325 -140.069 -6.63325 6.63325 1.00 0.000574631 0.000482158 0.0172144 0.014121 -1 -1 -1 -1 30 2675 25 6.55708e+06 241100 526063. 1820.29 1.32 0.074149 0.0634548 21886 126133 -1 2183 16 874 2494 118684 28424 5.84792 5.84792 -135.25 -5.84792 0 0 666494. 2306.21 0.33 0.05 0.16 -1 -1 0.33 0.0160075 0.0144581 126 120 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 8.05 vpr 63.76 MiB -1 -1 0.34 21716 12 0.29 -1 -1 36640 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65288 31 32 244 276 1 182 88 17 17 289 -1 unnamed_device 24.8 MiB 0.41 1170 7498 1759 4547 1192 63.8 MiB 0.06 0.00 7.01252 -142.578 -7.01252 7.01252 1.09 0.000269444 0.000204158 0.0129803 0.0105452 -1 -1 -1 -1 36 2969 23 6.55708e+06 301375 612192. 2118.31 2.91 0.128448 0.110932 22750 144809 -1 2577 16 1162 3661 196645 45570 5.97978 5.97978 -135.917 -5.97978 0 0 782063. 2706.10 0.38 0.07 0.15 -1 -1 0.38 0.0205192 0.018568 154 153 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 8.62 vpr 63.96 MiB -1 -1 0.33 21796 11 0.24 -1 -1 36584 -1 -1 30 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65496 30 32 276 308 1 210 92 17 17 289 -1 unnamed_device 25.0 MiB 0.20 1298 4853 867 3420 566 64.0 MiB 0.05 0.00 6.85992 -133.534 -6.85992 6.85992 1.18 0.000375027 0.000301931 0.0109203 0.0091024 -1 -1 -1 -1 38 3068 19 6.55708e+06 361650 638502. 2209.35 3.44 0.203471 0.1789 23326 155178 -1 2722 17 1166 3960 199201 45763 5.98178 5.98178 -129.207 -5.98178 0 0 851065. 2944.86 0.45 0.08 0.16 -1 -1 0.45 0.0233817 0.0210981 190 188 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 7.02 vpr 63.71 MiB -1 -1 0.29 21616 11 0.33 -1 -1 36592 -1 -1 27 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65244 28 32 253 285 1 181 87 17 17 289 -1 unnamed_device 25.0 MiB 0.18 1170 7767 1783 5428 556 63.7 MiB 0.06 0.00 6.49677 -121.518 -6.49677 6.49677 0.99 0.000254383 0.000203012 0.013431 0.0110738 -1 -1 -1 -1 28 3308 46 6.55708e+06 325485 500653. 1732.36 2.66 0.120627 0.104523 21310 115450 -1 2742 16 1154 3672 238825 52497 5.69192 5.69192 -121.797 -5.69192 0 0 612192. 2118.31 0.30 0.10 0.11 -1 -1 0.30 0.0269852 0.0247211 172 171 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 6.77 vpr 63.49 MiB -1 -1 0.49 21696 13 0.39 -1 -1 36304 -1 -1 26 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65012 30 32 235 267 1 176 88 17 17 289 -1 unnamed_device 24.5 MiB 0.40 1097 11593 3515 6131 1947 63.5 MiB 0.07 0.00 7.49937 -144.316 -7.49937 7.49937 1.02 0.000246737 0.000196271 0.0181933 0.0148994 -1 -1 -1 -1 28 3200 50 6.55708e+06 313430 500653. 1732.36 1.68 0.100702 0.0861554 21310 115450 -1 2553 20 1158 3375 193107 45194 6.78764 6.78764 -141.625 -6.78764 0 0 612192. 2118.31 0.29 0.09 0.17 -1 -1 0.29 0.0247773 0.0224881 148 147 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 10.32 vpr 63.95 MiB -1 -1 0.36 21632 12 0.26 -1 -1 36496 -1 -1 28 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65480 32 32 264 296 1 207 92 17 17 289 -1 unnamed_device 25.1 MiB 0.49 1348 8993 2218 6004 771 63.9 MiB 0.09 0.00 7.3262 -158.713 -7.3262 7.3262 1.38 0.000271997 0.000214784 0.0229903 0.0189996 -1 -1 -1 -1 28 3637 33 6.55708e+06 337540 500653. 1732.36 4.40 0.175367 0.154502 21310 115450 -1 3055 22 1532 4483 326671 87119 6.71264 6.71264 -157.26 -6.71264 0 0 612192. 2118.31 0.28 0.12 0.12 -1 -1 0.28 0.0300453 0.0271314 174 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 7.69 vpr 63.74 MiB -1 -1 0.36 21748 13 0.37 -1 -1 36436 -1 -1 27 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65268 31 32 278 310 1 202 90 17 17 289 -1 unnamed_device 24.8 MiB 0.37 1215 5718 1125 4239 354 63.7 MiB 0.05 0.00 8.06933 -153.277 -8.06933 8.06933 1.04 0.00029419 0.000234476 0.0119561 0.00991406 -1 -1 -1 -1 26 3789 32 6.55708e+06 325485 477104. 1650.88 2.69 0.113174 0.09835 21022 109990 -1 3184 22 1707 5165 324877 74151 7.0025 7.0025 -157.365 -7.0025 0 0 585099. 2024.56 0.35 0.11 0.11 -1 -1 0.35 0.0292151 0.0260766 187 187 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 7.43 vpr 63.68 MiB -1 -1 0.36 22168 14 0.33 -1 -1 37040 -1 -1 28 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65204 32 32 290 322 1 214 92 17 17 289 -1 unnamed_device 24.9 MiB 0.37 1301 9407 2176 6085 1146 63.7 MiB 0.07 0.00 8.35433 -163.826 -8.35433 8.35433 0.95 0.000312466 0.000249979 0.0182311 0.0149086 -1 -1 -1 -1 28 3805 35 6.55708e+06 337540 500653. 1732.36 2.76 0.127825 0.111158 21310 115450 -1 3098 17 1364 3824 225167 51687 7.18182 7.18182 -157.405 -7.18182 0 0 612192. 2118.31 0.29 0.08 0.12 -1 -1 0.29 0.0227426 0.0205433 196 196 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 8.34 vpr 63.77 MiB -1 -1 0.32 22256 14 0.33 -1 -1 36508 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65304 32 32 269 301 1 199 89 17 17 289 -1 unnamed_device 24.9 MiB 0.34 1247 10385 2379 5942 2064 63.8 MiB 0.12 0.00 7.58177 -145.01 -7.58177 7.58177 0.99 0.000620073 0.000492683 0.0296225 0.024046 -1 -1 -1 -1 34 3317 49 6.55708e+06 301375 585099. 2024.56 3.63 0.203117 0.175112 22462 138074 -1 2731 17 1199 3748 206549 48044 6.70864 6.70864 -142.763 -6.70864 0 0 742403. 2568.87 0.31 0.07 0.13 -1 -1 0.31 0.0189851 0.0169862 175 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 7.54 vpr 63.98 MiB -1 -1 0.63 22476 13 0.49 -1 -1 36696 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65520 32 32 296 328 1 222 93 17 17 289 -1 unnamed_device 25.2 MiB 0.40 1403 8073 1958 5278 837 64.0 MiB 0.06 0.00 7.90532 -157.651 -7.90532 7.90532 1.09 0.00034449 0.000269222 0.0162413 0.0133455 -1 -1 -1 -1 28 3887 23 6.55708e+06 349595 500653. 1732.36 1.92 0.137826 0.120636 21310 115450 -1 3499 21 1898 5430 340594 75352 6.85016 6.85016 -153.21 -6.85016 0 0 612192. 2118.31 0.28 0.12 0.19 -1 -1 0.28 0.0314668 0.0283032 205 202 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 7.41 vpr 63.64 MiB -1 -1 0.30 21404 13 0.27 -1 -1 36320 -1 -1 24 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65172 30 32 234 266 1 185 86 17 17 289 -1 unnamed_device 24.7 MiB 0.43 1086 8024 1919 5617 488 63.6 MiB 0.06 0.00 7.66268 -153.181 -7.66268 7.66268 1.06 0.000247076 0.000197628 0.0134982 0.011091 -1 -1 -1 -1 26 3172 28 6.55708e+06 289320 477104. 1650.88 2.79 0.115414 0.100493 21022 109990 -1 2671 15 1123 2693 171006 39961 6.94644 6.94644 -155.213 -6.94644 0 0 585099. 2024.56 0.27 0.06 0.11 -1 -1 0.27 0.0155171 0.0139955 146 146 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 7.33 vpr 63.95 MiB -1 -1 0.32 22272 13 0.56 -1 -1 36416 -1 -1 32 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65480 30 32 291 323 1 232 94 17 17 289 -1 unnamed_device 25.1 MiB 0.42 1416 7336 1414 5551 371 63.9 MiB 0.06 0.00 8.07413 -161.91 -8.07413 8.07413 1.22 0.000318997 0.000253261 0.0149649 0.0123731 -1 -1 -1 -1 30 3702 28 6.55708e+06 385760 526063. 1820.29 2.32 0.158035 0.138224 21886 126133 -1 3102 18 1510 4211 202277 48691 6.9195 6.9195 -154.528 -6.9195 0 0 666494. 2306.21 0.29 0.08 0.12 -1 -1 0.29 0.0270539 0.0244973 203 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 12.62 vpr 64.09 MiB -1 -1 0.52 21872 14 0.46 -1 -1 36052 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65628 32 32 274 306 1 211 89 17 17 289 -1 unnamed_device 25.1 MiB 0.62 1220 7217 1622 4486 1109 64.1 MiB 0.06 0.00 8.04044 -163.742 -8.04044 8.04044 1.04 0.000312344 0.000247978 0.0147579 0.012206 -1 -1 -1 -1 34 4022 46 6.55708e+06 301375 585099. 2024.56 6.99 0.293056 0.261082 22462 138074 -1 3088 25 1449 4479 326046 95793 7.01016 7.01016 -159.344 -7.01016 0 0 742403. 2568.87 0.32 0.11 0.18 -1 -1 0.32 0.0282876 0.0251851 180 180 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 23.81 vpr 63.56 MiB -1 -1 0.37 22048 13 0.33 -1 -1 36524 -1 -1 27 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65088 31 32 266 298 1 204 90 17 17 289 -1 unnamed_device 24.7 MiB 0.45 1270 6321 1361 4444 516 63.6 MiB 0.06 0.00 7.62087 -154.066 -7.62087 7.62087 1.28 0.000282379 0.000224061 0.0163451 0.0137881 -1 -1 -1 -1 30 3427 36 6.55708e+06 325485 526063. 1820.29 17.80 0.260293 0.222441 21886 126133 -1 2838 19 1303 3853 189669 43230 6.70864 6.70864 -146.397 -6.70864 0 0 666494. 2306.21 0.49 0.12 0.19 -1 -1 0.49 0.0373701 0.0333983 177 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 8.32 vpr 63.88 MiB -1 -1 0.37 21928 13 0.34 -1 -1 36348 -1 -1 29 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65416 30 32 266 298 1 204 91 17 17 289 -1 unnamed_device 25.0 MiB 0.66 1241 13555 3513 7863 2179 63.9 MiB 0.10 0.00 7.54758 -141.687 -7.54758 7.54758 1.37 0.000465902 0.000373606 0.024024 0.0194339 -1 -1 -1 -1 32 3611 29 6.55708e+06 349595 554710. 1919.41 2.01 0.150238 0.131481 22174 131602 -1 3200 47 1491 4937 948393 518556 6.4805 6.4805 -139.181 -6.4805 0 0 701300. 2426.64 0.29 0.30 0.14 -1 -1 0.29 0.0404682 0.0353864 179 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 7.32 vpr 64.16 MiB -1 -1 0.35 21960 14 0.45 -1 -1 36568 -1 -1 37 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65704 32 32 310 342 1 238 101 17 17 289 -1 unnamed_device 25.2 MiB 0.65 1428 8326 1796 5639 891 64.2 MiB 0.07 0.00 8.11569 -168.134 -8.11569 8.11569 1.27 0.000343889 0.000277343 0.0162805 0.0134746 -1 -1 -1 -1 30 3796 30 6.55708e+06 446035 526063. 1820.29 1.82 0.145159 0.126943 21886 126133 -1 3091 17 1489 4196 196271 48070 7.14564 7.14564 -161.227 -7.14564 0 0 666494. 2306.21 0.36 0.08 0.19 -1 -1 0.36 0.027483 0.0250524 218 216 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 8.00 vpr 63.98 MiB -1 -1 0.35 21916 11 0.45 -1 -1 36572 -1 -1 29 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65516 29 32 262 294 1 203 90 17 17 289 -1 unnamed_device 25.1 MiB 0.78 1190 5115 1015 3819 281 64.0 MiB 0.05 0.00 6.99314 -135.121 -6.99314 6.99314 1.18 0.000323627 0.000251739 0.0133609 0.0114082 -1 -1 -1 -1 34 3343 34 6.55708e+06 349595 585099. 2024.56 2.36 0.166199 0.146634 22462 138074 -1 2706 15 1147 3360 184930 43602 6.17838 6.17838 -131.048 -6.17838 0 0 742403. 2568.87 0.34 0.08 0.23 -1 -1 0.34 0.0239982 0.0216039 177 177 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 9.53 vpr 63.29 MiB -1 -1 0.30 21508 13 0.25 -1 -1 36332 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64808 32 32 222 254 1 180 88 17 17 289 -1 unnamed_device 24.8 MiB 0.48 1158 7108 1605 4699 804 63.3 MiB 0.09 0.00 6.94929 -157.648 -6.94929 6.94929 1.50 0.00123626 0.00111703 0.0206234 0.0172906 -1 -1 -1 -1 26 3238 27 6.55708e+06 289320 477104. 1650.88 3.44 0.111373 0.0966414 21022 109990 -1 2815 19 1171 2928 203752 48160 6.41938 6.41938 -159.247 -6.41938 0 0 585099. 2024.56 0.45 0.14 0.17 -1 -1 0.45 0.0409419 0.0373618 138 128 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 7.92 vpr 63.79 MiB -1 -1 0.37 22004 14 0.45 -1 -1 36596 -1 -1 28 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65316 32 32 267 299 1 205 92 17 17 289 -1 unnamed_device 24.9 MiB 0.54 1272 7751 1792 5305 654 63.8 MiB 0.06 0.00 8.06558 -167.325 -8.06558 8.06558 0.93 0.000291491 0.000224438 0.0139776 0.011378 -1 -1 -1 -1 46 2774 14 6.55708e+06 337540 782063. 2706.10 2.78 0.142685 0.123589 24766 183262 -1 2551 15 1009 3223 153758 35156 6.9985 6.9985 -156.059 -6.9985 0 0 958460. 3316.47 0.52 0.06 0.20 -1 -1 0.52 0.0221656 0.0201275 179 173 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 7.16 vpr 64.38 MiB -1 -1 0.31 22584 15 0.54 -1 -1 36416 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65928 32 32 334 366 1 261 98 17 17 289 -1 unnamed_device 25.6 MiB 0.45 1649 12023 2996 7449 1578 64.4 MiB 0.10 0.00 8.8435 -186.31 -8.8435 8.8435 0.88 0.00043362 0.000352178 0.0244901 0.0199735 -1 -1 -1 -1 30 4536 26 6.55708e+06 409870 526063. 1820.29 2.43 0.143548 0.124694 21886 126133 -1 3566 20 1759 4992 238655 56450 7.96775 7.96775 -180.251 -7.96775 0 0 666494. 2306.21 0.28 0.08 0.12 -1 -1 0.28 0.0289584 0.0261148 241 240 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 14.86 vpr 63.21 MiB -1 -1 0.42 21460 11 0.32 -1 -1 36312 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64724 32 32 220 252 1 156 85 17 17 289 -1 unnamed_device 24.7 MiB 0.55 923 12361 3928 6475 1958 63.2 MiB 0.12 0.00 6.38603 -130.972 -6.38603 6.38603 1.49 0.000373252 0.000293015 0.0309571 0.0248157 -1 -1 -1 -1 30 2410 35 6.55708e+06 253155 526063. 1820.29 9.52 0.232318 0.202112 21886 126133 -1 1929 14 834 2311 107682 26781 5.66238 5.66238 -125.579 -5.66238 0 0 666494. 2306.21 0.32 0.05 0.13 -1 -1 0.32 0.0148371 0.0134326 129 126 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 6.33 vpr 63.66 MiB -1 -1 0.26 21508 12 0.24 -1 -1 36368 -1 -1 27 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65184 31 32 244 276 1 193 90 17 17 289 -1 unnamed_device 24.7 MiB 0.42 1230 7326 1593 5044 689 63.7 MiB 0.06 0.00 6.98403 -147.666 -6.98403 6.98403 0.94 0.000264238 0.000204888 0.0135422 0.0112162 -1 -1 -1 -1 28 3516 50 6.55708e+06 325485 500653. 1732.36 1.93 0.0962945 0.0833929 21310 115450 -1 2955 19 1303 3623 214874 49641 6.20332 6.20332 -147.361 -6.20332 0 0 612192. 2118.31 0.26 0.06 0.16 -1 -1 0.26 0.0166581 0.0148473 157 153 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 7.90 vpr 64.07 MiB -1 -1 0.53 22080 12 0.57 -1 -1 36520 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65612 32 32 300 332 1 237 96 17 17 289 -1 unnamed_device 25.2 MiB 0.67 1497 8199 1839 5577 783 64.1 MiB 0.07 0.00 7.33061 -158.742 -7.33061 7.33061 0.92 0.000329744 0.000251764 0.0165317 0.0135197 -1 -1 -1 -1 30 4080 31 6.55708e+06 385760 526063. 1820.29 2.28 0.117433 0.101101 21886 126133 -1 3305 18 1524 4393 219896 50717 6.2807 6.2807 -150.986 -6.2807 0 0 666494. 2306.21 0.33 0.11 0.21 -1 -1 0.33 0.0347955 0.0316912 213 206 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 22.86 vpr 63.83 MiB -1 -1 0.65 22076 12 0.46 -1 -1 36564 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65360 32 32 271 303 1 211 90 17 17 289 -1 unnamed_device 24.9 MiB 0.67 1401 7125 1520 5090 515 63.8 MiB 0.07 0.00 7.67704 -159.687 -7.67704 7.67704 0.96 0.00033092 0.000269365 0.01577 0.0130975 -1 -1 -1 -1 30 3749 31 6.55708e+06 313430 526063. 1820.29 17.39 0.322503 0.279585 21886 126133 -1 3123 20 1306 4108 219525 50138 6.6811 6.6811 -156.261 -6.6811 0 0 666494. 2306.21 0.29 0.08 0.16 -1 -1 0.29 0.0229049 0.0205757 181 177 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 13.11 vpr 64.35 MiB -1 -1 0.32 22524 14 0.57 -1 -1 36684 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65896 32 32 327 359 1 242 95 17 17 289 -1 unnamed_device 25.5 MiB 0.78 1702 7655 1606 5611 438 64.4 MiB 0.07 0.00 8.96309 -178.872 -8.96309 8.96309 1.20 0.000353802 0.000280664 0.0181296 0.0148058 -1 -1 -1 -1 36 4446 40 6.55708e+06 373705 612192. 2118.31 7.55 0.291542 0.255471 22750 144809 -1 3774 26 1687 5548 348015 84215 7.85922 7.85922 -171.104 -7.85922 0 0 782063. 2706.10 0.34 0.11 0.14 -1 -1 0.34 0.0321525 0.0285404 234 233 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 8.30 vpr 63.56 MiB -1 -1 0.28 21552 12 0.27 -1 -1 36072 -1 -1 25 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65084 30 32 246 278 1 191 87 17 17 289 -1 unnamed_device 24.8 MiB 0.61 1287 7575 1714 5483 378 63.6 MiB 0.06 0.00 7.47384 -141.76 -7.47384 7.47384 1.01 0.00029029 0.000233773 0.0141214 0.0116062 -1 -1 -1 -1 28 4066 44 6.55708e+06 301375 500653. 1732.36 3.83 0.098146 0.0841362 21310 115450 -1 3020 16 1151 3483 224807 49833 6.70864 6.70864 -142.016 -6.70864 0 0 612192. 2118.31 0.26 0.07 0.12 -1 -1 0.26 0.0192888 0.0172463 160 158 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 9.00 vpr 63.00 MiB -1 -1 0.35 21464 11 0.34 -1 -1 36160 -1 -1 26 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64516 27 32 219 251 1 163 85 17 17 289 -1 unnamed_device 24.5 MiB 0.58 931 11989 3061 7291 1637 63.0 MiB 0.12 0.00 6.80335 -123.262 -6.80335 6.80335 1.30 0.000383252 0.000304717 0.0291476 0.0236022 -1 -1 -1 -1 26 2783 41 6.55708e+06 313430 477104. 1650.88 2.73 0.156262 0.135844 21022 109990 -1 2384 18 1199 3380 194509 48070 6.03064 6.03064 -121.748 -6.03064 0 0 585099. 2024.56 0.42 0.06 0.19 -1 -1 0.42 0.0165106 0.0147778 140 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 13.25 vpr 64.55 MiB -1 -1 0.51 22628 13 0.55 -1 -1 36352 -1 -1 40 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66100 32 32 380 412 1 282 104 17 17 289 -1 unnamed_device 25.7 MiB 0.60 1770 11816 2824 7429 1563 64.6 MiB 0.16 0.00 8.12254 -164.758 -8.12254 8.12254 0.97 0.000841686 0.000693115 0.0412773 0.0340734 -1 -1 -1 -1 38 4857 49 6.55708e+06 482200 638502. 2209.35 7.76 0.317286 0.272889 23326 155178 -1 3570 18 1882 6131 281111 68584 7.03004 7.03004 -154.314 -7.03004 0 0 851065. 2944.86 0.45 0.15 0.15 -1 -1 0.45 0.0451871 0.0412931 286 286 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 6.42 vpr 64.07 MiB -1 -1 0.48 22008 14 0.54 -1 -1 36156 -1 -1 28 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65604 31 32 277 309 1 210 91 17 17 289 -1 unnamed_device 25.1 MiB 0.30 1247 12127 2952 7653 1522 64.1 MiB 0.09 0.00 7.99624 -158.799 -7.99624 7.99624 1.02 0.000288715 0.000229483 0.0221401 0.0180026 -1 -1 -1 -1 30 3329 38 6.55708e+06 337540 526063. 1820.29 1.33 0.10812 0.0929206 21886 126133 -1 2813 22 1397 3985 195094 45869 7.1207 7.1207 -152.799 -7.1207 0 0 666494. 2306.21 0.31 0.07 0.12 -1 -1 0.31 0.024157 0.0216294 188 186 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 6.47 vpr 63.45 MiB -1 -1 0.26 21744 12 0.19 -1 -1 36312 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64968 32 32 229 261 1 178 89 17 17 289 -1 unnamed_device 24.8 MiB 0.31 1071 7217 1608 4614 995 63.4 MiB 0.06 0.00 7.1692 -152.502 -7.1692 7.1692 1.07 0.000244656 0.000194754 0.0129097 0.0106103 -1 -1 -1 -1 28 3141 42 6.55708e+06 301375 500653. 1732.36 2.04 0.0955117 0.0827998 21310 115450 -1 2655 16 1109 3044 186864 43877 6.1631 6.1631 -148.829 -6.1631 0 0 612192. 2118.31 0.27 0.07 0.11 -1 -1 0.27 0.017902 0.0161862 144 135 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 8.50 vpr 63.65 MiB -1 -1 0.31 21840 13 0.39 -1 -1 36720 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65176 32 32 263 295 1 201 90 17 17 289 -1 unnamed_device 24.8 MiB 0.70 1267 8934 2136 5911 887 63.6 MiB 0.07 0.00 7.70312 -157.904 -7.70312 7.70312 1.02 0.000297208 0.000238576 0.0178742 0.014878 -1 -1 -1 -1 28 3687 38 6.55708e+06 313430 500653. 1732.36 3.04 0.147113 0.128465 21310 115450 -1 2943 16 1173 3501 210890 47929 6.8039 6.8039 -153.425 -6.8039 0 0 612192. 2118.31 0.25 0.07 0.11 -1 -1 0.25 0.0201797 0.0182314 169 169 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 7.76 vpr 64.17 MiB -1 -1 0.48 22220 13 0.58 -1 -1 36628 -1 -1 35 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 31 32 321 353 1 256 98 17 17 289 -1 unnamed_device 25.4 MiB 0.38 1613 9323 2087 6739 497 64.2 MiB 0.08 0.00 7.89411 -162.823 -7.89411 7.89411 0.99 0.000420296 0.000350564 0.0203794 0.0166006 -1 -1 -1 -1 36 4188 19 6.55708e+06 421925 612192. 2118.31 2.57 0.166581 0.144975 22750 144809 -1 3509 18 1533 4694 254821 58773 6.6399 6.6399 -151.086 -6.6399 0 0 782063. 2706.10 0.34 0.08 0.14 -1 -1 0.34 0.0245667 0.0221306 233 230 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 11.85 vpr 64.10 MiB -1 -1 0.37 21780 11 0.36 -1 -1 36516 -1 -1 33 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65636 30 32 287 319 1 210 95 17 17 289 -1 unnamed_device 25.1 MiB 0.78 1259 9167 2054 6526 587 64.1 MiB 0.08 0.00 6.6803 -129.394 -6.6803 6.6803 1.11 0.000307177 0.000243879 0.0178982 0.0146269 -1 -1 -1 -1 36 3457 44 6.55708e+06 397815 612192. 2118.31 5.87 0.248167 0.214291 22750 144809 -1 3054 30 1292 4283 378107 142282 5.99344 5.99344 -128.062 -5.99344 0 0 782063. 2706.10 0.32 0.11 0.15 -1 -1 0.32 0.026639 0.0233654 201 199 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 11.08 vpr 64.16 MiB -1 -1 0.34 21920 15 0.53 -1 -1 36584 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65704 32 32 296 328 1 224 93 17 17 289 -1 unnamed_device 25.3 MiB 1.14 1412 8073 1941 5451 681 64.2 MiB 0.12 0.00 9.02973 -184.383 -9.02973 9.02973 1.53 0.000743688 0.000620127 0.0328775 0.0273473 -1 -1 -1 -1 28 3953 42 6.55708e+06 349595 500653. 1732.36 4.47 0.197846 0.170981 21310 115450 -1 3335 16 1632 4925 286354 65603 7.68815 7.68815 -174.435 -7.68815 0 0 612192. 2118.31 0.29 0.10 0.11 -1 -1 0.29 0.0261346 0.0238144 202 202 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 17.18 vpr 63.96 MiB -1 -1 0.42 22312 13 0.60 -1 -1 36776 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65496 32 32 285 317 1 225 94 17 17 289 -1 unnamed_device 25.2 MiB 0.88 1398 9040 2290 6006 744 64.0 MiB 0.08 0.00 7.98903 -170.903 -7.98903 7.98903 0.98 0.000376073 0.000310588 0.0192028 0.0158327 -1 -1 -1 -1 34 3714 34 6.55708e+06 361650 585099. 2024.56 10.51 0.359231 0.309705 22462 138074 -1 3093 48 2033 7210 998086 551834 7.0005 7.0005 -163.715 -7.0005 0 0 742403. 2568.87 0.56 0.52 0.23 -1 -1 0.56 0.0923785 0.0834236 194 191 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 7.77 vpr 63.75 MiB -1 -1 0.40 21524 12 0.36 -1 -1 36480 -1 -1 29 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65280 29 32 239 271 1 189 90 17 17 289 -1 unnamed_device 24.8 MiB 0.89 1189 8934 2259 5724 951 63.8 MiB 0.06 0.00 7.37281 -150.82 -7.37281 7.37281 1.35 0.000278019 0.00022583 0.0151596 0.0123101 -1 -1 -1 -1 28 3038 34 6.55708e+06 349595 500653. 1732.36 1.87 0.097694 0.0844373 21310 115450 -1 2732 17 1165 3200 178344 41874 6.8405 6.8405 -151.077 -6.8405 0 0 612192. 2118.31 0.29 0.06 0.12 -1 -1 0.29 0.0175348 0.0157863 157 154 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 7.10 vpr 63.25 MiB -1 -1 0.32 21828 11 0.31 -1 -1 36268 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64772 32 32 235 267 1 176 85 17 17 289 -1 unnamed_device 24.7 MiB 0.23 1123 11059 2941 6474 1644 63.3 MiB 0.07 0.00 6.88435 -140.697 -6.88435 6.88435 1.38 0.000241601 0.000188155 0.0173508 0.0140348 -1 -1 -1 -1 34 2634 29 6.55708e+06 253155 585099. 2024.56 2.03 0.130744 0.111721 22462 138074 -1 2360 21 1072 2750 161134 37226 6.10198 6.10198 -137.057 -6.10198 0 0 742403. 2568.87 0.32 0.07 0.13 -1 -1 0.32 0.0204742 0.0182331 145 141 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 10.28 vpr 63.97 MiB -1 -1 0.50 21728 13 0.59 -1 -1 36508 -1 -1 29 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65508 31 32 294 326 1 225 92 17 17 289 -1 unnamed_device 25.2 MiB 0.85 1353 8165 1745 5313 1107 64.0 MiB 0.12 0.00 7.95223 -162.187 -7.95223 7.95223 1.25 0.000749928 0.000624467 0.0313138 0.0261328 -1 -1 -1 -1 30 3774 24 6.55708e+06 349595 526063. 1820.29 3.39 0.164254 0.141922 21886 126133 -1 3129 20 1574 5108 253820 58875 7.0397 7.0397 -155.244 -7.0397 0 0 666494. 2306.21 0.48 0.09 0.12 -1 -1 0.48 0.0271105 0.0240775 203 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 7.66 vpr 63.17 MiB -1 -1 0.27 21300 10 0.24 -1 -1 36200 -1 -1 27 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64684 29 32 219 251 1 165 88 17 17 289 -1 unnamed_device 24.7 MiB 0.46 979 6133 1235 4409 489 63.2 MiB 0.05 0.00 5.98168 -119.896 -5.98168 5.98168 1.64 0.00024067 0.000192388 0.0115186 0.00958601 -1 -1 -1 -1 28 2713 32 6.55708e+06 325485 500653. 1732.36 1.91 0.0854039 0.0738169 21310 115450 -1 2298 16 958 2674 168299 39337 5.32872 5.32872 -123.036 -5.32872 0 0 612192. 2118.31 0.44 0.10 0.19 -1 -1 0.44 0.0286877 0.0260275 137 134 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 9.87 vpr 63.69 MiB -1 -1 0.27 21456 14 0.30 -1 -1 36396 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65220 32 32 239 271 1 186 88 17 17 289 -1 unnamed_device 24.7 MiB 0.71 1013 10618 2901 6668 1049 63.7 MiB 0.07 0.00 8.01252 -164.615 -8.01252 8.01252 1.13 0.0002478 0.000195328 0.0171883 0.013988 -1 -1 -1 -1 36 2974 27 6.55708e+06 289320 612192. 2118.31 4.34 0.16407 0.140637 22750 144809 -1 2347 16 1050 3010 159154 38815 7.10243 7.10243 -155.609 -7.10243 0 0 782063. 2706.10 0.39 0.07 0.24 -1 -1 0.39 0.019465 0.0176436 146 145 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 9.79 vpr 63.81 MiB -1 -1 0.32 21816 13 0.43 -1 -1 36484 -1 -1 30 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65344 31 32 266 298 1 208 93 17 17 289 -1 unnamed_device 24.9 MiB 0.66 1277 12063 3078 7002 1983 63.8 MiB 0.09 0.00 7.55489 -160.613 -7.55489 7.55489 1.06 0.000310369 0.000246122 0.021698 0.017472 -1 -1 -1 -1 28 4163 46 6.55708e+06 361650 500653. 1732.36 3.95 0.177384 0.154088 21310 115450 -1 3209 50 1508 4283 633378 328376 6.86804 6.86804 -161.481 -6.86804 0 0 612192. 2118.31 0.28 0.24 0.11 -1 -1 0.28 0.0463962 0.0409632 180 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 7.47 vpr 63.21 MiB -1 -1 0.29 21560 12 0.19 -1 -1 36732 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64728 31 32 225 257 1 175 88 17 17 289 -1 unnamed_device 24.6 MiB 0.61 972 13543 3543 8079 1921 63.2 MiB 0.09 0.00 6.46809 -135.985 -6.46809 6.46809 1.53 0.000226796 0.000179406 0.0209932 0.0170894 -1 -1 -1 -1 30 2556 39 6.55708e+06 301375 526063. 1820.29 1.66 0.111606 0.0953352 21886 126133 -1 2210 15 953 2651 129918 31131 5.72972 5.72972 -132.873 -5.72972 0 0 666494. 2306.21 0.37 0.06 0.19 -1 -1 0.37 0.0202842 0.0184095 137 134 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 8.59 vpr 63.98 MiB -1 -1 0.30 21868 12 0.25 -1 -1 36972 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65516 32 32 288 320 1 216 90 17 17 289 -1 unnamed_device 25.0 MiB 0.41 1357 6321 1305 4694 322 64.0 MiB 0.06 0.00 7.2805 -151.118 -7.2805 7.2805 1.09 0.000326254 0.000257059 0.014573 0.012181 -1 -1 -1 -1 36 3232 20 6.55708e+06 313430 612192. 2118.31 3.94 0.189316 0.16428 22750 144809 -1 2786 16 1222 3844 228616 50983 6.23184 6.23184 -141.588 -6.23184 0 0 782063. 2706.10 0.34 0.08 0.13 -1 -1 0.34 0.0241593 0.0220338 195 194 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 10.46 vpr 63.93 MiB -1 -1 0.37 21832 13 0.39 -1 -1 36496 -1 -1 29 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65464 31 32 282 314 1 222 92 17 17 289 -1 unnamed_device 25.1 MiB 0.54 1315 14996 4191 8694 2111 63.9 MiB 0.11 0.00 7.68235 -156.61 -7.68235 7.68235 0.99 0.000370123 0.000286281 0.0293484 0.0239463 -1 -1 -1 -1 36 3681 47 6.55708e+06 349595 612192. 2118.31 4.30 0.259773 0.226315 22750 144809 -1 2885 15 1272 3943 212141 48883 6.8385 6.8385 -148.214 -6.8385 0 0 782063. 2706.10 0.67 0.13 0.25 -1 -1 0.67 0.0373866 0.0340865 191 191 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 8.29 vpr 63.34 MiB -1 -1 0.32 21888 11 0.32 -1 -1 36044 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64856 32 32 233 265 1 183 89 17 17 289 -1 unnamed_device 24.7 MiB 0.37 1156 7217 1433 5081 703 63.3 MiB 0.05 0.00 6.44106 -147.701 -6.44106 6.44106 0.96 0.000283556 0.000231639 0.0124687 0.010342 -1 -1 -1 -1 26 3273 36 6.55708e+06 301375 477104. 1650.88 3.64 0.107417 0.0937522 21022 109990 -1 2733 15 1095 3130 197849 44014 5.64872 5.64872 -140.63 -5.64872 0 0 585099. 2024.56 0.26 0.07 0.16 -1 -1 0.26 0.0178467 0.016181 148 139 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 8.71 vpr 63.84 MiB -1 -1 0.28 21768 13 0.28 -1 -1 36740 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65368 32 32 254 286 1 196 88 17 17 289 -1 unnamed_device 25.1 MiB 0.36 1221 12568 3500 6849 2219 63.8 MiB 0.08 0.00 7.53241 -157.665 -7.53241 7.53241 0.99 0.000265645 0.000210991 0.0202472 0.0163648 -1 -1 -1 -1 34 3711 44 6.55708e+06 289320 585099. 2024.56 4.25 0.20045 0.173586 22462 138074 -1 2854 19 1197 3376 208775 48579 6.7229 6.7229 -154.751 -6.7229 0 0 742403. 2568.87 0.30 0.06 0.12 -1 -1 0.30 0.0178378 0.0159683 164 160 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 7.85 vpr 63.97 MiB -1 -1 0.46 21596 13 0.34 -1 -1 36636 -1 -1 28 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65508 32 32 285 317 1 216 92 17 17 289 -1 unnamed_device 25.0 MiB 0.89 1325 8372 1977 5648 747 64.0 MiB 0.07 0.00 7.93261 -169.937 -7.93261 7.93261 0.95 0.000303014 0.000242497 0.0161185 0.013265 -1 -1 -1 -1 36 3145 18 6.55708e+06 337540 612192. 2118.31 2.39 0.176283 0.153211 22750 144809 -1 2792 16 1137 3209 161819 38585 7.1573 7.1573 -160.833 -7.1573 0 0 782063. 2706.10 0.36 0.06 0.23 -1 -1 0.36 0.0208315 0.0188831 193 191 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 7.15 vpr 63.85 MiB -1 -1 0.44 21768 11 0.26 -1 -1 36828 -1 -1 26 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65384 29 32 243 275 1 185 87 17 17 289 -1 unnamed_device 25.1 MiB 0.28 1095 12183 2952 7495 1736 63.9 MiB 0.08 0.00 6.38849 -124.147 -6.38849 6.38849 1.08 0.000254678 0.000202051 0.0192644 0.0156483 -1 -1 -1 -1 34 2951 30 6.55708e+06 313430 585099. 2024.56 2.28 0.133124 0.113874 22462 138074 -1 2461 18 1091 3235 228081 66634 5.42198 5.42198 -116.493 -5.42198 0 0 742403. 2568.87 0.34 0.11 0.22 -1 -1 0.34 0.0291848 0.0260726 159 158 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 7.34 vpr 63.96 MiB -1 -1 0.42 22532 14 0.45 -1 -1 37348 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65500 32 32 318 350 1 250 98 17 17 289 -1 unnamed_device 25.0 MiB 0.43 1560 8198 1613 5991 594 64.0 MiB 0.07 0.00 8.39904 -183.874 -8.39904 8.39904 1.25 0.000343566 0.000273696 0.0171345 0.0142103 -1 -1 -1 -1 30 4322 32 6.55708e+06 409870 526063. 1820.29 1.77 0.116899 0.101329 21886 126133 -1 3416 19 1679 5045 238655 56671 7.24856 7.24856 -174.08 -7.24856 0 0 666494. 2306.21 0.38 0.09 0.14 -1 -1 0.38 0.0290874 0.0263773 224 224 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 5.81 vpr 63.13 MiB -1 -1 0.27 21552 12 0.21 -1 -1 36416 -1 -1 26 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64644 31 32 222 254 1 184 89 17 17 289 -1 unnamed_device 24.6 MiB 0.35 937 6029 1160 4001 868 63.1 MiB 0.05 0.00 6.96386 -146.099 -6.96386 6.96386 1.02 0.000311351 0.000254925 0.011716 0.00989585 -1 -1 -1 -1 30 2769 31 6.55708e+06 313430 526063. 1820.29 1.36 0.0743437 0.0645222 21886 126133 -1 2184 19 979 2530 133098 32980 6.13718 6.13718 -138.917 -6.13718 0 0 666494. 2306.21 0.31 0.06 0.14 -1 -1 0.31 0.0198443 0.0178748 139 131 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 8.87 vpr 63.95 MiB -1 -1 0.38 22292 13 0.39 -1 -1 36308 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65480 32 32 282 314 1 219 93 17 17 289 -1 unnamed_device 25.0 MiB 0.47 1427 9543 2297 6228 1018 63.9 MiB 0.09 0.00 7.70698 -157.067 -7.70698 7.70698 1.02 0.000428577 0.000356721 0.0232103 0.0194995 -1 -1 -1 -1 28 4355 33 6.55708e+06 349595 500653. 1732.36 3.69 0.186918 0.166086 21310 115450 -1 3400 18 1733 5425 348740 79446 6.70864 6.70864 -153.768 -6.70864 0 0 612192. 2118.31 0.45 0.17 0.17 -1 -1 0.45 0.0406638 0.0365375 190 188 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 7.21 vpr 63.67 MiB -1 -1 0.46 21820 13 0.27 -1 -1 36924 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65196 32 32 238 270 1 186 90 17 17 289 -1 unnamed_device 24.7 MiB 0.47 1175 6723 1451 4940 332 63.7 MiB 0.08 0.00 7.59104 -161.75 -7.59104 7.59104 1.12 0.000442114 0.000354985 0.0180819 0.0151743 -1 -1 -1 -1 40 2354 17 6.55708e+06 313430 666494. 2306.21 2.10 0.112931 0.0975536 23614 160646 -1 2309 19 977 2546 136667 31608 6.4381 6.4381 -149.953 -6.4381 0 0 872365. 3018.56 0.44 0.07 0.16 -1 -1 0.44 0.0235101 0.0212509 151 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 8.29 vpr 63.82 MiB -1 -1 0.31 22048 12 0.28 -1 -1 36772 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65352 32 32 269 301 1 198 90 17 17 289 -1 unnamed_device 25.0 MiB 0.45 1330 9135 2220 6292 623 63.8 MiB 0.11 0.00 6.98077 -149.377 -6.98077 6.98077 1.02 0.00048912 0.000393832 0.0277144 0.0227835 -1 -1 -1 -1 30 3422 46 6.55708e+06 313430 526063. 1820.29 3.51 0.181813 0.157706 21886 126133 -1 2714 29 1134 3876 337265 140345 6.43304 6.43304 -147.012 -6.43304 0 0 666494. 2306.21 0.30 0.15 0.12 -1 -1 0.30 0.037543 0.0338122 177 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 9.82 vpr 64.40 MiB -1 -1 0.49 22812 15 0.69 -1 -1 36972 -1 -1 36 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65948 32 32 350 382 1 271 100 17 17 289 -1 unnamed_device 25.4 MiB 0.36 1801 9380 2328 6382 670 64.4 MiB 0.14 0.00 8.42612 -171.773 -8.42612 8.42612 1.07 0.000992817 0.000826346 0.0364161 0.0302157 -1 -1 -1 -1 30 4852 37 6.55708e+06 433980 526063. 1820.29 3.96 0.202803 0.175937 21886 126133 -1 4091 21 2438 8231 462298 101679 7.41256 7.41256 -169.461 -7.41256 0 0 666494. 2306.21 0.49 0.26 0.12 -1 -1 0.49 0.0717248 0.0653935 256 256 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 6.40 vpr 62.85 MiB -1 -1 0.38 21100 10 0.16 -1 -1 36348 -1 -1 18 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64360 30 32 174 206 1 139 80 17 17 289 -1 unnamed_device 24.2 MiB 0.12 895 9024 2291 5391 1342 62.9 MiB 0.05 0.00 5.25257 -121.184 -5.25257 5.25257 0.96 0.000172346 0.000136146 0.0111709 0.00909018 -1 -1 -1 -1 28 2316 41 6.55708e+06 216990 500653. 1732.36 1.90 0.0798474 0.0682596 21310 115450 -1 2035 18 726 1829 113845 26006 4.8312 4.8312 -121.576 -4.8312 0 0 612192. 2118.31 0.45 0.05 0.13 -1 -1 0.45 0.0145866 0.0132284 92 86 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 5.85 vpr 63.26 MiB -1 -1 0.32 21700 13 0.29 -1 -1 36064 -1 -1 25 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64776 30 32 228 260 1 171 87 17 17 289 -1 unnamed_device 24.6 MiB 0.25 1032 8919 2164 5388 1367 63.3 MiB 0.06 0.00 7.46729 -149.631 -7.46729 7.46729 1.26 0.000248806 0.000198403 0.0152521 0.0125263 -1 -1 -1 -1 28 2742 21 6.55708e+06 301375 500653. 1732.36 1.42 0.0766029 0.0653268 21310 115450 -1 2581 16 1072 2952 169628 39515 6.88592 6.88592 -148.958 -6.88592 0 0 612192. 2118.31 0.29 0.06 0.11 -1 -1 0.29 0.0173409 0.0153741 143 140 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 7.93 vpr 64.04 MiB -1 -1 0.31 21760 12 0.26 -1 -1 36312 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65580 32 32 264 296 1 203 90 17 17 289 -1 unnamed_device 25.1 MiB 0.48 1234 5115 926 4061 128 64.0 MiB 0.05 0.00 7.54227 -156.123 -7.54227 7.54227 0.97 0.000271178 0.000215998 0.0101037 0.00839246 -1 -1 -1 -1 36 2946 20 6.55708e+06 313430 612192. 2118.31 2.94 0.167865 0.145679 22750 144809 -1 2609 20 1325 3857 197427 46812 6.31084 6.31084 -146.339 -6.31084 0 0 782063. 2706.10 0.53 0.07 0.22 -1 -1 0.53 0.0228679 0.0203499 172 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 7.52 vpr 63.00 MiB -1 -1 0.27 21572 9 0.20 -1 -1 36084 -1 -1 24 25 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64512 25 32 183 215 1 140 81 17 17 289 -1 unnamed_device 24.5 MiB 0.28 725 12156 3385 6978 1793 63.0 MiB 0.12 0.00 5.27745 -95.2043 -5.27745 5.27745 1.74 0.00042033 0.000349358 0.029142 0.0238378 -1 -1 -1 -1 26 2187 24 6.55708e+06 289320 477104. 1650.88 1.87 0.0928876 0.078591 21022 109990 -1 1846 19 919 2656 157364 37938 4.9534 4.9534 -96.7502 -4.9534 0 0 585099. 2024.56 0.26 0.09 0.11 -1 -1 0.26 0.0260333 0.0234285 111 110 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 8.50 vpr 63.93 MiB -1 -1 0.41 21972 12 0.35 -1 -1 36428 -1 -1 33 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65468 32 32 300 332 1 233 97 17 17 289 -1 unnamed_device 25.1 MiB 0.43 1424 11419 2633 7905 881 63.9 MiB 0.09 0.00 7.34317 -159.962 -7.34317 7.34317 1.02 0.00038854 0.000318327 0.0232444 0.0190491 -1 -1 -1 -1 36 3763 23 6.55708e+06 397815 612192. 2118.31 3.48 0.162998 0.140425 22750 144809 -1 3168 17 1492 4298 232708 54498 6.31284 6.31284 -150.037 -6.31284 0 0 782063. 2706.10 0.36 0.08 0.18 -1 -1 0.36 0.0247633 0.0221747 212 206 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 23.88 vpr 63.99 MiB -1 -1 0.37 22264 13 0.57 -1 -1 36480 -1 -1 31 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65528 31 32 290 322 1 228 94 17 17 289 -1 unnamed_device 25.2 MiB 0.54 1528 10531 2641 6672 1218 64.0 MiB 0.09 0.00 8.32074 -170.063 -8.32074 8.32074 1.08 0.000397866 0.000325221 0.0239945 0.0199478 -1 -1 -1 -1 34 3941 26 6.55708e+06 373705 585099. 2024.56 18.32 0.329406 0.28238 22462 138074 -1 3438 17 1381 4188 259074 57085 7.3193 7.3193 -162.047 -7.3193 0 0 742403. 2568.87 0.44 0.12 0.16 -1 -1 0.44 0.0359694 0.03294 200 199 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 5.67 vpr 64.02 MiB -1 -1 0.21 21436 1 0.03 -1 -1 33944 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65552 32 32 354 285 1 202 96 17 17 289 -1 unnamed_device 25.2 MiB 0.35 1021 13017 3203 8186 1628 64.0 MiB 0.11 0.00 5.56529 -159.911 -5.56529 5.56529 0.99 0.000262042 0.000209334 0.0174063 0.0141423 -1 -1 -1 -1 32 2485 24 6.64007e+06 401856 554710. 1919.41 1.14 0.0768703 0.0652357 22834 132086 -1 2178 21 1579 2366 153039 36543 4.64968 4.64968 -151.131 -4.64968 0 0 701300. 2426.64 0.34 0.06 0.14 -1 -1 0.34 0.0160783 0.0141966 154 50 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.99 vpr 64.14 MiB -1 -1 0.20 21392 1 0.04 -1 -1 33844 -1 -1 25 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65676 30 32 363 293 1 196 87 17 17 289 -1 unnamed_device 25.3 MiB 0.36 1071 13527 3636 8473 1418 64.1 MiB 0.10 0.00 4.97921 -144.408 -4.97921 4.97921 0.97 0.000238803 0.000193386 0.0186601 0.015077 -1 -1 -1 -1 32 2399 23 6.64007e+06 313950 554710. 1919.41 1.01 0.0633653 0.0530196 22834 132086 -1 2149 20 1658 2519 162674 39380 4.22689 4.22689 -145.337 -4.22689 0 0 701300. 2426.64 0.32 0.07 0.14 -1 -1 0.32 0.0201902 0.0180317 141 63 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 6.17 vpr 63.65 MiB -1 -1 0.20 21440 1 0.04 -1 -1 33860 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65176 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 25.0 MiB 0.37 1084 15639 4927 8621 2091 63.6 MiB 0.13 0.00 4.35433 -126.133 -4.35433 4.35433 1.59 0.000353368 0.000291955 0.0217877 0.0179552 -1 -1 -1 -1 32 2438 19 6.64007e+06 288834 554710. 1919.41 1.25 0.0699429 0.0595596 22834 132086 -1 2059 19 1091 1550 105201 24017 3.66183 3.66183 -123.368 -3.66183 0 0 701300. 2426.64 0.32 0.05 0.13 -1 -1 0.32 0.0145392 0.0129226 126 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 5.32 vpr 63.88 MiB -1 -1 0.22 21504 1 0.06 -1 -1 33624 -1 -1 27 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65412 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 25.2 MiB 0.14 931 15103 4868 7954 2281 63.9 MiB 0.11 0.00 4.52953 -121.776 -4.52953 4.52953 1.05 0.00019656 0.000155738 0.0174707 0.0140635 -1 -1 -1 -1 32 2287 23 6.64007e+06 339066 554710. 1919.41 1.16 0.0700707 0.0594773 22834 132086 -1 1914 23 1465 2740 192952 43744 3.67063 3.67063 -116.076 -3.67063 0 0 701300. 2426.64 0.39 0.08 0.16 -1 -1 0.39 0.0184129 0.0163026 126 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.89 vpr 64.08 MiB -1 -1 0.22 21580 1 0.06 -1 -1 33800 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65616 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 25.2 MiB 0.16 1007 10071 2662 6608 801 64.1 MiB 0.08 0.00 4.57112 -132.997 -4.57112 4.57112 0.92 0.000203708 0.000161888 0.0128959 0.0104931 -1 -1 -1 -1 32 2498 20 6.64007e+06 288834 554710. 1919.41 0.96 0.053404 0.0450429 22834 132086 -1 2122 21 1503 2874 185659 42276 3.64943 3.64943 -130.19 -3.64943 0 0 701300. 2426.64 0.30 0.07 0.14 -1 -1 0.30 0.0166273 0.0146895 130 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 5.30 vpr 64.21 MiB -1 -1 0.27 21392 1 0.06 -1 -1 33776 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65748 32 32 366 295 1 189 98 17 17 289 -1 unnamed_device 25.4 MiB 0.21 1017 13373 3190 9207 976 64.2 MiB 0.11 0.00 3.5011 -120.17 -3.5011 3.5011 0.99 0.000318473 0.00026043 0.0171614 0.0139947 -1 -1 -1 -1 28 2759 36 6.64007e+06 426972 500653. 1732.36 1.31 0.0862329 0.0726462 21970 115934 -1 2279 18 1387 2237 156657 37609 2.89817 2.89817 -120.057 -2.89817 0 0 612192. 2118.31 0.28 0.06 0.11 -1 -1 0.28 0.0162124 0.0141661 142 58 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.61 vpr 63.41 MiB -1 -1 0.20 21408 1 0.05 -1 -1 34356 -1 -1 19 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64928 27 32 259 221 1 130 78 17 17 289 -1 unnamed_device 24.9 MiB 0.14 699 11034 3536 5995 1503 63.4 MiB 0.07 0.00 3.75638 -102.609 -3.75638 3.75638 0.94 0.000156739 0.000123123 0.0131456 0.0106723 -1 -1 -1 -1 32 1595 18 6.64007e+06 238602 554710. 1919.41 0.87 0.0417151 0.0348347 22834 132086 -1 1412 20 879 1541 105739 24721 3.09756 3.09756 -96.0776 -3.09756 0 0 701300. 2426.64 0.31 0.04 0.13 -1 -1 0.31 0.0115122 0.0101614 93 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 5.62 vpr 63.45 MiB -1 -1 0.18 21640 1 0.03 -1 -1 33768 -1 -1 31 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64968 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 24.7 MiB 0.21 827 11383 2423 8400 560 63.4 MiB 0.08 0.00 3.48559 -101.391 -3.48559 3.48559 1.10 0.000211519 0.000168259 0.0119728 0.00969488 -1 -1 -1 -1 28 2163 36 6.64007e+06 389298 500653. 1732.36 1.29 0.0670566 0.0567176 21970 115934 -1 1858 21 1080 1973 129274 30214 2.87017 2.87017 -99.5446 -2.87017 0 0 612192. 2118.31 0.30 0.05 0.15 -1 -1 0.30 0.0147154 0.0129521 115 4 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 5.57 vpr 63.82 MiB -1 -1 0.22 21488 1 0.04 -1 -1 34160 -1 -1 20 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65352 31 32 317 271 1 167 83 17 17 289 -1 unnamed_device 25.0 MiB 0.40 888 14123 4595 7521 2007 63.8 MiB 0.09 0.00 3.62422 -120.034 -3.62422 3.62422 1.08 0.000187515 0.000146379 0.0174094 0.0140366 -1 -1 -1 -1 32 1992 19 6.64007e+06 251160 554710. 1919.41 1.10 0.0554197 0.0466476 22834 132086 -1 1743 19 1121 1661 106825 24557 2.99817 2.99817 -113.252 -2.99817 0 0 701300. 2426.64 0.41 0.06 0.16 -1 -1 0.41 0.0187606 0.016777 111 64 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 5.16 vpr 63.52 MiB -1 -1 0.33 21600 1 0.04 -1 -1 33748 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65040 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 25.0 MiB 0.24 874 11631 3631 6742 1258 63.5 MiB 0.10 0.00 3.92955 -127.77 -3.92955 3.92955 0.95 0.000189701 0.000150054 0.01562 0.0126926 -1 -1 -1 -1 32 1957 19 6.64007e+06 213486 554710. 1919.41 1.03 0.0525554 0.0441712 22834 132086 -1 1753 19 1044 1681 109300 25609 2.90177 2.90177 -115.584 -2.90177 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.0152293 0.0134552 112 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 5.31 vpr 63.82 MiB -1 -1 0.33 21556 1 0.04 -1 -1 33372 -1 -1 17 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65352 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 24.9 MiB 0.18 728 11571 3255 7389 927 63.8 MiB 0.08 0.00 4.13115 -112.218 -4.13115 4.13115 0.99 0.00019662 0.000157478 0.0166224 0.0136254 -1 -1 -1 -1 28 1735 19 6.64007e+06 213486 500653. 1732.36 1.07 0.0606006 0.0517093 21970 115934 -1 1482 19 805 1293 87516 20285 2.86597 2.86597 -102.428 -2.86597 0 0 612192. 2118.31 0.39 0.05 0.14 -1 -1 0.39 0.0153816 0.0137322 98 63 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 5.44 vpr 63.54 MiB -1 -1 0.26 21364 1 0.05 -1 -1 33692 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65064 32 32 276 237 1 166 82 17 17 289 -1 unnamed_device 25.0 MiB 0.43 793 12008 4621 6063 1324 63.5 MiB 0.09 0.00 3.82041 -120.517 -3.82041 3.82041 1.09 0.000206546 0.00016439 0.0165982 0.0136384 -1 -1 -1 -1 32 2253 40 6.64007e+06 226044 554710. 1919.41 1.09 0.0618556 0.0518993 22834 132086 -1 1803 21 1195 1619 115534 26931 3.04337 3.04337 -115.258 -3.04337 0 0 701300. 2426.64 0.31 0.05 0.16 -1 -1 0.31 0.0126872 0.0111863 109 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 5.32 vpr 64.04 MiB -1 -1 0.21 21500 1 0.05 -1 -1 33892 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65576 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 25.3 MiB 0.39 1104 18028 6323 9464 2241 64.0 MiB 0.14 0.00 4.4826 -145.148 -4.4826 4.4826 0.94 0.000209899 0.000165632 0.0218027 0.0175323 -1 -1 -1 -1 32 2419 20 6.64007e+06 301392 554710. 1919.41 0.97 0.0592191 0.0492291 22834 132086 -1 2198 21 1658 2485 165389 37416 3.29783 3.29783 -129.319 -3.29783 0 0 701300. 2426.64 0.30 0.06 0.12 -1 -1 0.30 0.0171025 0.0151608 139 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 5.28 vpr 64.12 MiB -1 -1 0.25 21504 1 0.06 -1 -1 33764 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65656 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 25.1 MiB 0.20 965 15215 3853 10047 1315 64.1 MiB 0.13 0.00 4.76344 -140.281 -4.76344 4.76344 0.96 0.000216134 0.000170764 0.0181941 0.0146872 -1 -1 -1 -1 28 2304 23 6.64007e+06 389298 500653. 1732.36 0.97 0.0576001 0.047902 21970 115934 -1 2045 22 1662 2823 167404 40668 3.88183 3.88183 -136.686 -3.88183 0 0 612192. 2118.31 0.31 0.08 0.12 -1 -1 0.31 0.0224895 0.0200196 134 61 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.69 vpr 63.23 MiB -1 -1 0.19 21300 1 0.04 -1 -1 33776 -1 -1 21 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64752 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 24.7 MiB 0.16 748 11118 2695 7379 1044 63.2 MiB 0.07 0.00 3.28519 -93.7186 -3.28519 3.28519 1.02 0.000177763 0.000143001 0.0123985 0.0100246 -1 -1 -1 -1 28 1645 23 6.64007e+06 263718 500653. 1732.36 0.88 0.044401 0.0373413 21970 115934 -1 1486 16 782 1301 86789 20385 2.71577 2.71577 -91.5176 -2.71577 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.01224 0.0110447 98 27 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 5.02 vpr 63.95 MiB -1 -1 0.22 21472 1 0.05 -1 -1 33820 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65488 32 32 370 297 1 183 86 17 17 289 -1 unnamed_device 25.2 MiB 0.26 1002 9914 2245 7192 477 64.0 MiB 0.09 0.00 4.06227 -126.501 -4.06227 4.06227 1.04 0.000339478 0.000280459 0.0150671 0.0122767 -1 -1 -1 -1 32 2466 22 6.64007e+06 276276 554710. 1919.41 1.00 0.0625471 0.0525543 22834 132086 -1 2152 20 1479 2608 179323 41071 3.09436 3.09436 -120.061 -3.09436 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0175048 0.0154791 133 58 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 5.42 vpr 64.18 MiB -1 -1 0.19 21340 1 0.05 -1 -1 33692 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65720 32 32 338 269 1 196 87 17 17 289 -1 unnamed_device 25.2 MiB 0.36 1138 14679 4370 8457 1852 64.2 MiB 0.11 0.00 4.43584 -143.418 -4.43584 4.43584 1.02 0.000208117 0.000164792 0.0181344 0.0146421 -1 -1 -1 -1 30 2626 19 6.64007e+06 288834 526063. 1820.29 0.98 0.0603549 0.0505747 22546 126617 -1 2242 21 1375 2016 134012 29343 3.26883 3.26883 -128.611 -3.26883 0 0 666494. 2306.21 0.36 0.07 0.17 -1 -1 0.36 0.0224568 0.0201398 138 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 5.32 vpr 63.82 MiB -1 -1 0.30 21340 1 0.05 -1 -1 33492 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65352 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 25.0 MiB 0.26 793 7443 1484 5603 356 63.8 MiB 0.06 0.00 2.85064 -101.719 -2.85064 2.85064 1.07 0.000198513 0.000156054 0.00909122 0.00743855 -1 -1 -1 -1 30 1881 18 6.64007e+06 364182 526063. 1820.29 0.90 0.0436512 0.0367 22546 126617 -1 1524 20 1018 1637 84819 20502 2.06951 2.06951 -94.0823 -2.06951 0 0 666494. 2306.21 0.33 0.05 0.13 -1 -1 0.33 0.0163235 0.0143174 110 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 4.87 vpr 63.29 MiB -1 -1 0.22 21284 1 0.05 -1 -1 33884 -1 -1 15 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64812 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 24.7 MiB 0.09 601 7249 1675 5111 463 63.3 MiB 0.05 0.00 2.38033 -78.5571 -2.38033 2.38033 1.07 0.000226234 0.000187174 0.00846696 0.00685607 -1 -1 -1 -1 28 1432 21 6.64007e+06 188370 500653. 1732.36 0.93 0.041199 0.0342952 21970 115934 -1 1285 21 703 1012 85737 19690 2.04611 2.04611 -83.9383 -2.04611 0 0 612192. 2118.31 0.32 0.07 0.11 -1 -1 0.32 0.0196938 0.0172901 81 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.90 vpr 63.76 MiB -1 -1 0.20 21368 1 0.04 -1 -1 34160 -1 -1 20 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65288 31 32 291 243 1 171 83 17 17 289 -1 unnamed_device 25.2 MiB 0.32 927 14123 4588 7430 2105 63.8 MiB 0.11 0.00 4.95484 -148.86 -4.95484 4.95484 0.99 0.000188824 0.000149089 0.0186224 0.0151145 -1 -1 -1 -1 30 2054 21 6.64007e+06 251160 526063. 1820.29 0.95 0.0564355 0.0468617 22546 126617 -1 1848 20 956 1399 83300 19321 3.50023 3.50023 -130.959 -3.50023 0 0 666494. 2306.21 0.31 0.04 0.12 -1 -1 0.31 0.0130819 0.0114957 128 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 4.97 vpr 63.84 MiB -1 -1 0.20 21504 1 0.04 -1 -1 33988 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65368 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 24.9 MiB 0.14 927 7007 1409 5318 280 63.8 MiB 0.07 0.00 4.20815 -131.502 -4.20815 4.20815 1.01 0.00023098 0.000185719 0.00998554 0.00820313 -1 -1 -1 -1 30 2145 21 6.64007e+06 389298 526063. 1820.29 1.14 0.0561432 0.0479782 22546 126617 -1 1871 22 1181 1998 116468 26613 3.49343 3.49343 -124.596 -3.49343 0 0 666494. 2306.21 0.30 0.05 0.14 -1 -1 0.30 0.0163134 0.0143153 135 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 5.27 vpr 64.20 MiB -1 -1 0.29 21660 1 0.04 -1 -1 33828 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65736 32 32 372 300 1 204 89 17 17 289 -1 unnamed_device 25.3 MiB 0.38 1165 13949 4134 8420 1395 64.2 MiB 0.12 0.00 4.61182 -142.746 -4.61182 4.61182 1.07 0.00028112 0.00022959 0.0230304 0.0189787 -1 -1 -1 -1 32 2716 19 6.64007e+06 313950 554710. 1919.41 1.02 0.0716707 0.0603843 22834 132086 -1 2294 18 1476 2310 151067 35062 3.86002 3.86002 -132.307 -3.86002 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0169851 0.0149407 144 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 5.51 vpr 62.85 MiB -1 -1 0.18 21264 1 0.05 -1 -1 34164 -1 -1 18 26 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64356 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 24.4 MiB 0.19 372 9836 3040 4897 1899 62.8 MiB 0.05 0.00 2.3975 -64.4977 -2.3975 2.3975 0.99 0.000125903 9.8552e-05 0.01115 0.00910046 -1 -1 -1 -1 34 906 24 6.64007e+06 226044 585099. 2024.56 1.76 0.0606909 0.0512023 23122 138558 -1 765 15 510 696 40243 12227 1.85511 1.85511 -61.8909 -1.85511 0 0 742403. 2568.87 0.34 0.02 0.14 -1 -1 0.34 0.00739461 0.00658817 77 30 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.87 vpr 63.39 MiB -1 -1 0.29 21440 1 0.04 -1 -1 33884 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64908 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 24.9 MiB 0.13 995 9571 2665 6351 555 63.4 MiB 0.08 0.00 4.78226 -126.055 -4.78226 4.78226 0.96 0.000205893 0.000165719 0.0121023 0.00991669 -1 -1 -1 -1 28 2148 21 6.64007e+06 263718 500653. 1732.36 1.00 0.0515538 0.0439022 21970 115934 -1 1974 21 1150 2159 136149 31256 3.72363 3.72363 -120.986 -3.72363 0 0 612192. 2118.31 0.31 0.06 0.12 -1 -1 0.31 0.0155218 0.0138177 118 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.81 vpr 63.03 MiB -1 -1 0.19 21208 1 0.06 -1 -1 33524 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64540 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 24.6 MiB 0.08 542 9374 3354 4039 1981 63.0 MiB 0.05 0.00 2.60773 -75.9678 -2.60773 2.60773 0.90 0.000126078 9.904e-05 0.00962654 0.00777554 -1 -1 -1 -1 26 1286 38 6.64007e+06 175812 477104. 1650.88 1.06 0.0406548 0.0340705 21682 110474 -1 1078 13 466 537 40953 9922 2.06131 2.06131 -73.6644 -2.06131 0 0 585099. 2024.56 0.27 0.02 0.17 -1 -1 0.27 0.00680188 0.00608055 79 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.90 vpr 63.76 MiB -1 -1 0.30 21596 1 0.05 -1 -1 34012 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65292 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 25.2 MiB 0.14 888 9892 2278 7158 456 63.8 MiB 0.08 0.00 4.63801 -125.739 -4.63801 4.63801 0.93 0.000226897 0.000183114 0.0120034 0.00980608 -1 -1 -1 -1 26 2284 26 6.64007e+06 376740 477104. 1650.88 1.04 0.0531114 0.0448842 21682 110474 -1 1858 22 1109 1983 144744 33533 3.81383 3.81383 -119.339 -3.81383 0 0 585099. 2024.56 0.29 0.07 0.11 -1 -1 0.29 0.0200248 0.0179077 123 24 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.70 vpr 63.59 MiB -1 -1 0.17 21280 1 0.03 -1 -1 33756 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65120 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.1 MiB 0.13 1023 7871 1717 5540 614 63.6 MiB 0.07 0.00 3.86807 -111.494 -3.86807 3.86807 0.93 0.000192525 0.000151807 0.00904337 0.00736805 -1 -1 -1 -1 30 2195 22 6.64007e+06 389298 526063. 1820.29 0.99 0.0479549 0.0406088 22546 126617 -1 1865 18 997 1812 96454 22629 2.80997 2.80997 -103.812 -2.80997 0 0 666494. 2306.21 0.34 0.04 0.13 -1 -1 0.34 0.0132852 0.0117745 128 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 5.19 vpr 64.09 MiB -1 -1 0.24 21580 1 0.07 -1 -1 33828 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65632 32 32 338 277 1 179 91 17 17 289 -1 unnamed_device 25.2 MiB 0.22 1020 17431 5202 10159 2070 64.1 MiB 0.14 0.00 4.78258 -136.405 -4.78258 4.78258 0.90 0.000212434 0.000167779 0.0224256 0.0179565 -1 -1 -1 -1 32 2211 20 6.64007e+06 339066 554710. 1919.41 0.95 0.0606468 0.0502497 22834 132086 -1 1999 22 1307 2307 139998 33525 3.95603 3.95603 -130.892 -3.95603 0 0 701300. 2426.64 0.41 0.14 0.15 -1 -1 0.41 0.0419981 0.0379528 126 50 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 4.80 vpr 63.41 MiB -1 -1 0.26 21432 1 0.07 -1 -1 33604 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64932 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 24.7 MiB 0.12 785 11260 3393 5970 1897 63.4 MiB 0.07 0.00 3.03896 -100.907 -3.03896 3.03896 0.94 0.000176898 0.00013967 0.0136204 0.0110501 -1 -1 -1 -1 32 1803 19 6.64007e+06 200928 554710. 1919.41 0.91 0.0451775 0.0379823 22834 132086 -1 1509 18 768 1281 82415 19190 2.69497 2.69497 -101.097 -2.69497 0 0 701300. 2426.64 0.32 0.04 0.12 -1 -1 0.32 0.0127569 0.0112808 101 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 5.06 vpr 63.28 MiB -1 -1 0.32 21604 1 0.04 -1 -1 33544 -1 -1 23 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64800 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 24.8 MiB 0.12 760 10873 2730 7151 992 63.3 MiB 0.07 0.00 3.24119 -98.8846 -3.24119 3.24119 0.98 0.000228736 0.000184866 0.0130461 0.0105578 -1 -1 -1 -1 32 1618 23 6.64007e+06 288834 554710. 1919.41 0.95 0.0475561 0.0394843 22834 132086 -1 1566 17 817 1243 85514 19258 2.68277 2.68277 -96.8564 -2.68277 0 0 701300. 2426.64 0.48 0.07 0.14 -1 -1 0.48 0.0185708 0.0165051 97 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.97 vpr 63.43 MiB -1 -1 0.20 21612 1 0.04 -1 -1 33908 -1 -1 23 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64956 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 24.8 MiB 0.19 598 14123 3741 8412 1970 63.4 MiB 0.09 0.00 3.43604 -92.6832 -3.43604 3.43604 1.07 0.000169708 0.000132942 0.0160666 0.0128136 -1 -1 -1 -1 28 1721 21 6.64007e+06 288834 500653. 1732.36 0.95 0.0525397 0.0436457 21970 115934 -1 1490 19 897 1577 103958 25003 2.68077 2.68077 -91.3985 -2.68077 0 0 612192. 2118.31 0.36 0.07 0.11 -1 -1 0.36 0.0187451 0.0164501 98 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.98 vpr 63.60 MiB -1 -1 0.20 21168 1 0.08 -1 -1 33572 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65124 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 24.9 MiB 0.12 743 5843 1254 4169 420 63.6 MiB 0.05 0.00 3.98815 -115.073 -3.98815 3.98815 0.99 0.000221873 0.000166483 0.00852825 0.00705345 -1 -1 -1 -1 30 1789 19 6.64007e+06 238602 526063. 1820.29 0.90 0.0393539 0.0332551 22546 126617 -1 1545 19 905 1489 79062 19142 2.74897 2.74897 -104.355 -2.74897 0 0 666494. 2306.21 0.29 0.04 0.11 -1 -1 0.29 0.0126004 0.0111114 110 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.98 vpr 63.53 MiB -1 -1 0.20 21316 1 0.05 -1 -1 33664 -1 -1 27 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65056 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 24.8 MiB 0.11 870 7728 1633 5460 635 63.5 MiB 0.06 0.00 3.60767 -107.985 -3.60767 3.60767 0.95 0.000176222 0.000139593 0.00864728 0.0070793 -1 -1 -1 -1 30 1852 20 6.64007e+06 339066 526063. 1820.29 0.89 0.03885 0.0327273 22546 126617 -1 1604 20 683 1201 64521 14942 2.70157 2.70157 -101.758 -2.70157 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.012621 0.0110495 103 30 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 5.08 vpr 63.55 MiB -1 -1 0.19 21492 1 0.05 -1 -1 33776 -1 -1 26 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65072 29 32 291 250 1 153 87 17 17 289 -1 unnamed_device 24.8 MiB 0.23 695 8727 2118 5729 880 63.5 MiB 0.07 0.00 3.3589 -102.835 -3.3589 3.3589 0.97 0.000188065 0.000150524 0.0101366 0.00826139 -1 -1 -1 -1 28 1809 23 6.64007e+06 326508 500653. 1732.36 0.86 0.0422636 0.0354255 21970 115934 -1 1598 20 885 1313 76615 19126 2.49117 2.49117 -97.9731 -2.49117 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.0117765 0.0103287 105 54 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 6.73 vpr 64.33 MiB -1 -1 0.19 21552 1 0.04 -1 -1 33776 -1 -1 38 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65872 32 32 367 282 1 201 102 17 17 289 -1 unnamed_device 25.4 MiB 0.24 1108 10812 2420 7290 1102 64.3 MiB 0.10 0.00 4.35696 -124.357 -4.35696 4.35696 1.12 0.000281467 0.000232586 0.0135953 0.01126 -1 -1 -1 -1 26 3426 39 6.64007e+06 477204 477104. 1650.88 2.25 0.0816647 0.0694832 21682 110474 -1 2530 19 1336 2472 192577 43808 3.82982 3.82982 -127.968 -3.82982 0 0 585099. 2024.56 0.30 0.07 0.12 -1 -1 0.30 0.0175832 0.0156085 151 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 5.44 vpr 64.26 MiB -1 -1 0.24 21768 1 0.04 -1 -1 34128 -1 -1 37 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 32 32 391 311 1 192 101 17 17 289 -1 unnamed_device 25.4 MiB 0.21 971 9971 2311 7104 556 64.3 MiB 0.09 0.00 3.87558 -129.13 -3.87558 3.87558 1.19 0.00023749 0.000185749 0.0130968 0.010588 -1 -1 -1 -1 26 2669 33 6.64007e+06 464646 477104. 1650.88 1.10 0.0692894 0.0584043 21682 110474 -1 2141 19 1539 2437 158729 37370 3.54497 3.54497 -129.363 -3.54497 0 0 585099. 2024.56 0.26 0.05 0.11 -1 -1 0.26 0.0155324 0.0135934 147 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 5.18 vpr 63.69 MiB -1 -1 0.22 21612 1 0.04 -1 -1 33476 -1 -1 19 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65220 31 32 279 237 1 161 82 17 17 289 -1 unnamed_device 25.2 MiB 0.34 962 12186 3847 6704 1635 63.7 MiB 0.09 0.00 4.35701 -128.587 -4.35701 4.35701 1.08 0.000188717 0.000148844 0.0153062 0.012332 -1 -1 -1 -1 32 2058 21 6.64007e+06 238602 554710. 1919.41 0.97 0.0505647 0.0420132 22834 132086 -1 1817 18 977 1401 95494 21988 3.12563 3.12563 -115.954 -3.12563 0 0 701300. 2426.64 0.33 0.04 0.17 -1 -1 0.33 0.0135693 0.0119612 112 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 5.62 vpr 63.98 MiB -1 -1 0.34 21336 1 0.05 -1 -1 33972 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65520 31 32 370 297 1 186 88 17 17 289 -1 unnamed_device 25.2 MiB 0.23 1023 13933 4615 7223 2095 64.0 MiB 0.11 0.00 4.04757 -131.412 -4.04757 4.04757 1.02 0.000218812 0.000170736 0.0185025 0.0148328 -1 -1 -1 -1 32 2494 18 6.64007e+06 313950 554710. 1919.41 1.01 0.0581831 0.0482367 22834 132086 -1 2105 20 1612 2777 194031 44279 3.15237 3.15237 -115.474 -3.15237 0 0 701300. 2426.64 0.32 0.07 0.13 -1 -1 0.32 0.0163181 0.0144194 138 61 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 6.34 vpr 63.86 MiB -1 -1 0.29 21776 1 0.04 -1 -1 33920 -1 -1 29 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65392 31 32 377 302 1 233 92 17 17 289 -1 unnamed_device 25.5 MiB 0.55 1128 17687 5057 9627 3003 63.9 MiB 0.16 0.00 5.89333 -173.14 -5.89333 5.89333 1.39 0.000220093 0.000173501 0.0272758 0.0223691 -1 -1 -1 -1 32 3236 33 6.64007e+06 364182 554710. 1919.41 1.43 0.0980846 0.0833721 22834 132086 -1 2469 21 2342 3476 223308 54942 4.78815 4.78815 -164.404 -4.78815 0 0 701300. 2426.64 0.32 0.08 0.17 -1 -1 0.32 0.0192009 0.0169749 172 64 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 6.20 vpr 64.26 MiB -1 -1 0.22 21800 1 0.04 -1 -1 33796 -1 -1 27 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 31 32 383 305 1 209 90 17 17 289 -1 unnamed_device 25.3 MiB 0.49 1191 15768 5423 8594 1751 64.3 MiB 0.13 0.00 5.08361 -153.384 -5.08361 5.08361 1.06 0.000226041 0.000179125 0.0212007 0.0171848 -1 -1 -1 -1 32 2716 22 6.64007e+06 339066 554710. 1919.41 1.58 0.0895883 0.0769305 22834 132086 -1 2377 22 1795 2845 225337 48102 4.51948 4.51948 -148.412 -4.51948 0 0 701300. 2426.64 0.50 0.09 0.20 -1 -1 0.50 0.0215889 0.0190242 164 64 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 5.47 vpr 64.18 MiB -1 -1 0.20 21572 1 0.04 -1 -1 34060 -1 -1 31 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65716 31 32 352 285 1 184 94 17 17 289 -1 unnamed_device 25.3 MiB 0.24 1006 12661 3249 8412 1000 64.2 MiB 0.16 0.01 4.68524 -135.636 -4.68524 4.68524 1.03 0.000722622 0.000625663 0.0250127 0.0210209 -1 -1 -1 -1 32 2355 20 6.64007e+06 389298 554710. 1919.41 1.21 0.0832415 0.0717902 22834 132086 -1 2083 21 944 1573 101119 23699 3.58023 3.58023 -126.148 -3.58023 0 0 701300. 2426.64 0.32 0.05 0.15 -1 -1 0.32 0.0158948 0.0139738 135 55 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 5.56 vpr 63.61 MiB -1 -1 0.26 21404 1 0.03 -1 -1 33824 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65132 32 32 291 242 1 179 87 17 17 289 -1 unnamed_device 25.0 MiB 0.53 1073 14679 4707 8091 1881 63.6 MiB 0.11 0.00 4.36796 -119.855 -4.36796 4.36796 0.99 0.000189789 0.000150342 0.0171864 0.0139773 -1 -1 -1 -1 26 2749 26 6.64007e+06 288834 477104. 1650.88 1.29 0.0601886 0.0509328 21682 110474 -1 2275 22 1385 2049 156725 35071 3.92503 3.92503 -125.203 -3.92503 0 0 585099. 2024.56 0.29 0.07 0.11 -1 -1 0.29 0.0227766 0.0202842 119 27 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 5.65 vpr 64.54 MiB -1 -1 0.25 21608 1 0.06 -1 -1 33928 -1 -1 40 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66088 32 32 457 356 1 223 104 17 17 289 -1 unnamed_device 25.6 MiB 0.25 1249 19868 5433 11877 2558 64.5 MiB 0.16 0.00 5.1415 -166.814 -5.1415 5.1415 0.97 0.000262999 0.00020529 0.0246624 0.019761 -1 -1 -1 -1 32 2828 25 6.64007e+06 502320 554710. 1919.41 1.21 0.101357 0.086184 22834 132086 -1 2459 19 1579 2444 152376 34828 3.91929 3.91929 -146.959 -3.91929 0 0 701300. 2426.64 0.36 0.08 0.14 -1 -1 0.36 0.0253847 0.0225425 174 87 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 5.03 vpr 63.30 MiB -1 -1 0.20 21584 1 0.04 -1 -1 33648 -1 -1 21 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64820 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 24.7 MiB 0.14 803 5025 1005 3649 371 63.3 MiB 0.04 0.00 3.83987 -104.278 -3.83987 3.83987 1.00 0.000170776 0.000135656 0.00648923 0.00539808 -1 -1 -1 -1 30 1786 19 6.64007e+06 263718 526063. 1820.29 0.96 0.0382359 0.0323089 22546 126617 -1 1640 18 794 1429 83385 18942 2.83677 2.83677 -98.8728 -2.83677 0 0 666494. 2306.21 0.38 0.06 0.14 -1 -1 0.38 0.0185946 0.0165297 101 28 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 5.83 vpr 64.27 MiB -1 -1 0.21 21472 1 0.04 -1 -1 33632 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65812 31 32 337 267 1 205 88 17 17 289 -1 unnamed_device 25.5 MiB 0.38 1162 10228 2647 6642 939 64.3 MiB 0.09 0.00 5.14752 -155.108 -5.14752 5.14752 0.91 0.000208813 0.000165112 0.013527 0.0110163 -1 -1 -1 -1 26 2969 31 6.64007e+06 313950 477104. 1650.88 2.00 0.0949306 0.0823421 21682 110474 -1 2516 19 1413 2079 155667 35015 4.39628 4.39628 -150.288 -4.39628 0 0 585099. 2024.56 0.24 0.05 0.10 -1 -1 0.24 0.014872 0.0131642 144 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 5.16 vpr 64.04 MiB -1 -1 0.24 21584 1 0.04 -1 -1 33728 -1 -1 33 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65572 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 25.2 MiB 0.25 884 8755 1783 6202 770 64.0 MiB 0.07 0.00 3.97129 -116.286 -3.97129 3.97129 0.94 0.000211094 0.000165612 0.0106346 0.00864227 -1 -1 -1 -1 30 2199 23 6.64007e+06 414414 526063. 1820.29 1.19 0.0625556 0.0533276 22546 126617 -1 1729 19 894 1557 79184 20282 3.00716 3.00716 -105.065 -3.00716 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.0143951 0.0127001 131 53 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 5.18 vpr 63.77 MiB -1 -1 0.25 21256 1 0.05 -1 -1 33536 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65296 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 25.2 MiB 0.14 912 5938 1237 4353 348 63.8 MiB 0.06 0.00 4.13756 -120.743 -4.13756 4.13756 1.00 0.000222576 0.000180028 0.00843335 0.00698851 -1 -1 -1 -1 32 2242 21 6.64007e+06 301392 554710. 1919.41 1.02 0.053062 0.04547 22834 132086 -1 1917 19 1172 2160 138053 31963 3.58142 3.58142 -118.401 -3.58142 0 0 701300. 2426.64 0.34 0.06 0.15 -1 -1 0.34 0.016291 0.0145915 123 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 5.48 vpr 64.12 MiB -1 -1 0.18 21448 1 0.04 -1 -1 34040 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65660 32 32 353 287 1 198 88 17 17 289 -1 unnamed_device 25.3 MiB 0.38 1010 13933 3725 7818 2390 64.1 MiB 0.11 0.00 4.75998 -138.258 -4.75998 4.75998 1.00 0.00029087 0.00022484 0.0188229 0.0149619 -1 -1 -1 -1 32 2399 17 6.64007e+06 301392 554710. 1919.41 1.01 0.0595673 0.0498736 22834 132086 -1 2066 21 1220 1691 122509 28327 3.22937 3.22937 -122.017 -3.22937 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.0187494 0.0167229 138 55 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 5.50 vpr 64.06 MiB -1 -1 0.19 21564 1 0.04 -1 -1 33884 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65600 32 32 361 291 1 185 96 17 17 289 -1 unnamed_device 25.3 MiB 0.25 1025 10827 2395 7683 749 64.1 MiB 0.11 0.00 3.7957 -125.338 -3.7957 3.7957 0.87 0.000238755 0.000190582 0.0141727 0.011622 -1 -1 -1 -1 26 2743 22 6.64007e+06 401856 477104. 1650.88 1.70 0.0722645 0.0623888 21682 110474 -1 2294 21 1421 2427 174311 39299 3.11037 3.11037 -123.615 -3.11037 0 0 585099. 2024.56 0.26 0.06 0.11 -1 -1 0.26 0.0163805 0.0144285 133 55 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 5.09 vpr 64.07 MiB -1 -1 0.22 21484 1 0.04 -1 -1 33868 -1 -1 37 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65612 32 32 382 305 1 192 101 17 17 289 -1 unnamed_device 25.2 MiB 0.24 1100 11381 2833 7714 834 64.1 MiB 0.10 0.00 4.776 -146.002 -4.776 4.776 1.01 0.000230039 0.000182892 0.0141904 0.0116 -1 -1 -1 -1 30 2323 18 6.64007e+06 464646 526063. 1820.29 0.94 0.0555601 0.0467584 22546 126617 -1 2024 20 953 1446 75237 18047 3.35083 3.35083 -126.151 -3.35083 0 0 666494. 2306.21 0.46 0.08 0.12 -1 -1 0.46 0.0318364 0.0282967 145 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.66 vpr 63.50 MiB -1 -1 0.21 21496 1 0.04 -1 -1 33904 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65020 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 24.9 MiB 0.14 831 7023 1481 5311 231 63.5 MiB 0.06 0.00 4.19967 -120.534 -4.19967 4.19967 0.89 0.000188041 0.000148682 0.00905889 0.00752255 -1 -1 -1 -1 30 2034 22 6.64007e+06 364182 526063. 1820.29 0.94 0.0439327 0.0370242 22546 126617 -1 1614 21 1040 1872 100357 23807 3.57043 3.57043 -112.869 -3.57043 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.0137281 0.0120905 122 24 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 5.40 vpr 64.20 MiB -1 -1 0.19 21432 1 0.04 -1 -1 33776 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65740 32 32 319 257 1 198 88 17 17 289 -1 unnamed_device 25.2 MiB 0.45 1052 7888 1681 5591 616 64.2 MiB 0.08 0.00 5.2222 -143.082 -5.2222 5.2222 1.09 0.000318555 0.000263924 0.013931 0.0116803 -1 -1 -1 -1 28 2639 22 6.64007e+06 301392 500653. 1732.36 1.08 0.0632308 0.0538295 21970 115934 -1 2239 17 1340 1967 134731 31386 3.71362 3.71362 -131.242 -3.71362 0 0 612192. 2118.31 0.31 0.06 0.12 -1 -1 0.31 0.0160942 0.0142582 133 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 5.29 vpr 64.23 MiB -1 -1 0.22 21816 1 0.06 -1 -1 33676 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65776 31 32 373 299 1 202 88 17 17 289 -1 unnamed_device 25.4 MiB 0.39 1063 10423 2679 6644 1100 64.2 MiB 0.10 0.00 5.14867 -149.83 -5.14867 5.14867 1.02 0.000261212 0.000210272 0.0159837 0.013108 -1 -1 -1 -1 32 2686 22 6.64007e+06 313950 554710. 1919.41 1.02 0.0615707 0.0519101 22834 132086 -1 2409 19 1510 2389 160710 37915 4.00948 4.00948 -139.798 -4.00948 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0161929 0.0143796 148 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 5.01 vpr 64.05 MiB -1 -1 0.21 21880 1 0.05 -1 -1 33960 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65592 32 32 387 315 1 189 86 17 17 289 -1 unnamed_device 25.2 MiB 0.27 998 9347 2521 6007 819 64.1 MiB 0.08 0.00 4.34527 -132.181 -4.34527 4.34527 0.98 0.000217039 0.000171002 0.0140711 0.0114638 -1 -1 -1 -1 32 2644 20 6.64007e+06 276276 554710. 1919.41 0.97 0.0561712 0.0471862 22834 132086 -1 2163 18 1402 2519 159715 37276 3.50943 3.50943 -128.166 -3.50943 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0154815 0.0137143 136 77 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.91 vpr 62.69 MiB -1 -1 0.18 21192 1 0.05 -1 -1 33744 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64196 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 24.2 MiB 0.10 707 15103 5445 7308 2350 62.7 MiB 0.10 0.00 3.43127 -100.64 -3.43127 3.43127 1.05 0.000170607 0.000134842 0.0162845 0.0131314 -1 -1 -1 -1 30 1669 21 6.64007e+06 301392 526063. 1820.29 1.01 0.0485893 0.0406008 22546 126617 -1 1410 19 742 1197 79773 17941 2.56677 2.56677 -90.9898 -2.56677 0 0 666494. 2306.21 0.31 0.04 0.12 -1 -1 0.31 0.0121051 0.010708 97 23 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 5.27 vpr 64.19 MiB -1 -1 0.28 21420 1 0.04 -1 -1 34136 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65732 32 32 341 285 1 187 86 17 17 289 -1 unnamed_device 25.2 MiB 0.33 879 16907 6072 8286 2549 64.2 MiB 0.12 0.00 4.05536 -136.666 -4.05536 4.05536 0.99 0.000216949 0.000173156 0.0204331 0.016361 -1 -1 -1 -1 30 2363 22 6.64007e+06 276276 526063. 1820.29 1.06 0.0643564 0.0537226 22546 126617 -1 1963 18 1339 1924 128164 29238 3.32757 3.32757 -129.337 -3.32757 0 0 666494. 2306.21 0.31 0.05 0.13 -1 -1 0.31 0.0146209 0.0130095 127 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 6.08 vpr 64.00 MiB -1 -1 0.27 21764 1 0.04 -1 -1 34100 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65540 32 32 387 293 1 234 93 17 17 289 -1 unnamed_device 25.6 MiB 0.40 1389 17943 5716 10178 2049 64.0 MiB 0.17 0.00 5.4603 -164.178 -5.4603 5.4603 1.18 0.000282336 0.000220511 0.0250041 0.0204041 -1 -1 -1 -1 28 3504 25 6.64007e+06 364182 500653. 1732.36 1.52 0.0959882 0.0817493 21970 115934 -1 2796 23 2045 3229 234229 51765 4.64188 4.64188 -157.599 -4.64188 0 0 612192. 2118.31 0.27 0.08 0.11 -1 -1 0.27 0.0202234 0.0178275 169 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 5.19 vpr 63.91 MiB -1 -1 0.28 21560 1 0.04 -1 -1 33716 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 32 32 340 270 1 181 96 17 17 289 -1 unnamed_device 25.0 MiB 0.19 1016 14331 3274 9718 1339 63.9 MiB 0.15 0.00 4.34509 -136.539 -4.34509 4.34509 1.11 0.000437252 0.000363122 0.0219095 0.017954 -1 -1 -1 -1 30 2229 19 6.64007e+06 401856 526063. 1820.29 0.98 0.0621095 0.0521602 22546 126617 -1 1863 19 994 1580 102791 22250 3.10256 3.10256 -116.251 -3.10256 0 0 666494. 2306.21 0.33 0.04 0.12 -1 -1 0.33 0.0136407 0.0120306 133 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.74 vpr 63.42 MiB -1 -1 0.19 21704 1 0.04 -1 -1 34156 -1 -1 26 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64944 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 24.7 MiB 0.11 644 6718 1441 4611 666 63.4 MiB 0.06 0.00 3.45804 -101.577 -3.45804 3.45804 1.04 0.000224951 0.000184746 0.00872935 0.00722499 -1 -1 -1 -1 32 1698 21 6.64007e+06 326508 554710. 1919.41 0.98 0.0410031 0.0345336 22834 132086 -1 1490 19 949 1542 94421 23457 2.70277 2.70277 -98.9378 -2.70277 0 0 701300. 2426.64 0.34 0.04 0.13 -1 -1 0.34 0.0136758 0.0120616 104 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 6.33 vpr 64.05 MiB -1 -1 0.37 21604 1 0.06 -1 -1 34004 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65584 32 32 431 332 1 235 91 17 17 289 -1 unnamed_device 25.5 MiB 0.73 1258 17023 5454 8906 2663 64.0 MiB 0.17 0.00 6.52766 -186.909 -6.52766 6.52766 1.14 0.000326834 0.000267197 0.0332912 0.0278021 -1 -1 -1 -1 32 3239 24 6.64007e+06 339066 554710. 1919.41 1.27 0.102575 0.0873617 22834 132086 -1 2640 21 2024 2853 204494 46202 5.44434 5.44434 -176.026 -5.44434 0 0 701300. 2426.64 0.36 0.08 0.14 -1 -1 0.36 0.0244253 0.0216205 170 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 5.16 vpr 64.02 MiB -1 -1 0.20 21552 1 0.04 -1 -1 34160 -1 -1 33 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65560 32 32 336 268 1 174 97 17 17 289 -1 unnamed_device 25.1 MiB 0.21 911 6091 1101 4753 237 64.0 MiB 0.06 0.00 4.60401 -138.195 -4.60401 4.60401 1.07 0.000212894 0.000169549 0.0087458 0.0072979 -1 -1 -1 -1 26 2408 24 6.64007e+06 414414 477104. 1650.88 1.31 0.0697118 0.0608015 21682 110474 -1 2032 21 1490 2333 156428 36434 3.77103 3.77103 -130.469 -3.77103 0 0 585099. 2024.56 0.27 0.06 0.11 -1 -1 0.27 0.0164961 0.0143616 130 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 4.85 vpr 63.58 MiB -1 -1 0.19 21180 1 0.05 -1 -1 33872 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65108 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 25.0 MiB 0.14 820 12375 3515 6889 1971 63.6 MiB 0.08 0.00 3.58247 -102.606 -3.58247 3.58247 0.97 0.000159828 0.000126602 0.0121084 0.00973774 -1 -1 -1 -1 26 1980 30 6.64007e+06 288834 477104. 1650.88 1.06 0.051103 0.0428844 21682 110474 -1 1821 19 887 1524 111639 25164 2.89497 2.89497 -103.885 -2.89497 0 0 585099. 2024.56 0.27 0.05 0.10 -1 -1 0.27 0.0120726 0.0105132 100 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 5.05 vpr 64.29 MiB -1 -1 0.23 21640 1 0.04 -1 -1 33820 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65836 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 25.5 MiB 0.20 1051 10223 2392 7301 530 64.3 MiB 0.10 0.00 5.62381 -137.312 -5.62381 5.62381 1.04 0.000244483 0.000192777 0.013702 0.011257 -1 -1 -1 -1 32 2518 24 6.64007e+06 426972 554710. 1919.41 1.12 0.0618896 0.0527029 22834 132086 -1 2171 22 1308 2453 164918 36700 4.71868 4.71868 -136.031 -4.71868 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0164181 0.0144813 139 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.59 vpr 63.52 MiB -1 -1 0.17 21200 1 0.05 -1 -1 33872 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65048 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 25.0 MiB 0.12 692 7404 1537 5210 657 63.5 MiB 0.05 0.00 3.45624 -104.082 -3.45624 3.45624 0.95 0.000173701 0.000138716 0.00922463 0.00745744 -1 -1 -1 -1 28 2049 24 6.64007e+06 251160 500653. 1732.36 1.07 0.047535 0.0400948 21970 115934 -1 1646 19 1091 1918 121122 30138 2.91397 2.91397 -107.034 -2.91397 0 0 612192. 2118.31 0.28 0.05 0.12 -1 -1 0.28 0.0132526 0.0117916 104 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 5.14 vpr 63.41 MiB -1 -1 0.20 21336 1 0.04 -1 -1 33924 -1 -1 33 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64928 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 24.7 MiB 0.17 661 13271 3491 8020 1760 63.4 MiB 0.10 0.00 4.08278 -107.388 -4.08278 4.08278 0.93 0.000199285 0.000157717 0.0145086 0.0116369 -1 -1 -1 -1 26 2062 20 6.64007e+06 414414 477104. 1650.88 1.26 0.063371 0.0547314 21682 110474 -1 1617 21 1029 1765 107385 26371 3.22137 3.22137 -105.887 -3.22137 0 0 585099. 2024.56 0.26 0.05 0.11 -1 -1 0.26 0.0140264 0.0123441 105 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 5.28 vpr 64.01 MiB -1 -1 0.30 21624 1 0.06 -1 -1 34096 -1 -1 26 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65544 29 32 355 287 1 198 87 17 17 289 -1 unnamed_device 25.2 MiB 0.41 1040 14871 4665 7654 2552 64.0 MiB 0.12 0.00 4.65946 -136.342 -4.65946 4.65946 0.89 0.000223462 0.000177486 0.0203526 0.0164873 -1 -1 -1 -1 32 2493 25 6.64007e+06 326508 554710. 1919.41 1.03 0.0656415 0.055207 22834 132086 -1 2225 21 1545 2329 154866 35209 3.58443 3.58443 -123.394 -3.58443 0 0 701300. 2426.64 0.31 0.06 0.15 -1 -1 0.31 0.01679 0.0148203 139 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 5.35 vpr 63.92 MiB -1 -1 0.33 21428 1 0.06 -1 -1 33612 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65452 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 25.0 MiB 0.22 791 5938 1168 4585 185 63.9 MiB 0.06 0.00 4.46745 -132.755 -4.46745 4.46745 1.08 0.000297987 0.000243786 0.0111913 0.00935995 -1 -1 -1 -1 30 1971 24 6.64007e+06 301392 526063. 1820.29 1.04 0.0626591 0.0529236 22546 126617 -1 1686 19 1164 1845 114531 26463 3.69283 3.69283 -126.907 -3.69283 0 0 666494. 2306.21 0.34 0.05 0.15 -1 -1 0.34 0.0172578 0.0150821 130 54 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.88 vpr 63.88 MiB -1 -1 0.22 21536 1 0.04 -1 -1 34028 -1 -1 28 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65416 32 32 353 285 1 181 92 17 17 289 -1 unnamed_device 25.2 MiB 0.19 1028 16652 5035 9308 2309 63.9 MiB 0.14 0.00 4.7434 -142.045 -4.7434 4.7434 0.97 0.000229766 0.00018499 0.0243846 0.0198378 -1 -1 -1 -1 32 2433 20 6.64007e+06 351624 554710. 1919.41 1.00 0.06708 0.0561858 22834 132086 -1 2181 18 1038 1785 133597 29133 3.69062 3.69062 -131.911 -3.69062 0 0 701300. 2426.64 0.33 0.05 0.13 -1 -1 0.33 0.0149977 0.0132236 133 51 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 5.50 vpr 63.62 MiB -1 -1 0.21 21616 1 0.05 -1 -1 33724 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65144 32 32 276 237 1 159 81 17 17 289 -1 unnamed_device 25.1 MiB 0.34 745 12681 3505 7128 2048 63.6 MiB 0.09 0.00 4.79432 -130.688 -4.79432 4.79432 0.99 0.000181527 0.000144851 0.01493 0.0121232 -1 -1 -1 -1 26 2345 22 6.64007e+06 213486 477104. 1650.88 1.51 0.0630247 0.0539543 21682 110474 -1 1909 21 1162 1601 123415 29052 3.57743 3.57743 -122.857 -3.57743 0 0 585099. 2024.56 0.27 0.05 0.11 -1 -1 0.27 0.0129238 0.0112415 105 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 5.91 vpr 63.90 MiB -1 -1 0.21 21444 1 0.04 -1 -1 34148 -1 -1 19 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65432 31 32 319 272 1 168 82 17 17 289 -1 unnamed_device 25.0 MiB 0.36 900 13966 4576 7232 2158 63.9 MiB 0.11 0.00 3.96736 -127.124 -3.96736 3.96736 1.09 0.000210367 0.000163778 0.0196495 0.0159537 -1 -1 -1 -1 32 2026 17 6.64007e+06 238602 554710. 1919.41 1.02 0.0604069 0.0503752 22834 132086 -1 1807 19 1202 1749 124457 27558 3.21857 3.21857 -118.219 -3.21857 0 0 701300. 2426.64 0.35 0.05 0.14 -1 -1 0.35 0.0147232 0.0127028 113 64 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 5.42 vpr 63.77 MiB -1 -1 0.21 21548 1 0.04 -1 -1 33736 -1 -1 33 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65304 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 24.9 MiB 0.18 869 11759 2827 8114 818 63.8 MiB 0.09 0.00 3.56047 -98.9603 -3.56047 3.56047 0.94 0.000201374 0.000158843 0.0130786 0.0105954 -1 -1 -1 -1 26 2387 27 6.64007e+06 414414 477104. 1650.88 1.52 0.0706386 0.0608294 21682 110474 -1 1918 19 1142 2056 143001 34435 2.91597 2.91597 -101.585 -2.91597 0 0 585099. 2024.56 0.28 0.08 0.11 -1 -1 0.28 0.0217736 0.019609 123 57 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.88 vpr 63.54 MiB -1 -1 0.20 21680 1 0.04 -1 -1 33968 -1 -1 35 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65068 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 24.8 MiB 0.17 923 12623 3556 7108 1959 63.5 MiB 0.10 0.00 4.42192 -107.107 -4.42192 4.42192 1.00 0.000255489 0.000212243 0.0161879 0.013428 -1 -1 -1 -1 26 2229 19 6.64007e+06 439530 477104. 1650.88 1.18 0.0586732 0.0496731 21682 110474 -1 1849 19 1008 1743 122228 27424 3.61562 3.61562 -105.168 -3.61562 0 0 585099. 2024.56 0.28 0.05 0.11 -1 -1 0.28 0.0140926 0.0123268 115 27 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.75 vpr 63.85 MiB -1 -1 0.21 21492 1 0.04 -1 -1 34116 -1 -1 18 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65384 30 32 317 269 1 152 80 17 17 289 -1 unnamed_device 25.1 MiB 0.18 685 9712 2247 6957 508 63.9 MiB 0.08 0.00 3.90078 -114.184 -3.90078 3.90078 0.96 0.000194964 0.000153905 0.0128951 0.0104153 -1 -1 -1 -1 28 1963 19 6.64007e+06 226044 500653. 1732.36 0.97 0.0472529 0.0394904 21970 115934 -1 1789 22 1400 2435 194018 45480 2.98097 2.98097 -109.97 -2.98097 0 0 612192. 2118.31 0.28 0.07 0.12 -1 -1 0.28 0.0167959 0.0148587 109 63 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 5.24 vpr 64.14 MiB -1 -1 0.33 21572 1 0.06 -1 -1 33652 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65680 32 32 335 282 1 184 85 17 17 289 -1 unnamed_device 25.2 MiB 0.32 936 13105 4260 6168 2677 64.1 MiB 0.10 0.00 3.98936 -131.555 -3.98936 3.98936 0.97 0.000199998 0.000157201 0.0167419 0.0135232 -1 -1 -1 -1 32 2239 20 6.64007e+06 263718 554710. 1919.41 0.94 0.0522702 0.043464 22834 132086 -1 1962 19 1286 1879 129465 29535 3.32603 3.32603 -126.53 -3.32603 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0146123 0.0129545 121 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 5.03 vpr 63.73 MiB -1 -1 0.29 21532 1 0.03 -1 -1 33688 -1 -1 32 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65256 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 25.1 MiB 0.13 1072 16295 4636 9641 2018 63.7 MiB 0.12 0.00 4.60183 -132.105 -4.60183 4.60183 1.04 0.000213155 0.00017331 0.0174468 0.0142043 -1 -1 -1 -1 32 2390 21 6.64007e+06 401856 554710. 1919.41 1.03 0.0555501 0.0466911 22834 132086 -1 1993 19 1289 2315 142374 33933 3.74683 3.74683 -120.579 -3.74683 0 0 701300. 2426.64 0.31 0.05 0.15 -1 -1 0.31 0.0133827 0.0118626 127 4 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 5.46 vpr 64.12 MiB -1 -1 0.20 21352 1 0.03 -1 -1 33876 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65656 32 32 350 275 1 209 88 17 17 289 -1 unnamed_device 25.3 MiB 0.41 1141 10618 2698 7154 766 64.1 MiB 0.10 0.00 5.38066 -169.108 -5.38066 5.38066 0.96 0.000239227 0.000192527 0.0143549 0.0117283 -1 -1 -1 -1 32 2845 21 6.64007e+06 301392 554710. 1919.41 1.30 0.0678073 0.0579195 22834 132086 -1 2481 20 1517 2218 154934 35578 4.34488 4.34488 -156.254 -4.34488 0 0 701300. 2426.64 0.33 0.06 0.13 -1 -1 0.33 0.0173967 0.0154004 146 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 5.13 vpr 64.04 MiB -1 -1 0.22 21492 1 0.04 -1 -1 33816 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65572 32 32 385 308 1 185 98 17 17 289 -1 unnamed_device 25.2 MiB 0.23 1093 17423 4766 10394 2263 64.0 MiB 0.16 0.00 5.20872 -147.682 -5.20872 5.20872 1.03 0.000346247 0.000289088 0.0288426 0.0235489 -1 -1 -1 -1 32 2500 30 6.64007e+06 426972 554710. 1919.41 1.10 0.0859762 0.0716294 22834 132086 -1 2186 21 1268 2336 152601 35655 4.01948 4.01948 -136.855 -4.01948 0 0 701300. 2426.64 0.29 0.06 0.15 -1 -1 0.29 0.0194958 0.0172282 144 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 6.91 vpr 64.18 MiB -1 -1 0.20 21468 1 0.04 -1 -1 33444 -1 -1 37 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65720 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 25.3 MiB 0.19 1020 5976 1067 4592 317 64.2 MiB 0.07 0.00 4.48481 -139.253 -4.48481 4.48481 0.98 0.000287704 0.000206342 0.0103034 0.00861627 -1 -1 -1 -1 26 3164 36 6.64007e+06 464646 477104. 1650.88 3.09 0.103807 0.0901925 21682 110474 -1 2547 19 1531 2648 211155 48182 3.93303 3.93303 -141.066 -3.93303 0 0 585099. 2024.56 0.26 0.07 0.11 -1 -1 0.26 0.0176421 0.015685 140 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.79 vpr 63.58 MiB -1 -1 0.24 21552 1 0.05 -1 -1 33912 -1 -1 19 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65104 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 24.9 MiB 0.16 720 9706 2873 5961 872 63.6 MiB 0.07 0.00 3.87875 -113.748 -3.87875 3.87875 0.91 0.00018793 0.000151889 0.0114303 0.00926962 -1 -1 -1 -1 26 1992 21 6.64007e+06 238602 477104. 1650.88 1.06 0.049573 0.0421375 21682 110474 -1 1698 18 953 1613 112771 26555 2.90297 2.90297 -105.777 -2.90297 0 0 585099. 2024.56 0.26 0.05 0.12 -1 -1 0.26 0.0129574 0.0114093 104 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 5.81 vpr 64.20 MiB -1 -1 0.22 21484 1 0.05 -1 -1 34016 -1 -1 23 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65736 30 32 375 299 1 187 85 17 17 289 -1 unnamed_device 25.4 MiB 0.24 943 11431 3023 6401 2007 64.2 MiB 0.11 0.00 4.78844 -139.402 -4.78844 4.78844 1.08 0.00029841 0.000242872 0.0231366 0.0192215 -1 -1 -1 -1 32 2212 20 6.64007e+06 288834 554710. 1919.41 1.15 0.0847929 0.0722824 22834 132086 -1 1966 20 1597 2426 179462 40072 3.71863 3.71863 -132.49 -3.71863 0 0 701300. 2426.64 0.55 0.12 0.21 -1 -1 0.55 0.0337151 0.0299312 138 63 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 5.91 vpr 64.20 MiB -1 -1 0.19 21540 1 0.04 -1 -1 33796 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65740 32 32 340 270 1 200 90 17 17 289 -1 unnamed_device 25.4 MiB 0.31 1173 16170 4393 9836 1941 64.2 MiB 0.15 0.00 5.44166 -158.46 -5.44166 5.44166 1.00 0.000224564 0.00018008 0.0224828 0.0182688 -1 -1 -1 -1 26 2974 49 6.64007e+06 326508 477104. 1650.88 2.03 0.0938843 0.0797855 21682 110474 -1 2414 21 1521 2276 183268 40328 4.33689 4.33689 -148.154 -4.33689 0 0 585099. 2024.56 0.24 0.06 0.11 -1 -1 0.24 0.0145687 0.0127676 140 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 5.55 vpr 64.17 MiB -1 -1 0.33 21560 1 0.04 -1 -1 33908 -1 -1 30 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65708 31 32 340 275 1 195 93 17 17 289 -1 unnamed_device 25.3 MiB 0.40 1216 15003 5067 8222 1714 64.2 MiB 0.12 0.00 5.37715 -156.166 -5.37715 5.37715 0.91 0.000248258 0.000167092 0.0198686 0.0160921 -1 -1 -1 -1 30 2440 19 6.64007e+06 376740 526063. 1820.29 0.97 0.0592141 0.0495749 22546 126617 -1 2046 18 972 1556 95320 21315 4.16988 4.16988 -141.14 -4.16988 0 0 666494. 2306.21 0.56 0.09 0.20 -1 -1 0.56 0.0306007 0.0274704 148 47 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 5.67 vpr 64.10 MiB -1 -1 0.23 21504 1 0.04 -1 -1 33804 -1 -1 33 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65636 30 32 377 310 1 177 95 17 17 289 -1 unnamed_device 25.3 MiB 0.34 895 9815 2159 6479 1177 64.1 MiB 0.09 0.00 4.45681 -130.071 -4.45681 4.45681 1.08 0.00040942 0.000342841 0.017266 0.0142703 -1 -1 -1 -1 32 2077 19 6.64007e+06 414414 554710. 1919.41 1.17 0.068373 0.057863 22834 132086 -1 1875 20 1234 2049 117187 28580 3.30083 3.30083 -119.653 -3.30083 0 0 701300. 2426.64 0.51 0.07 0.14 -1 -1 0.51 0.0239819 0.0214752 135 83 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 5.24 vpr 64.05 MiB -1 -1 0.23 21412 1 0.06 -1 -1 33960 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65592 32 32 365 294 1 185 85 17 17 289 -1 unnamed_device 25.3 MiB 0.21 1069 14407 4171 8795 1441 64.1 MiB 0.11 0.00 4.99084 -144.739 -4.99084 4.99084 0.95 0.000221906 0.000176164 0.019619 0.015894 -1 -1 -1 -1 32 2668 20 6.64007e+06 263718 554710. 1919.41 0.99 0.0594309 0.0497162 22834 132086 -1 2353 19 1391 2494 178708 39579 3.75062 3.75062 -139.109 -3.75062 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0192183 0.0172455 134 57 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 5.17 vpr 64.16 MiB -1 -1 0.26 21308 1 0.09 -1 -1 34096 -1 -1 31 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65700 29 32 378 310 1 177 92 17 17 289 -1 unnamed_device 25.4 MiB 0.23 991 14168 3722 8736 1710 64.2 MiB 0.10 0.00 4.90164 -138.394 -4.90164 4.90164 0.95 0.000240485 0.000190466 0.0175885 0.0141857 -1 -1 -1 -1 26 2358 19 6.64007e+06 389298 477104. 1650.88 0.87 0.0554864 0.0462143 21682 110474 -1 2059 21 1193 1929 132387 29914 3.62843 3.62843 -127.623 -3.62843 0 0 585099. 2024.56 0.25 0.05 0.11 -1 -1 0.25 0.0161528 0.0141862 132 85 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.70 vpr 63.33 MiB -1 -1 0.25 21144 1 0.05 -1 -1 33904 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64848 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 24.9 MiB 0.11 698 12416 3955 6725 1736 63.3 MiB 0.08 0.00 3.88758 -112.734 -3.88758 3.88758 1.00 0.000156936 0.000123515 0.0135271 0.0108882 -1 -1 -1 -1 28 1776 22 6.64007e+06 188370 500653. 1732.36 1.00 0.0562446 0.0477065 21970 115934 -1 1610 16 827 1253 97467 22192 3.16737 3.16737 -109.621 -3.16737 0 0 612192. 2118.31 0.29 0.04 0.11 -1 -1 0.29 0.0112291 0.00983157 96 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.93 vpr 64.20 MiB -1 -1 0.22 21388 1 0.06 -1 -1 33736 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65744 32 32 373 302 1 176 96 17 17 289 -1 unnamed_device 25.4 MiB 0.32 964 13455 3168 8058 2229 64.2 MiB 0.10 0.00 4.65236 -138.008 -4.65236 4.65236 0.96 0.000211846 0.000166759 0.0153179 0.0123404 -1 -1 -1 -1 32 2266 21 6.64007e+06 401856 554710. 1919.41 0.99 0.0540971 0.0450169 22834 132086 -1 1834 21 1297 2159 133098 31359 3.72183 3.72183 -126.441 -3.72183 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0161499 0.0142039 132 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 5.19 vpr 64.10 MiB -1 -1 0.22 21588 1 0.04 -1 -1 34024 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65640 32 32 397 314 1 196 86 17 17 289 -1 unnamed_device 25.2 MiB 0.36 1060 10103 2308 6560 1235 64.1 MiB 0.09 0.00 4.84241 -152.382 -4.84241 4.84241 1.04 0.000243823 0.000195012 0.0163721 0.0134288 -1 -1 -1 -1 32 2649 24 6.64007e+06 276276 554710. 1919.41 1.05 0.0649357 0.054855 22834 132086 -1 2387 22 1966 3185 227280 52170 4.04423 4.04423 -149.366 -4.04423 0 0 701300. 2426.64 0.32 0.08 0.16 -1 -1 0.32 0.0200206 0.01744 148 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 5.35 vpr 63.52 MiB -1 -1 0.22 21636 1 0.04 -1 -1 33848 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65044 32 32 269 231 1 170 84 17 17 289 -1 unnamed_device 24.8 MiB 0.36 933 13992 4098 8142 1752 63.5 MiB 0.09 0.00 4.31784 -124.298 -4.31784 4.31784 0.99 0.000188288 0.000149867 0.0167278 0.0134338 -1 -1 -1 -1 26 2333 27 6.64007e+06 251160 477104. 1650.88 1.37 0.0608165 0.0508398 21682 110474 -1 2014 20 1088 1443 117053 25629 3.30403 3.30403 -118.207 -3.30403 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0144553 0.0127746 109 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 5.84 vpr 63.48 MiB -1 -1 0.20 21168 1 0.05 -1 -1 33860 -1 -1 21 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65008 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 24.9 MiB 0.20 781 9417 2297 6451 669 63.5 MiB 0.07 0.00 3.81035 -109.522 -3.81035 3.81035 0.99 0.000163905 0.000129827 0.0101809 0.00828012 -1 -1 -1 -1 32 1805 21 6.64007e+06 263718 554710. 1919.41 1.21 0.072009 0.0621269 22834 132086 -1 1623 22 1006 1686 116113 26730 2.91397 2.91397 -103.946 -2.91397 0 0 701300. 2426.64 0.30 0.04 0.13 -1 -1 0.30 0.0115767 0.0101536 106 4 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 5.45 vpr 64.18 MiB -1 -1 0.23 21704 1 0.05 -1 -1 34084 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65716 32 32 348 274 1 211 90 17 17 289 -1 unnamed_device 25.3 MiB 0.41 894 10542 2833 7069 640 64.2 MiB 0.09 0.00 5.11544 -156.533 -5.11544 5.11544 1.03 0.000213087 0.000169043 0.0137478 0.0112115 -1 -1 -1 -1 28 2905 29 6.64007e+06 326508 500653. 1732.36 1.18 0.0590217 0.0496444 21970 115934 -1 2270 21 1717 2234 150681 36479 4.29109 4.29109 -151.66 -4.29109 0 0 612192. 2118.31 0.29 0.05 0.13 -1 -1 0.29 0.0152406 0.013412 144 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 6.51 vpr 64.13 MiB -1 -1 0.23 21520 1 0.04 -1 -1 34016 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65672 32 32 356 289 1 202 93 17 17 289 -1 unnamed_device 25.3 MiB 0.44 1216 13953 3630 8615 1708 64.1 MiB 0.10 0.00 5.10413 -156.46 -5.10413 5.10413 1.03 0.00021696 0.000170254 0.0167898 0.0135009 -1 -1 -1 -1 26 3309 49 6.64007e+06 364182 477104. 1650.88 2.08 0.0779992 0.0653382 21682 110474 -1 2648 21 1678 2630 217673 46245 4.63868 4.63868 -154.565 -4.63868 0 0 585099. 2024.56 0.35 0.08 0.12 -1 -1 0.35 0.0191522 0.0170585 155 56 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 6.64 vpr 64.30 MiB -1 -1 0.21 21524 1 0.04 -1 -1 33568 -1 -1 36 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65844 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 25.4 MiB 0.26 1246 17036 4529 10969 1538 64.3 MiB 0.14 0.00 5.4761 -148.295 -5.4761 5.4761 1.32 0.000222564 0.000177006 0.0201653 0.0164469 -1 -1 -1 -1 26 3134 35 6.64007e+06 452088 477104. 1650.88 1.73 0.0860022 0.0733867 21682 110474 -1 2607 21 1733 3199 243499 53638 4.55949 4.55949 -150.976 -4.55949 0 0 585099. 2024.56 0.49 0.15 0.18 -1 -1 0.49 0.0369427 0.0328685 153 3 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.84 vpr 63.95 MiB -1 -1 0.20 21488 1 0.04 -1 -1 34076 -1 -1 32 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65488 30 32 316 264 1 162 94 17 17 289 -1 unnamed_device 25.1 MiB 0.19 874 9892 2506 6506 880 64.0 MiB 0.08 0.00 3.51924 -103.944 -3.51924 3.51924 1.04 0.000195795 0.000154413 0.0110961 0.00905201 -1 -1 -1 -1 30 1855 19 6.64007e+06 401856 526063. 1820.29 0.90 0.0447582 0.0376064 22546 126617 -1 1645 19 1016 1803 85739 21372 2.80477 2.80477 -98.9098 -2.80477 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.0136392 0.0120547 121 52 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.59 vpr 63.35 MiB -1 -1 0.25 21568 1 0.04 -1 -1 34048 -1 -1 21 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64872 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 24.8 MiB 0.11 565 12120 3265 7503 1352 63.4 MiB 0.07 0.00 3.49724 -93.0073 -3.49724 3.49724 1.03 0.000169753 0.000135028 0.0143122 0.0115101 -1 -1 -1 -1 28 1552 21 6.64007e+06 263718 500653. 1732.36 0.90 0.0472616 0.0391207 21970 115934 -1 1349 20 1009 1487 99406 23958 2.83997 2.83997 -92.1671 -2.83997 0 0 612192. 2118.31 0.29 0.04 0.12 -1 -1 0.29 0.0120089 0.0104757 97 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 6.88 vpr 64.02 MiB -1 -1 0.23 21820 1 0.04 -1 -1 33960 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65560 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 25.5 MiB 0.40 1324 16572 5072 8808 2692 64.0 MiB 0.18 0.00 4.42635 -141.521 -4.42635 4.42635 1.59 0.00121267 0.00107701 0.0369494 0.0309745 -1 -1 -1 -1 32 3259 35 6.64007e+06 326508 554710. 1919.41 1.59 0.136479 0.117122 22834 132086 -1 2737 20 1821 3112 227397 48414 4.03623 4.03623 -137.329 -4.03623 0 0 701300. 2426.64 0.29 0.07 0.23 -1 -1 0.29 0.0169385 0.0148813 170 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 5.45 vpr 63.98 MiB -1 -1 0.23 21860 1 0.05 -1 -1 34032 -1 -1 23 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65512 31 32 365 296 1 193 86 17 17 289 -1 unnamed_device 25.2 MiB 0.47 1050 12371 3182 7852 1337 64.0 MiB 0.15 0.00 5.43386 -156.366 -5.43386 5.43386 1.09 0.000351519 0.000276414 0.0250944 0.0201231 -1 -1 -1 -1 32 2467 20 6.64007e+06 288834 554710. 1919.41 1.16 0.0738519 0.0614409 22834 132086 -1 2222 23 1450 2394 179772 39496 4.42648 4.42648 -147.66 -4.42648 0 0 701300. 2426.64 0.32 0.07 0.14 -1 -1 0.32 0.0187015 0.0163149 152 64 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 5.82 vpr 63.92 MiB -1 -1 0.21 21584 1 0.04 -1 -1 34160 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65452 32 32 331 280 1 174 84 17 17 289 -1 unnamed_device 25.1 MiB 0.40 941 14175 4029 8632 1514 63.9 MiB 0.11 0.00 4.2933 -133.018 -4.2933 4.2933 1.38 0.000198776 0.00015713 0.0207467 0.0169777 -1 -1 -1 -1 32 2137 21 6.64007e+06 251160 554710. 1919.41 1.18 0.0701079 0.0591558 22834 132086 -1 2004 23 1337 1980 158485 34343 3.51963 3.51963 -132.516 -3.51963 0 0 701300. 2426.64 0.32 0.05 0.13 -1 -1 0.32 0.0147302 0.0128595 130 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 5.08 vpr 64.29 MiB -1 -1 0.23 21532 1 0.04 -1 -1 33584 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65832 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 25.3 MiB 0.14 1025 10744 3084 7154 506 64.3 MiB 0.09 0.00 5.21217 -134.409 -5.21217 5.21217 1.04 0.000331779 0.000278081 0.0144183 0.0118358 -1 -1 -1 -1 30 2184 21 6.64007e+06 376740 526063. 1820.29 1.01 0.0598104 0.0503249 22546 126617 -1 1937 18 867 1471 89050 19926 3.73062 3.73062 -118.693 -3.73062 0 0 666494. 2306.21 0.32 0.05 0.19 -1 -1 0.32 0.0164859 0.014733 126 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 5.35 vpr 64.09 MiB -1 -1 0.21 21340 1 0.04 -1 -1 33672 -1 -1 34 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65632 31 32 373 294 1 196 97 17 17 289 -1 unnamed_device 25.3 MiB 0.26 1033 6757 1363 4872 522 64.1 MiB 0.07 0.00 5.06104 -138.99 -5.06104 5.06104 1.03 0.000363582 0.000312568 0.0113947 0.0096555 -1 -1 -1 -1 26 2574 21 6.64007e+06 426972 477104. 1650.88 1.33 0.103937 0.0921241 21682 110474 -1 2282 20 1591 2581 146564 35944 3.83083 3.83083 -132.863 -3.83083 0 0 585099. 2024.56 0.29 0.06 0.11 -1 -1 0.29 0.0186627 0.0164756 145 50 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 5.36 vpr 63.89 MiB -1 -1 0.22 21320 1 0.04 -1 -1 34148 -1 -1 31 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65424 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 25.0 MiB 0.22 1000 10173 2480 6565 1128 63.9 MiB 0.12 0.00 3.68089 -112.079 -3.68089 3.68089 1.02 0.000444252 0.000360705 0.0216755 0.0182489 -1 -1 -1 -1 32 2189 21 6.64007e+06 389298 554710. 1919.41 1.11 0.0855121 0.0731107 22834 132086 -1 1934 21 992 1861 125297 27515 2.93217 2.93217 -104.52 -2.93217 0 0 701300. 2426.64 0.41 0.07 0.17 -1 -1 0.41 0.0232143 0.0207969 124 51 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 5.60 vpr 64.41 MiB -1 -1 0.27 21612 1 0.04 -1 -1 33768 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65960 32 32 350 275 1 214 89 17 17 289 -1 unnamed_device 25.5 MiB 0.43 1181 8207 1889 5862 456 64.4 MiB 0.09 0.00 5.21333 -162.921 -5.21333 5.21333 1.03 0.000226497 0.000177397 0.0119918 0.00982629 -1 -1 -1 -1 32 2916 22 6.64007e+06 313950 554710. 1919.41 1.32 0.0706068 0.0607564 22834 132086 -1 2540 21 1769 2749 219470 47322 4.11269 4.11269 -146.472 -4.11269 0 0 701300. 2426.64 0.31 0.07 0.12 -1 -1 0.31 0.0180291 0.0158781 148 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 5.98 vpr 64.14 MiB -1 -1 0.24 21904 1 0.05 -1 -1 33800 -1 -1 36 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65680 32 32 386 307 1 195 100 17 17 289 -1 unnamed_device 25.3 MiB 0.25 1091 17268 5141 9536 2591 64.1 MiB 0.13 0.00 4.75546 -148.32 -4.75546 4.75546 0.98 0.000234527 0.000184907 0.0206967 0.0168222 -1 -1 -1 -1 26 2685 30 6.64007e+06 452088 477104. 1650.88 1.65 0.0999205 0.0863977 21682 110474 -1 2255 18 1257 1979 128650 29661 3.48203 3.48203 -130.715 -3.48203 0 0 585099. 2024.56 0.36 0.08 0.12 -1 -1 0.36 0.0258526 0.023349 144 62 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 4.67 vpr 62.21 MiB -1 -1 0.23 21348 1 0.03 -1 -1 33916 -1 -1 17 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 63704 29 32 269 229 1 129 78 17 17 289 -1 unnamed_device 23.6 MiB 0.11 588 12030 3358 7039 1633 62.2 MiB 0.07 0.00 3.76255 -108.245 -3.76255 3.76255 0.98 0.000166741 0.000130966 0.013853 0.0111491 -1 -1 -1 -1 30 1348 20 6.64007e+06 213486 526063. 1820.29 0.95 0.0449142 0.0375031 22546 126617 -1 1205 18 807 1162 78445 17743 2.56837 2.56837 -95.382 -2.56837 0 0 666494. 2306.21 0.31 0.04 0.13 -1 -1 0.31 0.0122402 0.0106425 91 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 5.08 vpr 62.81 MiB -1 -1 0.24 21564 1 0.03 -1 -1 34056 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64316 32 32 310 266 1 176 85 17 17 289 -1 unnamed_device 23.8 MiB 0.30 778 6037 1272 4427 338 62.8 MiB 0.07 0.00 4.48879 -126.842 -4.48879 4.48879 0.99 0.000416213 0.000323244 0.0114506 0.00954607 -1 -1 -1 -1 32 2251 23 6.64007e+06 263718 554710. 1919.41 1.10 0.0593538 0.0500414 22834 132086 -1 1743 18 964 1268 93490 22694 3.24503 3.24503 -119.32 -3.24503 0 0 701300. 2426.64 0.34 0.04 0.13 -1 -1 0.34 0.0116991 0.0103031 118 58 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 5.10 vpr 63.98 MiB -1 -1 0.20 21436 1 0.05 -1 -1 33752 -1 -1 37 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65512 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 25.0 MiB 0.15 1008 6132 1183 4581 368 64.0 MiB 0.06 0.00 4.78944 -127.311 -4.78944 4.78944 0.95 0.000208465 0.000165707 0.00769296 0.00640021 -1 -1 -1 -1 26 2494 22 6.64007e+06 464646 477104. 1650.88 1.17 0.0580782 0.050279 21682 110474 -1 2169 20 1399 2498 165534 38412 3.93603 3.93603 -128.563 -3.93603 0 0 585099. 2024.56 0.35 0.06 0.11 -1 -1 0.35 0.0154133 0.0136646 129 33 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 5.34 vpr 63.46 MiB -1 -1 0.22 21368 1 0.04 -1 -1 33864 -1 -1 22 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64988 29 32 262 224 1 168 83 17 17 289 -1 unnamed_device 24.7 MiB 0.35 764 14303 4579 7529 2195 63.5 MiB 0.10 0.00 4.38281 -116.371 -4.38281 4.38281 1.05 0.000167696 0.000132554 0.0160852 0.0129068 -1 -1 -1 -1 28 2083 19 6.64007e+06 276276 500653. 1732.36 1.20 0.071621 0.0616544 21970 115934 -1 1681 20 1101 1443 96185 22508 3.23483 3.23483 -107.841 -3.23483 0 0 612192. 2118.31 0.26 0.04 0.12 -1 -1 0.26 0.0117702 0.0103844 109 31 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 5.19 vpr 63.41 MiB -1 -1 0.29 21540 1 0.05 -1 -1 34048 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64936 32 32 278 238 1 149 81 17 17 289 -1 unnamed_device 24.8 MiB 0.17 868 10406 2691 6847 868 63.4 MiB 0.08 0.00 3.9428 -121.707 -3.9428 3.9428 1.07 0.00018647 0.000148549 0.0127478 0.0103692 -1 -1 -1 -1 32 1810 20 6.64007e+06 213486 554710. 1919.41 1.01 0.0586914 0.0497791 22834 132086 -1 1706 20 1156 2003 136026 30795 2.79857 2.79857 -109.128 -2.79857 0 0 701300. 2426.64 0.38 0.06 0.14 -1 -1 0.38 0.015585 0.013868 108 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 5.24 vpr 64.14 MiB -1 -1 0.23 21568 1 0.05 -1 -1 34056 -1 -1 36 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65684 31 32 373 300 1 181 99 17 17 289 -1 unnamed_device 25.4 MiB 0.19 875 8079 1601 6137 341 64.1 MiB 0.08 0.00 4.17918 -122.781 -4.17918 4.17918 0.98 0.000223805 0.000177197 0.0103629 0.00850379 -1 -1 -1 -1 28 2410 36 6.64007e+06 452088 500653. 1732.36 1.24 0.0695457 0.0590886 21970 115934 -1 1875 21 1356 2240 134886 34729 3.20157 3.20157 -114.587 -3.20157 0 0 612192. 2118.31 0.29 0.06 0.11 -1 -1 0.29 0.016628 0.0145558 136 64 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.90 vpr 63.56 MiB -1 -1 0.19 21612 1 0.04 -1 -1 33728 -1 -1 20 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65084 31 32 265 230 1 162 83 17 17 289 -1 unnamed_device 24.8 MiB 0.29 883 11423 3367 6862 1194 63.6 MiB 0.08 0.00 4.01573 -121.888 -4.01573 4.01573 1.01 0.000173812 0.000137483 0.0136947 0.0111471 -1 -1 -1 -1 26 2117 20 6.64007e+06 251160 477104. 1650.88 0.89 0.0469238 0.0394518 21682 110474 -1 1747 20 1087 1540 101106 24211 3.08363 3.08363 -115.072 -3.08363 0 0 585099. 2024.56 0.27 0.04 0.11 -1 -1 0.27 0.0124043 0.0109474 107 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 5.08 vpr 64.23 MiB -1 -1 0.20 21600 1 0.04 -1 -1 33860 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65772 32 32 349 286 1 171 96 17 17 289 -1 unnamed_device 25.2 MiB 0.21 851 8418 1678 5980 760 64.2 MiB 0.07 0.00 3.82753 -117.666 -3.82753 3.82753 0.97 0.000225004 0.000179121 0.0114195 0.00938454 -1 -1 -1 -1 28 2478 22 6.64007e+06 401856 500653. 1732.36 1.13 0.0599613 0.0513133 21970 115934 -1 1955 23 1317 2265 161744 43770 2.98117 2.98117 -112.322 -2.98117 0 0 612192. 2118.31 0.28 0.07 0.12 -1 -1 0.28 0.0200224 0.0176059 127 57 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 5.27 vpr 64.20 MiB -1 -1 0.21 21804 1 0.05 -1 -1 33820 -1 -1 32 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65736 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 25.4 MiB 0.32 974 12839 3425 8126 1288 64.2 MiB 0.10 0.00 4.34696 -135.951 -4.34696 4.34696 1.06 0.000228512 0.00018017 0.0161538 0.0130422 -1 -1 -1 -1 32 2188 19 6.64007e+06 401856 554710. 1919.41 0.95 0.0580896 0.0484063 22834 132086 -1 1958 21 1309 1812 123981 28855 3.33103 3.33103 -127.587 -3.33103 0 0 701300. 2426.64 0.35 0.05 0.13 -1 -1 0.35 0.0177756 0.0157005 138 91 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 5.16 vpr 63.85 MiB -1 -1 0.20 21480 1 0.04 -1 -1 33688 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65384 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 24.9 MiB 0.23 712 8656 2009 6156 491 63.9 MiB 0.07 0.00 3.3851 -102.924 -3.3851 3.3851 1.13 0.000188809 0.000148886 0.0121513 0.00977863 -1 -1 -1 -1 28 2051 39 6.64007e+06 213486 500653. 1732.36 1.04 0.0517484 0.0427169 21970 115934 -1 1784 17 1002 1552 122933 29414 2.93417 2.93417 -107.966 -2.93417 0 0 612192. 2118.31 0.33 0.05 0.12 -1 -1 0.33 0.0142102 0.0124128 104 57 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.97 vpr 63.70 MiB -1 -1 0.20 21616 1 0.04 -1 -1 33804 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65232 32 32 290 244 1 175 85 17 17 289 -1 unnamed_device 25.1 MiB 0.31 948 9385 2467 6027 891 63.7 MiB 0.08 0.00 4.44818 -138.832 -4.44818 4.44818 1.00 0.000204311 0.000163276 0.0113835 0.00918296 -1 -1 -1 -1 32 2287 21 6.64007e+06 263718 554710. 1919.41 0.98 0.0469897 0.0391875 22834 132086 -1 2029 21 1119 1638 128993 28031 3.39203 3.39203 -129.192 -3.39203 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0144236 0.0125839 117 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 5.23 vpr 63.98 MiB -1 -1 0.31 21496 1 0.04 -1 -1 33964 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65520 32 32 318 257 1 194 87 17 17 289 -1 unnamed_device 25.0 MiB 0.34 1058 7767 1828 5291 648 64.0 MiB 0.07 0.00 4.73583 -140.794 -4.73583 4.73583 0.99 0.000204029 0.000162164 0.0108528 0.00894485 -1 -1 -1 -1 32 2491 22 6.64007e+06 288834 554710. 1919.41 1.09 0.0519949 0.0441782 22834 132086 -1 2097 21 1513 2026 141902 33771 3.92523 3.92523 -134.778 -3.92523 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0153113 0.0134877 130 30 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.82 vpr 63.94 MiB -1 -1 0.19 21424 1 0.04 -1 -1 33912 -1 -1 29 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65476 29 32 324 268 1 168 90 17 17 289 -1 unnamed_device 25.1 MiB 0.23 961 12753 3692 7785 1276 63.9 MiB 0.10 0.00 4.75755 -125.045 -4.75755 4.75755 1.02 0.000207631 0.000166508 0.0160739 0.0132038 -1 -1 -1 -1 32 1971 18 6.64007e+06 364182 554710. 1919.41 0.95 0.0562672 0.047464 22834 132086 -1 1845 19 807 1354 87570 20360 3.28883 3.28883 -110.424 -3.28883 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0167016 0.0149137 122 55 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 6.24 vpr 64.20 MiB -1 -1 0.20 21592 1 0.04 -1 -1 34104 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65740 32 32 393 312 1 213 88 17 17 289 -1 unnamed_device 25.2 MiB 0.43 846 9643 2135 6651 857 64.2 MiB 0.10 0.00 5.52409 -167.953 -5.52409 5.52409 1.02 0.000238583 0.000188463 0.0151312 0.0123654 -1 -1 -1 -1 34 2787 38 6.64007e+06 301392 585099. 2024.56 2.18 0.118619 0.100601 23122 138558 -1 1936 24 1573 2308 150807 38792 4.46809 4.46809 -156.232 -4.46809 0 0 742403. 2568.87 0.30 0.06 0.13 -1 -1 0.30 0.0185594 0.0162769 154 65 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 5.01 vpr 63.35 MiB -1 -1 0.27 21184 1 0.05 -1 -1 33660 -1 -1 18 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64872 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 24.9 MiB 0.18 599 7956 1801 5879 276 63.4 MiB 0.06 0.00 3.65226 -97.6941 -3.65226 3.65226 0.97 0.000190565 0.000150085 0.00942531 0.00774206 -1 -1 -1 -1 32 1638 19 6.64007e+06 226044 554710. 1919.41 0.96 0.0388896 0.0328137 22834 132086 -1 1460 18 787 1272 92647 21532 2.73697 2.73697 -94.3223 -2.73697 0 0 701300. 2426.64 0.36 0.04 0.14 -1 -1 0.36 0.0118549 0.0104435 96 4 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 5.43 vpr 64.33 MiB -1 -1 0.24 21600 1 0.04 -1 -1 33936 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65876 32 32 412 334 1 190 98 17 17 289 -1 unnamed_device 25.3 MiB 0.22 954 8873 1826 6622 425 64.3 MiB 0.08 0.00 4.24713 -140.193 -4.24713 4.24713 1.05 0.00023769 0.000187586 0.0117671 0.00955573 -1 -1 -1 -1 28 2572 31 6.64007e+06 426972 500653. 1732.36 1.22 0.0680236 0.0576681 21970 115934 -1 2175 22 1537 2339 173372 39376 3.93503 3.93503 -141.075 -3.93503 0 0 612192. 2118.31 0.36 0.08 0.15 -1 -1 0.36 0.0222961 0.0195268 145 90 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 5.14 vpr 63.83 MiB -1 -1 0.22 21560 1 0.04 -1 -1 33952 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65360 32 32 376 318 1 155 81 17 17 289 -1 unnamed_device 25.0 MiB 0.33 874 12856 4533 6666 1657 63.8 MiB 0.09 0.00 3.54047 -123.335 -3.54047 3.54047 1.01 0.000213253 0.000167159 0.0183628 0.0147903 -1 -1 -1 -1 32 1825 21 6.64007e+06 213486 554710. 1919.41 0.96 0.0594558 0.0495771 22834 132086 -1 1655 20 1321 1938 116061 28088 3.01617 3.01617 -121.412 -3.01617 0 0 701300. 2426.64 0.29 0.06 0.14 -1 -1 0.29 0.0182957 0.0161487 114 96 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 5.36 vpr 63.93 MiB -1 -1 0.33 21500 1 0.06 -1 -1 33864 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65460 32 32 360 293 1 179 96 17 17 289 -1 unnamed_device 25.1 MiB 0.20 1033 11265 2868 7471 926 63.9 MiB 0.10 0.00 4.43584 -135.56 -4.43584 4.43584 0.92 0.0002199 0.00017203 0.014604 0.0118784 -1 -1 -1 -1 28 2229 14 6.64007e+06 401856 500653. 1732.36 1.10 0.0595779 0.0506728 21970 115934 -1 2115 16 925 1438 98496 22347 3.21363 3.21363 -121.41 -3.21363 0 0 612192. 2118.31 0.28 0.05 0.11 -1 -1 0.28 0.0157475 0.0141297 131 60 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 6.94 vpr 64.02 MiB -1 -1 0.34 21944 1 0.04 -1 -1 33896 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65556 32 32 396 299 1 236 91 17 17 289 -1 unnamed_device 25.6 MiB 0.75 1323 13963 4525 7204 2234 64.0 MiB 0.16 0.00 6.49387 -193.63 -6.49387 6.49387 1.34 0.000331439 0.000275352 0.0261285 0.0219094 -1 -1 -1 -1 30 3412 26 6.64007e+06 339066 526063. 1820.29 1.71 0.102584 0.0887611 22546 126617 -1 2684 24 1644 2427 183612 38569 5.12274 5.12274 -170.078 -5.12274 0 0 666494. 2306.21 0.35 0.09 0.12 -1 -1 0.35 0.0261895 0.0229144 170 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 5.43 vpr 63.61 MiB -1 -1 0.21 21240 1 0.04 -1 -1 33836 -1 -1 18 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65132 30 32 224 207 1 137 80 17 17 289 -1 unnamed_device 25.1 MiB 0.26 724 10744 2740 6987 1017 63.6 MiB 0.06 0.00 3.31307 -103.05 -3.31307 3.31307 1.04 0.000147902 0.000116257 0.0110141 0.00883102 -1 -1 -1 -1 32 1572 19 6.64007e+06 226044 554710. 1919.41 0.97 0.0409312 0.0340667 22834 132086 -1 1483 13 658 840 62111 14307 2.32491 2.32491 -94.088 -2.32491 0 0 701300. 2426.64 0.34 0.03 0.14 -1 -1 0.34 0.00919577 0.00818263 87 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.91 vpr 63.53 MiB -1 -1 0.33 21556 1 0.04 -1 -1 33684 -1 -1 16 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65052 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 24.8 MiB 0.11 653 10370 2942 6125 1303 63.5 MiB 0.07 0.00 4.12598 -117.274 -4.12598 4.12598 0.99 0.000203431 0.000163226 0.0139423 0.0113538 -1 -1 -1 -1 26 1686 20 6.64007e+06 200928 477104. 1650.88 0.98 0.0549514 0.0463637 21682 110474 -1 1476 18 837 1320 110296 24299 2.92297 2.92297 -108.566 -2.92297 0 0 585099. 2024.56 0.25 0.04 0.11 -1 -1 0.25 0.012556 0.0111293 92 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 5.01 vpr 62.90 MiB -1 -1 0.24 21556 1 0.03 -1 -1 33696 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64408 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 24.4 MiB 0.12 882 10687 2740 7287 660 62.9 MiB 0.08 0.00 3.50309 -113.66 -3.50309 3.50309 1.06 0.000193155 0.000153084 0.0131685 0.0106646 -1 -1 -1 -1 32 1995 21 6.64007e+06 263718 554710. 1919.41 1.00 0.0523799 0.0439953 22834 132086 -1 1900 18 1046 1918 134410 29742 2.72357 2.72357 -108.004 -2.72357 0 0 701300. 2426.64 0.32 0.05 0.13 -1 -1 0.32 0.0135881 0.0118835 115 34 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 5.06 vpr 63.26 MiB -1 -1 0.22 21412 1 0.06 -1 -1 33808 -1 -1 27 25 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64780 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 24.6 MiB 0.10 444 9966 3251 4492 2223 63.3 MiB 0.05 0.00 3.40927 -77.6354 -3.40927 3.40927 1.04 0.000140809 0.000110927 0.00964516 0.00780802 -1 -1 -1 -1 28 1484 27 6.64007e+06 339066 500653. 1732.36 1.12 0.0428725 0.0360897 21970 115934 -1 1248 20 772 1308 108617 28461 2.96837 2.96837 -79.7493 -2.96837 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0104154 0.00922943 89 29 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 5.23 vpr 64.00 MiB -1 -1 0.22 21388 1 0.04 -1 -1 33808 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65532 32 32 376 307 1 185 85 17 17 289 -1 unnamed_device 25.2 MiB 0.36 946 11431 2748 6950 1733 64.0 MiB 0.09 0.00 4.37233 -131.494 -4.37233 4.37233 1.00 0.000263354 0.000214285 0.0168416 0.0137292 -1 -1 -1 -1 32 2550 23 6.64007e+06 263718 554710. 1919.41 1.01 0.0655731 0.0552376 22834 132086 -1 2069 20 1308 2361 139562 33439 3.60963 3.60963 -126.176 -3.60963 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.018475 0.0160623 136 72 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 5.01 vpr 64.22 MiB -1 -1 0.22 21844 1 0.06 -1 -1 34132 -1 -1 35 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65764 31 32 409 331 1 191 98 17 17 289 -1 unnamed_device 25.3 MiB 0.24 961 9998 2423 6940 635 64.2 MiB 0.09 0.00 4.49598 -142.588 -4.49598 4.49598 0.95 0.000241488 0.000191424 0.0138518 0.0113675 -1 -1 -1 -1 32 2313 19 6.64007e+06 439530 554710. 1919.41 0.95 0.0547129 0.0455445 22834 132086 -1 1958 17 1274 1962 116698 27985 3.33083 3.33083 -125.991 -3.33083 0 0 701300. 2426.64 0.34 0.05 0.16 -1 -1 0.34 0.0161459 0.0142364 143 90 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 5.29 vpr 64.05 MiB -1 -1 0.23 21492 1 0.06 -1 -1 33880 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65588 32 32 354 285 1 202 94 17 17 289 -1 unnamed_device 25.2 MiB 0.57 1143 17347 5477 9563 2307 64.1 MiB 0.14 0.00 5.20258 -155.488 -5.20258 5.20258 0.96 0.000274734 0.000221509 0.0207637 0.0168695 -1 -1 -1 -1 28 2696 21 6.65987e+06 380340 500653. 1732.36 1.00 0.0626627 0.0526058 21970 115934 -1 2338 20 1526 2351 162828 36705 4.16677 4.16677 -147.593 -4.16677 0 0 612192. 2118.31 0.31 0.06 0.11 -1 -1 0.31 0.0170185 0.0151521 152 50 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 5.43 vpr 64.19 MiB -1 -1 0.27 21612 1 0.06 -1 -1 33908 -1 -1 24 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65728 30 32 363 293 1 196 86 17 17 289 -1 unnamed_device 25.3 MiB 0.41 826 5567 1093 3716 758 64.2 MiB 0.05 0.00 4.85795 -137.996 -4.85795 4.85795 1.02 0.00021593 0.000170161 0.00936925 0.00782824 -1 -1 -1 -1 32 2525 24 6.65987e+06 304272 554710. 1919.41 1.02 0.0543588 0.0459697 22834 132086 -1 2014 20 1764 2669 182543 47594 4.14603 4.14603 -135.897 -4.14603 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0169299 0.0150218 140 63 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 5.45 vpr 63.50 MiB -1 -1 0.21 21712 1 0.04 -1 -1 34132 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65028 32 32 299 247 1 188 87 17 17 289 -1 unnamed_device 24.8 MiB 0.28 1079 15639 5051 8379 2209 63.5 MiB 0.11 0.00 4.11181 -120.963 -4.11181 4.11181 1.14 0.000189987 0.000150018 0.0185659 0.0150576 -1 -1 -1 -1 32 2479 22 6.65987e+06 291594 554710. 1919.41 1.14 0.0744293 0.0627948 22834 132086 -1 2143 21 1316 1863 128998 30148 3.48731 3.48731 -116.645 -3.48731 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0139163 0.0123224 126 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 5.42 vpr 63.67 MiB -1 -1 0.26 21548 1 0.04 -1 -1 33992 -1 -1 27 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65196 29 32 308 248 1 169 88 17 17 289 -1 unnamed_device 25.0 MiB 0.21 937 15298 4951 7764 2583 63.7 MiB 0.12 0.00 4.29337 -115.569 -4.29337 4.29337 1.03 0.00019685 0.000155796 0.020236 0.016536 -1 -1 -1 -1 32 2306 30 6.65987e+06 342306 554710. 1919.41 1.05 0.066181 0.0558676 22834 132086 -1 1985 25 1504 2799 219919 50220 3.42411 3.42411 -111.097 -3.42411 0 0 701300. 2426.64 0.42 0.07 0.13 -1 -1 0.42 0.0157669 0.0138077 126 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 5.57 vpr 63.96 MiB -1 -1 0.20 21524 1 0.05 -1 -1 33920 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65496 32 32 336 268 1 174 87 17 17 289 -1 unnamed_device 25.3 MiB 0.21 1058 13911 3755 8078 2078 64.0 MiB 0.13 0.00 4.32255 -126.417 -4.32255 4.32255 1.04 0.000210081 0.000166405 0.0204754 0.0167115 -1 -1 -1 -1 32 2541 47 6.65987e+06 291594 554710. 1919.41 1.40 0.0922247 0.0790323 22834 132086 -1 2322 23 1597 3125 272365 58938 3.64831 3.64831 -124.147 -3.64831 0 0 701300. 2426.64 0.35 0.09 0.13 -1 -1 0.35 0.0212871 0.018745 130 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 5.47 vpr 63.91 MiB -1 -1 0.31 21560 1 0.04 -1 -1 34076 -1 -1 33 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 32 32 366 295 1 189 97 17 17 289 -1 unnamed_device 25.0 MiB 0.33 858 7201 1481 5496 224 63.9 MiB 0.08 0.00 3.30984 -111.675 -3.30984 3.30984 1.06 0.000223118 0.000177228 0.0107146 0.0089289 -1 -1 -1 -1 28 2339 24 6.65987e+06 418374 500653. 1732.36 1.22 0.0591409 0.0501912 21970 115934 -1 2009 20 1311 2041 126370 33362 3.01731 3.01731 -111.009 -3.01731 0 0 612192. 2118.31 0.28 0.06 0.12 -1 -1 0.28 0.0174678 0.0151561 141 58 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.87 vpr 63.41 MiB -1 -1 0.21 21468 1 0.04 -1 -1 34072 -1 -1 18 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64932 27 32 259 221 1 130 77 17 17 289 -1 unnamed_device 24.8 MiB 0.16 601 11976 3191 7496 1289 63.4 MiB 0.09 0.00 3.61795 -96.0414 -3.61795 3.61795 1.09 0.000190844 0.000151325 0.0183522 0.0149355 -1 -1 -1 -1 28 1542 20 6.65987e+06 228204 500653. 1732.36 0.96 0.0564805 0.0476777 21970 115934 -1 1408 20 793 1327 101908 22870 2.80071 2.80071 -94.1372 -2.80071 0 0 612192. 2118.31 0.31 0.05 0.12 -1 -1 0.31 0.014874 0.0130699 94 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 5.12 vpr 63.51 MiB -1 -1 0.21 21384 1 0.04 -1 -1 33700 -1 -1 31 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65032 31 32 271 219 1 162 94 17 17 289 -1 unnamed_device 24.9 MiB 0.19 811 9892 2186 7284 422 63.5 MiB 0.07 0.00 3.36433 -96.8901 -3.36433 3.36433 0.94 0.000201284 0.000160345 0.0108992 0.00878783 -1 -1 -1 -1 28 2347 24 6.65987e+06 393018 500653. 1732.36 1.38 0.057181 0.0486882 21970 115934 -1 1905 19 1011 1801 131698 30370 2.71485 2.71485 -95.6397 -2.71485 0 0 612192. 2118.31 0.26 0.05 0.10 -1 -1 0.26 0.0128759 0.0113856 115 4 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 5.30 vpr 63.75 MiB -1 -1 0.35 21472 1 0.05 -1 -1 33836 -1 -1 19 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65276 31 32 317 271 1 169 82 17 17 289 -1 unnamed_device 24.9 MiB 0.29 927 8804 2219 5962 623 63.7 MiB 0.07 0.00 3.4209 -115.766 -3.4209 3.4209 1.00 0.000214778 0.000172015 0.0123141 0.0100703 -1 -1 -1 -1 30 1946 21 6.65987e+06 240882 526063. 1820.29 1.00 0.0534342 0.0450337 22546 126617 -1 1679 22 876 1290 75993 17565 2.91031 2.91031 -109.66 -2.91031 0 0 666494. 2306.21 0.36 0.05 0.18 -1 -1 0.36 0.0170021 0.0147864 112 64 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.97 vpr 63.37 MiB -1 -1 0.19 21528 1 0.04 -1 -1 33820 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64892 32 32 298 248 1 156 81 17 17 289 -1 unnamed_device 24.9 MiB 0.42 719 10056 2390 7132 534 63.4 MiB 0.08 0.00 3.74029 -120.95 -3.74029 3.74029 0.94 0.000201688 0.000160176 0.0136315 0.0110771 -1 -1 -1 -1 28 2074 23 6.65987e+06 215526 500653. 1732.36 1.08 0.0640771 0.0541029 21970 115934 -1 1782 18 1142 1764 119209 29314 2.82871 2.82871 -113.841 -2.82871 0 0 612192. 2118.31 0.33 0.07 0.11 -1 -1 0.33 0.0185251 0.0165222 113 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 5.28 vpr 63.60 MiB -1 -1 0.32 21348 1 0.03 -1 -1 34136 -1 -1 17 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65124 30 32 303 262 1 139 79 17 17 289 -1 unnamed_device 24.9 MiB 0.37 575 5994 1238 4012 744 63.6 MiB 0.05 0.00 4.00989 -106.137 -4.00989 4.00989 1.03 0.00023233 0.000188038 0.00914136 0.00751987 -1 -1 -1 -1 32 1593 22 6.65987e+06 215526 554710. 1919.41 0.99 0.0500588 0.0421829 22834 132086 -1 1313 15 696 1067 62289 16243 2.70271 2.70271 -97.1718 -2.70271 0 0 701300. 2426.64 0.34 0.04 0.14 -1 -1 0.34 0.0128956 0.0114825 98 63 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 5.60 vpr 63.57 MiB -1 -1 0.20 21680 1 0.05 -1 -1 33864 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65092 32 32 276 237 1 166 81 17 17 289 -1 unnamed_device 25.0 MiB 0.33 795 6381 1346 4871 164 63.6 MiB 0.06 0.00 3.75729 -117.97 -3.75729 3.75729 1.01 0.000187526 0.000147758 0.00908277 0.00752482 -1 -1 -1 -1 28 2308 31 6.65987e+06 215526 500653. 1732.36 1.45 0.0735359 0.064043 21970 115934 -1 1893 16 1083 1444 121416 28692 2.92331 2.92331 -107.84 -2.92331 0 0 612192. 2118.31 0.31 0.05 0.21 -1 -1 0.31 0.0120498 0.0107571 106 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 5.64 vpr 64.14 MiB -1 -1 0.21 21512 1 0.05 -1 -1 33976 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65680 32 32 344 272 1 202 88 17 17 289 -1 unnamed_device 25.2 MiB 0.50 1032 9253 2342 6405 506 64.1 MiB 0.09 0.00 4.35378 -139.852 -4.35378 4.35378 0.98 0.000223018 0.000177097 0.0139518 0.0115179 -1 -1 -1 -1 32 2591 17 6.65987e+06 304272 554710. 1919.41 1.18 0.0658643 0.0565817 22834 132086 -1 2306 24 1781 2644 208357 48038 3.49111 3.49111 -130.916 -3.49111 0 0 701300. 2426.64 0.43 0.08 0.15 -1 -1 0.43 0.0206307 0.0181532 139 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 5.19 vpr 64.05 MiB -1 -1 0.21 21536 1 0.04 -1 -1 34092 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65588 32 32 363 295 1 181 94 17 17 289 -1 unnamed_device 25.2 MiB 0.28 904 11170 2626 8078 466 64.1 MiB 0.09 0.00 4.4708 -131.273 -4.4708 4.4708 1.04 0.000219459 0.000173615 0.014438 0.0117766 -1 -1 -1 -1 28 2436 21 6.65987e+06 380340 500653. 1732.36 1.11 0.0637349 0.0540783 21970 115934 -1 2247 23 1751 2879 209966 48329 3.76071 3.76071 -132.119 -3.76071 0 0 612192. 2118.31 0.28 0.08 0.12 -1 -1 0.28 0.019816 0.0175394 133 61 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 5.40 vpr 63.37 MiB -1 -1 0.27 21100 1 0.03 -1 -1 33528 -1 -1 21 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64888 29 32 248 215 1 137 82 17 17 289 -1 unnamed_device 24.8 MiB 0.37 775 11118 2644 7385 1089 63.4 MiB 0.07 0.00 3.16393 -91.7211 -3.16393 3.16393 1.00 0.000181808 0.000145431 0.012512 0.0101952 -1 -1 -1 -1 26 1874 19 6.65987e+06 266238 477104. 1650.88 1.04 0.0572575 0.0491675 21682 110474 -1 1569 19 924 1516 104371 25434 2.84691 2.84691 -93.5767 -2.84691 0 0 585099. 2024.56 0.29 0.05 0.11 -1 -1 0.29 0.0145335 0.012816 98 27 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 5.78 vpr 63.87 MiB -1 -1 0.24 21272 1 0.04 -1 -1 34148 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65404 32 32 370 297 1 183 85 17 17 289 -1 unnamed_device 25.1 MiB 0.59 1049 12733 3859 6982 1892 63.9 MiB 0.11 0.00 4.04739 -126.772 -4.04739 4.04739 1.05 0.000236508 0.000188286 0.0200991 0.0164663 -1 -1 -1 -1 32 2511 22 6.65987e+06 266238 554710. 1919.41 1.43 0.0852414 0.0732998 22834 132086 -1 2238 23 1445 2718 191051 43605 3.35377 3.35377 -121.168 -3.35377 0 0 701300. 2426.64 0.33 0.07 0.13 -1 -1 0.33 0.0198299 0.0174322 132 58 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 5.50 vpr 63.91 MiB -1 -1 0.21 21504 1 0.04 -1 -1 33684 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65448 32 32 338 269 1 196 85 17 17 289 -1 unnamed_device 25.1 MiB 0.40 1072 15523 5016 8339 2168 63.9 MiB 0.12 0.00 4.31458 -139.763 -4.31458 4.31458 0.91 0.000213337 0.000169806 0.0220797 0.01809 -1 -1 -1 -1 28 2915 21 6.65987e+06 266238 500653. 1732.36 1.25 0.0794588 0.0684275 21970 115934 -1 2316 19 1401 1989 160493 35788 3.26677 3.26677 -127.853 -3.26677 0 0 612192. 2118.31 0.33 0.07 0.15 -1 -1 0.33 0.0193526 0.0173998 137 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 5.20 vpr 63.85 MiB -1 -1 0.22 21428 1 0.04 -1 -1 33612 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65380 32 32 323 276 1 153 93 17 17 289 -1 unnamed_device 25.0 MiB 0.28 861 11433 2956 7633 844 63.8 MiB 0.08 0.00 2.85064 -102.994 -2.85064 2.85064 0.93 0.000233087 0.000188016 0.0140473 0.0114104 -1 -1 -1 -1 26 2153 22 6.65987e+06 367662 477104. 1650.88 1.11 0.0647541 0.0554807 21682 110474 -1 1816 19 977 1497 110865 25449 2.14751 2.14751 -97.7734 -2.14751 0 0 585099. 2024.56 0.32 0.05 0.13 -1 -1 0.32 0.0170404 0.0151823 110 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 4.52 vpr 63.00 MiB -1 -1 0.19 21216 1 0.04 -1 -1 33620 -1 -1 15 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64512 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 24.4 MiB 0.11 640 7086 1644 4840 602 63.0 MiB 0.04 0.00 2.24807 -77.7472 -2.24807 2.24807 0.90 0.000146079 0.000114661 0.00814634 0.0066777 -1 -1 -1 -1 32 1491 20 6.65987e+06 190170 554710. 1919.41 0.90 0.0334735 0.0280832 22834 132086 -1 1296 14 579 811 59607 14185 1.89185 1.89185 -79.7993 -1.89185 0 0 701300. 2426.64 0.38 0.05 0.14 -1 -1 0.38 0.0137347 0.0123977 81 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 5.64 vpr 63.55 MiB -1 -1 0.19 21416 1 0.04 -1 -1 33956 -1 -1 19 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65080 31 32 291 243 1 171 82 17 17 289 -1 unnamed_device 25.0 MiB 0.74 819 13254 3680 8313 1261 63.6 MiB 0.09 0.00 4.81074 -140.485 -4.81074 4.81074 0.98 0.000182772 0.000143938 0.0156644 0.0126589 -1 -1 -1 -1 28 2127 22 6.65987e+06 240882 500653. 1732.36 0.98 0.0553489 0.0466088 21970 115934 -1 1797 18 1099 1584 108008 26189 3.47917 3.47917 -126.534 -3.47917 0 0 612192. 2118.31 0.41 0.06 0.14 -1 -1 0.41 0.0166378 0.0149701 127 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 5.75 vpr 63.93 MiB -1 -1 0.19 21608 1 0.04 -1 -1 33928 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65468 32 32 342 271 1 179 95 17 17 289 -1 unnamed_device 25.1 MiB 0.32 945 6791 1317 5158 316 63.9 MiB 0.07 0.00 4.14893 -130.493 -4.14893 4.14893 1.03 0.000229934 0.000183929 0.0102179 0.00839391 -1 -1 -1 -1 30 2169 21 6.65987e+06 393018 526063. 1820.29 0.99 0.0537387 0.0454371 22546 126617 -1 1899 17 974 1626 91978 21788 3.32623 3.32623 -121.056 -3.32623 0 0 666494. 2306.21 0.36 0.05 0.14 -1 -1 0.36 0.0183332 0.0165565 135 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 5.83 vpr 64.18 MiB -1 -1 0.28 21660 1 0.04 -1 -1 33952 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65724 32 32 372 300 1 204 87 17 17 289 -1 unnamed_device 25.2 MiB 0.45 1176 13911 3816 8065 2030 64.2 MiB 0.12 0.00 4.32644 -135.935 -4.32644 4.32644 0.97 0.000222889 0.000175002 0.0192369 0.0154748 -1 -1 -1 -1 28 3219 21 6.65987e+06 291594 500653. 1732.36 1.69 0.0731442 0.0615214 21970 115934 -1 2732 29 1773 2745 359148 134849 3.93331 3.93331 -139.547 -3.93331 0 0 612192. 2118.31 0.28 0.12 0.11 -1 -1 0.28 0.0243917 0.0212008 142 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 5.26 vpr 62.88 MiB -1 -1 0.22 21252 1 0.05 -1 -1 34152 -1 -1 18 26 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64388 26 32 190 182 1 110 76 17 17 289 -1 unnamed_device 24.4 MiB 0.32 372 9836 3070 4693 2073 62.9 MiB 0.04 0.00 2.3895 -62.8108 -2.3895 2.3895 1.02 0.000130123 9.9219e-05 0.00953184 0.00773235 -1 -1 -1 -1 32 1048 32 6.65987e+06 228204 554710. 1919.41 1.10 0.0423533 0.0358409 22834 132086 -1 748 15 526 715 37159 11103 1.85405 1.85405 -60.2178 -1.85405 0 0 701300. 2426.64 0.36 0.03 0.13 -1 -1 0.36 0.00864527 0.00780219 77 30 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 5.23 vpr 63.53 MiB -1 -1 0.20 21316 1 0.06 -1 -1 33824 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65056 32 32 285 227 1 165 85 17 17 289 -1 unnamed_device 25.0 MiB 0.26 1008 10873 2978 7123 772 63.5 MiB 0.08 0.00 4.661 -123.259 -4.661 4.661 1.08 0.000200696 0.000161014 0.0134188 0.0110138 -1 -1 -1 -1 28 2311 31 6.65987e+06 266238 500653. 1732.36 1.01 0.0547584 0.0463224 21970 115934 -1 2059 21 1264 2355 164435 38396 3.79397 3.79397 -121.606 -3.79397 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0142969 0.0126592 118 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.86 vpr 62.88 MiB -1 -1 0.17 21108 1 0.04 -1 -1 33504 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64384 32 32 173 169 1 116 78 17 17 289 -1 unnamed_device 24.4 MiB 0.10 415 10370 2863 4808 2699 62.9 MiB 0.05 0.00 2.54569 -72.1104 -2.54569 2.54569 1.01 0.000130829 0.000101365 0.0105454 0.00855625 -1 -1 -1 -1 30 1192 29 6.65987e+06 177492 526063. 1820.29 0.97 0.0395417 0.0332815 22546 126617 -1 819 15 404 445 27960 7884 1.81985 1.81985 -66.7912 -1.81985 0 0 666494. 2306.21 0.30 0.03 0.12 -1 -1 0.30 0.00871213 0.00788207 79 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 5.21 vpr 63.51 MiB -1 -1 0.19 21476 1 0.03 -1 -1 33812 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65036 32 32 300 245 1 165 94 17 17 289 -1 unnamed_device 24.9 MiB 0.18 867 9679 2178 7022 479 63.5 MiB 0.08 0.00 4.41865 -121.229 -4.41865 4.41865 0.95 0.000263056 0.000215728 0.0120938 0.00982149 -1 -1 -1 -1 28 2090 22 6.65987e+06 380340 500653. 1732.36 1.41 0.079142 0.0692696 21970 115934 -1 1804 18 1065 1787 114117 27349 3.19965 3.19965 -107.663 -3.19965 0 0 612192. 2118.31 0.28 0.05 0.16 -1 -1 0.28 0.0147574 0.0130306 123 24 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.93 vpr 63.55 MiB -1 -1 0.22 21536 1 0.05 -1 -1 33940 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65072 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.0 MiB 0.20 1032 8303 1834 5800 669 63.5 MiB 0.12 0.00 3.62555 -107.534 -3.62555 3.62555 0.97 0.000545184 0.000445061 0.0187074 0.0156264 -1 -1 -1 -1 30 2260 22 6.65987e+06 393018 526063. 1820.29 0.98 0.0575672 0.0486652 22546 126617 -1 1950 19 961 1748 104695 23724 2.60951 2.60951 -101.656 -2.60951 0 0 666494. 2306.21 0.30 0.05 0.14 -1 -1 0.30 0.0144722 0.0127854 128 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 5.09 vpr 63.92 MiB -1 -1 0.21 21484 1 0.03 -1 -1 33864 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65456 32 32 338 277 1 179 90 17 17 289 -1 unnamed_device 24.8 MiB 0.29 1026 15366 4954 8031 2381 63.9 MiB 0.14 0.00 4.40163 -128.768 -4.40163 4.40163 1.03 0.000263784 0.000190982 0.0218191 0.017596 -1 -1 -1 -1 28 2536 22 6.65987e+06 329628 500653. 1732.36 1.17 0.0701533 0.0586005 21970 115934 -1 2239 29 1748 3059 300303 101990 3.99999 3.99999 -130.531 -3.99999 0 0 612192. 2118.31 0.28 0.10 0.12 -1 -1 0.28 0.0213118 0.0184515 125 50 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 5.53 vpr 63.36 MiB -1 -1 0.18 21580 1 0.04 -1 -1 33784 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64880 32 32 284 241 1 145 80 17 17 289 -1 unnamed_device 24.7 MiB 0.17 743 11260 3712 5303 2245 63.4 MiB 0.08 0.00 2.93487 -98.1536 -2.93487 2.93487 1.18 0.000188792 0.000149802 0.0152322 0.012446 -1 -1 -1 -1 32 1913 21 6.65987e+06 202848 554710. 1919.41 1.06 0.0516998 0.0435992 22834 132086 -1 1645 17 907 1417 116532 28198 2.87311 2.87311 -105.642 -2.87311 0 0 701300. 2426.64 0.30 0.04 0.16 -1 -1 0.30 0.0117534 0.0104467 101 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.83 vpr 63.41 MiB -1 -1 0.22 21448 1 0.04 -1 -1 33684 -1 -1 23 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64932 30 32 262 227 1 135 85 17 17 289 -1 unnamed_device 24.7 MiB 0.14 765 12733 3276 8152 1305 63.4 MiB 0.07 0.00 2.99867 -95.3722 -2.99867 2.99867 1.04 0.00016599 0.00013095 0.013425 0.0108516 -1 -1 -1 -1 32 1733 17 6.65987e+06 291594 554710. 1919.41 1.01 0.045989 0.0387781 22834 132086 -1 1567 19 957 1467 111882 25763 2.66145 2.66145 -94.3977 -2.66145 0 0 701300. 2426.64 0.30 0.05 0.14 -1 -1 0.30 0.0130256 0.0115622 97 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.70 vpr 63.15 MiB -1 -1 0.22 21624 1 0.04 -1 -1 33856 -1 -1 23 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64668 28 32 260 223 1 140 83 17 17 289 -1 unnamed_device 24.5 MiB 0.15 635 14123 3811 8579 1733 63.2 MiB 0.09 0.00 3.31478 -92.4847 -3.31478 3.31478 0.99 0.00018014 0.000143849 0.0166834 0.0135061 -1 -1 -1 -1 28 1810 27 6.65987e+06 291594 500653. 1732.36 0.92 0.0506914 0.0419816 21970 115934 -1 1551 19 950 1652 120534 27810 2.73271 2.73271 -92.4594 -2.73271 0 0 612192. 2118.31 0.31 0.07 0.11 -1 -1 0.31 0.0181138 0.0159989 98 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.86 vpr 63.42 MiB -1 -1 0.30 21160 1 0.04 -1 -1 33892 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64940 32 32 253 210 1 154 83 17 17 289 -1 unnamed_device 24.7 MiB 0.17 727 6383 1361 4583 439 63.4 MiB 0.05 0.00 3.74563 -110.014 -3.74563 3.74563 0.98 0.000185158 0.000147915 0.00854162 0.00685416 -1 -1 -1 -1 32 1960 23 6.65987e+06 240882 554710. 1919.41 0.99 0.0446567 0.0374986 22834 132086 -1 1796 20 1192 1921 150188 35568 2.96611 2.96611 -110.14 -2.96611 0 0 701300. 2426.64 0.32 0.05 0.16 -1 -1 0.32 0.0133883 0.0118285 110 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 5.47 vpr 63.25 MiB -1 -1 0.19 21432 1 0.05 -1 -1 34076 -1 -1 27 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64768 31 32 271 231 1 148 90 17 17 289 -1 unnamed_device 24.6 MiB 0.14 616 5517 989 3982 546 63.2 MiB 0.05 0.00 3.36515 -97.3921 -3.36515 3.36515 1.18 0.000188438 0.000149843 0.00748619 0.00626029 -1 -1 -1 -1 28 1967 34 6.65987e+06 342306 500653. 1732.36 1.29 0.0740593 0.0649757 21970 115934 -1 1505 20 992 1649 104792 27138 2.58045 2.58045 -96.2333 -2.58045 0 0 612192. 2118.31 0.32 0.05 0.12 -1 -1 0.32 0.0139329 0.0123381 103 30 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.96 vpr 63.40 MiB -1 -1 0.26 21420 1 0.04 -1 -1 33824 -1 -1 25 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64920 29 32 291 250 1 153 86 17 17 289 -1 unnamed_device 24.9 MiB 0.32 865 14450 4409 7950 2091 63.4 MiB 0.10 0.00 3.27578 -105.17 -3.27578 3.27578 1.02 0.00023531 0.00017796 0.0169217 0.0136787 -1 -1 -1 -1 28 1896 21 6.65987e+06 316950 500653. 1732.36 0.94 0.0495404 0.0412537 21970 115934 -1 1678 20 1051 1598 111541 25786 2.24065 2.24065 -91.6407 -2.24065 0 0 612192. 2118.31 0.30 0.04 0.12 -1 -1 0.30 0.0133686 0.0117987 105 54 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 5.33 vpr 63.97 MiB -1 -1 0.21 21332 1 0.05 -1 -1 34124 -1 -1 37 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65504 32 32 367 282 1 201 101 17 17 289 -1 unnamed_device 25.1 MiB 0.43 1216 16551 4115 10400 2036 64.0 MiB 0.13 0.00 3.87192 -114.947 -3.87192 3.87192 1.02 0.000236832 0.000189906 0.0195282 0.0159844 -1 -1 -1 -1 32 2878 21 6.65987e+06 469086 554710. 1919.41 0.99 0.0642627 0.0539004 22834 132086 -1 2430 20 1422 2539 179933 40402 3.68939 3.68939 -116.203 -3.68939 0 0 701300. 2426.64 0.35 0.07 0.15 -1 -1 0.35 0.0187286 0.0166673 150 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 6.04 vpr 63.86 MiB -1 -1 0.21 21536 1 0.04 -1 -1 33804 -1 -1 36 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65392 32 32 391 311 1 192 100 17 17 289 -1 unnamed_device 25.0 MiB 0.34 918 16804 4626 9521 2657 63.9 MiB 0.14 0.00 3.76954 -123.355 -3.76954 3.76954 1.19 0.000353965 0.000284297 0.0242504 0.0197085 -1 -1 -1 -1 26 2803 43 6.65987e+06 456408 477104. 1650.88 1.57 0.103704 0.0874397 21682 110474 -1 2202 22 1787 2772 200753 48070 3.09111 3.09111 -121.86 -3.09111 0 0 585099. 2024.56 0.32 0.07 0.12 -1 -1 0.32 0.0184838 0.0162755 146 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 5.42 vpr 62.53 MiB -1 -1 0.20 21396 1 0.04 -1 -1 33556 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64032 31 32 279 237 1 161 80 17 17 289 -1 unnamed_device 24.1 MiB 0.63 795 7304 1575 5379 350 62.5 MiB 0.06 0.00 4.09732 -119.878 -4.09732 4.09732 1.02 0.000176779 0.000139104 0.00970252 0.00795085 -1 -1 -1 -1 28 2295 28 6.65987e+06 215526 500653. 1732.36 1.18 0.050986 0.0435131 21970 115934 -1 1888 21 1171 1638 142248 31897 2.88337 2.88337 -109.949 -2.88337 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0131063 0.0115533 109 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 5.20 vpr 63.11 MiB -1 -1 0.22 21396 1 0.04 -1 -1 33880 -1 -1 24 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64624 31 32 370 297 1 186 87 17 17 289 -1 unnamed_device 24.2 MiB 0.42 944 8727 2152 5844 731 63.1 MiB 0.11 0.00 3.92632 -125.266 -3.92632 3.92632 1.02 0.000499625 0.000414567 0.0180208 0.0149472 -1 -1 -1 -1 32 2324 22 6.65987e+06 304272 554710. 1919.41 1.01 0.0579779 0.0487019 22834 132086 -1 2075 19 1422 2441 175051 40721 2.95717 2.95717 -112.585 -2.95717 0 0 701300. 2426.64 0.34 0.06 0.14 -1 -1 0.34 0.0159553 0.0141183 137 61 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 5.72 vpr 63.91 MiB -1 -1 0.27 21776 1 0.04 -1 -1 33736 -1 -1 27 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 31 32 377 302 1 233 90 17 17 289 -1 unnamed_device 25.5 MiB 0.62 1273 15165 4552 8275 2338 63.9 MiB 0.17 0.00 5.69001 -171.445 -5.69001 5.69001 1.01 0.000271144 0.000217418 0.028814 0.023982 -1 -1 -1 -1 32 3146 25 6.65987e+06 342306 554710. 1919.41 1.22 0.0871291 0.0740623 22834 132086 -1 2682 25 2424 3699 270469 60854 4.91423 4.91423 -167.458 -4.91423 0 0 701300. 2426.64 0.32 0.10 0.14 -1 -1 0.32 0.0238823 0.020945 170 64 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 6.69 vpr 64.18 MiB -1 -1 0.23 21580 1 0.06 -1 -1 33860 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65724 31 32 383 305 1 209 88 17 17 289 -1 unnamed_device 25.5 MiB 1.33 1100 16468 4969 9311 2188 64.2 MiB 0.14 0.00 4.92247 -149.927 -4.92247 4.92247 1.33 0.000239076 0.000190163 0.0266384 0.0218535 -1 -1 -1 -1 28 2844 20 6.65987e+06 316950 500653. 1732.36 1.18 0.0855625 0.072451 21970 115934 -1 2478 19 1689 2547 182737 41274 4.31102 4.31102 -150.151 -4.31102 0 0 612192. 2118.31 0.28 0.07 0.11 -1 -1 0.28 0.0198133 0.0178176 162 64 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 6.26 vpr 63.95 MiB -1 -1 0.20 21564 1 0.05 -1 -1 33892 -1 -1 29 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65480 31 32 352 285 1 184 92 17 17 289 -1 unnamed_device 25.1 MiB 0.55 1122 13754 3789 8484 1481 63.9 MiB 0.13 0.00 4.34966 -130.317 -4.34966 4.34966 0.99 0.00037749 0.000317338 0.0233402 0.0193844 -1 -1 -1 -1 28 2691 26 6.65987e+06 367662 500653. 1732.36 1.55 0.0905349 0.077959 21970 115934 -1 2330 25 1556 2709 313045 123001 3.08231 3.08231 -118.242 -3.08231 0 0 612192. 2118.31 0.30 0.14 0.12 -1 -1 0.30 0.0295598 0.026602 133 55 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 5.94 vpr 63.72 MiB -1 -1 0.31 21612 1 0.04 -1 -1 33920 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65252 32 32 291 242 1 179 86 17 17 289 -1 unnamed_device 25.1 MiB 0.29 922 8780 2172 6205 403 63.7 MiB 0.07 0.00 4.1266 -111.615 -4.1266 4.1266 1.05 0.000186119 0.000147103 0.0106879 0.0087129 -1 -1 -1 -1 26 2735 26 6.65987e+06 278916 477104. 1650.88 1.78 0.0605723 0.0514452 21682 110474 -1 2172 23 1525 2279 180283 42061 3.61865 3.61865 -116.354 -3.61865 0 0 585099. 2024.56 0.29 0.08 0.11 -1 -1 0.29 0.0218666 0.0195236 118 27 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 5.94 vpr 64.18 MiB -1 -1 0.25 21800 1 0.06 -1 -1 34148 -1 -1 38 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65720 32 32 457 356 1 223 102 17 17 289 -1 unnamed_device 25.8 MiB 0.46 1225 11764 2792 7889 1083 64.2 MiB 0.11 0.00 4.86514 -158.575 -4.86514 4.86514 1.22 0.000285867 0.000229446 0.0163593 0.0133778 -1 -1 -1 -1 28 3027 30 6.65987e+06 481764 500653. 1732.36 1.36 0.0918822 0.078505 21970 115934 -1 2643 23 1724 2712 187683 42451 3.79291 3.79291 -146.352 -3.79291 0 0 612192. 2118.31 0.29 0.08 0.12 -1 -1 0.29 0.0240298 0.0213049 172 87 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.83 vpr 63.30 MiB -1 -1 0.30 21576 1 0.03 -1 -1 33508 -1 -1 21 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64816 31 32 261 225 1 142 84 17 17 289 -1 unnamed_device 24.7 MiB 0.27 792 5391 1113 3866 412 63.3 MiB 0.05 0.00 3.45892 -98.948 -3.45892 3.45892 0.99 0.000190241 0.00015215 0.00794021 0.00656053 -1 -1 -1 -1 30 1740 20 6.65987e+06 266238 526063. 1820.29 0.93 0.0419392 0.0352388 22546 126617 -1 1534 20 903 1518 93928 21198 2.63765 2.63765 -97.0397 -2.63765 0 0 666494. 2306.21 0.32 0.04 0.12 -1 -1 0.32 0.0129484 0.0112974 101 28 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 5.81 vpr 63.94 MiB -1 -1 0.25 21628 1 0.05 -1 -1 33988 -1 -1 23 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65472 31 32 337 267 1 205 86 17 17 289 -1 unnamed_device 25.1 MiB 0.37 1177 11804 3160 7260 1384 63.9 MiB 0.15 0.00 4.89669 -145.469 -4.89669 4.89669 1.02 0.000426217 0.000363292 0.0313203 0.0265484 -1 -1 -1 -1 28 3159 30 6.65987e+06 291594 500653. 1732.36 1.45 0.0917393 0.0784341 21970 115934 -1 2513 22 1308 1851 143445 31289 4.01251 4.01251 -136.733 -4.01251 0 0 612192. 2118.31 0.33 0.07 0.13 -1 -1 0.33 0.020697 0.0183428 142 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 5.78 vpr 63.97 MiB -1 -1 0.20 21508 1 0.04 -1 -1 33864 -1 -1 33 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65504 32 32 349 284 1 183 97 17 17 289 -1 unnamed_device 25.1 MiB 0.29 868 8311 1653 6113 545 64.0 MiB 0.07 0.00 3.91407 -115.086 -3.91407 3.91407 0.99 0.000270283 0.000223334 0.0102687 0.00837494 -1 -1 -1 -1 30 2509 26 6.65987e+06 418374 526063. 1820.29 1.45 0.0792187 0.0686447 22546 126617 -1 1679 16 859 1555 81649 20422 2.90591 2.90591 -105.542 -2.90591 0 0 666494. 2306.21 0.41 0.06 0.14 -1 -1 0.41 0.0182752 0.0165236 131 53 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 5.09 vpr 63.46 MiB -1 -1 0.18 21548 1 0.04 -1 -1 33688 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64988 32 32 291 230 1 168 88 17 17 289 -1 unnamed_device 24.9 MiB 0.22 846 6328 1287 4843 198 63.5 MiB 0.06 0.00 3.96153 -117.52 -3.96153 3.96153 1.02 0.000193026 0.000152925 0.00847067 0.00697451 -1 -1 -1 -1 28 2304 21 6.65987e+06 304272 500653. 1732.36 1.12 0.0617549 0.0536258 21970 115934 -1 1981 23 1418 2697 199285 46458 3.71659 3.71659 -122.806 -3.71659 0 0 612192. 2118.31 0.31 0.06 0.12 -1 -1 0.31 0.0161867 0.0142004 123 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 5.27 vpr 63.99 MiB -1 -1 0.21 21328 1 0.04 -1 -1 34092 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65524 32 32 353 287 1 198 86 17 17 289 -1 unnamed_device 25.1 MiB 0.45 1090 7835 1660 5643 532 64.0 MiB 0.08 0.00 4.46734 -132.214 -4.46734 4.46734 1.02 0.000205159 0.000162705 0.0134293 0.0109819 -1 -1 -1 -1 32 2503 28 6.65987e+06 278916 554710. 1919.41 1.03 0.0651839 0.0554538 22834 132086 -1 2254 16 1127 1532 112414 26836 3.20591 3.20591 -119.029 -3.20591 0 0 701300. 2426.64 0.32 0.05 0.18 -1 -1 0.32 0.0148755 0.0131789 136 55 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 6.32 vpr 63.97 MiB -1 -1 0.22 21632 1 0.04 -1 -1 33812 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65504 32 32 361 291 1 185 95 17 17 289 -1 unnamed_device 25.1 MiB 0.63 1025 8951 2023 6429 499 64.0 MiB 0.08 0.00 3.78594 -122.94 -3.78594 3.78594 1.09 0.000231515 0.000185545 0.0124475 0.010335 -1 -1 -1 -1 26 2851 30 6.65987e+06 393018 477104. 1650.88 1.84 0.0906537 0.077767 21682 110474 -1 2246 22 1338 2263 183303 40174 3.11131 3.11131 -122.127 -3.11131 0 0 585099. 2024.56 0.26 0.06 0.11 -1 -1 0.26 0.0167684 0.014701 132 55 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 5.55 vpr 64.07 MiB -1 -1 0.37 21548 1 0.06 -1 -1 33916 -1 -1 36 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65604 32 32 382 305 1 192 100 17 17 289 -1 unnamed_device 25.2 MiB 0.49 1095 17268 5091 9567 2610 64.1 MiB 0.20 0.00 4.49052 -137.752 -4.49052 4.49052 1.01 0.000241311 0.000191972 0.0322572 0.0263685 -1 -1 -1 -1 32 2596 25 6.65987e+06 456408 554710. 1919.41 1.01 0.0802965 0.0670007 22834 132086 -1 2232 21 1219 1845 147581 32927 3.21151 3.21151 -122.058 -3.21151 0 0 701300. 2426.64 0.32 0.06 0.13 -1 -1 0.32 0.0194108 0.0170617 144 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.87 vpr 63.59 MiB -1 -1 0.21 21672 1 0.04 -1 -1 33816 -1 -1 29 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65112 32 32 306 248 1 166 93 17 17 289 -1 unnamed_device 24.9 MiB 0.20 881 8493 1904 6212 377 63.6 MiB 0.07 0.00 3.98836 -116.947 -3.98836 3.98836 0.94 0.000196262 0.000156046 0.0107836 0.00886253 -1 -1 -1 -1 32 2229 29 6.65987e+06 367662 554710. 1919.41 1.02 0.0505654 0.0425756 22834 132086 -1 1823 23 1298 2235 165203 37734 3.31585 3.31585 -112.024 -3.31585 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0161437 0.0142277 122 24 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 5.12 vpr 63.88 MiB -1 -1 0.22 21372 1 0.05 -1 -1 34152 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65412 32 32 319 257 1 198 87 17 17 289 -1 unnamed_device 25.1 MiB 0.27 1058 6423 1250 4686 487 63.9 MiB 0.06 0.00 4.75229 -137.839 -4.75229 4.75229 0.99 0.00022337 0.000178442 0.00913919 0.00756932 -1 -1 -1 -1 32 2530 23 6.65987e+06 291594 554710. 1919.41 0.96 0.0483734 0.0409092 22834 132086 -1 2237 21 1668 2440 175489 41742 3.71071 3.71071 -129.186 -3.71071 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0169293 0.0151165 133 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 6.36 vpr 64.09 MiB -1 -1 0.26 21800 1 0.05 -1 -1 33884 -1 -1 23 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65632 31 32 373 299 1 202 86 17 17 289 -1 unnamed_device 25.2 MiB 0.71 1053 14450 4497 7379 2574 64.1 MiB 0.15 0.00 4.75055 -138.917 -4.75055 4.75055 1.09 0.000234971 0.000188422 0.0246482 0.0199338 -1 -1 -1 -1 34 2568 25 6.65987e+06 291594 585099. 2024.56 1.73 0.117731 0.098934 23122 138558 -1 2239 20 1331 2062 163732 34849 3.99831 3.99831 -126.897 -3.99831 0 0 742403. 2568.87 0.35 0.06 0.14 -1 -1 0.35 0.0188291 0.0167479 146 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 5.28 vpr 64.03 MiB -1 -1 0.22 21488 1 0.05 -1 -1 34184 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65568 32 32 387 315 1 189 85 17 17 289 -1 unnamed_device 25.2 MiB 0.35 901 8269 1876 5929 464 64.0 MiB 0.08 0.00 3.98149 -123.442 -3.98149 3.98149 0.95 0.000220892 0.000174602 0.0127458 0.0104703 -1 -1 -1 -1 32 2648 25 6.65987e+06 266238 554710. 1919.41 1.09 0.065438 0.0555498 22834 132086 -1 2151 21 1523 2669 176931 42791 3.46425 3.46425 -121.172 -3.46425 0 0 701300. 2426.64 0.32 0.06 0.14 -1 -1 0.32 0.0182481 0.0160657 135 77 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.96 vpr 63.25 MiB -1 -1 0.19 21124 1 0.04 -1 -1 33752 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64768 32 32 251 219 1 140 88 17 17 289 -1 unnamed_device 24.7 MiB 0.11 757 15103 5160 7628 2315 63.2 MiB 0.09 0.00 3.22598 -97.9932 -3.22598 3.22598 1.00 0.000166303 0.000131302 0.0161346 0.0130204 -1 -1 -1 -1 30 1807 20 6.65987e+06 304272 526063. 1820.29 0.96 0.0499144 0.0419849 22546 126617 -1 1524 20 745 1219 73327 17031 2.44445 2.44445 -89.72 -2.44445 0 0 666494. 2306.21 0.31 0.04 0.13 -1 -1 0.31 0.0114486 0.0100669 97 23 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 5.22 vpr 63.92 MiB -1 -1 0.21 21376 1 0.05 -1 -1 33832 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65452 32 32 341 285 1 187 84 17 17 289 -1 unnamed_device 25.1 MiB 0.26 854 11979 3325 7498 1156 63.9 MiB 0.10 0.00 4.00764 -134.08 -4.00764 4.00764 1.01 0.000204085 0.000161078 0.0168256 0.0136378 -1 -1 -1 -1 30 2108 23 6.65987e+06 253560 526063. 1820.29 0.94 0.054079 0.0450648 22546 126617 -1 1862 17 1081 1505 80425 19493 3.45017 3.45017 -131.307 -3.45017 0 0 666494. 2306.21 0.33 0.07 0.13 -1 -1 0.33 0.019215 0.0171603 125 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 5.63 vpr 63.92 MiB -1 -1 0.26 21768 1 0.06 -1 -1 33928 -1 -1 28 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65452 32 32 387 293 1 234 92 17 17 289 -1 unnamed_device 25.4 MiB 0.42 1274 10235 2657 6661 917 63.9 MiB 0.10 0.00 5.13258 -155.405 -5.13258 5.13258 1.01 0.000258834 0.000209281 0.01548 0.0127824 -1 -1 -1 -1 32 3405 33 6.65987e+06 354984 554710. 1919.41 1.25 0.0812547 0.0693963 22834 132086 -1 2767 22 2176 3438 289117 62894 4.34411 4.34411 -147.372 -4.34411 0 0 701300. 2426.64 0.31 0.08 0.13 -1 -1 0.31 0.0201568 0.0178381 168 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 5.21 vpr 63.91 MiB -1 -1 0.20 21368 1 0.04 -1 -1 34084 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 32 32 340 270 1 181 95 17 17 289 -1 unnamed_device 25.1 MiB 0.29 873 6359 1089 5003 267 63.9 MiB 0.06 0.00 4.1576 -127.981 -4.1576 4.1576 0.98 0.000326861 0.000275341 0.0101119 0.00849556 -1 -1 -1 -1 26 2804 32 6.65987e+06 393018 477104. 1650.88 1.19 0.0541591 0.045742 21682 110474 -1 2011 20 1285 2168 156274 38621 3.16251 3.16251 -116.567 -3.16251 0 0 585099. 2024.56 0.28 0.06 0.12 -1 -1 0.28 0.0166645 0.0148188 133 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 5.25 vpr 63.41 MiB -1 -1 0.22 21504 1 0.07 -1 -1 33996 -1 -1 26 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64936 30 32 278 235 1 148 88 17 17 289 -1 unnamed_device 24.9 MiB 0.20 662 8278 1864 5308 1106 63.4 MiB 0.06 0.00 3.33678 -99.7803 -3.33678 3.33678 0.93 0.000211349 0.000173341 0.00958487 0.00785663 -1 -1 -1 -1 26 2015 33 6.65987e+06 329628 477104. 1650.88 1.23 0.0483867 0.0407605 21682 110474 -1 1722 23 1271 2045 155617 37080 2.92991 2.92991 -104.001 -2.92991 0 0 585099. 2024.56 0.29 0.06 0.12 -1 -1 0.29 0.0141926 0.0124075 104 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 6.00 vpr 63.86 MiB -1 -1 0.26 21844 1 0.06 -1 -1 34076 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65396 32 32 431 332 1 235 89 17 17 289 -1 unnamed_device 25.5 MiB 0.80 1210 14939 4355 8110 2474 63.9 MiB 0.15 0.00 6.10992 -175.031 -6.10992 6.10992 0.99 0.000288167 0.000219132 0.0292164 0.0242384 -1 -1 -1 -1 32 3372 31 6.65987e+06 316950 554710. 1919.41 1.17 0.090254 0.0761931 22834 132086 -1 2596 21 1928 2778 201964 46743 4.86497 4.86497 -160.032 -4.86497 0 0 701300. 2426.64 0.31 0.07 0.14 -1 -1 0.31 0.020048 0.0176894 168 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 5.22 vpr 63.99 MiB -1 -1 0.20 21624 1 0.04 -1 -1 34060 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65524 32 32 336 268 1 174 96 17 17 289 -1 unnamed_device 25.2 MiB 0.31 931 10389 2832 6808 749 64.0 MiB 0.09 0.00 4.39794 -132.47 -4.39794 4.39794 1.03 0.000239739 0.000191007 0.0154654 0.0128191 -1 -1 -1 -1 32 2200 23 6.65987e+06 405696 554710. 1919.41 0.96 0.0544956 0.0458029 22834 132086 -1 1960 20 1371 2131 135826 33635 3.60951 3.60951 -126.111 -3.60951 0 0 701300. 2426.64 0.33 0.05 0.21 -1 -1 0.33 0.0150171 0.0131763 130 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 5.04 vpr 63.24 MiB -1 -1 0.22 21056 1 0.06 -1 -1 34144 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64756 32 32 231 199 1 140 87 17 17 289 -1 unnamed_device 24.7 MiB 0.15 660 6999 1482 4945 572 63.2 MiB 0.07 0.00 3.21869 -92.7316 -3.21869 3.21869 0.98 0.000286777 0.000227316 0.0104553 0.00861892 -1 -1 -1 -1 30 1799 25 6.65987e+06 291594 526063. 1820.29 1.00 0.0444685 0.037635 22546 126617 -1 1447 15 697 1154 70483 17453 2.53419 2.53419 -89.4513 -2.53419 0 0 666494. 2306.21 0.29 0.03 0.13 -1 -1 0.29 0.00958353 0.00860516 100 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 8.93 vpr 63.91 MiB -1 -1 0.23 21500 1 0.06 -1 -1 33912 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65448 32 32 349 273 1 191 98 17 17 289 -1 unnamed_device 25.0 MiB 0.34 1092 11573 2759 8065 749 63.9 MiB 0.10 0.00 5.1174 -127.812 -5.1174 5.1174 0.99 0.000263823 0.000209435 0.0137303 0.0112622 -1 -1 -1 -1 28 2696 41 6.65987e+06 431052 500653. 1732.36 4.47 0.14119 0.118276 21970 115934 -1 2246 23 1372 2717 178803 40499 4.27899 4.27899 -128.398 -4.27899 0 0 612192. 2118.31 0.27 0.06 0.13 -1 -1 0.27 0.0179023 0.015707 139 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 5.09 vpr 63.23 MiB -1 -1 0.28 21088 1 0.04 -1 -1 33888 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64752 32 32 247 207 1 147 84 17 17 289 -1 unnamed_device 24.6 MiB 0.18 658 7038 1455 4830 753 63.2 MiB 0.05 0.00 3.29684 -98.8102 -3.29684 3.29684 1.05 0.000171984 0.000137849 0.00907092 0.00746583 -1 -1 -1 -1 28 2106 37 6.65987e+06 253560 500653. 1732.36 1.09 0.051537 0.0437971 21970 115934 -1 1711 17 1024 1691 111688 29187 2.78065 2.78065 -105.082 -2.78065 0 0 612192. 2118.31 0.27 0.05 0.11 -1 -1 0.27 0.0128225 0.0114706 104 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 5.09 vpr 63.44 MiB -1 -1 0.31 21332 1 0.05 -1 -1 34100 -1 -1 33 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64960 30 32 278 235 1 147 95 17 17 289 -1 unnamed_device 24.9 MiB 0.21 665 12623 3525 7434 1664 63.4 MiB 0.09 0.00 3.96152 -101.883 -3.96152 3.96152 1.05 0.000194627 0.000156124 0.0128394 0.0104145 -1 -1 -1 -1 28 1809 20 6.65987e+06 418374 500653. 1732.36 0.91 0.0459807 0.0385608 21970 115934 -1 1537 18 926 1622 96600 24110 2.72171 2.72171 -94.4656 -2.72171 0 0 612192. 2118.31 0.27 0.04 0.11 -1 -1 0.27 0.0115447 0.010135 105 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 5.69 vpr 63.96 MiB -1 -1 0.23 21556 1 0.04 -1 -1 33736 -1 -1 24 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65500 29 32 355 287 1 198 85 17 17 289 -1 unnamed_device 25.1 MiB 0.38 1014 15523 4859 8256 2408 64.0 MiB 0.12 0.00 4.24664 -124.159 -4.24664 4.24664 1.19 0.000216157 0.000172503 0.0207212 0.0168393 -1 -1 -1 -1 32 2519 21 6.65987e+06 304272 554710. 1919.41 0.96 0.0610155 0.051077 22834 132086 -1 2110 26 1456 2226 166870 38789 3.18497 3.18497 -112.254 -3.18497 0 0 701300. 2426.64 0.46 0.11 0.13 -1 -1 0.46 0.0333136 0.0293483 138 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 5.90 vpr 63.97 MiB -1 -1 0.21 21492 1 0.04 -1 -1 33852 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65504 32 32 358 289 1 175 88 17 17 289 -1 unnamed_device 25.2 MiB 0.30 813 6133 1250 4669 214 64.0 MiB 0.06 0.00 4.31499 -129.627 -4.31499 4.31499 1.23 0.000269975 0.000223102 0.0112372 0.00940652 -1 -1 -1 -1 30 1973 21 6.65987e+06 304272 526063. 1820.29 1.33 0.0794649 0.0682408 22546 126617 -1 1695 21 1155 1730 101267 23759 3.45917 3.45917 -120.941 -3.45917 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.0154706 0.0136382 130 54 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 5.96 vpr 63.91 MiB -1 -1 0.20 21496 1 0.03 -1 -1 34036 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65440 32 32 353 285 1 181 91 17 17 289 -1 unnamed_device 25.1 MiB 0.33 1032 14575 3712 8961 1902 63.9 MiB 0.23 0.00 4.48612 -136.801 -4.48612 4.48612 1.11 0.000607566 0.000515473 0.0408731 0.0345344 -1 -1 -1 -1 32 2663 22 6.65987e+06 342306 554710. 1919.41 1.33 0.103819 0.0888744 22834 132086 -1 2268 22 1461 2460 182454 41825 3.81371 3.81371 -134.147 -3.81371 0 0 701300. 2426.64 0.44 0.07 0.22 -1 -1 0.44 0.019072 0.0165955 132 51 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 5.46 vpr 63.38 MiB -1 -1 0.18 21640 1 0.03 -1 -1 33600 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64900 32 32 276 237 1 159 80 17 17 289 -1 unnamed_device 24.9 MiB 0.30 845 7820 1849 5541 430 63.4 MiB 0.07 0.00 4.62977 -131.711 -4.62977 4.62977 1.30 0.00024974 0.000208256 0.0124178 0.0103584 -1 -1 -1 -1 30 1850 19 6.65987e+06 202848 526063. 1820.29 1.20 0.0592105 0.0512825 22546 126617 -1 1669 17 719 977 60179 14057 3.03551 3.03551 -110.068 -3.03551 0 0 666494. 2306.21 0.34 0.04 0.16 -1 -1 0.34 0.0133709 0.0119262 103 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 5.30 vpr 63.85 MiB -1 -1 0.19 21500 1 0.04 -1 -1 34216 -1 -1 19 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65380 31 32 319 272 1 169 82 17 17 289 -1 unnamed_device 25.0 MiB 0.41 770 10050 2757 6264 1029 63.8 MiB 0.08 0.00 3.69598 -115.146 -3.69598 3.69598 1.16 0.000210058 0.00016823 0.0131906 0.0106775 -1 -1 -1 -1 32 2129 24 6.65987e+06 240882 554710. 1919.41 1.07 0.0501723 0.0418632 22834 132086 -1 1830 22 1219 1808 127557 30445 3.02177 3.02177 -109.858 -3.02177 0 0 701300. 2426.64 0.31 0.05 0.14 -1 -1 0.31 0.0154211 0.0134335 112 64 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 5.23 vpr 63.72 MiB -1 -1 0.20 21596 1 0.04 -1 -1 33664 -1 -1 33 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65252 30 32 329 273 1 166 95 17 17 289 -1 unnamed_device 24.8 MiB 0.33 862 12839 3032 8956 851 63.7 MiB 0.17 0.00 3.34001 -95.8914 -3.34001 3.34001 0.95 0.000379586 0.000305241 0.0301603 0.0253995 -1 -1 -1 -1 30 1980 21 6.65987e+06 418374 526063. 1820.29 1.12 0.0745038 0.0632953 22546 126617 -1 1669 18 962 1743 95030 22615 2.43511 2.43511 -91.9636 -2.43511 0 0 666494. 2306.21 0.30 0.05 0.13 -1 -1 0.30 0.0146981 0.0129087 123 57 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 5.71 vpr 63.41 MiB -1 -1 0.30 21496 1 0.04 -1 -1 33760 -1 -1 35 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64932 28 32 277 229 1 155 95 17 17 289 -1 unnamed_device 24.9 MiB 0.25 923 12623 3487 7239 1897 63.4 MiB 0.14 0.00 4.05815 -100.085 -4.05815 4.05815 1.17 0.000282317 0.000225409 0.0206415 0.0168795 -1 -1 -1 -1 26 2151 41 6.65987e+06 443730 477104. 1650.88 1.29 0.0900948 0.0773508 21682 110474 -1 1846 35 1346 2687 324830 124278 3.61745 3.61745 -101.557 -3.61745 0 0 585099. 2024.56 0.25 0.16 0.14 -1 -1 0.25 0.0285601 0.0246591 115 27 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 5.76 vpr 63.70 MiB -1 -1 0.22 21504 1 0.04 -1 -1 34148 -1 -1 17 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65232 30 32 317 269 1 152 79 17 17 289 -1 unnamed_device 24.9 MiB 0.23 738 13937 5869 7500 568 63.7 MiB 0.10 0.00 3.86584 -113.256 -3.86584 3.86584 1.11 0.000198986 0.000157697 0.0197244 0.0160906 -1 -1 -1 -1 28 1945 22 6.65987e+06 215526 500653. 1732.36 1.43 0.0754204 0.0647044 21970 115934 -1 1763 19 1171 2031 154935 35450 3.26357 3.26357 -110.084 -3.26357 0 0 612192. 2118.31 0.40 0.09 0.18 -1 -1 0.40 0.0253958 0.0228188 108 63 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 5.40 vpr 63.80 MiB -1 -1 0.21 21404 1 0.04 -1 -1 33516 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65332 32 32 335 282 1 184 84 17 17 289 -1 unnamed_device 24.8 MiB 0.26 969 14724 4160 8401 2163 63.8 MiB 0.10 0.00 3.82038 -130.284 -3.82038 3.82038 0.96 0.000198817 0.000156115 0.0184689 0.0148604 -1 -1 -1 -1 32 2443 23 6.65987e+06 253560 554710. 1919.41 1.13 0.0664438 0.0561061 22834 132086 -1 2030 19 1209 1753 133147 30661 2.98331 2.98331 -122.095 -2.98331 0 0 701300. 2426.64 0.39 0.07 0.14 -1 -1 0.39 0.0210895 0.0188883 120 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 5.49 vpr 63.50 MiB -1 -1 0.25 21324 1 0.05 -1 -1 33936 -1 -1 32 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65020 31 32 293 230 1 175 95 17 17 289 -1 unnamed_device 24.8 MiB 0.19 1063 16295 4388 9974 1933 63.5 MiB 0.12 0.00 4.27726 -124.126 -4.27726 4.27726 0.94 0.000201635 0.000160029 0.0169531 0.0137914 -1 -1 -1 -1 32 2368 21 6.65987e+06 405696 554710. 1919.41 1.18 0.0746875 0.0642367 22834 132086 -1 2139 23 1457 2604 179250 41684 3.24771 3.24771 -115.163 -3.24771 0 0 701300. 2426.64 0.35 0.06 0.15 -1 -1 0.35 0.0155445 0.0137738 127 4 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 5.68 vpr 63.90 MiB -1 -1 0.19 21448 1 0.04 -1 -1 33780 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65436 32 32 350 275 1 209 86 17 17 289 -1 unnamed_device 25.0 MiB 0.46 1170 8402 2051 5537 814 63.9 MiB 0.09 0.00 5.08418 -160.146 -5.08418 5.08418 1.10 0.000226 0.000180791 0.0129789 0.0107277 -1 -1 -1 -1 28 3046 20 6.65987e+06 278916 500653. 1732.36 1.26 0.0681114 0.0586855 21970 115934 -1 2524 22 1589 2280 166814 38342 4.15751 4.15751 -149.968 -4.15751 0 0 612192. 2118.31 0.30 0.07 0.16 -1 -1 0.30 0.0188744 0.0167625 144 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 6.43 vpr 64.08 MiB -1 -1 0.22 21492 1 0.06 -1 -1 34048 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65620 32 32 385 308 1 185 96 17 17 289 -1 unnamed_device 25.2 MiB 0.28 1091 17397 4924 9824 2649 64.1 MiB 0.13 0.00 5.003 -142.071 -5.003 5.003 1.08 0.000251914 0.000203004 0.0222578 0.0180648 -1 -1 -1 -1 26 2904 33 6.65987e+06 405696 477104. 1650.88 1.95 0.0885723 0.0752874 21682 110474 -1 2489 23 1573 2975 231239 53660 4.26683 4.26683 -144.295 -4.26683 0 0 585099. 2024.56 0.32 0.14 0.12 -1 -1 0.32 0.0396274 0.0360269 142 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 5.94 vpr 64.05 MiB -1 -1 0.25 21344 1 0.06 -1 -1 33760 -1 -1 37 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65588 32 32 387 309 1 190 101 17 17 289 -1 unnamed_device 25.1 MiB 0.35 1091 6681 1303 5078 300 64.1 MiB 0.07 0.00 4.26912 -136.659 -4.26912 4.26912 1.04 0.000233561 0.000185446 0.00919908 0.00760708 -1 -1 -1 -1 28 2817 25 6.65987e+06 469086 500653. 1732.36 1.51 0.0738565 0.0639349 21970 115934 -1 2390 24 1458 2683 207005 46217 3.57931 3.57931 -129.096 -3.57931 0 0 612192. 2118.31 0.37 0.10 0.13 -1 -1 0.37 0.0306445 0.0276156 140 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 5.28 vpr 63.36 MiB -1 -1 0.21 21540 1 0.05 -1 -1 33636 -1 -1 19 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64876 30 32 272 232 1 147 81 17 17 289 -1 unnamed_device 24.9 MiB 0.35 870 11106 2831 6524 1751 63.4 MiB 0.08 0.00 3.61906 -110.424 -3.61906 3.61906 1.13 0.000171557 0.00013531 0.0129918 0.0105766 -1 -1 -1 -1 30 1839 21 6.65987e+06 240882 526063. 1820.29 0.89 0.0444424 0.0372435 22546 126617 -1 1563 18 819 1314 75712 17626 2.45705 2.45705 -95.6578 -2.45705 0 0 666494. 2306.21 0.35 0.05 0.12 -1 -1 0.35 0.0153349 0.0137147 105 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.83 vpr 64.07 MiB -1 -1 0.22 21456 1 0.04 -1 -1 34144 -1 -1 21 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65604 30 32 375 299 1 187 83 17 17 289 -1 unnamed_device 25.2 MiB 0.31 978 15203 5869 8044 1290 64.1 MiB 0.12 0.00 4.78844 -136.276 -4.78844 4.78844 0.94 0.000235561 0.000187208 0.021382 0.0172448 -1 -1 -1 -1 30 2196 21 6.65987e+06 266238 526063. 1820.29 0.97 0.0695662 0.0583859 22546 126617 -1 1952 18 1077 1734 113396 24849 3.37542 3.37542 -122.74 -3.37542 0 0 666494. 2306.21 0.30 0.05 0.13 -1 -1 0.30 0.01619 0.0143558 137 63 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 6.59 vpr 63.75 MiB -1 -1 0.28 21572 1 0.05 -1 -1 33844 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65284 32 32 340 270 1 200 88 17 17 289 -1 unnamed_device 24.9 MiB 0.41 1105 10813 2684 6840 1289 63.8 MiB 0.10 0.00 4.95137 -146.216 -4.95137 4.95137 1.04 0.000220262 0.000176323 0.0145835 0.0119484 -1 -1 -1 -1 26 3219 39 6.65987e+06 304272 477104. 1650.88 2.26 0.0860942 0.0738399 21682 110474 -1 2516 21 1882 2878 255132 54414 3.74651 3.74651 -135.317 -3.74651 0 0 585099. 2024.56 0.28 0.11 0.11 -1 -1 0.28 0.0248897 0.0221261 138 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 5.69 vpr 64.04 MiB -1 -1 0.20 21468 1 0.04 -1 -1 33984 -1 -1 28 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65572 31 32 340 275 1 195 91 17 17 289 -1 unnamed_device 25.2 MiB 0.67 1080 15187 4594 8064 2529 64.0 MiB 0.11 0.00 5.08067 -147.956 -5.08067 5.08067 1.06 0.000207707 0.000164258 0.0182516 0.0148224 -1 -1 -1 -1 32 2590 22 6.65987e+06 354984 554710. 1919.41 0.98 0.0586214 0.04934 22834 132086 -1 2166 20 1488 2320 169566 38315 4.27397 4.27397 -141.786 -4.27397 0 0 701300. 2426.64 0.36 0.08 0.13 -1 -1 0.36 0.0244213 0.0220599 146 47 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 6.10 vpr 63.82 MiB -1 -1 0.21 21552 1 0.04 -1 -1 33924 -1 -1 31 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65352 30 32 377 310 1 177 93 17 17 289 -1 unnamed_device 25.0 MiB 1.32 986 11433 3001 7531 901 63.8 MiB 0.10 0.00 4.29269 -128.336 -4.29269 4.29269 1.01 0.000227447 0.000180322 0.0160457 0.0130968 -1 -1 -1 -1 32 2266 22 6.65987e+06 393018 554710. 1919.41 1.01 0.0660597 0.0554993 22834 132086 -1 2030 23 1472 2483 170176 40089 3.01711 3.01711 -114.453 -3.01711 0 0 701300. 2426.64 0.31 0.07 0.13 -1 -1 0.31 0.0186214 0.0163382 133 83 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 5.86 vpr 64.16 MiB -1 -1 0.28 21652 1 0.05 -1 -1 34060 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65696 32 32 365 294 1 185 84 17 17 289 -1 unnamed_device 25.4 MiB 0.31 1063 15273 4695 8692 1886 64.2 MiB 0.13 0.00 4.80469 -139.024 -4.80469 4.80469 1.04 0.000241712 0.000191897 0.0245582 0.0201745 -1 -1 -1 -1 32 2629 23 6.65987e+06 253560 554710. 1919.41 1.07 0.0781199 0.0653028 22834 132086 -1 2268 18 1507 2677 195261 43968 3.62631 3.62631 -130.943 -3.62631 0 0 701300. 2426.64 0.31 0.08 0.13 -1 -1 0.31 0.0215693 0.0191503 133 57 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 5.77 vpr 64.05 MiB -1 -1 0.22 21480 1 0.04 -1 -1 33976 -1 -1 29 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65592 29 32 378 310 1 177 90 17 17 289 -1 unnamed_device 25.2 MiB 0.43 958 9738 2610 6016 1112 64.1 MiB 0.09 0.00 4.45269 -125.734 -4.45269 4.45269 1.03 0.00030591 0.000251282 0.0162077 0.013419 -1 -1 -1 -1 32 2235 18 6.65987e+06 367662 554710. 1919.41 1.00 0.0615563 0.0516706 22834 132086 -1 1952 21 1256 2061 148316 34812 3.04431 3.04431 -110.646 -3.04431 0 0 701300. 2426.64 0.35 0.05 0.14 -1 -1 0.35 0.0163134 0.0143483 131 85 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.55 vpr 63.14 MiB -1 -1 0.17 21216 1 0.04 -1 -1 34124 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64656 32 32 243 205 1 139 79 17 17 289 -1 unnamed_device 24.7 MiB 0.18 690 12416 3929 6813 1674 63.1 MiB 0.08 0.00 3.74649 -110.352 -3.74649 3.74649 0.97 0.000163858 0.000129721 0.0142599 0.0115165 -1 -1 -1 -1 30 1513 21 6.65987e+06 190170 526063. 1820.29 0.88 0.044206 0.0367246 22546 126617 -1 1376 20 710 1066 67918 15837 2.57525 2.57525 -98.4721 -2.57525 0 0 666494. 2306.21 0.30 0.03 0.12 -1 -1 0.30 0.0117723 0.0103567 96 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 5.45 vpr 64.02 MiB -1 -1 0.23 21396 1 0.05 -1 -1 33876 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65556 32 32 373 302 1 176 94 17 17 289 -1 unnamed_device 25.2 MiB 0.28 960 15004 4235 7978 2791 64.0 MiB 0.16 0.00 4.36949 -132.189 -4.36949 4.36949 1.14 0.00022127 0.000174162 0.0255461 0.0206582 -1 -1 -1 -1 32 2280 23 6.65987e+06 380340 554710. 1919.41 1.21 0.113851 0.0978008 22834 132086 -1 1948 22 1416 2287 178164 39744 3.46911 3.46911 -125.161 -3.46911 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0191041 0.0169172 130 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 5.97 vpr 64.05 MiB -1 -1 0.33 21916 1 0.06 -1 -1 33948 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65588 32 32 397 314 1 196 84 17 17 289 -1 unnamed_device 25.1 MiB 0.39 1050 14907 5385 7856 1666 64.1 MiB 0.14 0.00 4.72838 -146.592 -4.72838 4.72838 1.08 0.000336078 0.000276143 0.0279504 0.0231039 -1 -1 -1 -1 32 2640 43 6.65987e+06 253560 554710. 1919.41 1.26 0.102749 0.0867522 22834 132086 -1 2299 33 2450 3980 449977 173095 3.85597 3.85597 -139.592 -3.85597 0 0 701300. 2426.64 0.34 0.16 0.13 -1 -1 0.34 0.0300319 0.026025 147 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 5.20 vpr 63.50 MiB -1 -1 0.31 21652 1 0.06 -1 -1 33676 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65020 32 32 269 231 1 170 83 17 17 289 -1 unnamed_device 24.9 MiB 0.26 871 13403 4770 6898 1735 63.5 MiB 0.09 0.00 4.19052 -118.124 -4.19052 4.19052 1.09 0.000183854 0.0001463 0.0160202 0.0130417 -1 -1 -1 -1 30 2034 20 6.65987e+06 240882 526063. 1820.29 0.94 0.0499722 0.0421205 22546 126617 -1 1822 18 870 1143 89550 19468 2.90751 2.90751 -108.874 -2.90751 0 0 666494. 2306.21 0.35 0.04 0.13 -1 -1 0.35 0.012902 0.0115251 111 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 5.09 vpr 63.26 MiB -1 -1 0.19 21220 1 0.04 -1 -1 33940 -1 -1 21 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64780 31 32 245 205 1 150 84 17 17 289 -1 unnamed_device 24.6 MiB 0.16 780 8136 2089 5541 506 63.3 MiB 0.06 0.00 3.80235 -109.245 -3.80235 3.80235 1.02 0.000168167 0.000133684 0.0106798 0.00881916 -1 -1 -1 -1 26 2013 29 6.65987e+06 266238 477104. 1650.88 1.03 0.0468666 0.0398 21682 110474 -1 1810 21 1146 1877 153537 34871 3.03417 3.03417 -108.934 -3.03417 0 0 585099. 2024.56 0.33 0.07 0.18 -1 -1 0.33 0.0175115 0.0155868 106 4 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 5.34 vpr 64.10 MiB -1 -1 0.19 21588 1 0.05 -1 -1 34080 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65640 32 32 348 274 1 211 89 17 17 289 -1 unnamed_device 25.2 MiB 0.34 1098 14939 3925 8967 2047 64.1 MiB 0.15 0.00 4.99418 -158.194 -4.99418 4.99418 1.07 0.000216854 0.000171974 0.0239624 0.0194868 -1 -1 -1 -1 32 2678 24 6.65987e+06 316950 554710. 1919.41 1.02 0.0686225 0.0575158 22834 132086 -1 2339 18 1657 2174 176709 39281 4.06163 4.06163 -148.702 -4.06163 0 0 701300. 2426.64 0.31 0.05 0.14 -1 -1 0.31 0.0143272 0.0126968 144 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 5.83 vpr 64.15 MiB -1 -1 0.22 21512 1 0.06 -1 -1 34048 -1 -1 28 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65688 32 32 356 289 1 202 92 17 17 289 -1 unnamed_device 25.3 MiB 0.71 1053 6923 1430 5143 350 64.1 MiB 0.07 0.00 5.07767 -147.587 -5.07767 5.07767 1.02 0.00029421 0.000241003 0.01113 0.00858179 -1 -1 -1 -1 28 2822 20 6.65987e+06 354984 500653. 1732.36 1.30 0.0626069 0.0524371 21970 115934 -1 2407 20 1612 2506 187128 43634 4.37417 4.37417 -147.642 -4.37417 0 0 612192. 2118.31 0.31 0.06 0.18 -1 -1 0.31 0.0168604 0.0148611 151 56 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 9.95 vpr 64.05 MiB -1 -1 0.19 21492 1 0.04 -1 -1 33808 -1 -1 36 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65584 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 25.1 MiB 0.25 1223 15412 3918 10280 1214 64.0 MiB 0.13 0.00 5.24834 -141.684 -5.24834 5.24834 0.90 0.000213309 0.000169907 0.0175133 0.0142868 -1 -1 -1 -1 28 2890 23 6.65987e+06 456408 500653. 1732.36 5.98 0.161549 0.137198 21970 115934 -1 2545 28 1703 3359 306720 87869 4.35817 4.35817 -139.42 -4.35817 0 0 612192. 2118.31 0.28 0.11 0.12 -1 -1 0.28 0.02494 0.0221706 153 3 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.91 vpr 63.80 MiB -1 -1 0.21 21516 1 0.05 -1 -1 34060 -1 -1 31 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65328 30 32 316 264 1 162 93 17 17 289 -1 unnamed_device 24.9 MiB 0.30 869 15423 3820 9178 2425 63.8 MiB 0.11 0.00 3.39798 -101.892 -3.39798 3.39798 0.91 0.000426435 0.00038453 0.0174805 0.014274 -1 -1 -1 -1 32 1975 23 6.65987e+06 393018 554710. 1919.41 0.96 0.0577267 0.0486465 22834 132086 -1 1791 23 1362 2321 160586 38243 2.69151 2.69151 -98.0771 -2.69151 0 0 701300. 2426.64 0.37 0.07 0.13 -1 -1 0.37 0.0177374 0.0156156 120 52 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.76 vpr 63.17 MiB -1 -1 0.20 21368 1 0.04 -1 -1 34052 -1 -1 21 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64684 27 32 255 219 1 132 80 17 17 289 -1 unnamed_device 24.6 MiB 0.15 593 9712 2515 6016 1181 63.2 MiB 0.06 0.00 3.49724 -93.393 -3.49724 3.49724 1.01 0.000165664 0.000131626 0.0114527 0.00936572 -1 -1 -1 -1 28 1575 20 6.65987e+06 266238 500653. 1732.36 0.91 0.0425712 0.0358616 21970 115934 -1 1453 18 952 1469 116237 27591 2.84397 2.84397 -94.8985 -2.84397 0 0 612192. 2118.31 0.28 0.04 0.11 -1 -1 0.28 0.0117763 0.0103667 97 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 6.32 vpr 64.11 MiB -1 -1 0.21 21712 1 0.04 -1 -1 34072 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65644 32 32 421 327 1 232 90 17 17 289 -1 unnamed_device 25.8 MiB 0.49 1325 16572 5545 8395 2632 64.1 MiB 0.18 0.00 4.13297 -134.503 -4.13297 4.13297 1.11 0.000357775 0.000294214 0.0364056 0.0306597 -1 -1 -1 -1 28 3732 33 6.65987e+06 329628 500653. 1732.36 1.82 0.10995 0.093406 21970 115934 -1 2910 22 2032 3461 269192 58352 3.78985 3.78985 -132.845 -3.78985 0 0 612192. 2118.31 0.27 0.09 0.13 -1 -1 0.27 0.0233614 0.0209297 170 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 6.05 vpr 64.05 MiB -1 -1 0.32 21756 1 0.04 -1 -1 34088 -1 -1 21 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65588 31 32 365 296 1 193 84 17 17 289 -1 unnamed_device 25.2 MiB 1.20 1078 12528 3903 6326 2299 64.1 MiB 0.10 0.00 5.17417 -148.706 -5.17417 5.17417 0.91 0.000224964 0.000178374 0.0185415 0.0150606 -1 -1 -1 -1 32 2682 40 6.65987e+06 266238 554710. 1919.41 1.14 0.0709059 0.0594337 22834 132086 -1 2210 21 1701 2586 216602 47829 4.53217 4.53217 -149.34 -4.53217 0 0 701300. 2426.64 0.32 0.08 0.14 -1 -1 0.32 0.0204275 0.0182516 150 64 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 6.17 vpr 63.77 MiB -1 -1 0.20 21532 1 0.04 -1 -1 33784 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65296 32 32 331 280 1 175 83 17 17 289 -1 unnamed_device 24.9 MiB 1.22 898 12323 4450 5685 2188 63.8 MiB 0.10 0.00 4.15487 -129.388 -4.15487 4.15487 0.99 0.000212336 0.000168618 0.0172313 0.0139804 -1 -1 -1 -1 32 2292 24 6.65987e+06 240882 554710. 1919.41 1.11 0.0688534 0.0577699 22834 132086 -1 1956 18 1203 1755 145047 32577 3.35916 3.35916 -129.556 -3.35916 0 0 701300. 2426.64 0.32 0.05 0.15 -1 -1 0.32 0.0135152 0.0119889 129 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.90 vpr 63.68 MiB -1 -1 0.33 21388 1 0.05 -1 -1 33576 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65208 32 32 326 263 1 176 94 17 17 289 -1 unnamed_device 24.7 MiB 0.18 992 12022 3497 7943 582 63.7 MiB 0.10 0.00 4.90813 -126.424 -4.90813 4.90813 0.95 0.000220958 0.000175295 0.0140833 0.011304 -1 -1 -1 -1 32 2140 22 6.65987e+06 380340 554710. 1919.41 0.91 0.0501439 0.0416626 22834 132086 -1 1925 21 1081 1806 112206 27790 3.48705 3.48705 -114.539 -3.48705 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0151531 0.0133141 126 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 5.26 vpr 63.99 MiB -1 -1 0.22 21500 1 0.04 -1 -1 33508 -1 -1 33 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65524 31 32 373 294 1 196 96 17 17 289 -1 unnamed_device 25.0 MiB 0.34 1073 18054 5291 10324 2439 64.0 MiB 0.14 0.00 4.77546 -137.042 -4.77546 4.77546 1.06 0.000238618 0.000180391 0.0219342 0.0178211 -1 -1 -1 -1 30 2297 18 6.65987e+06 418374 526063. 1820.29 1.02 0.0684502 0.0578005 22546 126617 -1 2004 18 1180 1930 120975 26697 3.43717 3.43717 -122.972 -3.43717 0 0 666494. 2306.21 0.30 0.05 0.12 -1 -1 0.30 0.0166671 0.0147678 144 50 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 5.17 vpr 63.96 MiB -1 -1 0.21 21592 1 0.05 -1 -1 34020 -1 -1 31 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65500 30 32 325 268 1 171 93 17 17 289 -1 unnamed_device 25.1 MiB 0.48 995 8073 1820 5530 723 64.0 MiB 0.07 0.00 3.66846 -111.424 -3.66846 3.66846 0.93 0.000207224 0.000162836 0.010037 0.00822279 -1 -1 -1 -1 32 2372 20 6.65987e+06 393018 554710. 1919.41 0.94 0.0469932 0.0393657 22834 132086 -1 2116 20 1245 2125 158081 35731 2.85591 2.85591 -105.849 -2.85591 0 0 701300. 2426.64 0.33 0.05 0.14 -1 -1 0.33 0.0144221 0.0127245 124 51 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 5.67 vpr 64.09 MiB -1 -1 0.19 21572 1 0.04 -1 -1 34104 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65624 32 32 350 275 1 214 88 17 17 289 -1 unnamed_device 25.4 MiB 0.34 1081 14713 4410 8000 2303 64.1 MiB 0.12 0.00 4.85897 -149.763 -4.85897 4.85897 1.12 0.000225065 0.000169391 0.0201529 0.0163419 -1 -1 -1 -1 32 3051 39 6.65987e+06 304272 554710. 1919.41 1.44 0.102627 0.0878269 22834 132086 -1 2442 22 1985 3010 225131 52194 4.07205 4.07205 -141.281 -4.07205 0 0 701300. 2426.64 0.30 0.07 0.13 -1 -1 0.30 0.0177122 0.0156745 147 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 5.61 vpr 63.86 MiB -1 -1 0.29 21768 1 0.05 -1 -1 33724 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65388 32 32 386 307 1 195 98 17 17 289 -1 unnamed_device 25.0 MiB 0.51 1057 18773 5404 10789 2580 63.9 MiB 0.15 0.00 4.57498 -141.429 -4.57498 4.57498 1.03 0.000226159 0.000177933 0.0231806 0.0188536 -1 -1 -1 -1 26 2887 23 6.65987e+06 431052 477104. 1650.88 1.21 0.0784627 0.0667168 21682 110474 -1 2397 18 1290 2019 146660 33279 3.71257 3.71257 -132.431 -3.71257 0 0 585099. 2024.56 0.29 0.05 0.11 -1 -1 0.29 0.0157803 0.0140274 143 62 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 4.95 vpr 63.14 MiB -1 -1 0.19 21484 1 0.04 -1 -1 34080 -1 -1 17 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64656 29 32 269 229 1 130 78 17 17 289 -1 unnamed_device 24.5 MiB 0.21 522 12528 3273 8240 1015 63.1 MiB 0.07 0.00 3.78218 -105.823 -3.78218 3.78218 0.98 0.000172267 0.000136272 0.0149266 0.0119926 -1 -1 -1 -1 32 1502 24 6.65987e+06 215526 554710. 1919.41 0.91 0.0468584 0.0388225 22834 132086 -1 1376 20 919 1272 108408 25987 3.03537 3.03537 -98.5881 -3.03537 0 0 701300. 2426.64 0.30 0.04 0.13 -1 -1 0.30 0.0122893 0.0107888 92 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 5.74 vpr 63.79 MiB -1 -1 0.20 21376 1 0.05 -1 -1 33928 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65316 32 32 310 266 1 175 84 17 17 289 -1 unnamed_device 24.9 MiB 0.30 859 7770 1621 5832 317 63.8 MiB 0.06 0.00 4.1395 -122.394 -4.1395 4.1395 1.11 0.000185547 0.000145401 0.00978696 0.00794937 -1 -1 -1 -1 26 2383 37 6.65987e+06 253560 477104. 1650.88 1.28 0.0604016 0.0509856 21682 110474 -1 1928 23 1312 1714 141396 32487 3.37517 3.37517 -120.213 -3.37517 0 0 585099. 2024.56 0.29 0.11 0.10 -1 -1 0.29 0.0270467 0.0237454 117 58 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 5.24 vpr 63.80 MiB -1 -1 0.19 21572 1 0.04 -1 -1 33636 -1 -1 37 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65332 31 32 326 261 1 177 100 17 17 289 -1 unnamed_device 24.8 MiB 0.20 1006 6364 1268 4717 379 63.8 MiB 0.07 0.00 4.54692 -120.859 -4.54692 4.54692 1.00 0.000225355 0.000181326 0.00916007 0.00766175 -1 -1 -1 -1 26 2479 25 6.65987e+06 469086 477104. 1650.88 1.37 0.0728724 0.0624535 21682 110474 -1 2227 21 1387 2520 179851 42241 4.07611 4.07611 -126.277 -4.07611 0 0 585099. 2024.56 0.25 0.06 0.11 -1 -1 0.25 0.0155137 0.0137027 129 33 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 5.33 vpr 63.40 MiB -1 -1 0.20 21476 1 0.04 -1 -1 34052 -1 -1 21 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64920 29 32 262 224 1 168 82 17 17 289 -1 unnamed_device 24.6 MiB 0.27 903 9516 2433 6273 810 63.4 MiB 0.10 0.00 4.23586 -115.879 -4.23586 4.23586 0.96 0.000322071 0.000261844 0.0167668 0.0139764 -1 -1 -1 -1 26 2151 21 6.65987e+06 266238 477104. 1650.88 1.34 0.066604 0.0571608 21682 110474 -1 1944 20 976 1248 91213 21369 3.19091 3.19091 -109.113 -3.19091 0 0 585099. 2024.56 0.35 0.05 0.18 -1 -1 0.35 0.0147568 0.0130005 110 31 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.89 vpr 63.23 MiB -1 -1 0.22 21440 1 0.05 -1 -1 33816 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64744 32 32 278 238 1 149 80 17 17 289 -1 unnamed_device 24.6 MiB 0.24 840 12980 3474 8543 963 63.2 MiB 0.08 0.00 3.73708 -117.005 -3.73708 3.73708 0.97 0.000183186 0.000144283 0.015428 0.0124593 -1 -1 -1 -1 32 2020 18 6.65987e+06 202848 554710. 1919.41 0.99 0.0467346 0.039004 22834 132086 -1 1831 23 1462 2541 202733 45407 2.67845 2.67845 -105.711 -2.67845 0 0 701300. 2426.64 0.29 0.07 0.13 -1 -1 0.29 0.0149316 0.0131229 109 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 5.12 vpr 63.92 MiB -1 -1 0.27 21696 1 0.06 -1 -1 34000 -1 -1 35 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65456 31 32 373 300 1 181 98 17 17 289 -1 unnamed_device 25.1 MiB 0.33 932 18098 5272 9901 2925 63.9 MiB 0.13 0.00 4.00372 -119.439 -4.00372 4.00372 0.94 0.000219055 0.000172289 0.0205669 0.0163462 -1 -1 -1 -1 32 2122 19 6.65987e+06 443730 554710. 1919.41 1.07 0.0637094 0.0524413 22834 132086 -1 1876 20 1378 2071 131991 31106 2.96231 2.96231 -109.45 -2.96231 0 0 701300. 2426.64 0.32 0.05 0.13 -1 -1 0.32 0.016983 0.0148614 135 64 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.72 vpr 63.38 MiB -1 -1 0.22 21532 1 0.03 -1 -1 33676 -1 -1 19 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64900 31 32 265 230 1 164 82 17 17 289 -1 unnamed_device 24.8 MiB 0.30 729 5422 1011 4209 202 63.4 MiB 0.05 0.00 3.89447 -116.94 -3.89447 3.89447 0.95 0.000211633 0.000172597 0.00737993 0.006044 -1 -1 -1 -1 30 1860 22 6.65987e+06 240882 526063. 1820.29 0.92 0.0400217 0.0335143 22546 126617 -1 1574 17 803 1153 64453 16278 2.86137 2.86137 -106.867 -2.86137 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.0116025 0.0103546 110 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 5.07 vpr 63.98 MiB -1 -1 0.21 21560 1 0.05 -1 -1 33676 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65512 32 32 349 286 1 171 95 17 17 289 -1 unnamed_device 25.2 MiB 0.43 979 15863 4619 8770 2474 64.0 MiB 0.11 0.00 3.70512 -117.413 -3.70512 3.70512 0.90 0.000209132 0.000164855 0.0186284 0.0151057 -1 -1 -1 -1 28 2333 21 6.65987e+06 393018 500653. 1732.36 1.17 0.0651445 0.0548032 21970 115934 -1 2040 22 1270 2188 155426 34369 2.66551 2.66551 -108.604 -2.66551 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0163742 0.0141909 126 57 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 6.09 vpr 63.81 MiB -1 -1 0.24 21860 1 0.04 -1 -1 33860 -1 -1 32 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65340 31 32 396 325 1 183 95 17 17 289 -1 unnamed_device 25.0 MiB 1.09 976 17159 4932 10231 1996 63.8 MiB 0.12 0.00 4.34696 -137.767 -4.34696 4.34696 0.98 0.000225373 0.000176463 0.0207949 0.016742 -1 -1 -1 -1 32 2285 23 6.65987e+06 405696 554710. 1919.41 1.02 0.0708915 0.0593798 22834 132086 -1 1959 19 1321 1855 128822 30635 3.45123 3.45123 -134.419 -3.45123 0 0 701300. 2426.64 0.39 0.10 0.13 -1 -1 0.39 0.0311558 0.027606 138 91 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 5.18 vpr 63.75 MiB -1 -1 0.25 21624 1 0.05 -1 -1 33716 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65280 32 32 303 262 1 150 81 17 17 289 -1 unnamed_device 24.9 MiB 0.34 668 7781 1699 5777 305 63.8 MiB 0.07 0.00 3.26384 -99.5047 -3.26384 3.26384 0.91 0.000184104 0.000144498 0.0111864 0.00910956 -1 -1 -1 -1 28 2035 27 6.65987e+06 215526 500653. 1732.36 1.24 0.0599495 0.05145 21970 115934 -1 1594 20 943 1429 115173 27681 2.87391 2.87391 -102.619 -2.87391 0 0 612192. 2118.31 0.28 0.04 0.12 -1 -1 0.28 0.013532 0.0119145 104 57 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 5.37 vpr 63.48 MiB -1 -1 0.25 21476 1 0.05 -1 -1 33624 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65004 32 32 290 244 1 175 83 17 17 289 -1 unnamed_device 24.8 MiB 0.25 794 6203 1260 4522 421 63.5 MiB 0.06 0.00 4.22769 -129.19 -4.22769 4.22769 0.92 0.000192981 0.000153689 0.00893566 0.00737135 -1 -1 -1 -1 28 2478 30 6.65987e+06 240882 500653. 1732.36 1.24 0.0567572 0.0485113 21970 115934 -1 2072 18 1317 1923 149105 37380 3.16031 3.16031 -121.312 -3.16031 0 0 612192. 2118.31 0.39 0.11 0.12 -1 -1 0.39 0.0301283 0.0271604 115 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.92 vpr 63.86 MiB -1 -1 0.21 21492 1 0.04 -1 -1 33976 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65392 32 32 318 257 1 194 86 17 17 289 -1 unnamed_device 24.8 MiB 0.29 982 13505 4715 6575 2215 63.9 MiB 0.10 0.00 4.5425 -135.474 -4.5425 4.5425 0.90 0.000197258 0.000155465 0.0170356 0.0137727 -1 -1 -1 -1 32 2569 24 6.65987e+06 278916 554710. 1919.41 1.01 0.0549614 0.0459936 22834 132086 -1 2078 23 1533 2145 153331 36456 3.62971 3.62971 -124.599 -3.62971 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0183407 0.0162854 130 30 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 5.39 vpr 63.73 MiB -1 -1 0.27 21476 1 0.04 -1 -1 33900 -1 -1 28 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65264 29 32 324 268 1 168 89 17 17 289 -1 unnamed_device 24.8 MiB 0.58 892 10583 2559 7230 794 63.7 MiB 0.09 0.00 4.50014 -117.225 -4.50014 4.50014 1.00 0.000198536 0.000157474 0.0132937 0.0108069 -1 -1 -1 -1 32 2068 20 6.65987e+06 354984 554710. 1919.41 1.02 0.0527817 0.0446715 22834 132086 -1 1836 19 911 1491 100749 23800 3.02731 3.02731 -105.23 -3.02731 0 0 701300. 2426.64 0.32 0.05 0.13 -1 -1 0.32 0.017025 0.0151006 121 55 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 5.57 vpr 63.86 MiB -1 -1 0.21 21948 1 0.04 -1 -1 34096 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65388 32 32 393 312 1 213 87 17 17 289 -1 unnamed_device 25.5 MiB 0.55 1106 7767 1737 5626 404 63.9 MiB 0.08 0.00 5.13083 -160.454 -5.13083 5.13083 1.02 0.000237502 0.000190612 0.0124513 0.010339 -1 -1 -1 -1 28 2891 50 6.65987e+06 291594 500653. 1732.36 1.30 0.08774 0.0746225 21970 115934 -1 2428 22 1669 2470 189430 43116 4.20151 4.20151 -148.34 -4.20151 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0180077 0.0159366 153 65 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 5.02 vpr 63.26 MiB -1 -1 0.18 21192 1 0.05 -1 -1 33864 -1 -1 18 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64776 31 32 229 197 1 138 81 17 17 289 -1 unnamed_device 24.7 MiB 0.18 592 6556 1291 4488 777 63.3 MiB 0.04 0.00 3.5592 -94.6383 -3.5592 3.5592 1.30 0.000157478 0.000125174 0.00787358 0.00650717 -1 -1 -1 -1 32 1586 18 6.65987e+06 228204 554710. 1919.41 0.89 0.0338716 0.0286305 22834 132086 -1 1290 19 727 1139 67522 18762 2.64351 2.64351 -91.6369 -2.64351 0 0 701300. 2426.64 0.30 0.03 0.13 -1 -1 0.30 0.0108955 0.00970398 96 4 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 6.95 vpr 64.21 MiB -1 -1 0.23 21828 1 0.05 -1 -1 33884 -1 -1 33 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65748 32 32 412 334 1 190 97 17 17 289 -1 unnamed_device 25.2 MiB 0.55 1151 14083 3602 8814 1667 64.2 MiB 0.12 0.00 4.1637 -141.581 -4.1637 4.1637 1.52 0.000239985 0.000189297 0.0187948 0.0152251 -1 -1 -1 -1 26 2790 35 6.65987e+06 418374 477104. 1650.88 1.80 0.0932026 0.0790477 21682 110474 -1 2446 21 1740 2547 209902 46025 3.82177 3.82177 -144.768 -3.82177 0 0 585099. 2024.56 0.25 0.06 0.11 -1 -1 0.25 0.0173274 0.0151531 144 90 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 5.19 vpr 63.91 MiB -1 -1 0.19 21380 1 0.04 -1 -1 33900 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 32 32 376 318 1 156 80 17 17 289 -1 unnamed_device 25.0 MiB 0.29 838 12464 4352 6338 1774 63.9 MiB 0.10 0.00 3.54047 -123.895 -3.54047 3.54047 1.06 0.000225521 0.000178436 0.0214698 0.0175208 -1 -1 -1 -1 30 1878 23 6.65987e+06 202848 526063. 1820.29 1.03 0.0666966 0.0558 22546 126617 -1 1542 22 1226 1790 98805 26832 2.87877 2.87877 -118.928 -2.87877 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0151246 0.013228 115 96 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 7.34 vpr 63.93 MiB -1 -1 0.24 21640 1 0.06 -1 -1 34008 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65464 32 32 360 293 1 179 95 17 17 289 -1 unnamed_device 25.1 MiB 0.47 983 16079 4676 8844 2559 63.9 MiB 0.24 0.00 4.19332 -128.664 -4.19332 4.19332 1.63 0.000605014 0.000519415 0.0551051 0.047835 -1 -1 -1 -1 32 2255 22 6.65987e+06 393018 554710. 1919.41 1.58 0.123022 0.106452 22834 132086 -1 1913 18 945 1398 91740 22097 3.08831 3.08831 -113.899 -3.08831 0 0 701300. 2426.64 0.30 0.04 0.14 -1 -1 0.30 0.0144483 0.0127817 130 60 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 7.26 vpr 63.84 MiB -1 -1 0.20 21860 1 0.04 -1 -1 34160 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65368 32 32 396 299 1 236 89 17 17 289 -1 unnamed_device 25.3 MiB 0.53 1359 11969 3395 7471 1103 63.8 MiB 0.25 0.01 6.16929 -186.366 -6.16929 6.16929 1.60 0.000855604 0.000747721 0.0515623 0.0447044 -1 -1 -1 -1 30 3247 30 6.65987e+06 316950 526063. 1820.29 1.51 0.122238 0.105706 22546 126617 -1 2548 18 1419 2069 117302 27620 4.70482 4.70482 -164.568 -4.70482 0 0 666494. 2306.21 0.34 0.09 0.12 -1 -1 0.34 0.0303644 0.0272888 168 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.63 vpr 63.24 MiB -1 -1 0.24 21276 1 0.03 -1 -1 33640 -1 -1 17 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64756 30 32 224 207 1 137 79 17 17 289 -1 unnamed_device 24.7 MiB 0.24 745 9881 2719 6136 1026 63.2 MiB 0.06 0.00 3.31307 -103.296 -3.31307 3.31307 0.99 0.000160002 0.000127709 0.0108662 0.00878042 -1 -1 -1 -1 30 1522 19 6.65987e+06 215526 526063. 1820.29 0.99 0.0430464 0.0363406 22546 126617 -1 1344 14 542 690 48805 11023 2.16777 2.16777 -89.6123 -2.16777 0 0 666494. 2306.21 0.32 0.03 0.12 -1 -1 0.32 0.0089873 0.00806494 86 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.52 vpr 63.54 MiB -1 -1 0.19 21552 1 0.03 -1 -1 33732 -1 -1 16 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65064 30 32 286 239 1 134 78 17 17 289 -1 unnamed_device 24.7 MiB 0.14 602 12196 3365 6955 1876 63.5 MiB 0.08 0.00 3.90063 -110.636 -3.90063 3.90063 0.95 0.000179567 0.000142245 0.015197 0.0122539 -1 -1 -1 -1 30 1518 19 6.65987e+06 202848 526063. 1820.29 0.89 0.0462933 0.0384287 22546 126617 -1 1262 17 645 1082 59268 14532 2.86471 2.86471 -99.436 -2.86471 0 0 666494. 2306.21 0.41 0.04 0.13 -1 -1 0.41 0.014125 0.0125301 92 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 5.40 vpr 63.33 MiB -1 -1 0.20 21632 1 0.05 -1 -1 33480 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64848 32 32 296 247 1 157 85 17 17 289 -1 unnamed_device 24.9 MiB 0.16 882 9385 2433 6375 577 63.3 MiB 0.07 0.00 3.38183 -110.848 -3.38183 3.38183 1.08 0.000208197 0.00016932 0.0114449 0.00936788 -1 -1 -1 -1 26 2388 28 6.65987e+06 266238 477104. 1650.88 1.62 0.078917 0.0685571 21682 110474 -1 2044 21 1367 2481 205189 45540 2.74151 2.74151 -112.085 -2.74151 0 0 585099. 2024.56 0.29 0.07 0.10 -1 -1 0.29 0.0176913 0.0154808 115 34 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 5.09 vpr 63.25 MiB -1 -1 0.16 21336 1 0.03 -1 -1 33732 -1 -1 27 25 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64772 25 32 216 194 1 122 84 17 17 289 -1 unnamed_device 24.8 MiB 0.13 451 9966 3308 4450 2208 63.3 MiB 0.05 0.00 3.08755 -72.8894 -3.08755 3.08755 1.13 0.000148394 0.000117353 0.0106207 0.0086907 -1 -1 -1 -1 30 1266 42 6.65987e+06 342306 526063. 1820.29 1.21 0.0578386 0.0493399 22546 126617 -1 972 64 992 2005 378014 232494 3.12459 3.12459 -67.2426 -3.12459 0 0 666494. 2306.21 0.34 0.15 0.13 -1 -1 0.34 0.024016 0.0204472 89 29 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 5.78 vpr 63.94 MiB -1 -1 0.22 21484 1 0.04 -1 -1 33800 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65472 32 32 376 307 1 185 84 17 17 289 -1 unnamed_device 25.1 MiB 0.36 1057 14724 4445 8208 2071 63.9 MiB 0.12 0.00 3.92752 -127.443 -3.92752 3.92752 1.01 0.000220795 0.000174399 0.0210103 0.0169908 -1 -1 -1 -1 32 2593 23 6.65987e+06 253560 554710. 1919.41 1.22 0.0739085 0.062067 22834 132086 -1 2333 21 1449 2571 195752 43834 3.59845 3.59845 -126.517 -3.59845 0 0 701300. 2426.64 0.45 0.11 0.17 -1 -1 0.45 0.0303938 0.0268507 135 72 -1 -1 -1 -1 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 5.29 vpr 63.94 MiB -1 -1 0.20 21868 1 0.03 -1 -1 33760 -1 -1 33 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65476 31 32 409 331 1 191 96 17 17 289 -1 unnamed_device 25.0 MiB 0.44 971 8856 1873 6469 514 63.9 MiB 0.08 0.00 4.32075 -139.202 -4.32075 4.32075 0.99 0.000245477 0.00019532 0.0126115 0.0103432 -1 -1 -1 -1 30 2154 18 6.65987e+06 418374 526063. 1820.29 1.11 0.0912634 0.0794414 22546 126617 -1 1832 18 1023 1581 85815 20192 3.08137 3.08137 -119.737 -3.08137 0 0 666494. 2306.21 0.31 0.05 0.19 -1 -1 0.31 0.0174297 0.0154511 142 90 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 12.11 vpr 64.53 MiB -1 -1 0.18 21364 1 0.04 -1 -1 33944 -1 -1 13 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66080 32 32 354 285 1 194 77 17 17 289 -1 unnamed_device 25.8 MiB 3.35 819 9531 4011 5172 348 64.5 MiB 0.07 0.00 5.3162 -155.272 -5.3162 5.3162 1.04 0.000221988 0.000176274 0.0161789 0.0132201 -1 -1 -1 -1 46 2487 41 6.95648e+06 188184 828058. 2865.25 4.18 0.117876 0.0999472 28066 200906 -1 2026 23 1583 2386 227929 54567 4.62211 4.62211 -154.484 -4.62211 0 0 1.01997e+06 3529.29 0.63 0.15 0.23 -1 -1 0.63 0.0368181 0.0328977 81 50 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 10.31 vpr 64.68 MiB -1 -1 0.25 21520 1 0.05 -1 -1 33912 -1 -1 15 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66228 30 32 363 293 1 189 77 17 17 289 -1 unnamed_device 25.9 MiB 3.24 826 11487 4560 5338 1589 64.7 MiB 0.08 0.00 4.55677 -137.33 -4.55677 4.55677 1.04 0.000212085 0.000166332 0.0197345 0.0160265 -1 -1 -1 -1 38 2540 31 6.95648e+06 217135 678818. 2348.85 3.23 0.117656 0.0993256 26626 170182 -1 2182 20 1894 2678 218279 46479 4.51791 4.51791 -149.379 -4.51791 0 0 902133. 3121.57 0.37 0.08 0.15 -1 -1 0.37 0.0216162 0.0193137 80 63 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 8.35 vpr 64.26 MiB -1 -1 0.18 21572 1 0.04 -1 -1 33940 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 32 32 299 247 1 182 79 17 17 289 -1 unnamed_device 25.7 MiB 1.68 827 10726 4133 5228 1365 64.3 MiB 0.07 0.00 3.76045 -118.752 -3.76045 3.76045 1.00 0.00019262 0.00015268 0.015791 0.0128785 -1 -1 -1 -1 40 2554 26 6.95648e+06 217135 706193. 2443.58 2.48 0.090803 0.0768171 26914 176310 -1 2163 23 1577 2193 187964 39693 3.66072 3.66072 -127.257 -3.66072 0 0 926341. 3205.33 0.61 0.10 0.22 -1 -1 0.61 0.0278853 0.0252605 76 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 7.53 vpr 64.29 MiB -1 -1 0.19 21604 1 0.04 -1 -1 33700 -1 -1 19 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65836 29 32 308 248 1 162 80 17 17 289 -1 unnamed_device 25.8 MiB 0.49 723 14356 5004 7145 2207 64.3 MiB 0.08 0.00 4.16078 -115.782 -4.16078 4.16078 0.92 0.000192149 0.000151565 0.0190399 0.0153402 -1 -1 -1 -1 38 2210 49 6.95648e+06 275038 678818. 2348.85 2.99 0.108319 0.0917604 26626 170182 -1 1597 21 1480 2455 149686 39777 4.58586 4.58586 -129.262 -4.58586 0 0 902133. 3121.57 0.53 0.10 0.18 -1 -1 0.53 0.0321312 0.0289667 71 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 7.86 vpr 64.92 MiB -1 -1 0.23 21572 1 0.04 -1 -1 33916 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66480 32 32 336 268 1 172 80 17 17 289 -1 unnamed_device 26.0 MiB 1.12 725 12292 5111 6719 462 64.9 MiB 0.07 0.00 4.31509 -131.389 -4.31509 4.31509 0.90 0.000205563 0.000161844 0.0173582 0.0140777 -1 -1 -1 -1 44 2628 39 6.95648e+06 231611 787024. 2723.27 2.93 0.100064 0.0840506 27778 195446 -1 2002 22 1473 2461 206209 45810 4.63321 4.63321 -144.751 -4.63321 0 0 997811. 3452.63 0.44 0.07 0.19 -1 -1 0.44 0.0176638 0.0156617 73 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 7.77 vpr 64.84 MiB -1 -1 0.21 21684 1 0.04 -1 -1 33848 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66400 32 32 366 295 1 182 85 17 17 289 -1 unnamed_device 26.1 MiB 1.29 780 12919 4595 6436 1888 64.8 MiB 0.09 0.00 3.0405 -114.196 -3.0405 3.0405 0.94 0.000222388 0.000175507 0.0185332 0.0150861 -1 -1 -1 -1 40 2350 24 6.95648e+06 303989 706193. 2443.58 2.59 0.0899042 0.0750879 26914 176310 -1 1993 23 1558 2361 235074 50536 3.23447 3.23447 -122.866 -3.23447 0 0 926341. 3205.33 0.41 0.08 0.19 -1 -1 0.41 0.022317 0.0198743 79 58 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 13.29 vpr 64.47 MiB -1 -1 0.19 21256 1 0.03 -1 -1 34236 -1 -1 14 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66016 27 32 259 221 1 127 73 17 17 289 -1 unnamed_device 25.9 MiB 7.08 438 12233 4755 5541 1937 64.5 MiB 0.06 0.00 3.56899 -93.4053 -3.56899 3.56899 1.05 0.000162596 0.000128346 0.0153326 0.0123812 -1 -1 -1 -1 38 1424 22 6.95648e+06 202660 678818. 2348.85 2.52 0.0812579 0.0673943 26626 170182 -1 1077 17 761 1211 79644 18967 2.87042 2.87042 -93.9521 -2.87042 0 0 902133. 3121.57 0.36 0.04 0.16 -1 -1 0.36 0.010778 0.00959309 53 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 7.15 vpr 63.80 MiB -1 -1 0.21 21452 1 0.04 -1 -1 33776 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65332 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 25.0 MiB 0.60 651 12373 4268 5547 2558 63.8 MiB 0.07 0.00 2.95842 -91.5041 -2.95842 2.95842 0.91 0.000187276 0.000148584 0.0151897 0.0122923 -1 -1 -1 -1 46 2209 26 6.95648e+06 361892 828058. 2865.25 2.72 0.0924417 0.0781809 28066 200906 -1 1528 20 1024 1644 126340 29774 2.98497 2.98497 -95.951 -2.98497 0 0 1.01997e+06 3529.29 0.46 0.06 0.20 -1 -1 0.46 0.0180316 0.016227 69 4 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 9.06 vpr 63.55 MiB -1 -1 0.24 21500 1 0.04 -1 -1 34072 -1 -1 11 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65080 31 32 317 271 1 163 74 17 17 289 -1 unnamed_device 25.0 MiB 2.53 588 11234 4630 5959 645 63.6 MiB 0.06 0.00 3.43049 -116.456 -3.43049 3.43049 0.99 0.000186299 0.000146923 0.0159625 0.01299 -1 -1 -1 -1 46 2138 37 6.95648e+06 159232 828058. 2865.25 2.49 0.0956042 0.0800219 28066 200906 -1 1613 22 1267 1771 130611 31469 3.99756 3.99756 -128.928 -3.99756 0 0 1.01997e+06 3529.29 0.51 0.06 0.20 -1 -1 0.51 0.0182684 0.0162897 66 64 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 8.31 vpr 64.10 MiB -1 -1 0.27 21600 1 0.05 -1 -1 33908 -1 -1 10 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65636 32 32 298 248 1 150 74 17 17 289 -1 unnamed_device 25.7 MiB 1.42 622 12629 5382 6987 260 64.1 MiB 0.07 0.00 3.30928 -114.751 -3.30928 3.30928 0.93 0.000198469 0.00015728 0.0185354 0.0150235 -1 -1 -1 -1 38 2059 36 6.95648e+06 144757 678818. 2348.85 2.95 0.0901888 0.0754361 26626 170182 -1 1539 21 1266 1783 148265 33150 3.14982 3.14982 -124.237 -3.14982 0 0 902133. 3121.57 0.38 0.10 0.24 -1 -1 0.38 0.028655 0.025901 59 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 7.95 vpr 63.96 MiB -1 -1 0.20 21500 1 0.05 -1 -1 33832 -1 -1 12 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65496 30 32 303 262 1 137 74 17 17 289 -1 unnamed_device 25.6 MiB 2.18 499 7824 2588 3808 1428 64.0 MiB 0.05 0.00 3.43453 -102.152 -3.43453 3.43453 0.97 0.000181831 0.000142898 0.0112941 0.00919499 -1 -1 -1 -1 38 1752 37 6.95648e+06 173708 678818. 2348.85 2.19 0.0744761 0.0617641 26626 170182 -1 1326 21 1069 1514 115939 26552 3.07902 3.07902 -108.714 -3.07902 0 0 902133. 3121.57 0.36 0.05 0.16 -1 -1 0.36 0.0135069 0.0118793 55 63 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 8.72 vpr 64.36 MiB -1 -1 0.18 21348 1 0.06 -1 -1 33868 -1 -1 10 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65900 32 32 276 237 1 160 74 17 17 289 -1 unnamed_device 25.6 MiB 1.95 641 8754 2760 4259 1735 64.4 MiB 0.05 0.00 3.28034 -110.937 -3.28034 3.28034 1.05 0.000171905 0.000135398 0.0121416 0.00994221 -1 -1 -1 -1 54 1307 45 6.95648e+06 144757 949917. 3286.91 2.46 0.0824087 0.0688568 29506 232905 -1 1088 22 1149 1563 98323 25859 2.96467 2.96467 -103.236 -2.96467 0 0 1.17392e+06 4061.99 0.62 0.09 0.24 -1 -1 0.62 0.0262099 0.0233277 62 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 10.25 vpr 64.50 MiB -1 -1 0.21 21380 1 0.04 -1 -1 34016 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66048 32 32 344 272 1 194 79 17 17 289 -1 unnamed_device 25.9 MiB 2.26 907 9881 2634 5845 1402 64.5 MiB 0.07 0.00 3.82352 -130.458 -3.82352 3.82352 1.11 0.000210584 0.000166699 0.0148822 0.0121283 -1 -1 -1 -1 38 2955 44 6.95648e+06 217135 678818. 2348.85 4.02 0.113009 0.0957341 26626 170182 -1 2316 23 2013 2995 290641 58279 3.44857 3.44857 -130.713 -3.44857 0 0 902133. 3121.57 0.35 0.09 0.25 -1 -1 0.35 0.0196117 0.0173875 83 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 7.25 vpr 64.60 MiB -1 -1 0.20 21540 1 0.03 -1 -1 33748 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66148 32 32 363 295 1 174 86 17 17 289 -1 unnamed_device 25.7 MiB 0.99 823 10481 3378 5508 1595 64.6 MiB 0.07 0.00 4.48063 -137.796 -4.48063 4.48063 0.94 0.000215097 0.000168242 0.0147095 0.0119895 -1 -1 -1 -1 38 2517 26 6.95648e+06 318465 678818. 2348.85 2.77 0.105806 0.0897578 26626 170182 -1 2065 20 1719 2505 231981 47305 4.15356 4.15356 -139.943 -4.15356 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0147827 0.012948 75 61 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 7.79 vpr 64.06 MiB -1 -1 0.24 21356 1 0.04 -1 -1 33780 -1 -1 13 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65596 29 32 248 215 1 136 74 17 17 289 -1 unnamed_device 25.5 MiB 1.57 466 9684 3087 4807 1790 64.1 MiB 0.05 0.00 3.10275 -86.0216 -3.10275 3.10275 0.90 0.000158117 0.000123521 0.0120611 0.00977274 -1 -1 -1 -1 48 1264 25 6.95648e+06 188184 865456. 2994.66 2.52 0.0736896 0.0624211 28354 207349 -1 1002 19 851 1266 84217 21946 2.96287 2.96287 -87.8543 -2.96287 0 0 1.05005e+06 3633.38 0.47 0.04 0.20 -1 -1 0.47 0.0119538 0.0106196 55 27 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 7.99 vpr 64.72 MiB -1 -1 0.20 21380 1 0.04 -1 -1 33788 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66276 32 32 370 297 1 181 81 17 17 289 -1 unnamed_device 25.8 MiB 1.33 775 13906 5829 7750 327 64.7 MiB 0.09 0.00 3.1265 -114.361 -3.1265 3.1265 0.96 0.000221425 0.000174985 0.0205022 0.0166575 -1 -1 -1 -1 46 2312 37 6.95648e+06 246087 828058. 2865.25 2.88 0.110365 0.0932517 28066 200906 -1 1900 23 1616 2552 220573 47117 3.02787 3.02787 -121.71 -3.02787 0 0 1.01997e+06 3529.29 0.39 0.06 0.18 -1 -1 0.39 0.0166722 0.0145266 77 58 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 8.81 vpr 64.51 MiB -1 -1 0.20 21396 1 0.04 -1 -1 33896 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66056 32 32 338 269 1 190 78 17 17 289 -1 unnamed_device 25.8 MiB 2.32 747 12528 4608 6627 1293 64.5 MiB 0.08 0.00 4.17585 -130.558 -4.17585 4.17585 1.28 0.000206749 0.00016357 0.0182732 0.0148447 -1 -1 -1 -1 46 2096 24 6.95648e+06 202660 828058. 2865.25 2.21 0.0913753 0.0767118 28066 200906 -1 1698 20 1513 2085 141000 32945 3.32147 3.32147 -122.644 -3.32147 0 0 1.01997e+06 3529.29 0.43 0.05 0.20 -1 -1 0.43 0.0156484 0.0138768 79 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 7.07 vpr 64.50 MiB -1 -1 0.24 21656 1 0.04 -1 -1 33792 -1 -1 9 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66048 32 32 323 276 1 148 73 17 17 289 -1 unnamed_device 26.0 MiB 1.00 713 11777 5007 6577 193 64.5 MiB 0.07 0.00 2.30911 -96.9749 -2.30911 2.30911 0.91 0.000189996 0.000148318 0.0173743 0.0139943 -1 -1 -1 -1 42 2008 47 6.95648e+06 130281 744469. 2576.02 2.45 0.103981 0.088063 27202 183097 -1 1664 19 1187 1768 172968 35245 2.45543 2.45543 -102.494 -2.45543 0 0 949917. 3286.91 0.37 0.05 0.19 -1 -1 0.37 0.0136451 0.0120174 57 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 6.32 vpr 63.70 MiB -1 -1 0.19 21320 1 0.04 -1 -1 33888 -1 -1 10 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65232 30 32 222 206 1 116 72 17 17 289 -1 unnamed_device 25.1 MiB 0.40 461 10800 4629 5825 346 63.7 MiB 0.08 0.00 2.11601 -78.0433 -2.11601 2.11601 0.98 0.000248924 0.000196684 0.0173341 0.014003 -1 -1 -1 -1 36 1696 29 6.95648e+06 144757 648988. 2245.63 2.25 0.069768 0.0580919 26050 158493 -1 1260 21 811 1065 112554 24104 2.46628 2.46628 -87.3645 -2.46628 0 0 828058. 2865.25 0.35 0.04 0.15 -1 -1 0.35 0.0103837 0.0091233 44 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 9.61 vpr 64.56 MiB -1 -1 0.20 21444 1 0.04 -1 -1 33972 -1 -1 12 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66112 31 32 291 243 1 169 75 17 17 289 -1 unnamed_device 25.8 MiB 3.51 809 7343 1906 5018 419 64.6 MiB 0.05 0.00 4.085 -135.532 -4.085 4.085 1.10 0.00018316 0.000145244 0.0107452 0.00884614 -1 -1 -1 -1 44 2187 26 6.95648e+06 173708 787024. 2723.27 2.10 0.0738246 0.0624353 27778 195446 -1 1736 22 1322 1829 185746 37640 3.73872 3.73872 -136.557 -3.73872 0 0 997811. 3452.63 0.39 0.06 0.19 -1 -1 0.39 0.014134 0.0125137 69 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 7.56 vpr 64.65 MiB -1 -1 0.18 21496 1 0.05 -1 -1 33960 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66204 32 32 342 271 1 173 84 17 17 289 -1 unnamed_device 25.8 MiB 0.89 652 8868 3175 4368 1325 64.7 MiB 0.06 0.00 3.70824 -121.183 -3.70824 3.70824 0.95 0.000252225 0.000205655 0.014282 0.0116308 -1 -1 -1 -1 48 2269 44 6.95648e+06 289514 865456. 2994.66 2.90 0.107039 0.0902821 28354 207349 -1 1729 29 1974 2701 205341 50308 3.95661 3.95661 -131.137 -3.95661 0 0 1.05005e+06 3633.38 0.41 0.07 0.20 -1 -1 0.41 0.019079 0.0166212 75 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 24.89 vpr 64.75 MiB -1 -1 0.22 21444 1 0.04 -1 -1 33740 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66308 32 32 372 300 1 197 78 17 17 289 -1 unnamed_device 25.8 MiB 1.82 829 13358 4003 7025 2330 64.8 MiB 0.09 0.00 4.7576 -136.611 -4.7576 4.7576 0.98 0.000224912 0.000178137 0.0213439 0.0173285 -1 -1 -1 -1 40 3227 43 6.95648e+06 202660 706193. 2443.58 19.18 0.224567 0.188514 26914 176310 -1 2291 20 1744 2675 303195 67376 4.16201 4.16201 -142.448 -4.16201 0 0 926341. 3205.33 0.41 0.08 0.19 -1 -1 0.41 0.0171412 0.0152645 82 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 6.21 vpr 63.66 MiB -1 -1 0.17 21216 1 0.03 -1 -1 34124 -1 -1 13 26 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65188 26 32 190 182 1 104 71 17 17 289 -1 unnamed_device 25.2 MiB 1.09 312 9123 3841 4664 618 63.7 MiB 0.04 0.00 2.23646 -64.6952 -2.23646 2.23646 0.89 0.000126804 9.9554e-05 0.0104115 0.00848257 -1 -1 -1 -1 38 1021 24 6.95648e+06 188184 678818. 2348.85 1.70 0.0519156 0.0431808 26626 170182 -1 768 19 550 671 50390 13315 2.25003 2.25003 -68.1429 -2.25003 0 0 902133. 3121.57 0.37 0.03 0.16 -1 -1 0.37 0.00931932 0.00825125 44 30 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 7.11 vpr 64.21 MiB -1 -1 0.17 21404 1 0.04 -1 -1 34048 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65748 32 32 285 227 1 161 79 17 17 289 -1 unnamed_device 25.5 MiB 1.04 670 9543 3158 4645 1740 64.2 MiB 0.06 0.00 4.56626 -117.316 -4.56626 4.56626 0.88 0.000179205 0.000141339 0.0124415 0.0101592 -1 -1 -1 -1 44 2267 26 6.95648e+06 217135 787024. 2723.27 2.46 0.0888189 0.0760538 27778 195446 -1 1602 28 1448 2388 179421 40665 4.06956 4.06956 -122.295 -4.06956 0 0 997811. 3452.63 0.38 0.06 0.21 -1 -1 0.38 0.0173792 0.0152561 66 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 5.64 vpr 63.91 MiB -1 -1 0.16 21020 1 0.05 -1 -1 33620 -1 -1 8 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 25.4 MiB 0.35 406 9906 4161 5525 220 63.9 MiB 0.04 0.00 2.18146 -70.2596 -2.18146 2.18146 0.94 0.000124507 9.7271e-05 0.00988285 0.00796893 -1 -1 -1 -1 36 1318 31 6.95648e+06 115805 648988. 2245.63 1.76 0.0487272 0.0401551 26050 158493 -1 1010 18 647 699 71573 16854 1.96208 1.96208 -75.5094 -1.96208 0 0 828058. 2865.25 0.32 0.03 0.15 -1 -1 0.32 0.00793337 0.00703134 42 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 11.12 vpr 64.15 MiB -1 -1 0.17 21448 1 0.04 -1 -1 34116 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65692 32 32 300 245 1 165 79 17 17 289 -1 unnamed_device 25.7 MiB 1.25 867 11740 4903 6637 200 64.2 MiB 0.08 0.00 4.50901 -127.255 -4.50901 4.50901 0.96 0.000192171 0.000151639 0.0162603 0.0132157 -1 -1 -1 -1 36 2734 50 6.95648e+06 217135 648988. 2245.63 6.16 0.124722 0.106944 26050 158493 -1 2155 20 1396 2160 208644 41889 3.98106 3.98106 -131.601 -3.98106 0 0 828058. 2865.25 0.32 0.06 0.16 -1 -1 0.32 0.0130309 0.0115155 68 24 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 7.07 vpr 64.41 MiB -1 -1 0.25 21272 1 0.03 -1 -1 34148 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65960 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 25.9 MiB 0.69 712 11989 4478 6107 1404 64.4 MiB 0.07 0.00 3.03146 -100.511 -3.03146 3.03146 0.94 0.000204437 0.000162194 0.0156796 0.0127903 -1 -1 -1 -1 46 2233 44 6.95648e+06 303989 828058. 2865.25 2.63 0.0977133 0.0830262 28066 200906 -1 1723 26 1313 2128 175152 40900 3.55217 3.55217 -109.828 -3.55217 0 0 1.01997e+06 3529.29 0.42 0.06 0.19 -1 -1 0.42 0.0163915 0.0142593 74 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 9.52 vpr 64.49 MiB -1 -1 0.18 21524 1 0.04 -1 -1 34004 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66040 32 32 338 277 1 172 83 17 17 289 -1 unnamed_device 25.6 MiB 1.04 860 15023 4398 9616 1009 64.5 MiB 0.09 0.00 4.41913 -133.119 -4.41913 4.41913 0.95 0.00020828 0.000164756 0.0197822 0.0159954 -1 -1 -1 -1 38 2706 45 6.95648e+06 275038 678818. 2348.85 4.85 0.133598 0.11382 26626 170182 -1 2154 21 1310 2015 173114 35307 4.12462 4.12462 -134.554 -4.12462 0 0 902133. 3121.57 0.34 0.06 0.15 -1 -1 0.34 0.0162332 0.0144336 72 50 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 7.98 vpr 64.16 MiB -1 -1 0.18 21512 1 0.04 -1 -1 33684 -1 -1 10 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65696 32 32 284 241 1 141 74 17 17 289 -1 unnamed_device 25.6 MiB 1.07 767 12319 3787 7504 1028 64.2 MiB 0.07 0.00 3.08875 -100.244 -3.08875 3.08875 0.93 0.000178109 0.00014023 0.0164567 0.0133096 -1 -1 -1 -1 36 2179 25 6.95648e+06 144757 648988. 2245.63 3.38 0.0854589 0.0719934 26050 158493 -1 1893 26 1241 1927 262841 76248 3.09632 3.09632 -116.758 -3.09632 0 0 828058. 2865.25 0.34 0.08 0.14 -1 -1 0.34 0.0167149 0.0147133 55 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 11.74 vpr 64.02 MiB -1 -1 0.21 21316 1 0.04 -1 -1 33944 -1 -1 18 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65556 30 32 262 227 1 134 80 17 17 289 -1 unnamed_device 25.5 MiB 0.32 475 11260 3973 5458 1829 64.0 MiB 0.06 0.00 3.16808 -92.8265 -3.16808 3.16808 0.95 0.000164255 0.000129073 0.0129851 0.0104919 -1 -1 -1 -1 40 1491 49 6.95648e+06 260562 706193. 2443.58 7.67 0.156767 0.132272 26914 176310 -1 1354 18 965 1322 133344 35806 2.92267 2.92267 -104.088 -2.92267 0 0 926341. 3205.33 0.36 0.05 0.17 -1 -1 0.36 0.0110759 0.00979618 57 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 7.05 vpr 63.97 MiB -1 -1 0.18 21536 1 0.05 -1 -1 33780 -1 -1 16 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65508 28 32 260 223 1 135 76 17 17 289 -1 unnamed_device 25.4 MiB 1.03 455 10956 4555 5743 658 64.0 MiB 0.06 0.00 2.9612 -88.8951 -2.9612 2.9612 0.93 0.000163943 0.000128305 0.0133793 0.0108521 -1 -1 -1 -1 42 2122 48 6.95648e+06 231611 744469. 2576.02 2.31 0.082035 0.0690944 27202 183097 -1 1442 25 1194 1858 160235 42971 3.50502 3.50502 -109.827 -3.50502 0 0 949917. 3286.91 0.38 0.06 0.18 -1 -1 0.38 0.014414 0.0125515 57 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 7.55 vpr 63.96 MiB -1 -1 0.24 21144 1 0.03 -1 -1 33868 -1 -1 10 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65500 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 25.4 MiB 0.62 491 8909 2535 4509 1865 64.0 MiB 0.05 0.00 3.37459 -106.336 -3.37459 3.37459 0.97 0.000174017 0.000138242 0.0117327 0.00958696 -1 -1 -1 -1 46 1610 43 6.95648e+06 144757 828058. 2865.25 3.16 0.0800122 0.0672175 28066 200906 -1 1141 21 1110 1592 118853 30138 2.98182 2.98182 -106.207 -2.98182 0 0 1.01997e+06 3529.29 0.40 0.04 0.20 -1 -1 0.40 0.0131555 0.0116862 58 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 6.85 vpr 63.96 MiB -1 -1 0.22 21268 1 0.04 -1 -1 33828 -1 -1 19 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65496 31 32 271 231 1 143 82 17 17 289 -1 unnamed_device 25.4 MiB 0.53 517 10050 3590 5083 1377 64.0 MiB 0.05 0.00 3.16008 -98.5956 -3.16008 3.16008 0.91 0.000167562 0.000130695 0.011461 0.00914926 -1 -1 -1 -1 46 1828 33 6.95648e+06 275038 828058. 2865.25 2.70 0.0713241 0.0597825 28066 200906 -1 1325 20 1000 1423 100826 24947 2.88667 2.88667 -102.534 -2.88667 0 0 1.01997e+06 3529.29 0.40 0.04 0.20 -1 -1 0.40 0.012947 0.0114847 61 30 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 7.48 vpr 64.32 MiB -1 -1 0.21 21536 1 0.07 -1 -1 33808 -1 -1 12 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65864 29 32 291 250 1 148 73 17 17 289 -1 unnamed_device 25.6 MiB 1.41 526 12233 5235 6327 671 64.3 MiB 0.07 0.00 2.76945 -94.9274 -2.76945 2.76945 0.95 0.0001768 0.000139095 0.0166225 0.0134067 -1 -1 -1 -1 46 1830 25 6.95648e+06 173708 828058. 2865.25 2.29 0.0766619 0.0643859 28066 200906 -1 1340 28 1257 1662 129919 31591 2.60472 2.60472 -98.1873 -2.60472 0 0 1.01997e+06 3529.29 0.41 0.06 0.19 -1 -1 0.41 0.0172454 0.0150118 61 54 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 19.67 vpr 64.84 MiB -1 -1 0.21 21332 1 0.05 -1 -1 34212 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66396 32 32 367 282 1 193 85 17 17 289 -1 unnamed_device 26.1 MiB 1.04 844 13477 4316 6348 2813 64.8 MiB 0.09 0.00 4.03548 -120.669 -4.03548 4.03548 0.93 0.000221355 0.00017561 0.019451 0.0158955 -1 -1 -1 -1 40 2610 26 6.95648e+06 303989 706193. 2443.58 14.82 0.17388 0.145374 26914 176310 -1 2302 25 1742 2813 237622 54085 4.11971 4.11971 -134.751 -4.11971 0 0 926341. 3205.33 0.35 0.08 0.18 -1 -1 0.35 0.0200668 0.0176019 84 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 8.15 vpr 64.58 MiB -1 -1 0.24 21748 1 0.04 -1 -1 33936 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66132 32 32 391 311 1 184 88 17 17 289 -1 unnamed_device 25.8 MiB 1.10 735 14323 5482 6766 2075 64.6 MiB 0.09 0.00 3.31218 -116.99 -3.31218 3.31218 0.98 0.000228439 0.000178766 0.0206726 0.0165039 -1 -1 -1 -1 40 2585 27 6.95648e+06 347416 706193. 2443.58 3.21 0.103933 0.0865487 26914 176310 -1 2126 25 2009 2860 289316 68222 3.46607 3.46607 -130.304 -3.46607 0 0 926341. 3205.33 0.36 0.08 0.17 -1 -1 0.36 0.0190086 0.0166142 82 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 8.80 vpr 64.23 MiB -1 -1 0.19 21352 1 0.04 -1 -1 33688 -1 -1 11 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65768 31 32 279 237 1 153 74 17 17 289 -1 unnamed_device 25.5 MiB 2.43 758 6739 2921 3632 186 64.2 MiB 0.04 0.00 3.81132 -121.219 -3.81132 3.81132 0.92 0.000173663 0.000136646 0.0106209 0.00872771 -1 -1 -1 -1 46 1839 22 6.95648e+06 159232 828058. 2865.25 2.63 0.0738397 0.0619271 28066 200906 -1 1596 21 1281 1828 170670 34985 3.07146 3.07146 -114.319 -3.07146 0 0 1.01997e+06 3529.29 0.38 0.06 0.19 -1 -1 0.38 0.0157263 0.0139192 63 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 9.98 vpr 64.80 MiB -1 -1 0.26 21644 1 0.06 -1 -1 33740 -1 -1 16 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66356 31 32 370 297 1 179 79 17 17 289 -1 unnamed_device 26.1 MiB 1.06 729 11233 3391 5862 1980 64.8 MiB 0.07 0.00 3.75886 -121.815 -3.75886 3.75886 0.88 0.000236727 0.000191639 0.0173044 0.0140804 -1 -1 -1 -1 38 2698 33 6.95648e+06 231611 678818. 2348.85 5.18 0.10694 0.090534 26626 170182 -1 1828 23 1676 2491 201615 44808 3.27527 3.27527 -123.878 -3.27527 0 0 902133. 3121.57 0.35 0.06 0.16 -1 -1 0.35 0.0176021 0.0154624 76 61 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 12.44 vpr 65.01 MiB -1 -1 0.22 21716 1 0.04 -1 -1 33788 -1 -1 16 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66568 31 32 377 302 1 225 79 17 17 289 -1 unnamed_device 26.1 MiB 2.83 959 13092 5556 7054 482 65.0 MiB 0.09 0.00 5.39406 -167.083 -5.39406 5.39406 0.97 0.00021757 0.000170626 0.0198332 0.016021 -1 -1 -1 -1 48 3474 43 6.95648e+06 231611 865456. 2994.66 5.58 0.116905 0.0987072 28354 207349 -1 2550 22 2362 3403 359700 76627 5.202 5.202 -178.576 -5.202 0 0 1.05005e+06 3633.38 0.41 0.09 0.20 -1 -1 0.41 0.0168841 0.0149412 97 64 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 12.59 vpr 64.62 MiB -1 -1 0.21 21624 1 0.04 -1 -1 33968 -1 -1 16 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66168 31 32 383 305 1 204 79 17 17 289 -1 unnamed_device 25.9 MiB 2.93 981 12754 4946 6110 1698 64.6 MiB 0.14 0.00 4.59684 -149.382 -4.59684 4.59684 1.00 0.000356586 0.000281736 0.0313539 0.0253711 -1 -1 -1 -1 36 3190 46 6.95648e+06 231611 648988. 2245.63 5.81 0.151382 0.129165 26050 158493 -1 2735 23 1939 2833 326579 63459 4.72741 4.72741 -168.365 -4.72741 0 0 828058. 2865.25 0.36 0.10 0.16 -1 -1 0.36 0.0234656 0.0209511 88 64 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 23.75 vpr 64.63 MiB -1 -1 0.21 21408 1 0.04 -1 -1 33676 -1 -1 22 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66184 31 32 352 285 1 177 85 17 17 289 -1 unnamed_device 26.0 MiB 1.49 767 14407 6066 7718 623 64.6 MiB 0.10 0.00 4.14668 -131.95 -4.14668 4.14668 0.98 0.000347424 0.000275175 0.0212892 0.0172631 -1 -1 -1 -1 46 2674 35 6.95648e+06 318465 828058. 2865.25 18.30 0.23343 0.197313 28066 200906 -1 1918 23 1425 2099 229543 56163 3.76692 3.76692 -129.177 -3.76692 0 0 1.01997e+06 3529.29 0.52 0.07 0.18 -1 -1 0.52 0.0170882 0.0150655 78 55 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 17.72 vpr 64.25 MiB -1 -1 0.20 21496 1 0.05 -1 -1 33808 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65792 32 32 291 242 1 173 78 17 17 289 -1 unnamed_device 25.8 MiB 1.53 753 10868 4524 5937 407 64.2 MiB 0.07 0.00 4.07128 -116.522 -4.07128 4.07128 1.02 0.000185993 0.000146825 0.0148305 0.0120237 -1 -1 -1 -1 40 2599 44 6.95648e+06 202660 706193. 2443.58 12.29 0.203541 0.175736 26914 176310 -1 2082 23 1527 2074 192603 43112 3.88006 3.88006 -122.361 -3.88006 0 0 926341. 3205.33 0.37 0.06 0.20 -1 -1 0.37 0.0152094 0.0134174 71 27 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 8.78 vpr 65.18 MiB -1 -1 0.21 21684 1 0.05 -1 -1 33880 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66744 32 32 457 356 1 214 86 17 17 289 -1 unnamed_device 26.3 MiB 1.82 869 9725 2505 5543 1677 65.2 MiB 0.11 0.00 4.71507 -153.199 -4.71507 4.71507 0.94 0.000405664 0.000322721 0.0251905 0.0206145 -1 -1 -1 -1 58 2296 31 6.95648e+06 318465 997811. 3452.63 2.96 0.122208 0.102196 30370 251734 -1 1872 22 1850 2705 219163 49456 4.41931 4.41931 -149.17 -4.41931 0 0 1.25153e+06 4330.55 0.49 0.07 0.26 -1 -1 0.49 0.0214367 0.0188876 93 87 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 7.33 vpr 64.16 MiB -1 -1 0.21 21156 1 0.07 -1 -1 33724 -1 -1 15 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65696 31 32 261 225 1 137 78 17 17 289 -1 unnamed_device 25.6 MiB 1.01 547 8876 3348 4442 1086 64.2 MiB 0.05 0.00 3.29541 -98.5818 -3.29541 3.29541 1.03 0.000166523 0.000130714 0.0113143 0.00925354 -1 -1 -1 -1 34 2050 41 6.95648e+06 217135 618332. 2139.56 2.58 0.0853216 0.0716146 25762 151098 -1 1417 22 1099 1557 134706 29985 3.00097 3.00097 -107.528 -3.00097 0 0 787024. 2723.27 0.34 0.05 0.14 -1 -1 0.34 0.0129351 0.0114088 56 28 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 8.75 vpr 64.57 MiB -1 -1 0.20 21468 1 0.05 -1 -1 33540 -1 -1 15 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66120 31 32 337 267 1 199 78 17 17 289 -1 unnamed_device 25.6 MiB 1.82 909 14520 6637 7462 421 64.6 MiB 0.09 0.00 4.79642 -150.982 -4.79642 4.79642 0.94 0.000208301 0.000165398 0.0221688 0.0182114 -1 -1 -1 -1 48 2603 25 6.95648e+06 217135 865456. 2994.66 3.13 0.109627 0.0937694 28354 207349 -1 2096 23 1679 2408 259494 58538 4.24206 4.24206 -145.401 -4.24206 0 0 1.05005e+06 3633.38 0.42 0.08 0.20 -1 -1 0.42 0.0185476 0.0164431 84 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 8.30 vpr 64.55 MiB -1 -1 0.20 21404 1 0.03 -1 -1 33904 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66100 32 32 349 284 1 175 81 17 17 289 -1 unnamed_device 25.7 MiB 1.26 767 9181 3812 5049 320 64.6 MiB 0.06 0.00 3.22585 -111.884 -3.22585 3.22585 0.97 0.000218212 0.000172255 0.0140114 0.0113955 -1 -1 -1 -1 48 2304 49 6.95648e+06 246087 865456. 2994.66 3.20 0.115442 0.0982361 28354 207349 -1 1811 23 1518 2477 196205 44945 3.39087 3.39087 -116.832 -3.39087 0 0 1.05005e+06 3633.38 0.44 0.06 0.24 -1 -1 0.44 0.0160978 0.0140717 73 53 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 7.92 vpr 64.22 MiB -1 -1 0.20 21316 1 0.04 -1 -1 33656 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65760 32 32 291 230 1 166 80 17 17 289 -1 unnamed_device 25.7 MiB 1.39 689 10744 4411 5919 414 64.2 MiB 0.06 0.00 4.49648 -123.127 -4.49648 4.49648 0.95 0.000187793 0.000147999 0.0142554 0.011582 -1 -1 -1 -1 44 2677 33 6.95648e+06 231611 787024. 2723.27 2.72 0.0836334 0.0702513 27778 195446 -1 1768 22 1306 2216 176830 39983 4.33596 4.33596 -132.934 -4.33596 0 0 997811. 3452.63 0.43 0.07 0.26 -1 -1 0.43 0.0195565 0.0174362 68 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 9.37 vpr 64.48 MiB -1 -1 0.19 21448 1 0.03 -1 -1 33848 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66032 32 32 353 287 1 185 79 17 17 289 -1 unnamed_device 25.5 MiB 2.78 764 10219 4191 5614 414 64.5 MiB 0.10 0.00 4.42645 -137.171 -4.42645 4.42645 0.95 0.000342824 0.000268944 0.0213624 0.0174021 -1 -1 -1 -1 46 2232 27 6.95648e+06 217135 828058. 2865.25 2.74 0.100231 0.0842754 28066 200906 -1 1690 21 1356 1843 119286 28759 3.38676 3.38676 -124.263 -3.38676 0 0 1.01997e+06 3529.29 0.41 0.05 0.25 -1 -1 0.41 0.0171928 0.0152631 78 55 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 11.03 vpr 64.58 MiB -1 -1 0.19 21480 1 0.05 -1 -1 33824 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66132 32 32 361 291 1 178 81 17 17 289 -1 unnamed_device 25.8 MiB 2.21 705 10581 4329 5826 426 64.6 MiB 0.07 0.00 3.235 -113.751 -3.235 3.235 1.07 0.000216793 0.000171308 0.0160907 0.0131381 -1 -1 -1 -1 46 2538 36 6.95648e+06 246087 828058. 2865.25 4.67 0.124548 0.10578 28066 200906 -1 1762 22 1596 2486 209871 53513 3.04467 3.04467 -116.161 -3.04467 0 0 1.01997e+06 3529.29 0.39 0.07 0.19 -1 -1 0.39 0.0178396 0.0158144 75 55 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 17.69 vpr 64.84 MiB -1 -1 0.25 21476 1 0.03 -1 -1 33904 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66392 32 32 382 305 1 184 90 17 17 289 -1 unnamed_device 26.1 MiB 1.11 869 14562 4589 7172 2801 64.8 MiB 0.09 0.00 4.34419 -139.535 -4.34419 4.34419 0.92 0.00022577 0.000179326 0.0204811 0.0167086 -1 -1 -1 -1 38 3019 38 6.95648e+06 376368 678818. 2348.85 12.95 0.181997 0.153343 26626 170182 -1 2117 19 1421 2043 151008 32733 3.99412 3.99412 -141.047 -3.99412 0 0 902133. 3121.57 0.35 0.05 0.17 -1 -1 0.35 0.016007 0.0142548 83 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 8.21 vpr 64.44 MiB -1 -1 0.20 21648 1 0.04 -1 -1 33932 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65988 32 32 306 248 1 164 86 17 17 289 -1 unnamed_device 25.9 MiB 1.33 657 12749 3718 6154 2877 64.4 MiB 0.07 0.00 4.33949 -116.542 -4.33949 4.33949 1.10 0.000185594 0.000146415 0.01518 0.012292 -1 -1 -1 -1 46 2278 27 6.95648e+06 318465 828058. 2865.25 3.02 0.0899726 0.0757891 28066 200906 -1 1635 34 1343 2207 278677 118734 3.86876 3.86876 -120.58 -3.86876 0 0 1.01997e+06 3529.29 0.41 0.11 0.19 -1 -1 0.41 0.0211993 0.0185002 69 24 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 9.75 vpr 64.49 MiB -1 -1 0.19 21700 1 0.04 -1 -1 34120 -1 -1 13 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66036 32 32 319 257 1 191 77 17 17 289 -1 unnamed_device 25.7 MiB 3.29 759 11487 3081 6173 2233 64.5 MiB 0.06 0.00 4.15778 -124.964 -4.15778 4.15778 0.94 0.000197186 0.000155491 0.0172985 0.01402 -1 -1 -1 -1 46 2227 42 6.95648e+06 188184 828058. 2865.25 2.79 0.0969509 0.0813836 28066 200906 -1 1421 20 1332 1783 116832 30386 4.29322 4.29322 -128.812 -4.29322 0 0 1.01997e+06 3529.29 0.41 0.05 0.20 -1 -1 0.41 0.0168611 0.0151506 80 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 8.62 vpr 64.75 MiB -1 -1 0.22 21752 1 0.04 -1 -1 33876 -1 -1 15 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66304 31 32 373 299 1 194 78 17 17 289 -1 unnamed_device 26.0 MiB 1.87 818 11200 4390 5140 1670 64.8 MiB 0.07 0.00 4.55157 -142.077 -4.55157 4.55157 0.90 0.000229712 0.000181226 0.0188117 0.015288 -1 -1 -1 -1 50 2910 30 6.95648e+06 217135 902133. 3121.57 3.06 0.0985879 0.0825917 28642 213929 -1 2279 22 1850 2937 242481 54030 4.72121 4.72121 -154.712 -4.72121 0 0 1.08113e+06 3740.92 0.42 0.07 0.20 -1 -1 0.42 0.0171864 0.0151822 85 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 24.29 vpr 64.56 MiB -1 -1 0.22 21824 1 0.05 -1 -1 34300 -1 -1 13 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66108 32 32 387 315 1 183 77 17 17 289 -1 unnamed_device 25.9 MiB 1.70 875 12791 5461 6994 336 64.6 MiB 0.08 0.00 4.05245 -131.841 -4.05245 4.05245 0.92 0.000217618 0.000171367 0.0210095 0.0169789 -1 -1 -1 -1 40 2776 26 6.95648e+06 188184 706193. 2443.58 18.76 0.221147 0.187227 26914 176310 -1 2529 21 1721 2851 323085 71230 4.21512 4.21512 -142.266 -4.21512 0 0 926341. 3205.33 0.36 0.09 0.17 -1 -1 0.36 0.0194288 0.0173179 76 77 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 12.67 vpr 63.85 MiB -1 -1 0.18 21260 1 0.04 -1 -1 33728 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65384 32 32 251 219 1 136 82 17 17 289 -1 unnamed_device 25.4 MiB 0.37 503 11474 3656 5616 2202 63.9 MiB 0.06 0.00 3.14908 -92.8603 -3.14908 3.14908 0.92 0.000187098 0.00014896 0.0137651 0.0109912 -1 -1 -1 -1 48 1505 24 6.95648e+06 260562 865456. 2994.66 8.50 0.128527 0.108036 28354 207349 -1 1289 20 938 1404 119504 29563 2.86757 2.86757 -100.192 -2.86757 0 0 1.05005e+06 3633.38 0.42 0.04 0.20 -1 -1 0.42 0.0113165 0.00999104 57 23 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 8.10 vpr 64.65 MiB -1 -1 0.20 21408 1 0.04 -1 -1 34080 -1 -1 12 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66204 32 32 341 285 1 181 76 17 17 289 -1 unnamed_device 25.8 MiB 1.92 711 11916 4904 6407 605 64.7 MiB 0.07 0.00 3.71155 -132.668 -3.71155 3.71155 0.97 0.000201596 0.000157466 0.0181646 0.0145509 -1 -1 -1 -1 44 2425 26 6.95648e+06 173708 787024. 2723.27 2.48 0.0814906 0.0674591 27778 195446 -1 1674 18 1420 1991 153166 33659 3.57462 3.57462 -129.856 -3.57462 0 0 997811. 3452.63 0.40 0.05 0.18 -1 -1 0.40 0.0142371 0.0126713 76 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 9.14 vpr 65.04 MiB -1 -1 0.20 21792 1 0.04 -1 -1 33992 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66596 32 32 387 293 1 225 80 17 17 289 -1 unnamed_device 26.0 MiB 1.83 1043 13668 5813 7551 304 65.0 MiB 0.10 0.00 4.86362 -154.705 -4.86362 4.86362 1.02 0.000237383 0.000187487 0.0219135 0.0177528 -1 -1 -1 -1 46 3365 22 6.95648e+06 231611 828058. 2865.25 3.47 0.104798 0.0880326 28066 200906 -1 2399 21 2087 3130 238764 50403 4.78086 4.78086 -155.649 -4.78086 0 0 1.01997e+06 3529.29 0.40 0.08 0.19 -1 -1 0.40 0.0201144 0.0180034 95 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 7.26 vpr 64.63 MiB -1 -1 0.21 21648 1 0.04 -1 -1 33920 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66184 32 32 340 270 1 175 81 17 17 289 -1 unnamed_device 25.8 MiB 1.06 1030 10056 3475 5590 991 64.6 MiB 0.06 0.00 4.33951 -148.581 -4.33951 4.33951 1.02 0.000200826 0.000158735 0.0141769 0.0115758 -1 -1 -1 -1 38 2405 21 6.95648e+06 246087 678818. 2348.85 2.28 0.0927429 0.0781714 26626 170182 -1 2053 21 1440 1910 152876 31166 3.53206 3.53206 -140.961 -3.53206 0 0 902133. 3121.57 0.36 0.05 0.17 -1 -1 0.36 0.0154685 0.0136549 74 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 8.75 vpr 64.25 MiB -1 -1 0.20 21476 1 0.04 -1 -1 33996 -1 -1 20 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65796 30 32 278 235 1 143 82 17 17 289 -1 unnamed_device 25.6 MiB 0.69 522 12008 3926 6070 2012 64.3 MiB 0.08 0.00 2.944 -97.1669 -2.944 2.944 0.95 0.000181024 0.000136069 0.017998 0.0144001 -1 -1 -1 -1 38 2191 45 6.95648e+06 289514 678818. 2348.85 4.30 0.110516 0.0943324 26626 170182 -1 1384 24 1137 1760 144452 32557 3.32657 3.32657 -105.304 -3.32657 0 0 902133. 3121.57 0.33 0.05 0.16 -1 -1 0.33 0.0149642 0.0131142 62 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 10.26 vpr 64.70 MiB -1 -1 0.21 21776 1 0.05 -1 -1 33860 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66252 32 32 431 332 1 224 79 17 17 289 -1 unnamed_device 26.0 MiB 2.21 1133 14782 6411 8010 361 64.7 MiB 0.10 0.00 5.84939 -174.319 -5.84939 5.84939 0.98 0.000253962 0.000200376 0.0251037 0.0202226 -1 -1 -1 -1 46 3210 43 6.95648e+06 217135 828058. 2865.25 4.16 0.13517 0.113698 28066 200906 -1 2620 22 2234 3260 380021 79679 4.9092 4.9092 -168.046 -4.9092 0 0 1.01997e+06 3529.29 0.39 0.10 0.19 -1 -1 0.39 0.0204464 0.0181409 95 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 8.47 vpr 64.57 MiB -1 -1 0.21 21484 1 0.04 -1 -1 34144 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66120 32 32 336 268 1 169 87 17 17 289 -1 unnamed_device 25.7 MiB 1.54 677 13335 4957 6249 2129 64.6 MiB 0.07 0.00 4.62011 -128.464 -4.62011 4.62011 0.98 0.000205524 0.000161618 0.017055 0.0137032 -1 -1 -1 -1 46 2127 39 6.95648e+06 332941 828058. 2865.25 2.93 0.099371 0.0839128 28066 200906 -1 1601 21 1287 1925 131804 31581 3.66536 3.66536 -124.644 -3.66536 0 0 1.01997e+06 3529.29 0.44 0.05 0.20 -1 -1 0.44 0.0144729 0.0127689 74 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 6.72 vpr 64.14 MiB -1 -1 0.22 21120 1 0.04 -1 -1 33956 -1 -1 13 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65684 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 25.6 MiB 0.40 533 10509 4364 5792 353 64.1 MiB 0.05 0.00 2.922 -92.1888 -2.922 2.922 0.96 0.000154993 0.000121472 0.0125655 0.0102766 -1 -1 -1 -1 44 1860 43 6.95648e+06 188184 787024. 2723.27 2.53 0.0771276 0.0651503 27778 195446 -1 1322 21 1032 1559 125074 32023 3.01497 3.01497 -97.1456 -3.01497 0 0 997811. 3452.63 0.40 0.05 0.19 -1 -1 0.40 0.0116559 0.0103119 51 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 7.86 vpr 64.68 MiB -1 -1 0.18 21436 1 0.06 -1 -1 33892 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66236 32 32 349 273 1 184 88 17 17 289 -1 unnamed_device 25.7 MiB 0.81 923 15298 6192 8434 672 64.7 MiB 0.10 0.00 4.94787 -132.081 -4.94787 4.94787 0.94 0.000250349 0.000200833 0.022135 0.018149 -1 -1 -1 -1 42 2728 49 6.95648e+06 347416 744469. 2576.02 3.30 0.157132 0.134973 27202 183097 -1 2153 22 1630 2908 277830 54875 4.62111 4.62111 -136.41 -4.62111 0 0 949917. 3286.91 0.37 0.08 0.17 -1 -1 0.37 0.0193436 0.0171273 80 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 7.76 vpr 63.95 MiB -1 -1 0.18 21148 1 0.04 -1 -1 33948 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65488 32 32 247 207 1 143 78 17 17 289 -1 unnamed_device 25.5 MiB 1.37 478 10702 3424 4721 2557 64.0 MiB 0.05 0.00 2.9972 -98.3507 -2.9972 2.9972 1.00 0.000165373 0.00013019 0.0127656 0.0103824 -1 -1 -1 -1 40 1891 46 6.95648e+06 202660 706193. 2443.58 2.69 0.0821289 0.0692836 26914 176310 -1 1393 20 1216 1705 175293 44848 3.12312 3.12312 -112.128 -3.12312 0 0 926341. 3205.33 0.38 0.05 0.16 -1 -1 0.38 0.0114017 0.0100529 57 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 7.84 vpr 64.13 MiB -1 -1 0.20 21560 1 0.03 -1 -1 33768 -1 -1 17 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65668 30 32 278 235 1 144 79 17 17 289 -1 unnamed_device 25.5 MiB 1.08 563 7684 3076 4271 337 64.1 MiB 0.05 0.00 3.70539 -107.459 -3.70539 3.70539 0.91 0.000177718 0.00013904 0.0102338 0.00840067 -1 -1 -1 -1 38 2065 26 6.95648e+06 246087 678818. 2348.85 3.30 0.0869072 0.0747709 26626 170182 -1 1388 19 962 1443 98972 22533 2.95562 2.95562 -101.553 -2.95562 0 0 902133. 3121.57 0.36 0.05 0.17 -1 -1 0.36 0.0142323 0.0127051 60 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 24.87 vpr 64.96 MiB -1 -1 0.21 21508 1 0.04 -1 -1 33908 -1 -1 16 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66520 29 32 355 287 1 186 77 17 17 289 -1 unnamed_device 26.2 MiB 1.89 772 11161 4679 5848 634 65.0 MiB 0.12 0.00 3.81182 -119.076 -3.81182 3.81182 1.02 0.000349239 0.000276172 0.028804 0.023447 -1 -1 -1 -1 46 2910 43 6.95648e+06 231611 828058. 2865.25 18.84 0.231565 0.19419 28066 200906 -1 1956 24 1940 2895 213699 47048 3.24217 3.24217 -117.065 -3.24217 0 0 1.01997e+06 3529.29 0.41 0.08 0.19 -1 -1 0.41 0.0214787 0.0188816 80 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 8.53 vpr 64.62 MiB -1 -1 0.20 21632 1 0.05 -1 -1 33480 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66172 32 32 358 289 1 174 80 17 17 289 -1 unnamed_device 25.7 MiB 1.53 730 14528 6360 7668 500 64.6 MiB 0.11 0.00 4.50448 -132.805 -4.50448 4.50448 1.05 0.000341911 0.000262921 0.0265949 0.0214941 -1 -1 -1 -1 40 2494 23 6.95648e+06 231611 706193. 2443.58 2.67 0.117832 0.0989724 26914 176310 -1 2053 23 1679 2442 220311 49164 4.37836 4.37836 -149.477 -4.37836 0 0 926341. 3205.33 0.54 0.08 0.21 -1 -1 0.54 0.020537 0.0183004 72 54 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 8.14 vpr 64.72 MiB -1 -1 0.19 21600 1 0.04 -1 -1 33872 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66272 32 32 353 285 1 178 78 17 17 289 -1 unnamed_device 26.1 MiB 2.23 893 12196 5165 6793 238 64.7 MiB 0.08 0.00 4.54489 -142.964 -4.54489 4.54489 0.90 0.000213724 0.000168819 0.01848 0.0150183 -1 -1 -1 -1 44 2682 22 6.95648e+06 202660 787024. 2723.27 2.30 0.0887151 0.0743596 27778 195446 -1 2055 24 1428 2293 201073 39813 4.04006 4.04006 -140.568 -4.04006 0 0 997811. 3452.63 0.39 0.06 0.19 -1 -1 0.39 0.017016 0.0149477 73 51 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 11.04 vpr 64.03 MiB -1 -1 0.17 21464 1 0.04 -1 -1 33732 -1 -1 10 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65568 32 32 276 237 1 155 74 17 17 289 -1 unnamed_device 25.6 MiB 3.56 776 12009 5242 6488 279 64.0 MiB 0.06 0.00 4.04528 -129.976 -4.04528 4.04528 0.99 0.00017464 0.000137765 0.0156549 0.012666 -1 -1 -1 -1 46 1885 49 6.95648e+06 144757 828058. 2865.25 3.67 0.0873908 0.0731553 28066 200906 -1 1641 19 1151 1512 250515 72629 3.36462 3.36462 -120.941 -3.36462 0 0 1.01997e+06 3529.29 0.41 0.09 0.19 -1 -1 0.41 0.0160951 0.014422 61 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 8.39 vpr 64.62 MiB -1 -1 0.21 21596 1 0.04 -1 -1 33976 -1 -1 12 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66176 31 32 319 272 1 165 75 17 17 289 -1 unnamed_device 25.8 MiB 2.52 663 10661 4446 5843 372 64.6 MiB 0.06 0.00 3.79972 -122.501 -3.79972 3.79972 1.06 0.000190349 0.000148988 0.015113 0.0122148 -1 -1 -1 -1 42 2372 44 6.95648e+06 173708 744469. 2576.02 2.00 0.0872737 0.0720975 27202 183097 -1 1654 24 1345 1967 153429 34253 3.68766 3.68766 -126.73 -3.68766 0 0 949917. 3286.91 0.44 0.06 0.17 -1 -1 0.44 0.0176669 0.0155702 68 64 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 9.40 vpr 64.38 MiB -1 -1 0.20 21556 1 0.04 -1 -1 33788 -1 -1 22 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65928 30 32 329 273 1 160 84 17 17 289 -1 unnamed_device 25.8 MiB 0.97 674 10881 3783 5148 1950 64.4 MiB 0.07 0.00 3.0162 -94.5584 -3.0162 3.0162 0.90 0.000198634 0.000155316 0.0142791 0.0115827 -1 -1 -1 -1 36 2708 33 6.95648e+06 318465 648988. 2245.63 4.93 0.0939571 0.0794879 26050 158493 -1 1913 21 1228 1904 165436 37389 3.36877 3.36877 -109.961 -3.36877 0 0 828058. 2865.25 0.32 0.05 0.15 -1 -1 0.32 0.0150023 0.0132662 71 57 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 6.77 vpr 64.26 MiB -1 -1 0.21 21492 1 0.04 -1 -1 33856 -1 -1 28 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65800 28 32 277 229 1 155 88 17 17 289 -1 unnamed_device 25.5 MiB 0.77 778 10813 2608 7403 802 64.3 MiB 0.07 0.00 3.60279 -102.16 -3.60279 3.60279 0.90 0.00018848 0.000148066 0.0119344 0.00967356 -1 -1 -1 -1 38 2172 19 6.95648e+06 405319 678818. 2348.85 2.40 0.0645869 0.054052 26626 170182 -1 1840 21 1172 1938 158200 32086 3.80596 3.80596 -114.363 -3.80596 0 0 902133. 3121.57 0.33 0.05 0.15 -1 -1 0.33 0.0124895 0.0109833 72 27 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 7.59 vpr 64.20 MiB -1 -1 0.22 21556 1 0.04 -1 -1 34040 -1 -1 12 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65744 30 32 317 269 1 149 74 17 17 289 -1 unnamed_device 25.7 MiB 0.95 563 10459 4351 5596 512 64.2 MiB 0.06 0.00 3.44073 -108.445 -3.44073 3.44073 0.98 0.000205407 0.000164288 0.0154359 0.0124974 -1 -1 -1 -1 62 1390 22 6.95648e+06 173708 1.05005e+06 3633.38 2.55 0.0737174 0.0611903 30946 263737 -1 1138 18 989 1332 92509 22287 3.01972 3.01972 -104.668 -3.01972 0 0 1.30136e+06 4502.97 0.63 0.04 0.27 -1 -1 0.63 0.0134356 0.0119668 61 63 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 10.19 vpr 64.68 MiB -1 -1 0.22 21480 1 0.04 -1 -1 33856 -1 -1 11 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66228 32 32 335 282 1 178 75 17 17 289 -1 unnamed_device 25.8 MiB 2.09 640 12873 4842 6240 1791 64.7 MiB 0.07 0.00 3.39649 -120.54 -3.39649 3.39649 1.00 0.000198488 0.000155961 0.0186487 0.0150507 -1 -1 -1 -1 48 2228 47 6.95648e+06 159232 865456. 2994.66 4.10 0.111251 0.0935586 28354 207349 -1 1834 27 1641 2354 245542 62313 3.31916 3.31916 -126.417 -3.31916 0 0 1.05005e+06 3633.38 0.44 0.08 0.21 -1 -1 0.44 0.0177676 0.0155264 72 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 8.75 vpr 64.28 MiB -1 -1 0.20 21436 1 0.04 -1 -1 34044 -1 -1 24 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65824 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 25.8 MiB 0.62 710 11799 3748 5667 2384 64.3 MiB 0.06 0.00 4.65108 -122.985 -4.65108 4.65108 0.94 0.000189643 0.000148953 0.0139217 0.0112599 -1 -1 -1 -1 48 2306 45 6.95648e+06 347416 865456. 2994.66 4.23 0.0967135 0.082422 28354 207349 -1 1724 21 1126 1892 189388 42832 3.77166 3.77166 -124.085 -3.77166 0 0 1.05005e+06 3633.38 0.45 0.06 0.20 -1 -1 0.45 0.0155889 0.0137867 74 4 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 9.45 vpr 64.45 MiB -1 -1 0.19 21412 1 0.04 -1 -1 33720 -1 -1 13 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65996 32 32 350 275 1 195 77 17 17 289 -1 unnamed_device 25.7 MiB 2.27 839 11813 4506 5923 1384 64.4 MiB 0.08 0.00 4.59692 -149.244 -4.59692 4.59692 1.00 0.000215839 0.000169833 0.018396 0.014895 -1 -1 -1 -1 46 2984 27 6.95648e+06 188184 828058. 2865.25 3.26 0.130669 0.112792 28066 200906 -1 2127 22 1674 2480 206654 44561 4.19442 4.19442 -148.109 -4.19442 0 0 1.01997e+06 3529.29 0.41 0.06 0.20 -1 -1 0.41 0.0164165 0.0145249 81 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 8.95 vpr 64.64 MiB -1 -1 0.21 21520 1 0.05 -1 -1 34072 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66188 32 32 385 308 1 179 88 17 17 289 -1 unnamed_device 25.9 MiB 1.72 818 16468 6086 8049 2333 64.6 MiB 0.11 0.00 4.31183 -134.888 -4.31183 4.31183 0.98 0.000225979 0.000177662 0.0254926 0.0192952 -1 -1 -1 -1 46 2433 50 6.95648e+06 347416 828058. 2865.25 3.12 0.132011 0.110075 28066 200906 -1 2036 24 1655 2611 275869 56257 4.20256 4.20256 -147.124 -4.20256 0 0 1.01997e+06 3529.29 0.42 0.08 0.29 -1 -1 0.42 0.0199716 0.017589 80 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 8.95 vpr 64.68 MiB -1 -1 0.24 21440 1 0.04 -1 -1 33868 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66232 32 32 387 309 1 182 87 17 17 289 -1 unnamed_device 26.0 MiB 1.29 810 13911 4952 7625 1334 64.7 MiB 0.09 0.00 4.06852 -133.682 -4.06852 4.06852 1.07 0.000229842 0.00018162 0.0193937 0.0157027 -1 -1 -1 -1 44 2810 38 6.95648e+06 332941 787024. 2723.27 3.43 0.134048 0.113762 27778 195446 -1 2088 22 1704 2782 216494 48753 4.03326 4.03326 -142.694 -4.03326 0 0 997811. 3452.63 0.45 0.07 0.20 -1 -1 0.45 0.0182081 0.015831 80 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 7.31 vpr 64.03 MiB -1 -1 0.29 21400 1 0.04 -1 -1 33908 -1 -1 12 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65564 30 32 272 232 1 142 74 17 17 289 -1 unnamed_device 25.5 MiB 1.03 540 8134 2607 3837 1690 64.0 MiB 0.05 0.00 3.76076 -106.203 -3.76076 3.76076 0.96 0.000174391 0.000137202 0.0118836 0.00976983 -1 -1 -1 -1 40 1743 21 6.95648e+06 173708 706193. 2443.58 2.48 0.0788246 0.0668242 26914 176310 -1 1450 19 1055 1623 139417 31075 3.09482 3.09482 -107.953 -3.09482 0 0 926341. 3205.33 0.41 0.05 0.18 -1 -1 0.41 0.014197 0.0127135 57 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 13.44 vpr 64.67 MiB -1 -1 0.21 21448 1 0.04 -1 -1 34264 -1 -1 14 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66220 30 32 375 299 1 179 76 17 17 289 -1 unnamed_device 26.0 MiB 1.08 678 9356 3868 5007 481 64.7 MiB 0.07 0.00 4.36203 -133.981 -4.36203 4.36203 1.22 0.000228157 0.000180646 0.0198053 0.0163214 -1 -1 -1 -1 48 2074 34 6.95648e+06 202660 865456. 2994.66 8.16 0.175413 0.14537 28354 207349 -1 1674 24 1941 2609 223637 50320 4.08962 4.08962 -138.403 -4.08962 0 0 1.05005e+06 3633.38 0.42 0.07 0.20 -1 -1 0.42 0.018834 0.0165566 76 63 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 9.31 vpr 64.70 MiB -1 -1 0.30 21644 1 0.04 -1 -1 33996 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66248 32 32 340 270 1 193 78 17 17 289 -1 unnamed_device 25.8 MiB 1.86 842 12030 5028 6552 450 64.7 MiB 0.08 0.00 4.9029 -146.03 -4.9029 4.9029 0.97 0.000207838 0.000163843 0.0186864 0.0152457 -1 -1 -1 -1 48 2359 34 6.95648e+06 202660 865456. 2994.66 3.42 0.150925 0.131749 28354 207349 -1 1906 21 1574 2568 243804 52280 4.23991 4.23991 -138.98 -4.23991 0 0 1.05005e+06 3633.38 0.41 0.07 0.21 -1 -1 0.41 0.0166902 0.0147833 80 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 8.41 vpr 64.54 MiB -1 -1 0.32 21468 1 0.05 -1 -1 34116 -1 -1 14 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66088 31 32 340 275 1 187 77 17 17 289 -1 unnamed_device 25.6 MiB 1.75 802 12302 5209 6575 518 64.5 MiB 0.07 0.00 5.49296 -152.69 -5.49296 5.49296 0.92 0.000203888 0.000160366 0.0197956 0.0163727 -1 -1 -1 -1 48 2186 22 6.95648e+06 202660 865456. 2994.66 2.73 0.101776 0.0862336 28354 207349 -1 1898 22 1362 2105 193050 41590 4.37756 4.37756 -146.531 -4.37756 0 0 1.05005e+06 3633.38 0.40 0.06 0.20 -1 -1 0.40 0.0157611 0.0139267 79 47 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 17.92 vpr 64.68 MiB -1 -1 0.21 21520 1 0.03 -1 -1 34020 -1 -1 21 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66236 30 32 377 310 1 171 83 17 17 289 -1 unnamed_device 25.9 MiB 2.93 747 11063 3637 5035 2391 64.7 MiB 0.07 0.00 4.87546 -148.536 -4.87546 4.87546 0.95 0.000217704 0.000171755 0.0159116 0.0129259 -1 -1 -1 -1 38 2889 35 6.95648e+06 303989 678818. 2348.85 11.27 0.187721 0.15776 26626 170182 -1 1983 26 1502 2322 200639 44350 4.71541 4.71541 -163.089 -4.71541 0 0 902133. 3121.57 0.37 0.07 0.16 -1 -1 0.37 0.0193555 0.0168704 74 83 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 22.00 vpr 64.54 MiB -1 -1 0.21 21660 1 0.05 -1 -1 34076 -1 -1 13 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66092 32 32 365 294 1 177 77 17 17 289 -1 unnamed_device 25.9 MiB 1.31 690 9368 3411 4136 1821 64.5 MiB 0.06 0.00 4.44043 -136.028 -4.44043 4.44043 1.08 0.000219172 0.000173002 0.0169447 0.0139153 -1 -1 -1 -1 50 2395 29 6.95648e+06 188184 902133. 3121.57 16.50 0.200279 0.170413 28642 213929 -1 1884 22 1590 2644 251389 61682 3.94732 3.94732 -143.588 -3.94732 0 0 1.08113e+06 3740.92 0.41 0.07 0.26 -1 -1 0.41 0.0182187 0.0161371 72 57 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 18.24 vpr 64.65 MiB -1 -1 0.21 21556 1 0.04 -1 -1 33648 -1 -1 16 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66200 29 32 378 310 1 170 77 17 17 289 -1 unnamed_device 26.0 MiB 1.53 661 10346 4002 4565 1779 64.6 MiB 0.06 0.00 4.05037 -124.329 -4.05037 4.05037 0.95 0.00021356 0.000167387 0.0177331 0.0144083 -1 -1 -1 -1 44 2392 38 6.95648e+06 231611 787024. 2723.27 12.90 0.208888 0.178205 27778 195446 -1 1697 17 1237 1793 125392 31049 3.87222 3.87222 -131.206 -3.87222 0 0 997811. 3452.63 0.39 0.05 0.19 -1 -1 0.39 0.0145811 0.0129824 73 85 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 8.01 vpr 63.99 MiB -1 -1 0.18 21244 1 0.04 -1 -1 33996 -1 -1 10 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65528 32 32 243 205 1 141 74 17 17 289 -1 unnamed_device 25.5 MiB 1.54 586 9374 3879 5247 248 64.0 MiB 0.05 0.00 3.56099 -107.065 -3.56099 3.56099 0.91 0.000159584 0.000125441 0.0117238 0.00952551 -1 -1 -1 -1 38 1998 44 6.95648e+06 144757 678818. 2348.85 2.93 0.0826462 0.069915 26626 170182 -1 1504 25 1108 1632 253138 97143 2.88007 2.88007 -106.685 -2.88007 0 0 902133. 3121.57 0.35 0.08 0.16 -1 -1 0.35 0.0130974 0.0115233 54 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 10.42 vpr 64.66 MiB -1 -1 0.21 21576 1 0.05 -1 -1 33992 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66208 32 32 373 302 1 174 87 17 17 289 -1 unnamed_device 26.1 MiB 3.61 802 15255 5017 7734 2504 64.7 MiB 0.09 0.00 4.6485 -130.063 -4.6485 4.6485 1.10 0.000223517 0.000175037 0.0208385 0.0164614 -1 -1 -1 -1 46 2292 23 6.95648e+06 332941 828058. 2865.25 2.75 0.100992 0.0839233 28066 200906 -1 1852 23 1154 1783 158840 34469 4.34076 4.34076 -136.882 -4.34076 0 0 1.01997e+06 3529.29 0.41 0.07 0.19 -1 -1 0.41 0.0193969 0.0171053 76 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 7.64 vpr 64.56 MiB -1 -1 0.21 21572 1 0.04 -1 -1 34020 -1 -1 13 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66108 32 32 397 314 1 188 77 17 17 289 -1 unnamed_device 25.8 MiB 0.88 783 8716 3564 4899 253 64.6 MiB 0.06 0.00 4.28453 -142.302 -4.28453 4.28453 0.94 0.000235617 0.000185745 0.0154207 0.0126063 -1 -1 -1 -1 46 2521 34 6.95648e+06 188184 828058. 2865.25 2.90 0.10536 0.0885278 28066 200906 -1 1789 23 1572 2303 149693 35251 3.96002 3.96002 -145.842 -3.96002 0 0 1.01997e+06 3529.29 0.40 0.07 0.20 -1 -1 0.40 0.0192763 0.0169709 78 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 17.11 vpr 64.45 MiB -1 -1 0.19 21572 1 0.04 -1 -1 33672 -1 -1 11 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65996 32 32 269 231 1 166 75 17 17 289 -1 unnamed_device 25.6 MiB 2.48 634 12083 4617 6209 1257 64.4 MiB 0.07 0.00 4.05037 -119.139 -4.05037 4.05037 0.96 0.000176467 0.00013924 0.0158701 0.0128694 -1 -1 -1 -1 40 2183 25 6.95648e+06 159232 706193. 2443.58 10.91 0.141175 0.119154 26914 176310 -1 1650 19 1252 1581 138724 32508 3.42592 3.42592 -119.97 -3.42592 0 0 926341. 3205.33 0.38 0.05 0.18 -1 -1 0.38 0.0131789 0.0117197 68 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 7.33 vpr 64.03 MiB -1 -1 0.20 21200 1 0.04 -1 -1 34100 -1 -1 14 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65568 31 32 245 205 1 145 77 17 17 289 -1 unnamed_device 25.4 MiB 1.53 487 10835 3461 5636 1738 64.0 MiB 0.06 0.00 3.36359 -100.982 -3.36359 3.36359 0.97 0.000161752 0.000127354 0.0129428 0.0105286 -1 -1 -1 -1 42 1814 42 6.95648e+06 202660 744469. 2576.02 2.11 0.0743101 0.0622637 27202 183097 -1 1150 22 1212 1698 116371 29217 3.04892 3.04892 -101.08 -3.04892 0 0 949917. 3286.91 0.36 0.04 0.17 -1 -1 0.36 0.0122854 0.0108497 58 4 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 9.38 vpr 64.61 MiB -1 -1 0.19 21524 1 0.04 -1 -1 34148 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66156 32 32 348 274 1 202 79 17 17 289 -1 unnamed_device 25.8 MiB 2.25 752 12754 5308 6926 520 64.6 MiB 0.08 0.00 4.57592 -147.598 -4.57592 4.57592 0.92 0.000209798 0.000165267 0.0186134 0.0150001 -1 -1 -1 -1 48 2718 40 6.95648e+06 217135 865456. 2994.66 3.42 0.113829 0.0961736 28354 207349 -1 2198 22 2028 2655 254879 61421 4.72641 4.72641 -159.267 -4.72641 0 0 1.05005e+06 3633.38 0.43 0.08 0.21 -1 -1 0.43 0.0179741 0.015918 85 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 8.41 vpr 64.85 MiB -1 -1 0.19 21424 1 0.04 -1 -1 33748 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66408 32 32 356 289 1 196 78 17 17 289 -1 unnamed_device 26.1 MiB 1.41 797 12030 5060 6593 377 64.9 MiB 0.07 0.00 4.81473 -147.296 -4.81473 4.81473 0.93 0.000212841 0.00016797 0.0180664 0.0145875 -1 -1 -1 -1 46 2524 35 6.95648e+06 202660 828058. 2865.25 3.17 0.106788 0.0895919 28066 200906 -1 1867 21 1482 2163 173801 40068 4.08896 4.08896 -142.959 -4.08896 0 0 1.01997e+06 3529.29 0.45 0.08 0.21 -1 -1 0.45 0.0208587 0.0187663 82 56 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 7.50 vpr 64.52 MiB -1 -1 0.26 21488 1 0.03 -1 -1 33952 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66068 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 25.8 MiB 0.66 857 12156 5040 6495 621 64.5 MiB 0.08 0.00 4.94172 -141.808 -4.94172 4.94172 0.99 0.000222948 0.000177244 0.0204023 0.0167784 -1 -1 -1 -1 50 2424 42 6.95648e+06 246087 902133. 3121.57 2.87 0.111814 0.0948519 28642 213929 -1 2023 24 1791 3022 240716 56098 4.5268 4.5268 -146.532 -4.5268 0 0 1.08113e+06 3740.92 0.42 0.08 0.21 -1 -1 0.42 0.0204226 0.018181 83 3 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 9.54 vpr 64.39 MiB -1 -1 0.21 21404 1 0.04 -1 -1 33956 -1 -1 21 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65936 30 32 316 264 1 159 83 17 17 289 -1 unnamed_device 25.6 MiB 1.08 694 11963 3988 5372 2603 64.4 MiB 0.07 0.00 3.42648 -99.7352 -3.42648 3.42648 0.98 0.000193926 0.000151853 0.0155581 0.0126104 -1 -1 -1 -1 36 2505 35 6.95648e+06 303989 648988. 2245.63 4.69 0.110651 0.09484 26050 158493 -1 1728 20 1405 2173 173282 37294 3.22627 3.22627 -109.804 -3.22627 0 0 828058. 2865.25 0.36 0.06 0.15 -1 -1 0.36 0.0140217 0.0123576 69 52 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 6.39 vpr 64.11 MiB -1 -1 0.29 21204 1 0.04 -1 -1 34196 -1 -1 16 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65652 27 32 255 219 1 129 75 17 17 289 -1 unnamed_device 25.6 MiB 0.82 461 8291 2789 4081 1421 64.1 MiB 0.05 0.00 2.92795 -88.1908 -2.92795 2.92795 0.96 0.000183596 0.00013085 0.0111931 0.00911669 -1 -1 -1 -1 34 1607 36 6.95648e+06 231611 618332. 2139.56 1.79 0.0722467 0.0602088 25762 151098 -1 1212 22 1022 1273 97777 24574 2.94272 2.94272 -98.3554 -2.94272 0 0 787024. 2723.27 0.33 0.04 0.13 -1 -1 0.33 0.0126139 0.0111005 55 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 10.82 vpr 64.97 MiB -1 -1 0.26 21788 1 0.04 -1 -1 33816 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66532 32 32 421 327 1 224 80 17 17 289 -1 unnamed_device 26.0 MiB 1.73 1102 15044 5934 6987 2123 65.0 MiB 0.12 0.00 3.84665 -133.243 -3.84665 3.84665 1.08 0.00024939 0.000197774 0.02496 0.0202208 -1 -1 -1 -1 44 3912 38 6.95648e+06 231611 787024. 2723.27 4.94 0.145446 0.124315 27778 195446 -1 2650 23 2329 3777 336798 83820 3.95332 3.95332 -144.857 -3.95332 0 0 997811. 3452.63 0.49 0.11 0.18 -1 -1 0.49 0.0250205 0.022288 95 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 25.98 vpr 64.58 MiB -1 -1 0.29 21632 1 0.05 -1 -1 34080 -1 -1 15 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66132 31 32 365 296 1 191 78 17 17 289 -1 unnamed_device 25.7 MiB 5.62 1054 12362 3699 7370 1293 64.6 MiB 0.08 0.00 5.54356 -160.149 -5.54356 5.54356 0.90 0.000215377 0.000169972 0.0183032 0.014733 -1 -1 -1 -1 40 2736 27 6.95648e+06 217135 706193. 2443.58 16.54 0.18029 0.150953 26914 176310 -1 2566 29 2526 3910 606878 171998 4.84351 4.84351 -166.342 -4.84351 0 0 926341. 3205.33 0.38 0.16 0.16 -1 -1 0.38 0.0207447 0.0180243 82 64 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 11.76 vpr 64.78 MiB -1 -1 0.20 21452 1 0.04 -1 -1 34180 -1 -1 11 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66336 32 32 331 280 1 171 75 17 17 289 -1 unnamed_device 26.0 MiB 4.03 712 8923 3677 5027 219 64.8 MiB 0.06 0.00 3.7738 -128.135 -3.7738 3.7738 0.94 0.000208882 0.000164043 0.0139015 0.0112776 -1 -1 -1 -1 38 2693 37 6.95648e+06 159232 678818. 2348.85 3.95 0.120732 0.102833 26626 170182 -1 1927 21 1453 2080 221772 61542 3.74976 3.74976 -140.556 -3.74976 0 0 902133. 3121.57 0.40 0.09 0.18 -1 -1 0.40 0.0235534 0.0212085 70 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 8.06 vpr 64.45 MiB -1 -1 0.19 21552 1 0.04 -1 -1 33720 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65996 32 32 326 263 1 169 86 17 17 289 -1 unnamed_device 25.6 MiB 0.48 938 14261 5382 6939 1940 64.4 MiB 0.09 0.00 4.23483 -126.342 -4.23483 4.23483 0.90 0.000213342 0.000169312 0.0190601 0.0155046 -1 -1 -1 -1 38 2645 48 6.95648e+06 318465 678818. 2348.85 3.94 0.100856 0.0845976 26626 170182 -1 2110 20 1311 2028 170396 34366 3.92976 3.92976 -129.96 -3.92976 0 0 902133. 3121.57 0.33 0.06 0.16 -1 -1 0.33 0.0148624 0.013181 74 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 8.19 vpr 64.75 MiB -1 -1 0.24 21420 1 0.04 -1 -1 33948 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66308 31 32 373 294 1 188 88 17 17 289 -1 unnamed_device 26.0 MiB 1.00 924 11398 3933 5445 2020 64.8 MiB 0.07 0.00 4.47977 -130.348 -4.47977 4.47977 0.93 0.00022609 0.000176137 0.0159547 0.0129959 -1 -1 -1 -1 36 2622 49 6.95648e+06 361892 648988. 2245.63 3.63 0.108735 0.0911218 26050 158493 -1 2091 22 1634 2481 166714 37915 3.79382 3.79382 -133.71 -3.79382 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0166397 0.0145506 83 50 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 10.24 vpr 64.38 MiB -1 -1 0.25 21624 1 0.04 -1 -1 34012 -1 -1 16 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65920 30 32 325 268 1 166 78 17 17 289 -1 unnamed_device 25.6 MiB 1.34 716 8544 3177 4311 1056 64.4 MiB 0.06 0.00 3.31107 -101.686 -3.31107 3.31107 0.94 0.0002207 0.000175362 0.0141397 0.0116774 -1 -1 -1 -1 38 2777 45 6.95648e+06 231611 678818. 2348.85 5.26 0.117583 0.100089 26626 170182 -1 2061 19 1369 2231 197407 43010 3.37172 3.37172 -114.229 -3.37172 0 0 902133. 3121.57 0.33 0.06 0.16 -1 -1 0.33 0.0139315 0.0123321 68 51 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 10.50 vpr 64.75 MiB -1 -1 0.22 21460 1 0.04 -1 -1 33744 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66300 32 32 350 275 1 208 78 17 17 289 -1 unnamed_device 26.0 MiB 2.08 916 13856 5155 6510 2191 64.7 MiB 0.09 0.00 4.51937 -148.282 -4.51937 4.51937 1.06 0.000216117 0.000169072 0.0222609 0.0179558 -1 -1 -1 -1 44 3814 50 6.95648e+06 202660 787024. 2723.27 4.56 0.123113 0.104066 27778 195446 -1 2420 21 1984 2868 287175 60934 4.43742 4.43742 -152.778 -4.43742 0 0 997811. 3452.63 0.39 0.08 0.19 -1 -1 0.39 0.0174554 0.0155121 88 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 7.04 vpr 64.74 MiB -1 -1 0.22 21368 1 0.04 -1 -1 33692 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66296 32 32 386 307 1 187 82 17 17 289 -1 unnamed_device 26.0 MiB 1.13 854 9338 3754 5311 273 64.7 MiB 0.06 0.00 4.47033 -147.36 -4.47033 4.47033 0.90 0.000227867 0.00017969 0.0151352 0.0124257 -1 -1 -1 -1 40 2444 23 6.95648e+06 260562 706193. 2443.58 2.19 0.0878342 0.0734371 26914 176310 -1 2114 23 1571 2109 197933 41957 4.12762 4.12762 -144.507 -4.12762 0 0 926341. 3205.33 0.37 0.06 0.17 -1 -1 0.37 0.0184475 0.0160936 80 62 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 13.10 vpr 64.34 MiB -1 -1 0.21 21504 1 0.07 -1 -1 33960 -1 -1 12 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65888 29 32 269 229 1 132 73 17 17 289 -1 unnamed_device 25.7 MiB 6.12 468 9953 3826 4648 1479 64.3 MiB 0.08 0.00 4.00493 -101.525 -4.00493 4.00493 1.03 0.00027676 0.000217494 0.0214522 0.0173457 -1 -1 -1 -1 36 1656 25 6.95648e+06 173708 648988. 2245.63 2.74 0.0928903 0.0780269 26050 158493 -1 1227 27 1176 1581 127931 31156 3.12203 3.12203 -104.772 -3.12203 0 0 828058. 2865.25 0.36 0.05 0.16 -1 -1 0.36 0.0162871 0.0142752 53 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 7.42 vpr 64.37 MiB -1 -1 0.20 21552 1 0.04 -1 -1 33860 -1 -1 11 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65916 32 32 310 266 1 163 75 17 17 289 -1 unnamed_device 25.7 MiB 1.58 608 10187 3178 5307 1702 64.4 MiB 0.06 0.00 3.51519 -119.059 -3.51519 3.51519 0.94 0.000183822 0.000143941 0.0144395 0.0117339 -1 -1 -1 -1 42 2230 44 6.95648e+06 159232 744469. 2576.02 2.18 0.0836908 0.069728 27202 183097 -1 1461 18 1170 1458 120460 28525 3.41506 3.41506 -120.781 -3.41506 0 0 949917. 3286.91 0.38 0.05 0.17 -1 -1 0.38 0.014725 0.0131043 64 58 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 8.43 vpr 64.60 MiB -1 -1 0.21 21376 1 0.04 -1 -1 33708 -1 -1 23 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66148 31 32 326 261 1 172 86 17 17 289 -1 unnamed_device 25.7 MiB 1.19 748 11615 3856 5496 2263 64.6 MiB 0.07 0.00 4.10411 -120.963 -4.10411 4.10411 1.00 0.000205405 0.000162 0.0151753 0.0123303 -1 -1 -1 -1 44 2469 26 6.95648e+06 332941 787024. 2723.27 3.24 0.101671 0.0861442 27778 195446 -1 1799 26 1480 2331 212814 48275 3.97526 3.97526 -129.99 -3.97526 0 0 997811. 3452.63 0.42 0.07 0.19 -1 -1 0.42 0.0188804 0.0165659 77 33 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 8.58 vpr 64.36 MiB -1 -1 0.19 21520 1 0.06 -1 -1 33964 -1 -1 13 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65900 29 32 262 224 1 161 74 17 17 289 -1 unnamed_device 25.6 MiB 2.40 593 9994 4212 5312 470 64.4 MiB 0.05 0.00 4.05727 -116.106 -4.05727 4.05727 0.90 0.000161031 0.000126593 0.0125822 0.0102276 -1 -1 -1 -1 40 2251 36 6.95648e+06 188184 706193. 2443.58 2.47 0.0717483 0.0603744 26914 176310 -1 1831 21 1318 1668 158768 36497 3.82202 3.82202 -121.162 -3.82202 0 0 926341. 3205.33 0.37 0.05 0.20 -1 -1 0.37 0.0127989 0.0113212 67 31 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 7.73 vpr 64.07 MiB -1 -1 0.18 21428 1 0.05 -1 -1 33936 -1 -1 9 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65608 32 32 278 238 1 144 73 17 17 289 -1 unnamed_device 25.5 MiB 1.56 609 9497 4084 5186 227 64.1 MiB 0.05 0.00 3.96096 -113.861 -3.96096 3.96096 1.06 0.000174385 0.000136439 0.012926 0.0104147 -1 -1 -1 -1 44 1872 43 6.95648e+06 130281 787024. 2723.27 2.16 0.077353 0.0643671 27778 195446 -1 1412 21 1258 1911 149762 32431 2.93842 2.93842 -110.555 -2.93842 0 0 997811. 3452.63 0.40 0.05 0.20 -1 -1 0.40 0.0130432 0.0114951 56 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 7.63 vpr 64.71 MiB -1 -1 0.24 21584 1 0.03 -1 -1 34040 -1 -1 24 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66264 31 32 373 300 1 174 87 17 17 289 -1 unnamed_device 25.9 MiB 1.28 760 14295 5473 6487 2335 64.7 MiB 0.09 0.00 3.48773 -117.233 -3.48773 3.48773 0.99 0.000248787 0.000200985 0.0201411 0.0162263 -1 -1 -1 -1 38 2353 27 6.95648e+06 347416 678818. 2348.85 2.59 0.0987226 0.0821954 26626 170182 -1 1741 20 1636 2188 161214 36056 3.39887 3.39887 -120.309 -3.39887 0 0 902133. 3121.57 0.40 0.07 0.16 -1 -1 0.40 0.0211996 0.0189943 79 64 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 9.89 vpr 64.54 MiB -1 -1 0.23 21448 1 0.04 -1 -1 33604 -1 -1 12 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66084 31 32 265 230 1 159 75 17 17 289 -1 unnamed_device 25.8 MiB 3.02 638 11925 5003 6558 364 64.5 MiB 0.07 0.00 3.74472 -112.927 -3.74472 3.74472 0.98 0.000170929 0.000134722 0.0153562 0.0124054 -1 -1 -1 -1 38 2139 45 6.95648e+06 173708 678818. 2348.85 3.07 0.0895383 0.0753472 26626 170182 -1 1635 23 1259 1790 163948 37471 3.13146 3.13146 -111.948 -3.13146 0 0 902133. 3121.57 0.41 0.07 0.16 -1 -1 0.41 0.017373 0.0155275 64 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 8.47 vpr 64.72 MiB -1 -1 0.18 21516 1 0.04 -1 -1 33892 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66276 32 32 349 286 1 165 86 17 17 289 -1 unnamed_device 25.8 MiB 1.61 684 15017 5927 7080 2010 64.7 MiB 0.09 0.00 3.20268 -108.628 -3.20268 3.20268 1.06 0.000209671 0.000163841 0.0198752 0.0160341 -1 -1 -1 -1 50 1963 50 6.95648e+06 318465 902133. 3121.57 2.89 0.1097 0.0921351 28642 213929 -1 1695 22 1320 2119 185443 42813 2.83647 2.83647 -109.921 -2.83647 0 0 1.08113e+06 3740.92 0.40 0.06 0.20 -1 -1 0.40 0.0152878 0.0134257 71 57 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 9.27 vpr 64.61 MiB -1 -1 0.20 21852 1 0.05 -1 -1 33544 -1 -1 15 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66156 31 32 396 325 1 176 78 17 17 289 -1 unnamed_device 25.9 MiB 2.01 793 8876 3629 4933 314 64.6 MiB 0.06 0.00 3.995 -134.834 -3.995 3.995 1.08 0.000227246 0.000178753 0.0148905 0.0121228 -1 -1 -1 -1 38 2580 38 6.95648e+06 217135 678818. 2348.85 3.29 0.103566 0.087125 26626 170182 -1 1938 20 1471 1968 167821 34556 3.58422 3.58422 -139.229 -3.58422 0 0 902133. 3121.57 0.35 0.06 0.16 -1 -1 0.35 0.0164863 0.0145114 73 91 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 7.64 vpr 64.27 MiB -1 -1 0.23 21452 1 0.04 -1 -1 33784 -1 -1 10 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65808 32 32 303 262 1 145 74 17 17 289 -1 unnamed_device 25.8 MiB 1.62 529 10304 4263 5737 304 64.3 MiB 0.06 0.00 2.9023 -97.7367 -2.9023 2.9023 0.93 0.000180694 0.000140517 0.0144814 0.0116486 -1 -1 -1 -1 46 1735 22 6.95648e+06 144757 828058. 2865.25 2.26 0.0723943 0.0603554 28066 200906 -1 1310 20 1149 1778 124339 30875 2.91172 2.91172 -102.967 -2.91172 0 0 1.01997e+06 3529.29 0.42 0.05 0.20 -1 -1 0.42 0.0148449 0.0131541 57 57 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 15.29 vpr 64.31 MiB -1 -1 0.19 21568 1 0.04 -1 -1 34044 -1 -1 11 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65852 32 32 290 244 1 170 75 17 17 289 -1 unnamed_device 25.7 MiB 1.83 759 10819 2915 6394 1510 64.3 MiB 0.07 0.00 4.04348 -128.875 -4.04348 4.04348 0.94 0.000182134 0.000144279 0.0147424 0.0119756 -1 -1 -1 -1 40 2213 47 6.95648e+06 159232 706193. 2443.58 9.89 0.156444 0.12996 26914 176310 -1 1990 19 1365 2007 209903 44666 4.07541 4.07541 -134.544 -4.07541 0 0 926341. 3205.33 0.35 0.06 0.16 -1 -1 0.35 0.0130465 0.0115379 70 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 8.09 vpr 64.09 MiB -1 -1 0.32 21480 1 0.04 -1 -1 33520 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65632 32 32 318 257 1 188 78 17 17 289 -1 unnamed_device 25.6 MiB 1.66 714 10370 4100 5259 1011 64.1 MiB 0.07 0.00 4.19403 -126.395 -4.19403 4.19403 0.94 0.000205952 0.000163419 0.0164839 0.0135283 -1 -1 -1 -1 50 2180 23 6.95648e+06 202660 902133. 3121.57 2.29 0.0770126 0.0643841 28642 213929 -1 1786 21 1591 2167 173769 41974 4.23792 4.23792 -132.209 -4.23792 0 0 1.08113e+06 3740.92 0.48 0.08 0.21 -1 -1 0.48 0.0203913 0.0183333 79 30 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 7.76 vpr 64.34 MiB -1 -1 0.21 21632 1 0.04 -1 -1 33648 -1 -1 21 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65880 29 32 324 268 1 162 82 17 17 289 -1 unnamed_device 25.7 MiB 1.37 662 11118 4280 5305 1533 64.3 MiB 0.06 0.00 4.24388 -117.238 -4.24388 4.24388 1.07 0.000196989 0.000154038 0.0152605 0.0124041 -1 -1 -1 -1 46 2122 28 6.95648e+06 303989 828058. 2865.25 2.48 0.0907109 0.0762358 28066 200906 -1 1448 23 1164 1690 119756 28843 3.68766 3.68766 -111.969 -3.68766 0 0 1.01997e+06 3529.29 0.40 0.05 0.20 -1 -1 0.40 0.0153402 0.0134659 71 55 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 8.84 vpr 65.01 MiB -1 -1 0.28 21788 1 0.04 -1 -1 34232 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66572 32 32 393 312 1 206 78 17 17 289 -1 unnamed_device 26.1 MiB 1.78 793 12030 5031 6445 554 65.0 MiB 0.08 0.00 4.95915 -156.293 -4.95915 4.95915 0.91 0.000230606 0.000180383 0.0208331 0.01699 -1 -1 -1 -1 54 2485 43 6.95648e+06 202660 949917. 3286.91 2.99 0.109082 0.0910327 29506 232905 -1 1950 36 2625 3709 532287 182783 4.44822 4.44822 -154.247 -4.44822 0 0 1.17392e+06 4061.99 0.48 0.19 0.24 -1 -1 0.48 0.0377435 0.0338278 89 65 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 8.86 vpr 63.85 MiB -1 -1 0.18 21112 1 0.03 -1 -1 33696 -1 -1 13 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65384 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 25.4 MiB 3.08 535 10476 4313 5711 452 63.9 MiB 0.08 0.00 3.50918 -93.5706 -3.50918 3.50918 1.04 0.000247309 0.000195994 0.0164593 0.0132866 -1 -1 -1 -1 38 1790 27 6.95648e+06 188184 678818. 2348.85 2.02 0.06428 0.0534348 26626 170182 -1 1375 22 1021 1643 115576 25319 3.13712 3.13712 -104.072 -3.13712 0 0 902133. 3121.57 0.34 0.04 0.17 -1 -1 0.34 0.0120643 0.010642 54 4 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 10.06 vpr 65.04 MiB -1 -1 0.24 21852 1 0.04 -1 -1 33604 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66596 32 32 412 334 1 182 89 17 17 289 -1 unnamed_device 26.2 MiB 1.29 808 15533 3949 11126 458 65.0 MiB 0.10 0.00 3.75239 -135.532 -3.75239 3.75239 0.99 0.000230026 0.000180776 0.0216377 0.0175151 -1 -1 -1 -1 38 2695 48 6.95648e+06 361892 678818. 2348.85 4.86 0.152512 0.131473 26626 170182 -1 2028 23 1857 2478 205439 43685 3.99306 3.99306 -149.548 -3.99306 0 0 902133. 3121.57 0.34 0.07 0.17 -1 -1 0.34 0.0186598 0.0163407 81 90 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 9.94 vpr 64.55 MiB -1 -1 0.22 21492 1 0.04 -1 -1 33828 -1 -1 10 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66104 32 32 376 318 1 154 74 17 17 289 -1 unnamed_device 25.9 MiB 3.49 581 11544 4905 6301 338 64.6 MiB 0.07 0.00 2.99685 -114.691 -2.99685 2.99685 0.91 0.000214543 0.000168035 0.0187141 0.015147 -1 -1 -1 -1 40 2161 45 6.95648e+06 144757 706193. 2443.58 2.61 0.117188 0.0978466 26914 176310 -1 1891 22 1683 2320 245662 55959 3.54322 3.54322 -139.792 -3.54322 0 0 926341. 3205.33 0.37 0.09 0.18 -1 -1 0.37 0.0199894 0.0177921 61 96 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 7.38 vpr 64.44 MiB -1 -1 0.19 21464 1 0.04 -1 -1 33976 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65988 32 32 360 293 1 172 86 17 17 289 -1 unnamed_device 25.5 MiB 1.35 727 10670 3941 5494 1235 64.4 MiB 0.07 0.00 4.11943 -125.455 -4.11943 4.11943 0.99 0.000221785 0.000174958 0.0153254 0.0125627 -1 -1 -1 -1 46 2249 26 6.95648e+06 318465 828058. 2865.25 2.23 0.0849756 0.0709577 28066 200906 -1 1805 21 1115 1739 127394 30050 3.50106 3.50106 -121.691 -3.50106 0 0 1.01997e+06 3529.29 0.40 0.05 0.20 -1 -1 0.40 0.0157963 0.0139454 75 60 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 8.63 vpr 64.86 MiB -1 -1 0.22 21796 1 0.05 -1 -1 33832 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66420 32 32 396 299 1 224 79 17 17 289 -1 unnamed_device 26.1 MiB 2.05 1022 12247 3468 7038 1741 64.9 MiB 0.09 0.00 6.03007 -174.097 -6.03007 6.03007 1.03 0.000239194 0.000190881 0.0206411 0.0168978 -1 -1 -1 -1 48 2938 26 6.95648e+06 217135 865456. 2994.66 2.57 0.118569 0.100665 28354 207349 -1 2458 22 2142 3106 309004 63595 4.95685 4.95685 -169.055 -4.95685 0 0 1.05005e+06 3633.38 0.41 0.08 0.20 -1 -1 0.41 0.0200686 0.0178837 95 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 9.05 vpr 63.89 MiB -1 -1 0.20 21120 1 0.04 -1 -1 33780 -1 -1 11 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65424 30 32 224 207 1 131 73 17 17 289 -1 unnamed_device 25.5 MiB 2.89 529 10865 3546 5596 1723 63.9 MiB 0.09 0.00 2.69765 -94.3472 -2.69765 2.69765 1.15 0.000231846 0.000181969 0.0191359 0.0153968 -1 -1 -1 -1 34 1837 48 6.95648e+06 159232 618332. 2139.56 2.38 0.075118 0.0620869 25762 151098 -1 1352 19 863 1089 119179 24854 2.36662 2.36662 -94.7935 -2.36662 0 0 787024. 2723.27 0.31 0.04 0.15 -1 -1 0.31 0.00985905 0.00867828 52 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 9.77 vpr 64.21 MiB -1 -1 0.19 21532 1 0.04 -1 -1 33672 -1 -1 11 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65756 30 32 286 239 1 137 73 17 17 289 -1 unnamed_device 25.7 MiB 2.72 541 7673 3127 4256 290 64.2 MiB 0.04 0.00 3.61654 -109.463 -3.61654 3.61654 0.99 0.000176144 0.000138463 0.01098 0.00894104 -1 -1 -1 -1 36 1999 40 6.95648e+06 159232 648988. 2245.63 3.45 0.0792419 0.0661642 26050 158493 -1 1573 27 1433 2096 285043 86529 3.15927 3.15927 -115.804 -3.15927 0 0 828058. 2865.25 0.31 0.08 0.15 -1 -1 0.31 0.0147408 0.0128056 55 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 8.40 vpr 64.14 MiB -1 -1 0.19 21568 1 0.04 -1 -1 33512 -1 -1 10 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65680 32 32 296 247 1 152 74 17 17 289 -1 unnamed_device 25.5 MiB 0.51 540 6894 2748 3891 255 64.1 MiB 0.05 0.00 3.28706 -107.534 -3.28706 3.28706 1.00 0.000188401 0.000149318 0.0107501 0.0089019 -1 -1 -1 -1 48 1927 37 6.95648e+06 144757 865456. 2994.66 3.92 0.0943303 0.080459 28354 207349 -1 1516 32 1414 2301 357477 110572 3.36277 3.36277 -117.521 -3.36277 0 0 1.05005e+06 3633.38 0.45 0.10 0.21 -1 -1 0.45 0.0177564 0.0153995 59 34 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 5.88 vpr 63.75 MiB -1 -1 0.18 21252 1 0.03 -1 -1 33868 -1 -1 18 25 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65284 25 32 216 194 1 121 75 17 17 289 -1 unnamed_device 25.1 MiB 0.56 429 8607 3204 4152 1251 63.8 MiB 0.05 0.00 3.25923 -75.9549 -3.25923 3.25923 1.01 0.000142017 0.000112403 0.00973811 0.00797485 -1 -1 -1 -1 38 1447 26 6.95648e+06 260562 678818. 2348.85 1.79 0.0575429 0.0477939 26626 170182 -1 1136 22 909 1447 102359 23567 2.91262 2.91262 -83.008 -2.91262 0 0 902133. 3121.57 0.34 0.04 0.16 -1 -1 0.34 0.0118276 0.0103902 53 29 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 9.19 vpr 64.89 MiB -1 -1 0.20 21396 1 0.04 -1 -1 34008 -1 -1 12 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66448 32 32 376 307 1 179 76 17 17 289 -1 unnamed_device 26.2 MiB 2.62 770 10636 4042 5174 1420 64.9 MiB 0.07 0.00 4.01326 -127.68 -4.01326 4.01326 0.93 0.000220959 0.000173307 0.0173467 0.0140089 -1 -1 -1 -1 44 2789 32 6.95648e+06 173708 787024. 2723.27 2.73 0.0946186 0.0786726 27778 195446 -1 1975 23 1682 2784 223500 47848 4.07572 4.07572 -136.131 -4.07572 0 0 997811. 3452.63 0.41 0.07 0.18 -1 -1 0.41 0.0186334 0.0163923 72 72 -1 -1 -1 -1 -fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 8.88 vpr 64.67 MiB -1 -1 0.23 21696 1 0.05 -1 -1 33928 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66220 31 32 409 331 1 183 80 17 17 289 -1 unnamed_device 25.9 MiB 1.21 746 9540 3860 5304 376 64.7 MiB 0.07 0.00 4.09438 -138.115 -4.09438 4.09438 0.95 0.000243704 0.000193161 0.0164783 0.0135108 -1 -1 -1 -1 38 2658 29 6.95648e+06 246087 678818. 2348.85 3.82 0.113948 0.0961867 26626 170182 -1 1960 20 1624 2159 180927 39751 3.71392 3.71392 -137.191 -3.71392 0 0 902133. 3121.57 0.37 0.06 0.16 -1 -1 0.37 0.017897 0.0158551 80 90 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 10.20 vpr 64.62 MiB -1 -1 0.33 21504 1 0.04 -1 -1 33832 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66168 32 32 354 285 1 206 79 17 17 289 -1 unnamed_device 25.7 MiB 2.31 851 13599 5123 6301 2175 64.6 MiB 0.09 0.00 5.05471 -146.645 -5.05471 5.05471 1.03 0.000224334 0.000178624 0.0197154 0.0159003 -1 -1 -1 -1 40 2973 50 6.99608e+06 220735 706193. 2443.58 4.02 0.110297 0.0925107 26914 176310 -1 2391 21 1860 2633 243329 56741 4.97901 4.97901 -158.616 -4.97901 0 0 926341. 3205.33 0.36 0.07 0.17 -1 -1 0.36 0.0159987 0.0141671 88 50 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 7.61 vpr 64.58 MiB -1 -1 0.22 21520 1 0.05 -1 -1 33684 -1 -1 18 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66128 30 32 363 293 1 224 80 17 17 289 -1 unnamed_device 25.8 MiB 1.17 900 10744 3918 5217 1609 64.6 MiB 0.07 0.00 4.91636 -147.436 -4.91636 4.91636 1.03 0.000211955 0.000166583 0.016158 0.013141 -1 -1 -1 -1 46 2747 23 6.99608e+06 264882 828058. 2865.25 2.55 0.0853246 0.0712704 28066 200906 -1 2084 23 2150 3223 242281 52439 4.53109 4.53109 -146.905 -4.53109 0 0 1.01997e+06 3529.29 0.39 0.07 0.19 -1 -1 0.39 0.0178159 0.015748 101 63 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 15.32 vpr 64.00 MiB -1 -1 0.19 21508 1 0.03 -1 -1 33880 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65540 32 32 299 247 1 183 78 17 17 289 -1 unnamed_device 25.3 MiB 1.07 763 12030 3995 5720 2315 64.0 MiB 0.08 0.00 3.55089 -109.995 -3.55089 3.55089 0.92 0.000191825 0.000151846 0.0171135 0.0138948 -1 -1 -1 -1 40 2494 26 6.99608e+06 206020 706193. 2443.58 10.72 0.155597 0.130232 26914 176310 -1 2033 20 1360 1860 181464 39717 3.51336 3.51336 -119.104 -3.51336 0 0 926341. 3205.33 0.36 0.05 0.17 -1 -1 0.36 0.0134751 0.0118761 76 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 16.90 vpr 63.84 MiB -1 -1 0.32 21368 1 0.03 -1 -1 33808 -1 -1 16 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65376 29 32 308 248 1 182 77 17 17 289 -1 unnamed_device 25.3 MiB 1.36 725 10998 3857 5366 1775 63.8 MiB 0.07 0.00 4.23493 -118.016 -4.23493 4.23493 0.91 0.000280137 0.000237977 0.015948 0.0129402 -1 -1 -1 -1 40 2691 33 6.99608e+06 235451 706193. 2443.58 11.79 0.160577 0.134367 26914 176310 -1 2106 22 1609 2522 264407 76505 4.07542 4.07542 -131.86 -4.07542 0 0 926341. 3205.33 0.37 0.08 0.17 -1 -1 0.37 0.0152536 0.0134251 78 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 11.60 vpr 64.38 MiB -1 -1 0.20 21380 1 0.04 -1 -1 33928 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65924 32 32 336 268 1 193 78 17 17 289 -1 unnamed_device 25.7 MiB 4.02 864 10536 4352 5849 335 64.4 MiB 0.07 0.00 4.46745 -139.506 -4.46745 4.46745 1.26 0.000206108 0.000161804 0.0151414 0.0121869 -1 -1 -1 -1 44 3120 46 6.99608e+06 206020 787024. 2723.27 3.60 0.094538 0.0791109 27778 195446 -1 2219 21 1490 2510 218025 47308 4.36971 4.36971 -150.636 -4.36971 0 0 997811. 3452.63 0.41 0.07 0.18 -1 -1 0.41 0.0176408 0.0157223 81 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 24.72 vpr 64.58 MiB -1 -1 0.32 21604 1 0.06 -1 -1 33888 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66132 32 32 366 295 1 221 81 17 17 289 -1 unnamed_device 25.8 MiB 4.94 959 11981 4937 6553 491 64.6 MiB 0.08 0.00 3.38926 -120.16 -3.38926 3.38926 0.91 0.000217283 0.000170701 0.0174107 0.0140168 -1 -1 -1 -1 54 2569 40 6.99608e+06 250167 949917. 3286.91 15.38 0.178307 0.149072 29506 232905 -1 1917 22 1607 2441 179065 42254 3.37006 3.37006 -123.404 -3.37006 0 0 1.17392e+06 4061.99 0.47 0.06 0.26 -1 -1 0.47 0.0170189 0.0150647 97 58 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 7.57 vpr 63.72 MiB -1 -1 0.18 21240 1 0.04 -1 -1 34192 -1 -1 15 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65252 27 32 259 221 1 154 74 17 17 289 -1 unnamed_device 25.2 MiB 1.14 493 10924 4387 5255 1282 63.7 MiB 0.06 0.00 3.74847 -105.464 -3.74847 3.74847 1.19 0.000160241 0.000126143 0.0136985 0.0110991 -1 -1 -1 -1 44 1722 34 6.99608e+06 220735 787024. 2723.27 2.45 0.0844448 0.0707173 27778 195446 -1 1171 21 1171 1713 134252 32052 3.02191 3.02191 -101.224 -3.02191 0 0 997811. 3452.63 0.39 0.05 0.19 -1 -1 0.39 0.0124685 0.0110003 66 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 7.59 vpr 63.88 MiB -1 -1 0.19 21572 1 0.05 -1 -1 33700 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65412 31 32 271 219 1 157 88 17 17 289 -1 unnamed_device 25.2 MiB 0.48 646 12568 4471 6001 2096 63.9 MiB 0.07 0.00 2.86205 -89.6785 -2.86205 2.86205 0.93 0.000180687 0.000141669 0.014211 0.0115194 -1 -1 -1 -1 40 2046 44 6.99608e+06 367892 706193. 2443.58 3.39 0.103136 0.0873958 26914 176310 -1 1679 21 1162 1930 167655 41587 3.23737 3.23737 -103.037 -3.23737 0 0 926341. 3205.33 0.37 0.06 0.17 -1 -1 0.37 0.0157392 0.0139254 69 4 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 7.05 vpr 64.29 MiB -1 -1 0.19 21376 1 0.03 -1 -1 33984 -1 -1 14 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65828 31 32 317 271 1 204 77 17 17 289 -1 unnamed_device 25.4 MiB 1.11 971 11487 3139 6320 2028 64.3 MiB 0.08 0.00 3.37244 -119.224 -3.37244 3.37244 0.98 0.00033236 0.000267024 0.0181805 0.0148735 -1 -1 -1 -1 38 2510 23 6.99608e+06 206020 678818. 2348.85 2.21 0.0816951 0.0686997 26626 170182 -1 2071 18 1660 2239 168297 35771 3.50741 3.50741 -126.791 -3.50741 0 0 902133. 3121.57 0.38 0.10 0.15 -1 -1 0.38 0.0267461 0.0240462 87 64 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 8.66 vpr 64.09 MiB -1 -1 0.16 21384 1 0.03 -1 -1 34052 -1 -1 13 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65632 32 32 298 248 1 181 77 17 17 289 -1 unnamed_device 25.6 MiB 1.14 721 12465 5225 6975 265 64.1 MiB 0.07 0.00 3.92192 -133.493 -3.92192 3.92192 0.92 0.000194853 0.000155413 0.0166114 0.0134667 -1 -1 -1 -1 38 2622 30 6.99608e+06 191304 678818. 2348.85 3.98 0.0849733 0.0713732 26626 170182 -1 1885 19 1498 1863 167052 35482 3.33856 3.33856 -127.368 -3.33856 0 0 902133. 3121.57 0.36 0.06 0.16 -1 -1 0.36 0.0146951 0.013048 75 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 9.61 vpr 64.37 MiB -1 -1 0.31 21500 1 0.05 -1 -1 33712 -1 -1 14 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65916 30 32 303 262 1 188 76 17 17 289 -1 unnamed_device 25.6 MiB 2.45 754 13516 5712 6664 1140 64.4 MiB 0.07 0.00 3.97461 -128.358 -3.97461 3.97461 0.90 0.000184344 0.000142067 0.0178486 0.0143466 -1 -1 -1 -1 38 2495 37 6.99608e+06 206020 678818. 2348.85 3.36 0.0940026 0.0784292 26626 170182 -1 1877 19 1440 1936 144531 31810 4.1193 4.1193 -132.916 -4.1193 0 0 902133. 3121.57 0.36 0.05 0.16 -1 -1 0.36 0.0135176 0.0117993 83 63 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 6.31 vpr 64.01 MiB -1 -1 0.17 21588 1 0.04 -1 -1 33688 -1 -1 11 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65544 32 32 276 237 1 165 75 17 17 289 -1 unnamed_device 25.4 MiB 0.83 849 10977 2887 7437 653 64.0 MiB 0.06 0.00 3.07868 -112.05 -3.07868 3.07868 0.91 0.000335321 0.000275299 0.01476 0.0119902 -1 -1 -1 -1 40 2073 21 6.99608e+06 161872 706193. 2443.58 2.03 0.0689801 0.0575773 26914 176310 -1 1903 21 1177 1516 143718 29819 2.98387 2.98387 -120.376 -2.98387 0 0 926341. 3205.33 0.37 0.05 0.19 -1 -1 0.37 0.0131462 0.0115662 66 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 8.95 vpr 64.36 MiB -1 -1 0.20 21620 1 0.04 -1 -1 33832 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65900 32 32 344 272 1 201 79 17 17 289 -1 unnamed_device 25.5 MiB 1.20 843 12585 5259 6846 480 64.4 MiB 0.08 0.00 3.78992 -128.054 -3.78992 3.78992 0.92 0.000225322 0.000180022 0.0185212 0.015031 -1 -1 -1 -1 46 2868 30 6.99608e+06 220735 828058. 2865.25 3.93 0.102021 0.085863 28066 200906 -1 2083 23 1994 2972 236374 51689 3.48381 3.48381 -130.891 -3.48381 0 0 1.01997e+06 3529.29 0.40 0.09 0.19 -1 -1 0.40 0.0204267 0.0180627 87 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 7.17 vpr 64.58 MiB -1 -1 0.20 21508 1 0.03 -1 -1 34056 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66132 32 32 363 295 1 228 81 17 17 289 -1 unnamed_device 25.8 MiB 0.98 1008 11981 3623 6079 2279 64.6 MiB 0.08 0.00 4.68712 -142.46 -4.68712 4.68712 0.91 0.000213267 0.000167243 0.0175519 0.014237 -1 -1 -1 -1 54 2354 26 6.99608e+06 250167 949917. 3286.91 2.39 0.0849984 0.070674 29506 232905 -1 1818 25 2094 2851 202373 47066 4.17491 4.17491 -142.251 -4.17491 0 0 1.17392e+06 4061.99 0.44 0.07 0.23 -1 -1 0.44 0.0185482 0.0163256 97 61 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 11.15 vpr 64.03 MiB -1 -1 0.18 21368 1 0.04 -1 -1 33700 -1 -1 13 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65564 29 32 248 215 1 154 74 17 17 289 -1 unnamed_device 25.2 MiB 4.94 611 8754 3597 4747 410 64.0 MiB 0.05 0.00 3.0585 -88.5437 -3.0585 3.0585 0.91 0.000160099 0.000126108 0.0105849 0.00853199 -1 -1 -1 -1 38 1880 28 6.99608e+06 191304 678818. 2348.85 2.39 0.0680527 0.0565948 26626 170182 -1 1427 26 1171 1648 178027 64766 2.89347 2.89347 -95.7987 -2.89347 0 0 902133. 3121.57 0.38 0.06 0.17 -1 -1 0.38 0.0141091 0.0123779 63 27 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 9.00 vpr 64.48 MiB -1 -1 0.28 21552 1 0.05 -1 -1 34040 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66032 32 32 370 297 1 222 80 17 17 289 -1 unnamed_device 25.8 MiB 2.25 1140 12464 4408 6591 1465 64.5 MiB 0.09 0.00 3.78769 -130.542 -3.78769 3.78769 0.93 0.000229561 0.000181976 0.019761 0.0160942 -1 -1 -1 -1 42 3336 48 6.99608e+06 235451 744469. 2576.02 2.77 0.106145 0.0888427 27202 183097 -1 2553 23 2137 3282 294346 60400 3.53451 3.53451 -137.204 -3.53451 0 0 949917. 3286.91 0.36 0.09 0.17 -1 -1 0.36 0.0205908 0.0182084 96 58 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 8.80 vpr 64.45 MiB -1 -1 0.17 21604 1 0.04 -1 -1 33496 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65992 32 32 338 269 1 198 79 17 17 289 -1 unnamed_device 25.6 MiB 0.97 918 13768 4694 7034 2040 64.4 MiB 0.15 0.00 4.0525 -128.935 -4.0525 4.0525 0.92 0.00035654 0.000283758 0.0326022 0.0266234 -1 -1 -1 -1 38 2783 48 6.99608e+06 220735 678818. 2348.85 3.90 0.123931 0.104128 26626 170182 -1 2142 23 1730 2375 236394 51694 3.25321 3.25321 -123.43 -3.25321 0 0 902133. 3121.57 0.35 0.07 0.16 -1 -1 0.35 0.0162925 0.0143271 84 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 7.69 vpr 64.10 MiB -1 -1 0.21 21512 1 0.04 -1 -1 33688 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65636 32 32 323 276 1 210 79 17 17 289 -1 unnamed_device 25.5 MiB 1.08 903 11064 3444 5931 1689 64.1 MiB 0.08 0.00 3.21889 -121.244 -3.21889 3.21889 0.99 0.000196118 0.000154132 0.0163888 0.0133453 -1 -1 -1 -1 44 2749 36 6.99608e+06 220735 787024. 2723.27 2.72 0.094457 0.0793369 27778 195446 -1 2055 22 1814 2261 190479 41538 3.07012 3.07012 -121.754 -3.07012 0 0 997811. 3452.63 0.43 0.09 0.18 -1 -1 0.43 0.0207687 0.0184805 89 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 7.55 vpr 63.66 MiB -1 -1 0.18 21272 1 0.04 -1 -1 33912 -1 -1 10 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65188 30 32 222 206 1 133 72 17 17 289 -1 unnamed_device 25.1 MiB 2.05 489 10949 4586 6034 329 63.7 MiB 0.06 0.00 2.30246 -84.2954 -2.30246 2.30246 1.02 0.000143972 0.000112485 0.0145404 0.0116791 -1 -1 -1 -1 36 1686 45 6.99608e+06 147157 648988. 2245.63 1.93 0.0641774 0.0527433 26050 158493 -1 1183 16 756 833 72235 17268 2.42913 2.42913 -91.9913 -2.42913 0 0 828058. 2865.25 0.32 0.03 0.17 -1 -1 0.32 0.00910231 0.00808666 53 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 11.61 vpr 64.27 MiB -1 -1 0.20 21436 1 0.04 -1 -1 34152 -1 -1 13 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65812 31 32 291 243 1 171 76 17 17 289 -1 unnamed_device 25.5 MiB 4.28 914 8396 2822 4710 864 64.3 MiB 0.05 0.00 4.11252 -133.321 -4.11252 4.11252 0.94 0.000181928 0.000144112 0.0118301 0.00945468 -1 -1 -1 -1 36 2304 25 6.99608e+06 191304 648988. 2245.63 3.88 0.0917448 0.0777899 26050 158493 -1 2051 24 1606 2280 285081 73882 3.73061 3.73061 -141.603 -3.73061 0 0 828058. 2865.25 0.33 0.08 0.15 -1 -1 0.33 0.0145147 0.0126997 72 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 9.14 vpr 64.48 MiB -1 -1 0.26 21436 1 0.03 -1 -1 34064 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66032 32 32 342 271 1 201 84 17 17 289 -1 unnamed_device 25.6 MiB 1.39 753 13260 4777 6497 1986 64.5 MiB 0.08 0.00 3.96428 -130.083 -3.96428 3.96428 0.93 0.000205234 0.000162974 0.0182418 0.0147943 -1 -1 -1 -1 46 2675 50 6.99608e+06 294314 828058. 2865.25 4.04 0.125657 0.107319 28066 200906 -1 1829 21 1870 2722 202521 48522 3.86415 3.86415 -137.674 -3.86415 0 0 1.01997e+06 3529.29 0.39 0.06 0.18 -1 -1 0.39 0.0151493 0.0133025 88 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 26.04 vpr 64.50 MiB -1 -1 0.25 21624 1 0.04 -1 -1 33736 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66052 32 32 372 300 1 225 80 17 17 289 -1 unnamed_device 25.7 MiB 1.95 1213 11432 3690 6927 815 64.5 MiB 0.08 0.00 4.63424 -146.103 -4.63424 4.63424 0.95 0.000224221 0.000177724 0.0176865 0.0143873 -1 -1 -1 -1 40 3168 35 6.99608e+06 235451 706193. 2443.58 20.48 0.197652 0.167633 26914 176310 -1 2959 20 2031 3016 337795 65556 4.37375 4.37375 -155.094 -4.37375 0 0 926341. 3205.33 0.36 0.08 0.16 -1 -1 0.36 0.0165195 0.0146371 100 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 6.68 vpr 63.21 MiB -1 -1 0.23 21452 1 0.04 -1 -1 34120 -1 -1 13 26 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64724 26 32 190 182 1 122 71 17 17 289 -1 unnamed_device 24.7 MiB 0.98 398 7809 3208 4118 483 63.2 MiB 0.04 0.00 2.6826 -76.1752 -2.6826 2.6826 0.92 0.000119396 9.1887e-05 0.00820823 0.00662063 -1 -1 -1 -1 38 1303 33 6.99608e+06 191304 678818. 2348.85 1.98 0.0481315 0.0399226 26626 170182 -1 963 20 721 809 72420 16775 2.30307 2.30307 -73.7861 -2.30307 0 0 902133. 3121.57 0.36 0.03 0.22 -1 -1 0.36 0.00916868 0.00803408 52 30 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 9.60 vpr 63.96 MiB -1 -1 0.29 21272 1 0.04 -1 -1 33928 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65500 32 32 285 227 1 162 79 17 17 289 -1 unnamed_device 25.4 MiB 1.22 705 12078 4998 6546 534 64.0 MiB 0.07 0.00 4.4821 -114.357 -4.4821 4.4821 0.94 0.00018516 0.00014614 0.0159344 0.0129525 -1 -1 -1 -1 38 2698 27 6.99608e+06 220735 678818. 2348.85 4.70 0.0854679 0.0719302 26626 170182 -1 1954 23 1419 2416 197936 43766 3.78296 3.78296 -121.838 -3.78296 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0161061 0.0142425 66 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 5.37 vpr 63.41 MiB -1 -1 0.26 21168 1 0.03 -1 -1 33680 -1 -1 8 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64932 32 32 173 169 1 112 72 17 17 289 -1 unnamed_device 24.8 MiB 0.27 437 9906 4129 5591 186 63.4 MiB 0.06 0.00 2.05011 -68.4317 -2.05011 2.05011 0.94 0.000124539 9.7191e-05 0.0135831 0.0110239 -1 -1 -1 -1 36 1207 35 6.99608e+06 117725 648988. 2245.63 1.50 0.0539124 0.0448876 26050 158493 -1 911 19 566 682 56435 12806 2.02348 2.02348 -70.7598 -2.02348 0 0 828058. 2865.25 0.32 0.03 0.15 -1 -1 0.32 0.00808533 0.00710491 42 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 8.90 vpr 64.41 MiB -1 -1 0.18 21544 1 0.04 -1 -1 33828 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65960 32 32 300 245 1 178 78 17 17 289 -1 unnamed_device 25.8 MiB 1.29 787 11034 4537 6125 372 64.4 MiB 0.07 0.00 4.53486 -122.805 -4.53486 4.53486 0.94 0.000189673 0.000149618 0.0150166 0.0122044 -1 -1 -1 -1 38 2644 43 6.99608e+06 206020 678818. 2348.85 3.81 0.0910397 0.0766426 26626 170182 -1 1864 29 1489 2059 268194 93673 4.13361 4.13361 -127.157 -4.13361 0 0 902133. 3121.57 0.40 0.09 0.17 -1 -1 0.40 0.0168046 0.0146371 73 24 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 7.83 vpr 63.95 MiB -1 -1 0.30 21412 1 0.03 -1 -1 34132 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65488 32 32 297 233 1 170 85 17 17 289 -1 unnamed_device 25.3 MiB 0.68 752 10873 3818 5551 1504 64.0 MiB 0.07 0.00 2.96725 -98.6672 -2.96725 2.96725 1.01 0.000198701 0.000154801 0.0141884 0.0114791 -1 -1 -1 -1 38 2710 37 6.99608e+06 309029 678818. 2348.85 3.50 0.0827797 0.0692306 26626 170182 -1 1920 21 1417 2326 158600 36999 3.12812 3.12812 -112.923 -3.12812 0 0 902133. 3121.57 0.37 0.06 0.16 -1 -1 0.37 0.0146491 0.0129798 74 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 8.97 vpr 64.54 MiB -1 -1 0.19 21364 1 0.04 -1 -1 33764 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66092 32 32 338 277 1 205 79 17 17 289 -1 unnamed_device 25.7 MiB 1.38 867 7853 3139 4431 283 64.5 MiB 0.05 0.00 4.12347 -127.886 -4.12347 4.12347 0.93 0.000199013 0.000156974 0.0117395 0.0095961 -1 -1 -1 -1 46 2738 41 6.99608e+06 220735 828058. 2865.25 3.93 0.0907242 0.0761386 28066 200906 -1 2181 22 1719 2587 190254 43419 3.85501 3.85501 -128.799 -3.85501 0 0 1.01997e+06 3529.29 0.38 0.06 0.20 -1 -1 0.38 0.015727 0.0138213 87 50 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 9.47 vpr 63.92 MiB -1 -1 0.28 21696 1 0.04 -1 -1 33620 -1 -1 12 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65456 32 32 284 241 1 168 76 17 17 289 -1 unnamed_device 25.3 MiB 3.12 674 9356 2870 4551 1935 63.9 MiB 0.05 0.00 3.13575 -106.667 -3.13575 3.13575 0.92 0.000176202 0.000138054 0.0124817 0.0101237 -1 -1 -1 -1 40 2217 49 6.99608e+06 176588 706193. 2443.58 2.47 0.0775932 0.0642279 26914 176310 -1 1797 20 1266 1757 166502 36883 3.25457 3.25457 -122.85 -3.25457 0 0 926341. 3205.33 0.57 0.06 0.18 -1 -1 0.57 0.0142423 0.012678 69 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 8.12 vpr 64.12 MiB -1 -1 0.31 21192 1 0.04 -1 -1 33920 -1 -1 14 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65660 30 32 262 227 1 160 76 17 17 289 -1 unnamed_device 25.4 MiB 1.81 589 9996 4089 5442 465 64.1 MiB 0.09 0.00 3.74777 -109.42 -3.74777 3.74777 1.06 0.000281171 0.000223379 0.0202842 0.0164329 -1 -1 -1 -1 48 1951 22 6.99608e+06 206020 865456. 2994.66 2.26 0.069048 0.0568792 28354 207349 -1 1463 20 1190 1805 156689 38956 3.24581 3.24581 -110.842 -3.24581 0 0 1.05005e+06 3633.38 0.47 0.05 0.20 -1 -1 0.47 0.0128161 0.011222 66 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 9.62 vpr 63.95 MiB -1 -1 0.27 21672 1 0.04 -1 -1 33692 -1 -1 18 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65480 28 32 260 223 1 152 78 17 17 289 -1 unnamed_device 25.2 MiB 3.88 566 10702 3839 4998 1865 63.9 MiB 0.06 0.00 3.27594 -101.475 -3.27594 3.27594 0.90 0.000206538 0.000167077 0.0140574 0.011409 -1 -1 -1 -1 42 2228 35 6.99608e+06 264882 744469. 2576.02 2.11 0.0711975 0.0592092 27202 183097 -1 1502 22 1294 2101 157785 36966 3.36181 3.36181 -108.095 -3.36181 0 0 949917. 3286.91 0.38 0.05 0.18 -1 -1 0.38 0.0130166 0.0114484 70 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 6.32 vpr 63.77 MiB -1 -1 0.16 21176 1 0.04 -1 -1 33720 -1 -1 10 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65304 32 32 253 210 1 149 74 17 17 289 -1 unnamed_device 25.3 MiB 0.53 510 11079 3828 5373 1878 63.8 MiB 0.06 0.00 3.37459 -106.177 -3.37459 3.37459 1.02 0.000167656 0.000132281 0.0138792 0.0112132 -1 -1 -1 -1 44 1632 21 6.99608e+06 147157 787024. 2723.27 2.04 0.0758238 0.0640255 27778 195446 -1 1255 23 1278 1977 120442 31904 3.03062 3.03062 -110.115 -3.03062 0 0 997811. 3452.63 0.39 0.05 0.18 -1 -1 0.39 0.0131139 0.0115553 58 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 10.81 vpr 63.74 MiB -1 -1 0.19 21164 1 0.03 -1 -1 34000 -1 -1 13 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65272 31 32 271 231 1 165 76 17 17 289 -1 unnamed_device 25.2 MiB 1.34 892 8396 1989 5699 708 63.7 MiB 0.08 0.00 3.27018 -109.388 -3.27018 3.27018 0.92 0.000295423 0.000234786 0.0144102 0.0118335 -1 -1 -1 -1 36 2529 37 6.99608e+06 191304 648988. 2245.63 5.73 0.0930083 0.0787402 26050 158493 -1 1957 21 1260 1716 145686 30648 3.10592 3.10592 -115.413 -3.10592 0 0 828058. 2865.25 0.34 0.05 0.19 -1 -1 0.34 0.012462 0.0109117 69 30 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 10.11 vpr 64.27 MiB -1 -1 0.19 21584 1 0.04 -1 -1 33884 -1 -1 15 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65816 29 32 291 250 1 180 76 17 17 289 -1 unnamed_device 25.6 MiB 2.73 893 11756 3359 7323 1074 64.3 MiB 0.07 0.00 2.90695 -103.76 -2.90695 2.90695 0.93 0.00017608 0.000138901 0.0152317 0.01229 -1 -1 -1 -1 36 2442 43 6.99608e+06 220735 648988. 2245.63 3.79 0.0847268 0.0707117 26050 158493 -1 1954 18 1256 1690 139426 28896 3.05912 3.05912 -113.612 -3.05912 0 0 828058. 2865.25 0.35 0.05 0.15 -1 -1 0.35 0.0126886 0.0112623 77 54 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 21.24 vpr 64.56 MiB -1 -1 0.19 21360 1 0.04 -1 -1 34212 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66112 32 32 367 282 1 217 80 17 17 289 -1 unnamed_device 25.7 MiB 1.43 941 11432 4088 5131 2213 64.6 MiB 0.08 0.00 4.40712 -125.714 -4.40712 4.40712 0.99 0.000363598 0.00028134 0.0189381 0.0154798 -1 -1 -1 -1 48 2821 24 6.99608e+06 235451 865456. 2994.66 15.85 0.182908 0.153104 28354 207349 -1 2168 20 1496 2426 194434 44753 3.58847 3.58847 -124.003 -3.58847 0 0 1.05005e+06 3633.38 0.43 0.07 0.26 -1 -1 0.43 0.020691 0.0185332 92 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 8.45 vpr 64.68 MiB -1 -1 0.18 21624 1 0.04 -1 -1 33764 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66228 32 32 391 311 1 244 82 17 17 289 -1 unnamed_device 25.8 MiB 1.00 1003 12186 4031 5776 2379 64.7 MiB 0.08 0.00 4.3859 -150.052 -4.3859 4.3859 0.91 0.000227313 0.000178855 0.018241 0.014721 -1 -1 -1 -1 44 3661 45 6.99608e+06 264882 787024. 2723.27 3.54 0.115184 0.0966582 27778 195446 -1 2288 24 2353 3309 249990 56885 4.0015 4.0015 -149.159 -4.0015 0 0 997811. 3452.63 0.48 0.10 0.26 -1 -1 0.48 0.0223349 0.0196823 106 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 9.73 vpr 63.96 MiB -1 -1 0.19 21340 1 0.03 -1 -1 33828 -1 -1 11 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65496 31 32 279 237 1 157 74 17 17 289 -1 unnamed_device 25.4 MiB 1.48 879 8134 2173 5187 774 64.0 MiB 0.09 0.00 3.62727 -120.528 -3.62727 3.62727 1.08 0.000276458 0.00021875 0.0175977 0.0143331 -1 -1 -1 -1 36 2423 45 6.99608e+06 161872 648988. 2245.63 4.58 0.111267 0.095014 26050 158493 -1 1996 20 1328 1931 181557 35817 3.48246 3.48246 -125.103 -3.48246 0 0 828058. 2865.25 0.33 0.05 0.16 -1 -1 0.33 0.012332 0.0108209 66 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 8.37 vpr 64.55 MiB -1 -1 0.19 21528 1 0.04 -1 -1 33900 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66100 31 32 370 297 1 227 80 17 17 289 -1 unnamed_device 25.8 MiB 1.13 902 12292 4688 5816 1788 64.6 MiB 0.08 0.00 3.65599 -121.612 -3.65599 3.65599 0.94 0.000217043 0.000170605 0.0189217 0.0152323 -1 -1 -1 -1 50 2759 34 6.99608e+06 250167 902133. 3121.57 3.52 0.104904 0.0879267 28642 213929 -1 2077 19 1659 2252 180057 42667 3.51907 3.51907 -125.738 -3.51907 0 0 1.08113e+06 3740.92 0.44 0.06 0.21 -1 -1 0.44 0.0173075 0.0152651 101 61 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 8.66 vpr 64.66 MiB -1 -1 0.21 21804 1 0.04 -1 -1 33768 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66216 31 32 377 302 1 235 80 17 17 289 -1 unnamed_device 25.8 MiB 1.08 1028 12636 4939 6150 1547 64.7 MiB 0.08 0.00 5.24621 -161.935 -5.24621 5.24621 0.89 0.000213377 0.000168285 0.0189384 0.0153887 -1 -1 -1 -1 48 3303 37 6.99608e+06 250167 865456. 2994.66 3.72 0.107468 0.0905825 28354 207349 -1 2354 33 2920 4225 465806 138121 5.3112 5.3112 -170.393 -5.3112 0 0 1.05005e+06 3633.38 0.46 0.14 0.25 -1 -1 0.46 0.026061 0.0227424 104 64 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 15.12 vpr 64.62 MiB -1 -1 0.21 21848 1 0.03 -1 -1 33620 -1 -1 18 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66168 31 32 383 305 1 233 81 17 17 289 -1 unnamed_device 25.9 MiB 4.69 968 11981 4242 5473 2266 64.6 MiB 0.08 0.00 5.22958 -165.475 -5.22958 5.22958 1.03 0.000225927 0.00017802 0.0179354 0.0144677 -1 -1 -1 -1 38 4038 49 6.99608e+06 264882 678818. 2348.85 6.40 0.112536 0.0943538 26626 170182 -1 2704 22 2314 3311 303781 63787 5.01455 5.01455 -170.12 -5.01455 0 0 902133. 3121.57 0.52 0.14 0.26 -1 -1 0.52 0.0282696 0.0249515 103 64 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 9.75 vpr 64.64 MiB -1 -1 0.19 21396 1 0.04 -1 -1 33884 -1 -1 16 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66196 31 32 352 285 1 215 79 17 17 289 -1 unnamed_device 25.7 MiB 2.58 882 12585 5245 6747 593 64.6 MiB 0.10 0.00 3.91372 -127.244 -3.91372 3.91372 0.88 0.000354634 0.000280375 0.020663 0.0166691 -1 -1 -1 -1 46 3220 49 6.99608e+06 235451 828058. 2865.25 3.70 0.103745 0.0865768 28066 200906 -1 2148 20 1690 2211 184808 39735 3.41986 3.41986 -124.041 -3.41986 0 0 1.01997e+06 3529.29 0.38 0.06 0.18 -1 -1 0.38 0.0145409 0.0127945 93 55 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 7.27 vpr 63.88 MiB -1 -1 0.17 21560 1 0.04 -1 -1 33888 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65416 32 32 291 242 1 177 78 17 17 289 -1 unnamed_device 25.2 MiB 1.40 853 9872 3567 4389 1916 63.9 MiB 0.06 0.00 4.1407 -116.233 -4.1407 4.1407 0.90 0.000184667 0.000146014 0.0138726 0.0113712 -1 -1 -1 -1 40 2523 26 6.99608e+06 206020 706193. 2443.58 2.41 0.0721842 0.0607654 26914 176310 -1 2086 20 1342 1862 174928 36049 3.96232 3.96232 -123.593 -3.96232 0 0 926341. 3205.33 0.35 0.05 0.22 -1 -1 0.35 0.0127874 0.0111781 72 27 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 9.89 vpr 64.64 MiB -1 -1 0.20 21764 1 0.05 -1 -1 33916 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66196 32 32 457 356 1 282 85 17 17 289 -1 unnamed_device 26.0 MiB 1.75 1445 14965 4188 8952 1825 64.6 MiB 0.12 0.00 4.92896 -170.692 -4.92896 4.92896 0.86 0.000264894 0.000210343 0.0257949 0.0210857 -1 -1 -1 -1 40 3661 40 6.99608e+06 309029 706193. 2443.58 4.30 0.124711 0.104648 26914 176310 -1 3385 20 2610 3812 343398 69832 4.77544 4.77544 -177.469 -4.77544 0 0 926341. 3205.33 0.51 0.10 0.18 -1 -1 0.51 0.0219675 0.0194993 129 87 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 9.38 vpr 63.71 MiB -1 -1 0.18 21444 1 0.03 -1 -1 33892 -1 -1 11 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65244 31 32 261 225 1 160 74 17 17 289 -1 unnamed_device 25.2 MiB 4.02 542 11234 3813 5447 1974 63.7 MiB 0.06 0.00 2.9921 -96.3096 -2.9921 2.9921 0.87 0.000164551 0.000129279 0.0136188 0.0109399 -1 -1 -1 -1 44 2071 32 6.99608e+06 161872 787024. 2723.27 2.08 0.0650548 0.0536255 27778 195446 -1 1288 20 1197 1546 103827 25958 3.08197 3.08197 -102.762 -3.08197 0 0 997811. 3452.63 0.41 0.04 0.18 -1 -1 0.41 0.0117273 0.0102967 65 28 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 6.91 vpr 64.46 MiB -1 -1 0.19 21520 1 0.04 -1 -1 33644 -1 -1 15 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66004 31 32 337 267 1 200 78 17 17 289 -1 unnamed_device 25.6 MiB 0.91 912 12694 5540 6748 406 64.5 MiB 0.08 0.00 4.60267 -146.673 -4.60267 4.60267 0.88 0.00020856 0.000164942 0.0192808 0.0156838 -1 -1 -1 -1 48 2598 24 6.99608e+06 220735 865456. 2994.66 2.47 0.0873758 0.0734139 28354 207349 -1 2041 22 1747 2529 208572 44467 4.26401 4.26401 -140.32 -4.26401 0 0 1.05005e+06 3633.38 0.40 0.06 0.19 -1 -1 0.40 0.0144772 0.012779 85 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 9.09 vpr 64.52 MiB -1 -1 0.19 21600 1 0.03 -1 -1 33948 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66072 32 32 349 284 1 213 79 17 17 289 -1 unnamed_device 25.6 MiB 1.72 945 13430 5690 7168 572 64.5 MiB 0.08 0.00 3.78685 -125.526 -3.78685 3.78685 0.92 0.000215369 0.000160622 0.0190596 0.0152823 -1 -1 -1 -1 46 2883 38 6.99608e+06 220735 828058. 2865.25 3.73 0.100922 0.0849773 28066 200906 -1 2024 20 1406 2136 157327 35923 3.43852 3.43852 -123.865 -3.43852 0 0 1.01997e+06 3529.29 0.40 0.05 0.20 -1 -1 0.40 0.0155384 0.0137724 91 53 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 7.28 vpr 63.97 MiB -1 -1 0.18 21388 1 0.04 -1 -1 33592 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65508 32 32 291 230 1 167 80 17 17 289 -1 unnamed_device 25.3 MiB 1.11 698 11776 4112 5155 2509 64.0 MiB 0.06 0.00 4.31309 -119.613 -4.31309 4.31309 0.91 0.000182043 0.000143194 0.0148118 0.0120126 -1 -1 -1 -1 40 2369 28 6.99608e+06 235451 706193. 2443.58 2.75 0.0717424 0.0593843 26914 176310 -1 2009 23 1477 2518 249326 68662 4.26966 4.26966 -133.049 -4.26966 0 0 926341. 3205.33 0.34 0.07 0.16 -1 -1 0.34 0.0139658 0.0122789 69 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 10.06 vpr 64.62 MiB -1 -1 0.20 21484 1 0.04 -1 -1 33776 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66168 32 32 353 287 1 205 79 17 17 289 -1 unnamed_device 25.7 MiB 1.63 862 12585 4753 5708 2124 64.6 MiB 0.08 0.00 4.19608 -127.939 -4.19608 4.19608 0.89 0.000206326 0.000162787 0.0180304 0.0146026 -1 -1 -1 -1 38 3230 48 6.99608e+06 220735 678818. 2348.85 4.75 0.102141 0.0857431 26626 170182 -1 2128 19 1557 2138 182030 38940 3.23321 3.23321 -118.635 -3.23321 0 0 902133. 3121.57 0.40 0.06 0.20 -1 -1 0.40 0.0153417 0.0136104 90 55 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 9.63 vpr 64.57 MiB -1 -1 0.20 21580 1 0.03 -1 -1 34044 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66116 32 32 361 291 1 216 79 17 17 289 -1 unnamed_device 25.7 MiB 2.02 946 11402 4534 5168 1700 64.6 MiB 0.08 0.00 3.61665 -125.974 -3.61665 3.61665 0.90 0.000210136 0.000163546 0.0165062 0.013286 -1 -1 -1 -1 40 3373 27 6.99608e+06 220735 706193. 2443.58 4.01 0.0889873 0.0746724 26914 176310 -1 2720 22 1775 2673 249256 52847 3.65666 3.65666 -138.794 -3.65666 0 0 926341. 3205.33 0.38 0.09 0.17 -1 -1 0.38 0.0218403 0.0193968 93 55 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 8.77 vpr 64.55 MiB -1 -1 0.20 21388 1 0.04 -1 -1 33752 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66104 32 32 382 305 1 237 80 17 17 289 -1 unnamed_device 25.8 MiB 2.78 1092 13152 5486 7241 425 64.6 MiB 0.08 0.00 3.81447 -129.917 -3.81447 3.81447 0.89 0.000217696 0.000170826 0.0192719 0.0155312 -1 -1 -1 -1 46 2925 27 6.99608e+06 235451 828058. 2865.25 2.43 0.0845874 0.0698632 28066 200906 -1 2278 19 1796 2390 177921 42101 3.34751 3.34751 -126.123 -3.34751 0 0 1.01997e+06 3529.29 0.37 0.06 0.19 -1 -1 0.37 0.0158603 0.0140805 101 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 7.43 vpr 64.26 MiB -1 -1 0.17 21576 1 0.04 -1 -1 33860 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 32 32 306 248 1 178 78 17 17 289 -1 unnamed_device 25.7 MiB 1.34 751 10204 3498 4965 1741 64.3 MiB 0.06 0.00 4.48113 -123.015 -4.48113 4.48113 0.91 0.000193982 0.000150061 0.0138866 0.0111923 -1 -1 -1 -1 40 2686 32 6.99608e+06 206020 706193. 2443.58 2.70 0.0836362 0.0702122 26914 176310 -1 2024 24 1488 2250 174372 40440 4.13042 4.13042 -131.697 -4.13042 0 0 926341. 3205.33 0.36 0.06 0.15 -1 -1 0.36 0.0152457 0.0134141 74 24 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 8.56 vpr 64.48 MiB -1 -1 0.18 21688 1 0.03 -1 -1 34100 -1 -1 13 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66024 32 32 319 257 1 193 77 17 17 289 -1 unnamed_device 25.8 MiB 2.42 789 11161 3588 5346 2227 64.5 MiB 0.07 0.00 4.08638 -125.107 -4.08638 4.08638 0.91 0.000192585 0.000151847 0.0155722 0.0125886 -1 -1 -1 -1 40 2671 45 6.99608e+06 191304 706193. 2443.58 2.73 0.078858 0.0652201 26914 176310 -1 2229 20 1798 2408 237570 50974 3.98701 3.98701 -139.933 -3.98701 0 0 926341. 3205.33 0.33 0.06 0.15 -1 -1 0.33 0.0129567 0.0113946 81 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 7.50 vpr 64.57 MiB -1 -1 0.19 21812 1 0.04 -1 -1 33692 -1 -1 16 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66116 31 32 373 299 1 224 79 17 17 289 -1 unnamed_device 25.8 MiB 1.07 960 12923 4769 6489 1665 64.6 MiB 0.09 0.00 4.33001 -137.493 -4.33001 4.33001 0.89 0.000227529 0.000181905 0.0192746 0.0156004 -1 -1 -1 -1 46 3262 28 6.99608e+06 235451 828058. 2865.25 2.83 0.0850302 0.070351 28066 200906 -1 2240 21 1802 2662 180385 42129 4.03366 4.03366 -137.646 -4.03366 0 0 1.01997e+06 3529.29 0.40 0.06 0.18 -1 -1 0.40 0.0155337 0.0136567 99 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 8.83 vpr 64.59 MiB -1 -1 0.21 21864 1 0.04 -1 -1 34164 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66140 32 32 387 315 1 241 80 17 17 289 -1 unnamed_device 25.7 MiB 1.24 1085 14872 6411 8186 275 64.6 MiB 0.10 0.00 3.95718 -133.91 -3.95718 3.95718 0.92 0.000223649 0.000176908 0.021543 0.017383 -1 -1 -1 -1 46 3438 27 6.99608e+06 235451 828058. 2865.25 3.94 0.0945706 0.0775519 28066 200906 -1 2566 22 2336 3360 279653 57197 3.90226 3.90226 -136.587 -3.90226 0 0 1.01997e+06 3529.29 0.37 0.08 0.18 -1 -1 0.37 0.0174517 0.0153217 106 77 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 13.24 vpr 64.04 MiB -1 -1 0.16 21220 1 0.03 -1 -1 33996 -1 -1 10 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65576 32 32 251 219 1 151 74 17 17 289 -1 unnamed_device 25.6 MiB 0.67 584 9994 4131 5517 346 64.0 MiB 0.05 0.00 3.27254 -98.1459 -3.27254 3.27254 0.87 0.000157754 0.000123946 0.0120223 0.00970434 -1 -1 -1 -1 40 2133 30 6.99608e+06 147157 706193. 2443.58 9.33 0.113294 0.0935925 26914 176310 -1 1619 17 1047 1471 160796 47790 2.98777 2.98777 -103.538 -2.98777 0 0 926341. 3205.33 0.35 0.05 0.17 -1 -1 0.35 0.011259 0.0100118 60 23 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 7.67 vpr 64.44 MiB -1 -1 0.18 21356 1 0.03 -1 -1 33924 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65984 32 32 341 285 1 214 79 17 17 289 -1 unnamed_device 25.5 MiB 1.04 872 9036 3191 4723 1122 64.4 MiB 0.06 0.00 3.89113 -140.293 -3.89113 3.89113 0.87 0.000201335 0.00015834 0.0146925 0.0122 -1 -1 -1 -1 44 3087 35 6.99608e+06 220735 787024. 2723.27 3.33 0.0883414 0.0739486 27778 195446 -1 2004 20 1867 2519 222462 45910 3.68341 3.68341 -137.359 -3.68341 0 0 997811. 3452.63 0.36 0.06 0.20 -1 -1 0.36 0.0131524 0.0115286 93 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 8.74 vpr 65.02 MiB -1 -1 0.20 21804 1 0.04 -1 -1 34108 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66580 32 32 387 293 1 226 80 17 17 289 -1 unnamed_device 26.1 MiB 1.06 920 11776 4854 6254 668 65.0 MiB 0.08 0.00 4.78758 -149.316 -4.78758 4.78758 0.88 0.000235279 0.000185922 0.0202053 0.0165634 -1 -1 -1 -1 48 3455 47 6.99608e+06 235451 865456. 2994.66 4.16 0.116266 0.098205 28354 207349 -1 2342 22 2187 3298 304499 71557 4.94376 4.94376 -156.132 -4.94376 0 0 1.05005e+06 3633.38 0.38 0.08 0.19 -1 -1 0.38 0.0169104 0.0148448 98 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 7.03 vpr 64.30 MiB -1 -1 0.19 21360 1 0.04 -1 -1 33796 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65840 32 32 340 270 1 203 79 17 17 289 -1 unnamed_device 25.5 MiB 0.81 871 12247 5090 6619 538 64.3 MiB 0.07 0.00 4.21616 -136.097 -4.21616 4.21616 0.87 0.000203985 0.000161368 0.0172429 0.014016 -1 -1 -1 -1 46 2790 27 6.99608e+06 220735 828058. 2865.25 2.74 0.0798817 0.0664199 28066 200906 -1 2033 22 1764 2369 168064 38187 3.60811 3.60811 -129.881 -3.60811 0 0 1.01997e+06 3529.29 0.38 0.06 0.18 -1 -1 0.38 0.015177 0.0133268 85 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 8.60 vpr 64.04 MiB -1 -1 0.19 21496 1 0.03 -1 -1 34032 -1 -1 20 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65572 30 32 278 235 1 166 82 17 17 289 -1 unnamed_device 25.4 MiB 2.28 641 12008 4694 6159 1155 64.0 MiB 0.07 0.00 3.67135 -114.032 -3.67135 3.67135 0.92 0.0001794 0.000139586 0.0142703 0.0115672 -1 -1 -1 -1 40 2118 44 6.99608e+06 294314 706193. 2443.58 3.03 0.0716951 0.0594958 26914 176310 -1 1753 21 1282 1962 260164 82998 3.52936 3.52936 -121.75 -3.52936 0 0 926341. 3205.33 0.33 0.07 0.16 -1 -1 0.33 0.0115858 0.0100846 72 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 7.24 vpr 64.81 MiB -1 -1 0.24 21784 1 0.04 -1 -1 33940 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66364 32 32 431 332 1 260 82 17 17 289 -1 unnamed_device 26.0 MiB 1.19 1432 14144 5603 7508 1033 64.8 MiB 0.11 0.00 6.40939 -192.555 -6.40939 6.40939 0.87 0.000293343 0.000241236 0.023519 0.0192005 -1 -1 -1 -1 48 3781 32 6.99608e+06 264882 865456. 2994.66 2.39 0.101086 0.0839433 28354 207349 -1 3159 22 2427 3546 304769 61049 5.77539 5.77539 -188.538 -5.77539 0 0 1.05005e+06 3633.38 0.39 0.09 0.19 -1 -1 0.39 0.0202931 0.0179321 116 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 10.06 vpr 64.71 MiB -1 -1 0.18 21424 1 0.04 -1 -1 33904 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66268 32 32 336 268 1 199 78 17 17 289 -1 unnamed_device 25.8 MiB 0.88 852 9374 3899 5203 272 64.7 MiB 0.06 0.00 4.9189 -148.418 -4.9189 4.9189 0.96 0.0001978 0.000155265 0.0134781 0.010907 -1 -1 -1 -1 38 2787 31 6.99608e+06 206020 678818. 2348.85 5.64 0.0849261 0.0713523 26626 170182 -1 2002 21 1728 2348 174385 37585 4.06535 4.06535 -139.343 -4.06535 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.0150326 0.0132653 83 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 6.63 vpr 63.69 MiB -1 -1 0.17 21296 1 0.03 -1 -1 33756 -1 -1 13 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65220 32 32 231 199 1 136 77 17 17 289 -1 unnamed_device 25.1 MiB 0.34 535 10509 4368 5789 352 63.7 MiB 0.05 0.00 2.922 -91.3971 -2.922 2.922 0.90 0.000152909 0.000120376 0.0117652 0.00952878 -1 -1 -1 -1 40 1896 38 6.99608e+06 191304 706193. 2443.58 2.82 0.0608314 0.0505949 26914 176310 -1 1509 21 991 1481 143085 34737 3.14927 3.14927 -103.816 -3.14927 0 0 926341. 3205.33 0.35 0.05 0.16 -1 -1 0.35 0.0106806 0.00931707 51 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 21.39 vpr 64.66 MiB -1 -1 0.19 21516 1 0.03 -1 -1 33876 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66208 32 32 349 273 1 207 80 17 17 289 -1 unnamed_device 25.7 MiB 1.86 937 15044 6237 7403 1404 64.7 MiB 0.09 0.00 4.79375 -134.609 -4.79375 4.79375 0.91 0.00021026 0.000166512 0.021647 0.0176101 -1 -1 -1 -1 40 3381 44 6.99608e+06 235451 706193. 2443.58 15.98 0.166152 0.138568 26914 176310 -1 2639 22 2007 3190 342110 79019 5.28776 5.28776 -152.58 -5.28776 0 0 926341. 3205.33 0.35 0.10 0.16 -1 -1 0.35 0.0190367 0.0169094 85 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 7.06 vpr 63.89 MiB -1 -1 0.18 21112 1 0.04 -1 -1 33836 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65420 32 32 247 207 1 142 78 17 17 289 -1 unnamed_device 25.4 MiB 1.16 492 10204 2692 5791 1721 63.9 MiB 0.06 0.00 2.966 -96.76 -2.966 2.966 0.89 0.000204258 0.000169958 0.0122816 0.00998525 -1 -1 -1 -1 38 1631 32 6.99608e+06 206020 678818. 2348.85 2.51 0.0615401 0.0511938 26626 170182 -1 1195 22 1046 1513 86598 21931 3.06997 3.06997 -104.764 -3.06997 0 0 902133. 3121.57 0.35 0.04 0.15 -1 -1 0.35 0.0115128 0.0100936 57 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 7.53 vpr 63.77 MiB -1 -1 0.17 21460 1 0.03 -1 -1 33884 -1 -1 13 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65296 30 32 278 235 1 170 75 17 17 289 -1 unnamed_device 25.1 MiB 0.81 683 11293 4547 5793 953 63.8 MiB 0.06 0.00 3.84183 -118.192 -3.84183 3.84183 0.93 0.000168769 0.000132695 0.0138151 0.0111057 -1 -1 -1 -1 38 2131 30 6.99608e+06 191304 678818. 2348.85 3.25 0.0790908 0.066432 26626 170182 -1 1548 21 1163 1560 112003 25178 3.20221 3.20221 -112.039 -3.20221 0 0 902133. 3121.57 0.33 0.04 0.16 -1 -1 0.33 0.0123538 0.0105807 69 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 7.64 vpr 64.87 MiB -1 -1 0.20 21484 1 0.04 -1 -1 33820 -1 -1 18 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66424 29 32 355 287 1 213 79 17 17 289 -1 unnamed_device 25.8 MiB 1.24 978 11064 4603 5811 650 64.9 MiB 0.08 0.00 4.04056 -127.05 -4.04056 4.04056 0.94 0.000212667 0.000167407 0.0178426 0.0146281 -1 -1 -1 -1 44 3460 36 6.99608e+06 264882 787024. 2723.27 2.85 0.0884711 0.0738558 27778 195446 -1 2237 22 1721 2487 195932 42997 3.88346 3.88346 -131.875 -3.88346 0 0 997811. 3452.63 0.37 0.06 0.18 -1 -1 0.37 0.0156818 0.0138098 97 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 9.74 vpr 64.88 MiB -1 -1 0.19 21412 1 0.04 -1 -1 33836 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66440 32 32 358 289 1 219 79 17 17 289 -1 unnamed_device 26.1 MiB 1.79 980 14444 5001 7107 2336 64.9 MiB 0.09 0.00 4.54753 -143.667 -4.54753 4.54753 0.92 0.000209244 0.000164807 0.0206418 0.0166491 -1 -1 -1 -1 38 3493 41 6.99608e+06 220735 678818. 2348.85 4.52 0.0916971 0.0758829 26626 170182 -1 2608 21 2012 2796 244213 51678 4.40255 4.40255 -157.439 -4.40255 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0147935 0.0129529 93 54 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 10.82 vpr 64.50 MiB -1 -1 0.19 21408 1 0.04 -1 -1 33744 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66048 32 32 353 285 1 213 79 17 17 289 -1 unnamed_device 25.8 MiB 3.91 1118 12585 4147 6271 2167 64.5 MiB 0.08 0.00 4.58917 -148.796 -4.58917 4.58917 0.87 0.000211329 0.000167707 0.018301 0.0148208 -1 -1 -1 -1 40 2940 33 6.99608e+06 220735 706193. 2443.58 3.41 0.0827241 0.0684322 26914 176310 -1 2614 22 1958 2844 308347 61854 4.45201 4.45201 -153.727 -4.45201 0 0 926341. 3205.33 0.35 0.08 0.16 -1 -1 0.35 0.0163191 0.0143447 90 51 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 8.94 vpr 64.16 MiB -1 -1 0.19 21448 1 0.03 -1 -1 33584 -1 -1 11 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65704 32 32 276 237 1 163 75 17 17 289 -1 unnamed_device 25.6 MiB 2.28 646 11767 4636 5730 1401 64.2 MiB 0.07 0.00 4.03444 -123.732 -4.03444 4.03444 0.88 0.000174173 0.000137044 0.0159728 0.0129437 -1 -1 -1 -1 46 2438 26 6.99608e+06 161872 828058. 2865.25 3.19 0.0686087 0.0571018 28066 200906 -1 1572 26 1180 1552 122096 28753 3.46386 3.46386 -118.174 -3.46386 0 0 1.01997e+06 3529.29 0.38 0.05 0.19 -1 -1 0.38 0.0137221 0.0118784 67 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 6.47 vpr 64.24 MiB -1 -1 0.17 21420 1 0.03 -1 -1 33804 -1 -1 14 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65784 31 32 319 272 1 200 77 17 17 289 -1 unnamed_device 25.4 MiB 1.03 795 12791 5222 5710 1859 64.2 MiB 0.08 0.00 3.72927 -124.319 -3.72927 3.72927 0.86 0.000182303 0.000142738 0.017769 0.0131783 -1 -1 -1 -1 44 2591 26 6.99608e+06 206020 787024. 2723.27 2.12 0.0811803 0.0666083 27778 195446 -1 1899 23 1534 2162 165982 36611 3.60141 3.60141 -123.632 -3.60141 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.0148986 0.0129213 86 64 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 8.26 vpr 64.28 MiB -1 -1 0.19 21568 1 0.04 -1 -1 33532 -1 -1 19 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65824 30 32 329 273 1 202 81 17 17 289 -1 unnamed_device 25.4 MiB 1.53 844 13731 4372 6963 2396 64.3 MiB 0.08 0.00 3.3817 -109.729 -3.3817 3.3817 0.87 0.000190383 0.000150543 0.0184834 0.0149634 -1 -1 -1 -1 40 2972 39 6.99608e+06 279598 706193. 2443.58 3.23 0.0951528 0.079712 26914 176310 -1 1994 24 1750 2466 236383 60060 3.06321 3.06321 -110.106 -3.06321 0 0 926341. 3205.33 0.34 0.08 0.16 -1 -1 0.34 0.0190414 0.0167988 91 57 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 6.62 vpr 63.93 MiB -1 -1 0.18 21560 1 0.03 -1 -1 33896 -1 -1 17 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65464 28 32 277 229 1 170 77 17 17 289 -1 unnamed_device 25.3 MiB 0.63 703 11976 4217 5816 1943 63.9 MiB 0.07 0.00 3.6892 -102.61 -3.6892 3.6892 0.89 0.000214394 0.00017854 0.0146463 0.0117798 -1 -1 -1 -1 40 2134 23 6.99608e+06 250167 706193. 2443.58 2.59 0.0709358 0.0593246 26914 176310 -1 1788 20 1317 1928 212398 44387 3.51816 3.51816 -110.645 -3.51816 0 0 926341. 3205.33 0.36 0.06 0.17 -1 -1 0.36 0.0122415 0.0107741 71 27 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 6.50 vpr 64.50 MiB -1 -1 0.19 21580 1 0.03 -1 -1 33836 -1 -1 15 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66044 30 32 317 269 1 200 77 17 17 289 -1 unnamed_device 25.6 MiB 0.95 796 9042 3476 4677 889 64.5 MiB 0.06 0.00 4.23312 -132.968 -4.23312 4.23312 0.91 0.000189567 0.000148323 0.0128665 0.0104419 -1 -1 -1 -1 44 2471 25 6.99608e+06 220735 787024. 2723.27 2.03 0.0694446 0.0577216 27778 195446 -1 1793 21 1664 2228 177685 38515 3.71386 3.71386 -130.295 -3.71386 0 0 997811. 3452.63 0.42 0.06 0.18 -1 -1 0.42 0.0154349 0.0136465 88 63 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 7.69 vpr 64.48 MiB -1 -1 0.17 21616 1 0.03 -1 -1 33764 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66028 32 32 335 282 1 216 78 17 17 289 -1 unnamed_device 25.6 MiB 1.02 932 13524 5742 7441 341 64.5 MiB 0.08 0.00 3.33761 -122.631 -3.33761 3.33761 0.90 0.000197042 0.000154606 0.018226 0.0145908 -1 -1 -1 -1 46 2602 32 6.99608e+06 206020 828058. 2865.25 3.13 0.0833634 0.069198 28066 200906 -1 2009 20 1718 2353 172584 38355 3.51211 3.51211 -128.602 -3.51211 0 0 1.01997e+06 3529.29 0.41 0.05 0.19 -1 -1 0.41 0.0135848 0.0119515 93 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 6.00 vpr 63.83 MiB -1 -1 0.18 21312 1 0.03 -1 -1 34036 -1 -1 24 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65364 31 32 293 230 1 168 87 17 17 289 -1 unnamed_device 25.2 MiB 0.53 734 13911 5021 5993 2897 63.8 MiB 0.07 0.00 4.52238 -122.271 -4.52238 4.52238 0.87 0.000194385 0.000155127 0.0158827 0.0128993 -1 -1 -1 -1 44 2469 50 6.99608e+06 353176 787024. 2723.27 2.20 0.0758328 0.0626185 27778 195446 -1 1737 22 1226 2079 141220 32771 4.03642 4.03642 -127.786 -4.03642 0 0 997811. 3452.63 0.38 0.05 0.18 -1 -1 0.38 0.0130162 0.0114364 74 4 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 24.66 vpr 64.58 MiB -1 -1 0.21 21576 1 0.03 -1 -1 33724 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66132 32 32 350 275 1 202 78 17 17 289 -1 unnamed_device 25.6 MiB 1.38 829 10204 4200 5555 449 64.6 MiB 0.06 0.00 4.50341 -148.643 -4.50341 4.50341 0.91 0.000205857 0.000161727 0.0150649 0.0122181 -1 -1 -1 -1 48 2835 33 6.99608e+06 206020 865456. 2994.66 19.69 0.169073 0.142889 28354 207349 -1 2230 20 1749 2578 220453 49752 4.10901 4.10901 -145.953 -4.10901 0 0 1.05005e+06 3633.38 0.50 0.06 0.19 -1 -1 0.50 0.0160984 0.0143082 86 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 8.03 vpr 64.67 MiB -1 -1 0.21 21440 1 0.03 -1 -1 34032 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66224 32 32 385 308 1 237 81 17 17 289 -1 unnamed_device 25.8 MiB 0.98 1083 14606 4862 7486 2258 64.7 MiB 0.10 0.00 5.11069 -165.7 -5.11069 5.11069 0.90 0.000275155 0.000227748 0.0210966 0.0168538 -1 -1 -1 -1 44 3460 33 6.99608e+06 250167 787024. 2723.27 3.49 0.0940948 0.0778047 27778 195446 -1 2572 23 2258 3110 251900 53678 5.12834 5.12834 -169.932 -5.12834 0 0 997811. 3452.63 0.37 0.07 0.18 -1 -1 0.37 0.0167521 0.0146888 102 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 7.36 vpr 64.82 MiB -1 -1 0.20 21496 1 0.03 -1 -1 33696 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66380 32 32 387 309 1 244 81 17 17 289 -1 unnamed_device 25.9 MiB 1.07 1036 9181 3131 4714 1336 64.8 MiB 0.07 0.00 4.33426 -143.87 -4.33426 4.33426 0.88 0.000252903 0.00020596 0.0141902 0.0115908 -1 -1 -1 -1 56 3044 44 6.99608e+06 250167 973134. 3367.25 2.79 0.0911501 0.0760829 29794 239141 -1 2372 22 2062 2896 252385 53060 4.0145 4.0145 -144.544 -4.0145 0 0 1.19926e+06 4149.71 0.43 0.07 0.23 -1 -1 0.43 0.0164165 0.0144277 104 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 12.86 vpr 64.06 MiB -1 -1 0.19 21632 1 0.03 -1 -1 33724 -1 -1 13 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65596 30 32 272 232 1 171 75 17 17 289 -1 unnamed_device 25.5 MiB 1.11 635 9713 3107 4623 1983 64.1 MiB 0.06 0.00 4.08266 -116.386 -4.08266 4.08266 0.89 0.000163809 0.000128903 0.0122997 0.00998892 -1 -1 -1 -1 40 2110 26 6.99608e+06 191304 706193. 2443.58 8.31 0.12524 0.104885 26914 176310 -1 1699 22 1404 2028 202134 42834 3.28122 3.28122 -113.727 -3.28122 0 0 926341. 3205.33 0.34 0.06 0.16 -1 -1 0.34 0.0123762 0.0108922 71 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 7.31 vpr 64.71 MiB -1 -1 0.20 21332 1 0.04 -1 -1 34172 -1 -1 18 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66264 30 32 375 299 1 233 80 17 17 289 -1 unnamed_device 25.8 MiB 1.09 883 12120 4402 5485 2233 64.7 MiB 0.07 0.00 5.1699 -158.063 -5.1699 5.1699 0.87 0.000220197 0.000173365 0.0180616 0.0145473 -1 -1 -1 -1 48 2922 49 6.99608e+06 264882 865456. 2994.66 2.75 0.0923785 0.0763836 28354 207349 -1 2248 21 2138 3053 249900 59890 5.1971 5.1971 -167.765 -5.1971 0 0 1.05005e+06 3633.38 0.40 0.08 0.20 -1 -1 0.40 0.01988 0.0177144 104 63 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 6.93 vpr 64.28 MiB -1 -1 0.20 21532 1 0.03 -1 -1 34032 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65820 32 32 340 270 1 197 78 17 17 289 -1 unnamed_device 25.7 MiB 0.91 788 9374 3088 4347 1939 64.3 MiB 0.06 0.00 4.91711 -144.854 -4.91711 4.91711 0.89 0.000198684 0.000156806 0.0137493 0.0112378 -1 -1 -1 -1 54 2286 40 6.99608e+06 206020 949917. 3286.91 2.51 0.0797992 0.0661964 29506 232905 -1 1621 19 1321 2164 139952 35343 4.04535 4.04535 -135.966 -4.04535 0 0 1.17392e+06 4061.99 0.44 0.05 0.22 -1 -1 0.44 0.0136072 0.0120445 81 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 11.11 vpr 64.55 MiB -1 -1 0.20 21500 1 0.03 -1 -1 33880 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66096 31 32 340 275 1 196 80 17 17 289 -1 unnamed_device 25.6 MiB 1.41 828 14528 6197 7823 508 64.5 MiB 0.09 0.00 5.0524 -144.146 -5.0524 5.0524 0.94 0.000209693 0.000164353 0.0205424 0.0166467 -1 -1 -1 -1 38 3321 44 6.99608e+06 250167 678818. 2348.85 6.30 0.0944396 0.0789478 26626 170182 -1 2238 25 1699 2500 204991 46682 4.45981 4.45981 -147.934 -4.45981 0 0 902133. 3121.57 0.32 0.07 0.15 -1 -1 0.32 0.016524 0.0144303 87 47 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 8.26 vpr 64.75 MiB -1 -1 0.20 21580 1 0.03 -1 -1 34016 -1 -1 19 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66308 30 32 377 310 1 234 81 17 17 289 -1 unnamed_device 25.9 MiB 1.70 998 14606 5096 6874 2636 64.8 MiB 0.09 0.00 4.3242 -135.003 -4.3242 4.3242 0.89 0.00020899 0.000164602 0.0198726 0.0159845 -1 -1 -1 -1 46 3279 31 6.99608e+06 279598 828058. 2865.25 3.09 0.0890044 0.0735867 28066 200906 -1 2277 21 2181 2990 217660 49510 4.4295 4.4295 -146.67 -4.4295 0 0 1.01997e+06 3529.29 0.37 0.06 0.18 -1 -1 0.37 0.0152652 0.0134231 107 83 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 23.75 vpr 64.62 MiB -1 -1 0.19 21548 1 0.03 -1 -1 33708 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66176 32 32 365 294 1 223 81 17 17 289 -1 unnamed_device 25.8 MiB 1.90 1123 15831 6103 7773 1955 64.6 MiB 0.10 0.00 4.68727 -152.859 -4.68727 4.68727 0.96 0.000212683 0.000168476 0.0215326 0.0172915 -1 -1 -1 -1 40 3015 21 6.99608e+06 250167 706193. 2443.58 18.29 0.147224 0.121925 26914 176310 -1 2734 19 1951 2741 273955 54955 4.50081 4.50081 -161.822 -4.50081 0 0 926341. 3205.33 0.34 0.07 0.16 -1 -1 0.34 0.0163433 0.0145566 95 57 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 9.69 vpr 64.66 MiB -1 -1 0.18 21448 1 0.04 -1 -1 33768 -1 -1 20 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66208 29 32 378 310 1 237 81 17 17 289 -1 unnamed_device 25.8 MiB 2.59 1106 12156 3661 6482 2013 64.7 MiB 0.08 0.00 3.82165 -125.941 -3.82165 3.82165 0.90 0.000208189 0.000164124 0.0170469 0.0138326 -1 -1 -1 -1 38 3242 28 6.99608e+06 294314 678818. 2348.85 3.69 0.0812439 0.0670645 26626 170182 -1 2602 22 1986 2601 212313 44205 3.85722 3.85722 -135.545 -3.85722 0 0 902133. 3121.57 0.34 0.06 0.15 -1 -1 0.34 0.0155272 0.0135857 109 85 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 9.07 vpr 63.74 MiB -1 -1 0.17 21260 1 0.03 -1 -1 33968 -1 -1 10 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65272 32 32 243 205 1 140 74 17 17 289 -1 unnamed_device 25.3 MiB 2.94 497 9529 2774 4777 1978 63.7 MiB 0.05 0.00 3.56099 -102.406 -3.56099 3.56099 0.93 0.000159481 0.000124841 0.0117471 0.00949362 -1 -1 -1 -1 50 1343 28 6.99608e+06 147157 902133. 3121.57 2.74 0.0589365 0.0488094 28642 213929 -1 1025 26 974 1476 91476 23979 3.21827 3.21827 -98.6875 -3.21827 0 0 1.08113e+06 3740.92 0.40 0.04 0.19 -1 -1 0.40 0.0126459 0.0110751 54 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 18.87 vpr 64.68 MiB -1 -1 0.19 21372 1 0.03 -1 -1 34088 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66228 32 32 373 302 1 234 81 17 17 289 -1 unnamed_device 25.9 MiB 0.85 934 8831 2712 4206 1913 64.7 MiB 0.07 0.00 5.01054 -157.498 -5.01054 5.01054 0.89 0.000230035 0.000180586 0.0139227 0.0113193 -1 -1 -1 -1 50 2890 36 6.99608e+06 250167 902133. 3121.57 14.44 0.15015 0.124873 28642 213929 -1 2144 24 2068 2921 263495 55933 4.65814 4.65814 -157.361 -4.65814 0 0 1.08113e+06 3740.92 0.42 0.07 0.20 -1 -1 0.42 0.0172905 0.0152266 100 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 8.30 vpr 64.95 MiB -1 -1 0.19 21532 1 0.03 -1 -1 33864 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66512 32 32 397 314 1 249 81 17 17 289 -1 unnamed_device 26.0 MiB 1.08 1037 11456 4156 5041 2259 65.0 MiB 0.08 0.00 4.93306 -166.082 -4.93306 4.93306 0.90 0.000262526 0.000202043 0.0186915 0.0153197 -1 -1 -1 -1 44 3965 44 6.99608e+06 250167 787024. 2723.27 3.64 0.105862 0.0886386 27778 195446 -1 2622 24 2664 3735 313256 64961 4.90074 4.90074 -173.084 -4.90074 0 0 997811. 3452.63 0.38 0.09 0.18 -1 -1 0.38 0.0184243 0.0160443 109 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 7.28 vpr 64.11 MiB -1 -1 0.18 21232 1 0.04 -1 -1 33640 -1 -1 11 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65652 32 32 269 231 1 168 75 17 17 289 -1 unnamed_device 25.5 MiB 1.57 644 12241 5168 6711 362 64.1 MiB 0.07 0.00 3.78577 -113.025 -3.78577 3.78577 0.89 0.000182515 0.00014383 0.0161813 0.0130893 -1 -1 -1 -1 44 2214 37 6.99608e+06 161872 787024. 2723.27 2.18 0.0695377 0.0576806 27778 195446 -1 1516 21 1065 1330 98779 22991 3.09311 3.09311 -108.774 -3.09311 0 0 997811. 3452.63 0.38 0.04 0.18 -1 -1 0.38 0.0127442 0.0112749 69 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 6.35 vpr 64.13 MiB -1 -1 0.17 21216 1 0.03 -1 -1 34140 -1 -1 13 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65672 31 32 245 205 1 144 76 17 17 289 -1 unnamed_device 25.6 MiB 1.18 467 10956 2929 5982 2045 64.1 MiB 0.05 0.00 3.36359 -99.0047 -3.36359 3.36359 0.85 0.000158666 0.000125384 0.0126539 0.010259 -1 -1 -1 -1 48 1458 23 6.99608e+06 191304 865456. 2994.66 1.95 0.0582441 0.0484115 28354 207349 -1 1149 20 1037 1562 107224 27427 2.97667 2.97667 -103.555 -2.97667 0 0 1.05005e+06 3633.38 0.36 0.04 0.21 -1 -1 0.36 0.0101151 0.0089114 57 4 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 7.57 vpr 64.48 MiB -1 -1 0.19 21632 1 0.03 -1 -1 34004 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66032 32 32 348 274 1 208 79 17 17 289 -1 unnamed_device 25.6 MiB 1.07 775 12754 5312 6863 579 64.5 MiB 0.07 0.00 4.29802 -140.072 -4.29802 4.29802 0.85 0.000204377 0.000161654 0.0178208 0.0144354 -1 -1 -1 -1 48 2804 25 6.99608e+06 220735 865456. 2994.66 3.15 0.0793132 0.0658263 28354 207349 -1 2110 22 1910 2568 220393 52114 4.7131 4.7131 -155.264 -4.7131 0 0 1.05005e+06 3633.38 0.40 0.07 0.20 -1 -1 0.40 0.0153058 0.0133453 88 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 7.90 vpr 64.57 MiB -1 -1 0.19 21500 1 0.03 -1 -1 33896 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66120 32 32 356 289 1 217 79 17 17 289 -1 unnamed_device 25.8 MiB 2.09 1200 10050 2860 5275 1915 64.6 MiB 0.07 0.00 4.58812 -146.135 -4.58812 4.58812 0.91 0.00020739 0.000163718 0.0147191 0.0119419 -1 -1 -1 -1 44 3098 28 6.99608e+06 220735 787024. 2723.27 2.24 0.0757196 0.0627811 27778 195446 -1 2424 23 1470 2021 162241 32994 4.01671 4.01671 -141.1 -4.01671 0 0 997811. 3452.63 0.38 0.06 0.18 -1 -1 0.38 0.0163921 0.0144028 95 56 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 8.41 vpr 64.50 MiB -1 -1 0.20 21472 1 0.04 -1 -1 33480 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66044 32 32 349 260 1 195 81 17 17 289 -1 unnamed_device 25.6 MiB 0.59 875 12156 5059 6582 515 64.5 MiB 0.08 0.00 4.64591 -137.502 -4.64591 4.64591 0.91 0.000233738 0.000186553 0.0195666 0.016 -1 -1 -1 -1 40 3001 48 6.99608e+06 250167 706193. 2443.58 4.33 0.107766 0.09098 26914 176310 -1 2413 25 2029 3465 277166 62434 5.02705 5.02705 -153.157 -5.02705 0 0 926341. 3205.33 0.35 0.08 0.16 -1 -1 0.35 0.0169699 0.0148645 83 3 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 9.71 vpr 64.50 MiB -1 -1 0.19 21560 1 0.04 -1 -1 34144 -1 -1 16 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66052 30 32 316 264 1 197 78 17 17 289 -1 unnamed_device 25.7 MiB 3.27 811 12860 4243 6447 2170 64.5 MiB 0.08 0.00 3.96842 -107.825 -3.96842 3.96842 0.89 0.000192253 0.000151904 0.0174685 0.0141633 -1 -1 -1 -1 46 2449 28 6.99608e+06 235451 828058. 2865.25 2.87 0.0822414 0.0687165 28066 200906 -1 1888 22 1521 2302 187777 40324 3.20841 3.20841 -110.256 -3.20841 0 0 1.01997e+06 3529.29 0.42 0.06 0.19 -1 -1 0.42 0.0147234 0.0130117 87 52 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 10.11 vpr 63.73 MiB -1 -1 0.18 21600 1 0.04 -1 -1 34008 -1 -1 15 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65260 27 32 255 219 1 145 74 17 17 289 -1 unnamed_device 25.2 MiB 2.75 489 7669 3106 4069 494 63.7 MiB 0.04 0.00 3.4808 -102.051 -3.4808 3.4808 0.89 0.000168562 0.00013547 0.00935892 0.00757517 -1 -1 -1 -1 38 2144 42 6.99608e+06 220735 678818. 2348.85 3.97 0.0716868 0.0599711 26626 170182 -1 1355 22 1106 1621 145910 35143 3.53956 3.53956 -112.677 -3.53956 0 0 902133. 3121.57 0.36 0.05 0.16 -1 -1 0.36 0.0128824 0.0113329 66 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 8.58 vpr 64.89 MiB -1 -1 0.20 21724 1 0.04 -1 -1 33904 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66444 32 32 421 327 1 257 82 17 17 289 -1 unnamed_device 26.1 MiB 1.24 1332 12186 3960 6618 1608 64.9 MiB 0.09 0.00 4.21393 -148.472 -4.21393 4.21393 0.90 0.000237591 0.000188159 0.0194166 0.0157682 -1 -1 -1 -1 46 3802 31 6.99608e+06 264882 828058. 2865.25 3.75 0.103829 0.087101 28066 200906 -1 3098 25 2477 3827 319015 62018 4.29751 4.29751 -154.976 -4.29751 0 0 1.01997e+06 3529.29 0.37 0.09 0.18 -1 -1 0.37 0.0199397 0.017285 111 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 8.67 vpr 64.78 MiB -1 -1 0.21 21544 1 0.04 -1 -1 34028 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66332 31 32 365 296 1 229 80 17 17 289 -1 unnamed_device 26.0 MiB 1.20 986 5756 1263 4208 285 64.8 MiB 0.05 0.00 5.65424 -162.981 -5.65424 5.65424 0.87 0.00023144 0.000184487 0.0103291 0.00861821 -1 -1 -1 -1 40 3074 34 6.99608e+06 250167 706193. 2443.58 3.99 0.087838 0.0743683 26914 176310 -1 2702 28 2647 3753 480560 145673 5.2637 5.2637 -178.943 -5.2637 0 0 926341. 3205.33 0.34 0.12 0.16 -1 -1 0.34 0.0174312 0.0151086 100 64 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 6.80 vpr 64.49 MiB -1 -1 0.18 21492 1 0.03 -1 -1 33908 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66036 32 32 331 280 1 215 78 17 17 289 -1 unnamed_device 25.6 MiB 1.12 966 12030 5173 6617 240 64.5 MiB 0.07 0.00 3.99123 -142.235 -3.99123 3.99123 0.87 0.000198013 0.000156991 0.0165506 0.0133967 -1 -1 -1 -1 48 2359 23 6.99608e+06 206020 865456. 2994.66 2.25 0.0735164 0.0608419 28354 207349 -1 2012 20 1550 1970 181291 38605 3.6147 3.6147 -137.523 -3.6147 0 0 1.05005e+06 3633.38 0.39 0.05 0.19 -1 -1 0.39 0.0130728 0.0114496 91 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 6.85 vpr 64.59 MiB -1 -1 0.19 21548 1 0.04 -1 -1 33836 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66140 32 32 326 263 1 197 79 17 17 289 -1 unnamed_device 25.8 MiB 0.90 812 13768 5924 7391 453 64.6 MiB 0.09 0.00 4.14137 -126.485 -4.14137 4.14137 0.91 0.000220824 0.000160364 0.0199956 0.0161095 -1 -1 -1 -1 46 2566 28 6.99608e+06 220735 828058. 2865.25 2.39 0.0767439 0.0634984 28066 200906 -1 1927 20 1267 1756 117851 26945 3.76272 3.76272 -126.629 -3.76272 0 0 1.01997e+06 3529.29 0.39 0.06 0.18 -1 -1 0.39 0.0163286 0.0145242 81 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 16.72 vpr 64.64 MiB -1 -1 0.19 21888 1 0.04 -1 -1 33864 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66188 31 32 373 294 1 221 80 17 17 289 -1 unnamed_device 25.9 MiB 2.97 911 12120 4489 5478 2153 64.6 MiB 0.08 0.00 4.14153 -124.204 -4.14153 4.14153 0.88 0.00022142 0.000172563 0.0183256 0.0148013 -1 -1 -1 -1 42 3397 39 6.99608e+06 250167 744469. 2576.02 10.28 0.142158 0.117116 27202 183097 -1 2404 27 2287 3242 323886 88583 4.05342 4.05342 -136.821 -4.05342 0 0 949917. 3286.91 0.35 0.09 0.17 -1 -1 0.35 0.0179834 0.0156583 97 50 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 8.50 vpr 64.36 MiB -1 -1 0.18 21584 1 0.04 -1 -1 33908 -1 -1 18 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65904 30 32 325 268 1 199 80 17 17 289 -1 unnamed_device 25.6 MiB 2.06 860 13496 5767 7196 533 64.4 MiB 0.08 0.00 3.54615 -113.081 -3.54615 3.54615 0.90 0.000195332 0.000153228 0.0177338 0.0142389 -1 -1 -1 -1 46 2607 47 6.99608e+06 264882 828058. 2865.25 2.92 0.0810817 0.0667399 28066 200906 -1 1990 23 1472 2372 171331 37082 3.14741 3.14741 -111.674 -3.14741 0 0 1.01997e+06 3529.29 0.38 0.05 0.18 -1 -1 0.38 0.014719 0.0129018 89 51 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 9.19 vpr 64.41 MiB -1 -1 0.21 21532 1 0.04 -1 -1 33848 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65956 32 32 350 275 1 209 78 17 17 289 -1 unnamed_device 25.5 MiB 1.05 937 9042 3197 4114 1731 64.4 MiB 0.06 0.00 4.39601 -145.139 -4.39601 4.39601 0.92 0.000216088 0.000172129 0.0146907 0.0121024 -1 -1 -1 -1 46 3268 28 6.99608e+06 206020 828058. 2865.25 4.57 0.0848151 0.0712487 28066 200906 -1 2357 20 1918 2884 223863 48793 4.09442 4.09442 -146.658 -4.09442 0 0 1.01997e+06 3529.29 0.39 0.06 0.18 -1 -1 0.39 0.0150085 0.0132932 88 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 10.31 vpr 64.73 MiB -1 -1 0.19 21412 1 0.03 -1 -1 33924 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66280 32 32 386 307 1 240 80 17 17 289 -1 unnamed_device 25.8 MiB 3.04 985 11260 4054 5703 1503 64.7 MiB 0.08 0.00 3.75091 -129.281 -3.75091 3.75091 0.91 0.000220133 0.000173359 0.0174726 0.0141696 -1 -1 -1 -1 40 3212 32 6.99608e+06 235451 706193. 2443.58 3.81 0.0856737 0.0708383 26914 176310 -1 2417 21 2274 3028 261524 58194 3.37457 3.37457 -134.662 -3.37457 0 0 926341. 3205.33 0.35 0.08 0.16 -1 -1 0.35 0.0170174 0.0150198 103 62 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 7.65 vpr 64.04 MiB -1 -1 0.19 21524 1 0.03 -1 -1 34080 -1 -1 14 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65580 29 32 269 229 1 168 75 17 17 289 -1 unnamed_device 25.3 MiB 2.59 580 10345 3835 4839 1671 64.0 MiB 0.06 0.00 4.0374 -114.573 -4.0374 4.0374 0.86 0.000164501 0.000129458 0.012638 0.010193 -1 -1 -1 -1 40 1759 25 6.99608e+06 206020 706193. 2443.58 1.76 0.0605921 0.0498926 26914 176310 -1 1521 22 1454 1851 189965 51937 3.55392 3.55392 -121.421 -3.55392 0 0 926341. 3205.33 0.35 0.06 0.16 -1 -1 0.35 0.0119395 0.0104794 70 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 7.19 vpr 64.24 MiB -1 -1 0.18 21600 1 0.03 -1 -1 33984 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65780 32 32 310 266 1 182 78 17 17 289 -1 unnamed_device 25.7 MiB 1.31 710 11200 4654 6133 413 64.2 MiB 0.06 0.00 4.05854 -127.727 -4.05854 4.05854 0.87 0.00019001 0.000148564 0.0147609 0.0118215 -1 -1 -1 -1 48 2075 27 6.99608e+06 206020 865456. 2994.66 2.56 0.074308 0.0615765 28354 207349 -1 1685 19 1263 1746 156420 35580 3.82726 3.82726 -130.05 -3.82726 0 0 1.05005e+06 3633.38 0.39 0.05 0.19 -1 -1 0.39 0.0118219 0.0104047 81 58 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 6.65 vpr 64.45 MiB -1 -1 0.18 21492 1 0.03 -1 -1 33816 -1 -1 15 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65996 31 32 326 261 1 192 78 17 17 289 -1 unnamed_device 25.6 MiB 0.90 833 12196 4708 5911 1577 64.4 MiB 0.08 0.00 4.19283 -126.892 -4.19283 4.19283 0.87 0.000196619 0.000155196 0.017176 0.0138569 -1 -1 -1 -1 44 2512 43 6.99608e+06 220735 787024. 2723.27 2.35 0.085289 0.0706124 27778 195446 -1 1898 17 1425 2036 154007 34405 3.80592 3.80592 -132.202 -3.80592 0 0 997811. 3452.63 0.37 0.05 0.19 -1 -1 0.37 0.0122288 0.0108282 80 33 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 13.34 vpr 63.71 MiB -1 -1 0.17 21368 1 0.03 -1 -1 33972 -1 -1 13 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65236 29 32 262 224 1 162 74 17 17 289 -1 unnamed_device 25.2 MiB 1.45 625 8909 3845 4668 396 63.7 MiB 0.05 0.00 3.79267 -110.13 -3.79267 3.79267 0.90 0.000158603 0.000124275 0.0112549 0.00912808 -1 -1 -1 -1 40 1962 39 6.99608e+06 191304 706193. 2443.58 8.45 0.113735 0.0938459 26914 176310 -1 1629 22 1285 1655 160438 38052 3.45001 3.45001 -112.543 -3.45001 0 0 926341. 3205.33 0.32 0.06 0.15 -1 -1 0.32 0.0136599 0.0120521 68 31 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 13.97 vpr 63.93 MiB -1 -1 0.16 21240 1 0.03 -1 -1 33776 -1 -1 12 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65460 32 32 278 238 1 178 76 17 17 289 -1 unnamed_device 25.4 MiB 0.95 737 11436 4762 6383 291 63.9 MiB 0.07 0.00 4.0773 -124.169 -4.0773 4.0773 0.88 0.000188188 0.000150951 0.0143771 0.01165 -1 -1 -1 -1 40 2219 42 6.99608e+06 176588 706193. 2443.58 9.62 0.120889 0.0999352 26914 176310 -1 1820 24 1621 2190 200491 42687 3.24121 3.24121 -120.639 -3.24121 0 0 926341. 3205.33 0.34 0.06 0.16 -1 -1 0.34 0.0131138 0.0114514 73 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 7.34 vpr 64.58 MiB -1 -1 0.20 21532 1 0.04 -1 -1 33568 -1 -1 18 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66128 31 32 373 300 1 231 81 17 17 289 -1 unnamed_device 25.8 MiB 1.20 983 14606 5438 6820 2348 64.6 MiB 0.09 0.00 4.38351 -142.434 -4.38351 4.38351 0.91 0.000221213 0.000175858 0.0221787 0.0181279 -1 -1 -1 -1 44 3308 29 6.99608e+06 264882 787024. 2723.27 2.59 0.0957156 0.0804067 27778 195446 -1 2284 22 2017 2674 212903 46643 3.91555 3.91555 -141.293 -3.91555 0 0 997811. 3452.63 0.40 0.07 0.17 -1 -1 0.40 0.0173901 0.0152237 103 64 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 7.02 vpr 64.09 MiB -1 -1 0.18 21520 1 0.04 -1 -1 33896 -1 -1 13 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65628 31 32 265 230 1 171 76 17 17 289 -1 unnamed_device 25.5 MiB 0.94 724 12236 4456 5440 2340 64.1 MiB 0.09 0.00 3.48012 -106.772 -3.48012 3.48012 0.95 0.000168293 0.000132204 0.0194142 0.015623 -1 -1 -1 -1 40 2354 32 6.99608e+06 191304 706193. 2443.58 2.56 0.0714861 0.0590759 26914 176310 -1 1973 27 1536 2142 255746 49653 3.28462 3.28462 -115.252 -3.28462 0 0 926341. 3205.33 0.35 0.07 0.17 -1 -1 0.35 0.013859 0.0120546 71 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 7.17 vpr 64.46 MiB -1 -1 0.19 21512 1 0.04 -1 -1 34072 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66012 32 32 349 286 1 207 79 17 17 289 -1 unnamed_device 25.5 MiB 1.50 1045 14275 6069 7825 381 64.5 MiB 0.09 0.00 3.51899 -121.288 -3.51899 3.51899 0.88 0.000231565 0.000188562 0.0196182 0.0158497 -1 -1 -1 -1 38 2528 20 6.99608e+06 220735 678818. 2348.85 2.37 0.0847683 0.0709587 26626 170182 -1 2176 20 1461 1984 153571 32028 3.28376 3.28376 -123.98 -3.28376 0 0 902133. 3121.57 0.33 0.05 0.15 -1 -1 0.33 0.0141429 0.012478 91 57 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 9.05 vpr 64.88 MiB -1 -1 0.22 21784 1 0.03 -1 -1 33892 -1 -1 20 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66440 31 32 396 325 1 254 83 17 17 289 -1 unnamed_device 26.0 MiB 2.53 1199 11603 3562 6376 1665 64.9 MiB 0.08 0.00 4.92082 -166.246 -4.92082 4.92082 0.92 0.000226365 0.000178103 0.0179598 0.0145059 -1 -1 -1 -1 44 3396 49 6.99608e+06 294314 787024. 2723.27 2.93 0.0994583 0.0825874 27778 195446 -1 2597 20 2345 3296 248918 52068 4.70579 4.70579 -163.224 -4.70579 0 0 997811. 3452.63 0.37 0.07 0.19 -1 -1 0.37 0.0168987 0.0149109 113 91 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 9.20 vpr 63.92 MiB -1 -1 0.18 21500 1 0.03 -1 -1 33724 -1 -1 12 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65452 32 32 303 262 1 192 76 17 17 289 -1 unnamed_device 25.4 MiB 2.22 739 9516 3925 5290 301 63.9 MiB 0.06 0.00 3.40734 -116.434 -3.40734 3.40734 0.89 0.000186367 0.000146081 0.0138027 0.011223 -1 -1 -1 -1 46 2552 39 6.99608e+06 176588 828058. 2865.25 3.54 0.0784392 0.0654359 28066 200906 -1 1830 20 1531 2042 184445 39904 3.54231 3.54231 -116.338 -3.54231 0 0 1.01997e+06 3529.29 0.39 0.06 0.17 -1 -1 0.39 0.0127363 0.0111739 81 57 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 9.04 vpr 64.20 MiB -1 -1 0.17 21592 1 0.03 -1 -1 34000 -1 -1 11 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65744 32 32 290 244 1 172 75 17 17 289 -1 unnamed_device 25.5 MiB 0.86 715 9871 2522 5750 1599 64.2 MiB 0.06 0.00 3.90682 -124.154 -3.90682 3.90682 0.90 0.00017208 0.000135425 0.0129961 0.0105654 -1 -1 -1 -1 38 2484 49 6.99608e+06 161872 678818. 2348.85 4.75 0.0764737 0.0632143 26626 170182 -1 1619 24 1408 1990 124637 31771 3.35457 3.35457 -120.004 -3.35457 0 0 902133. 3121.57 0.33 0.05 0.15 -1 -1 0.33 0.0145373 0.0126812 72 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 8.76 vpr 64.40 MiB -1 -1 0.19 21512 1 0.03 -1 -1 33764 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65944 32 32 318 257 1 191 78 17 17 289 -1 unnamed_device 25.5 MiB 2.40 781 10370 4270 5693 407 64.4 MiB 0.06 0.00 4.09738 -127.458 -4.09738 4.09738 0.90 0.000195507 0.00015401 0.0145559 0.0118067 -1 -1 -1 -1 40 2413 31 6.99608e+06 206020 706193. 2443.58 2.93 0.0750763 0.0620484 26914 176310 -1 1992 23 1736 2478 191462 45425 3.87526 3.87526 -134.613 -3.87526 0 0 926341. 3205.33 0.35 0.06 0.16 -1 -1 0.35 0.0146269 0.0128141 79 30 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 7.63 vpr 64.53 MiB -1 -1 0.19 21600 1 0.03 -1 -1 33704 -1 -1 18 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66080 29 32 324 268 1 195 79 17 17 289 -1 unnamed_device 25.6 MiB 2.07 781 11233 4679 5976 578 64.5 MiB 0.07 0.00 3.78147 -113.144 -3.78147 3.78147 0.93 0.000224225 0.000150325 0.0154204 0.01249 -1 -1 -1 -1 44 2558 43 6.99608e+06 264882 787024. 2723.27 2.07 0.0788434 0.0650539 27778 195446 -1 1831 23 1313 1886 138551 30777 3.28551 3.28551 -106.486 -3.28551 0 0 997811. 3452.63 0.37 0.05 0.19 -1 -1 0.37 0.0152416 0.0134454 88 55 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 10.41 vpr 64.73 MiB -1 -1 0.21 21616 1 0.04 -1 -1 34024 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66280 32 32 393 312 1 234 80 17 17 289 -1 unnamed_device 25.8 MiB 0.94 1091 9196 3199 4253 1744 64.7 MiB 0.07 0.00 5.35159 -170.536 -5.35159 5.35159 0.92 0.00025624 0.00020845 0.0152279 0.0124842 -1 -1 -1 -1 38 3606 29 6.99608e+06 235451 678818. 2348.85 6.01 0.0869296 0.0724496 26626 170182 -1 2963 22 2472 3677 328041 64783 5.08154 5.08154 -176.159 -5.08154 0 0 902133. 3121.57 0.34 0.08 0.17 -1 -1 0.34 0.0167138 0.0146986 105 65 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 7.17 vpr 63.76 MiB -1 -1 0.18 21232 1 0.03 -1 -1 33616 -1 -1 13 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65288 31 32 229 197 1 137 76 17 17 289 -1 unnamed_device 25.4 MiB 2.15 501 10476 4309 5764 403 63.8 MiB 0.06 0.00 3.34663 -90.271 -3.34663 3.34663 0.88 0.000159242 0.000125764 0.0120633 0.00975657 -1 -1 -1 -1 42 1777 25 6.99608e+06 191304 744469. 2576.02 1.63 0.054677 0.0452649 27202 183097 -1 1367 22 1037 1615 111926 27569 3.00097 3.00097 -97.4931 -3.00097 0 0 949917. 3286.91 0.36 0.04 0.17 -1 -1 0.36 0.0105527 0.00920956 54 4 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 8.04 vpr 64.95 MiB -1 -1 0.19 21896 1 0.04 -1 -1 33784 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66508 32 32 412 334 1 258 84 17 17 289 -1 unnamed_device 26.1 MiB 1.95 1108 15273 5294 7598 2381 64.9 MiB 0.10 0.00 4.76553 -162.292 -4.76553 4.76553 0.87 0.000228611 0.000179929 0.0219153 0.0176357 -1 -1 -1 -1 44 3594 30 6.99608e+06 294314 787024. 2723.27 2.60 0.0995072 0.0830339 27778 195446 -1 2463 21 2299 2874 212343 45589 4.8872 4.8872 -169.312 -4.8872 0 0 997811. 3452.63 0.37 0.07 0.19 -1 -1 0.37 0.0180082 0.0159767 116 90 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 7.32 vpr 64.61 MiB -1 -1 0.18 21344 1 0.04 -1 -1 33720 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66156 32 32 376 318 1 253 80 17 17 289 -1 unnamed_device 25.7 MiB 1.06 1251 14700 5946 7371 1383 64.6 MiB 0.09 0.00 4.39022 -162.789 -4.39022 4.39022 0.87 0.000208388 0.000163706 0.0207775 0.0165008 -1 -1 -1 -1 46 3165 23 6.99608e+06 235451 828058. 2865.25 2.84 0.0844643 0.0697909 28066 200906 -1 2578 20 2819 3499 286015 57172 4.20235 4.20235 -164.081 -4.20235 0 0 1.01997e+06 3529.29 0.38 0.07 0.17 -1 -1 0.38 0.0145682 0.0128223 110 96 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 7.80 vpr 64.66 MiB -1 -1 0.19 21664 1 0.04 -1 -1 34012 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66208 32 32 360 293 1 219 79 17 17 289 -1 unnamed_device 25.9 MiB 1.77 938 11571 4789 6322 460 64.7 MiB 0.07 0.00 3.68917 -121.181 -3.68917 3.68917 0.89 0.000209325 0.000164815 0.0170271 0.0137672 -1 -1 -1 -1 44 2997 38 6.99608e+06 220735 787024. 2723.27 2.54 0.0869407 0.0720061 27778 195446 -1 2021 22 1573 2077 140143 33620 3.32751 3.32751 -120.003 -3.32751 0 0 997811. 3452.63 0.38 0.05 0.17 -1 -1 0.38 0.0154006 0.0134724 94 60 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 10.12 vpr 64.61 MiB -1 -1 0.20 21888 1 0.04 -1 -1 34152 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66156 32 32 396 299 1 228 79 17 17 289 -1 unnamed_device 25.8 MiB 1.03 990 12078 4723 5862 1493 64.6 MiB 0.08 0.00 5.88882 -169.671 -5.88882 5.88882 0.89 0.000232058 0.000184128 0.0194237 0.015824 -1 -1 -1 -1 46 3428 29 6.99608e+06 220735 828058. 2865.25 5.50 0.102191 0.0859768 28066 200906 -1 2131 22 1989 2876 203116 44964 5.2634 5.2634 -163.518 -5.2634 0 0 1.01997e+06 3529.29 0.39 0.07 0.18 -1 -1 0.39 0.0196951 0.0175241 98 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 5.90 vpr 63.74 MiB -1 -1 0.17 21316 1 0.03 -1 -1 33852 -1 -1 12 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65268 30 32 224 207 1 132 74 17 17 289 -1 unnamed_device 25.1 MiB 0.81 491 10769 4564 5875 330 63.7 MiB 0.05 0.00 2.5351 -91.4253 -2.5351 2.5351 0.88 0.0001403 0.000110231 0.0113674 0.00911776 -1 -1 -1 -1 38 1623 24 6.99608e+06 176588 678818. 2348.85 1.83 0.0613351 0.0511744 26626 170182 -1 1243 20 866 1091 98351 21996 2.47467 2.47467 -92.1644 -2.47467 0 0 902133. 3121.57 0.32 0.03 0.15 -1 -1 0.32 0.00930485 0.00813943 53 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 9.61 vpr 64.22 MiB -1 -1 0.16 21364 1 0.03 -1 -1 33708 -1 -1 14 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65764 30 32 286 239 1 157 76 17 17 289 -1 unnamed_device 25.4 MiB 4.18 632 10636 4449 5807 380 64.2 MiB 0.06 0.00 3.79502 -118.311 -3.79502 3.79502 0.86 0.000172742 0.000136015 0.0132842 0.0106728 -1 -1 -1 -1 38 1959 24 6.99608e+06 206020 678818. 2348.85 2.26 0.0621461 0.051073 26626 170182 -1 1438 22 1176 1825 151610 32402 3.30746 3.30746 -120.098 -3.30746 0 0 902133. 3121.57 0.34 0.05 0.15 -1 -1 0.34 0.0124889 0.0109254 68 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 15.98 vpr 64.22 MiB -1 -1 0.18 21616 1 0.03 -1 -1 33612 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65760 32 32 296 247 1 182 81 17 17 289 -1 unnamed_device 25.4 MiB 0.74 759 10756 3879 5476 1401 64.2 MiB 0.07 0.00 3.9181 -124.027 -3.9181 3.9181 0.94 0.00019012 0.000149853 0.0141791 0.0115179 -1 -1 -1 -1 38 3158 42 6.99608e+06 250167 678818. 2348.85 11.84 0.130702 0.108975 26626 170182 -1 2021 24 1593 2546 236122 49173 3.77071 3.77071 -138.08 -3.77071 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.0143208 0.0125203 78 34 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 10.21 vpr 63.54 MiB -1 -1 0.16 21320 1 0.03 -1 -1 33964 -1 -1 16 25 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65064 25 32 216 194 1 136 73 17 17 289 -1 unnamed_device 24.9 MiB 0.84 474 9649 4023 4847 779 63.5 MiB 0.04 0.00 3.40263 -78.2884 -3.40263 3.40263 0.89 0.000149419 0.000108415 0.0104698 0.0084566 -1 -1 -1 -1 38 1451 30 6.99608e+06 235451 678818. 2348.85 6.17 0.102053 0.0843493 26626 170182 -1 1111 25 942 1309 74745 18604 3.07717 3.07717 -78.9965 -3.07717 0 0 902133. 3121.57 0.34 0.03 0.15 -1 -1 0.34 0.0102151 0.00886575 59 29 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 12.63 vpr 64.51 MiB -1 -1 0.19 21584 1 0.03 -1 -1 33800 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66060 32 32 376 307 1 234 81 17 17 289 -1 unnamed_device 25.8 MiB 3.93 1081 13206 5184 6272 1750 64.5 MiB 0.09 0.00 3.9338 -132.793 -3.9338 3.9338 0.88 0.000217167 0.000172102 0.0190465 0.0154592 -1 -1 -1 -1 40 3653 23 6.99608e+06 250167 706193. 2443.58 5.24 0.0911605 0.0761417 26914 176310 -1 3103 24 2274 3382 383840 79750 4.68512 4.68512 -148.279 -4.68512 0 0 926341. 3205.33 0.34 0.09 0.15 -1 -1 0.34 0.0168464 0.0146058 103 72 -1 -1 -1 -1 -fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 9.95 vpr 64.66 MiB -1 -1 0.21 21948 1 0.04 -1 -1 33696 -1 -1 19 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66216 31 32 409 331 1 258 82 17 17 289 -1 unnamed_device 25.7 MiB 2.80 1014 9872 4028 5433 411 64.7 MiB 0.07 0.00 4.45145 -145.194 -4.45145 4.45145 0.88 0.000231266 0.000181953 0.0157473 0.0128034 -1 -1 -1 -1 46 3229 45 6.99608e+06 279598 828058. 2865.25 3.62 0.0960076 0.0797522 28066 200906 -1 2235 22 1873 2532 179334 42457 4.32971 4.32971 -151.097 -4.32971 0 0 1.01997e+06 3529.29 0.37 0.06 0.19 -1 -1 0.37 0.0175642 0.01553 117 90 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_001.v common 10.99 vpr 63.99 MiB -1 -1 0.28 21912 14 0.31 -1 -1 36820 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65524 32 32 277 309 1 206 82 17 17 289 -1 unnamed_device 25.2 MiB 2.02 1186 12542 3264 7587 1691 64.0 MiB 0.09 0.00 8.71839 -177.395 -8.71839 8.71839 0.90 0.000287443 0.000228587 0.0237796 0.0193649 -1 -1 -1 -1 38 3483 48 6.79088e+06 242496 678818. 2348.85 5.12 0.128618 0.10809 25966 169698 -1 2756 15 1242 3582 189198 42544 7.79745 7.79745 -167.082 -7.79745 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.017996 0.0162659 129 183 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_002.v common 9.57 vpr 64.20 MiB -1 -1 0.30 21924 14 0.35 -1 -1 36508 -1 -1 20 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65736 30 32 272 304 1 199 82 17 17 289 -1 unnamed_device 25.4 MiB 2.74 1105 6490 1416 4592 482 64.2 MiB 0.05 0.00 7.86897 -158.546 -7.86897 7.86897 0.87 0.000272576 0.000216484 0.0135103 0.0112111 -1 -1 -1 -1 36 3118 40 6.79088e+06 269440 648988. 2245.63 3.11 0.117801 0.0994282 25390 158009 -1 2591 18 1263 3297 200863 45222 7.24659 7.24659 -159.324 -7.24659 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.0196887 0.0176967 125 184 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_003.v common 9.37 vpr 64.09 MiB -1 -1 0.32 21668 11 0.28 -1 -1 36332 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65624 32 32 280 312 1 199 84 17 17 289 -1 unnamed_device 25.5 MiB 2.60 1230 6489 1446 4360 683 64.1 MiB 0.05 0.00 7.04868 -149.017 -7.04868 7.04868 0.89 0.000329953 0.000271168 0.0135378 0.011218 -1 -1 -1 -1 36 3170 20 6.79088e+06 269440 648988. 2245.63 3.04 0.096753 0.0808499 25390 158009 -1 2767 17 1179 3648 200212 45111 6.09953 6.09953 -144.627 -6.09953 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0188468 0.0169579 131 186 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_004.v common 8.35 vpr 64.04 MiB -1 -1 0.28 21860 12 0.40 -1 -1 36988 -1 -1 23 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65576 29 32 275 307 1 201 84 17 17 289 -1 unnamed_device 25.2 MiB 1.52 1098 14175 4215 7544 2416 64.0 MiB 0.10 0.00 7.26911 -142.27 -7.26911 7.26911 0.88 0.000330691 0.000271665 0.0281569 0.0229783 -1 -1 -1 -1 38 3090 27 6.79088e+06 309856 678818. 2348.85 2.88 0.123797 0.104484 25966 169698 -1 2378 18 1247 3554 182390 41617 6.58078 6.58078 -137.462 -6.58078 0 0 902133. 3121.57 0.34 0.06 0.15 -1 -1 0.34 0.0214384 0.0193743 137 190 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_005.v common 11.09 vpr 64.48 MiB -1 -1 0.31 21968 13 0.33 -1 -1 36436 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66028 32 32 302 334 1 233 86 17 17 289 -1 unnamed_device 25.7 MiB 1.70 1390 8591 1959 5860 772 64.5 MiB 0.07 0.00 7.78026 -168.052 -7.78026 7.78026 0.86 0.000322363 0.000251282 0.0185904 0.0151703 -1 -1 -1 -1 38 4161 45 6.79088e+06 296384 678818. 2348.85 5.64 0.132469 0.111539 25966 169698 -1 3297 19 1624 4586 266966 57831 6.63466 6.63466 -162.239 -6.63466 0 0 902133. 3121.57 0.33 0.08 0.15 -1 -1 0.33 0.0217482 0.0194837 149 208 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_006.v common 8.72 vpr 64.27 MiB -1 -1 0.30 21936 13 0.31 -1 -1 36512 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65812 32 32 292 324 1 217 84 17 17 289 -1 unnamed_device 25.3 MiB 1.86 1328 6672 1413 4852 407 64.3 MiB 0.06 0.00 7.49919 -157.909 -7.49919 7.49919 0.86 0.000328391 0.000264037 0.0152012 0.0125788 -1 -1 -1 -1 46 3288 17 6.79088e+06 269440 828058. 2865.25 2.97 0.0993879 0.0836697 27406 200422 -1 2768 17 1320 3932 204231 45167 6.38057 6.38057 -147.675 -6.38057 0 0 1.01997e+06 3529.29 0.38 0.06 0.19 -1 -1 0.38 0.0203643 0.0183946 137 198 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_007.v common 6.56 vpr 63.29 MiB -1 -1 0.26 21428 12 0.23 -1 -1 36480 -1 -1 21 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64804 27 32 229 261 1 171 80 17 17 289 -1 unnamed_device 24.8 MiB 1.77 838 8336 2337 5127 872 63.3 MiB 0.05 0.00 6.93882 -125.075 -6.93882 6.93882 0.87 0.000226226 0.000179739 0.0139754 0.0114951 -1 -1 -1 -1 28 2811 29 6.79088e+06 282912 531479. 1839.03 1.34 0.059145 0.0497914 23950 126010 -1 2189 19 1390 3147 183913 43797 6.16912 6.16912 -123.368 -6.16912 0 0 648988. 2245.63 0.26 0.06 0.11 -1 -1 0.26 0.0175802 0.0155209 105 150 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_008.v common 21.67 vpr 63.63 MiB -1 -1 0.24 21452 12 0.24 -1 -1 36592 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65156 31 32 229 261 1 184 80 17 17 289 -1 unnamed_device 25.0 MiB 2.70 959 11432 3848 5561 2023 63.6 MiB 0.07 0.00 6.08275 -133.062 -6.08275 6.08275 0.91 0.000229504 0.000181406 0.0180747 0.0145928 -1 -1 -1 -1 40 2532 17 6.79088e+06 229024 706193. 2443.58 15.29 0.142261 0.118043 26254 175826 -1 2353 17 1096 2931 202158 44139 5.49411 5.49411 -132.697 -5.49411 0 0 926341. 3205.33 0.35 0.06 0.16 -1 -1 0.35 0.0159295 0.0142705 104 138 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_009.v common 10.76 vpr 63.79 MiB -1 -1 0.26 21744 12 0.20 -1 -1 36280 -1 -1 20 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65316 31 32 235 267 1 195 83 17 17 289 -1 unnamed_device 25.2 MiB 4.31 1109 11783 3162 6398 2223 63.8 MiB 0.08 0.00 7.00732 -147.482 -7.00732 7.00732 0.86 0.000241744 0.000192027 0.0190997 0.0154597 -1 -1 -1 -1 38 2960 20 6.79088e+06 269440 678818. 2348.85 2.91 0.0861854 0.0718099 25966 169698 -1 2417 17 1175 2973 165242 36496 6.1825 6.1825 -143.761 -6.1825 0 0 902133. 3121.57 0.34 0.06 0.15 -1 -1 0.34 0.0171894 0.0154923 113 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_010.v common 16.05 vpr 63.75 MiB -1 -1 0.26 21748 13 0.24 -1 -1 36604 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65280 32 32 250 282 1 180 80 17 17 289 -1 unnamed_device 25.1 MiB 2.44 887 9196 2678 4545 1973 63.8 MiB 0.06 0.00 7.40889 -158.515 -7.40889 7.40889 0.87 0.000248599 0.000196636 0.0168347 0.0136865 -1 -1 -1 -1 36 3066 39 6.79088e+06 215552 648988. 2245.63 9.97 0.157398 0.131496 25390 158009 -1 2225 26 1268 3300 277010 95641 7.16043 7.16043 -162.331 -7.16043 0 0 828058. 2865.25 0.33 0.09 0.15 -1 -1 0.33 0.023691 0.0211057 107 156 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_011.v common 8.25 vpr 63.64 MiB -1 -1 0.26 21632 12 0.23 -1 -1 36524 -1 -1 19 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65164 30 32 216 248 1 160 81 17 17 289 -1 unnamed_device 24.8 MiB 1.87 683 10756 3090 5343 2323 63.6 MiB 0.06 0.00 7.23574 -141.324 -7.23574 7.23574 0.87 0.000264806 0.000218659 0.0170392 0.0139228 -1 -1 -1 -1 36 2333 41 6.79088e+06 255968 648988. 2245.63 2.79 0.0934235 0.0787063 25390 158009 -1 1748 15 917 2127 113478 29299 6.44778 6.44778 -140.479 -6.44778 0 0 828058. 2865.25 0.31 0.04 0.14 -1 -1 0.31 0.0141953 0.0128739 97 128 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_012.v common 11.81 vpr 63.59 MiB -1 -1 0.24 21660 12 0.20 -1 -1 36244 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65120 32 32 236 268 1 170 80 17 17 289 -1 unnamed_device 24.9 MiB 2.69 1001 7820 2636 3784 1400 63.6 MiB 0.06 0.00 6.03241 -146.623 -6.03241 6.03241 0.89 0.000231999 0.00018298 0.014248 0.0117325 -1 -1 -1 -1 36 3109 40 6.79088e+06 215552 648988. 2245.63 5.59 0.0948067 0.0796428 25390 158009 -1 2423 16 1077 2911 172059 37799 5.26271 5.26271 -143.485 -5.26271 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0152481 0.0137375 100 142 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_013.v common 9.22 vpr 63.94 MiB -1 -1 0.27 21752 13 0.33 -1 -1 37008 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65472 32 32 283 315 1 219 82 17 17 289 -1 unnamed_device 25.0 MiB 2.46 1233 12720 4130 6639 1951 63.9 MiB 0.09 0.00 7.97631 -171.858 -7.97631 7.97631 0.88 0.00030104 0.00023802 0.0258048 0.0208498 -1 -1 -1 -1 38 3289 21 6.79088e+06 242496 678818. 2348.85 2.99 0.123895 0.105222 25966 169698 -1 2546 18 1306 3376 186303 42354 6.66272 6.66272 -157.742 -6.66272 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.0204695 0.0184654 132 189 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_014.v common 12.13 vpr 64.45 MiB -1 -1 0.29 21936 14 0.38 -1 -1 36816 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66000 32 32 303 335 1 229 86 17 17 289 -1 unnamed_device 25.7 MiB 1.93 1316 6701 1480 4957 264 64.5 MiB 0.06 0.00 8.93186 -185.128 -8.93186 8.93186 0.85 0.000319064 0.000255602 0.0152532 0.0127148 -1 -1 -1 -1 36 3741 28 6.79088e+06 296384 648988. 2245.63 6.29 0.170285 0.143416 25390 158009 -1 3074 28 1459 3681 372231 146165 8.07581 8.07581 -180.362 -8.07581 0 0 828058. 2865.25 0.35 0.12 0.14 -1 -1 0.35 0.0297504 0.0263417 151 209 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_015.v common 8.92 vpr 63.77 MiB -1 -1 0.22 21396 11 0.22 -1 -1 36492 -1 -1 21 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65300 29 32 225 257 1 177 82 17 17 289 -1 unnamed_device 25.1 MiB 2.89 908 8626 2180 6066 380 63.8 MiB 0.06 0.00 6.88418 -136.715 -6.88418 6.88418 0.88 0.000227949 0.000181536 0.0144159 0.0118619 -1 -1 -1 -1 34 3042 48 6.79088e+06 282912 618332. 2139.56 2.54 0.0919723 0.0769207 25102 150614 -1 2376 26 1191 2748 267336 97173 5.91503 5.91503 -133.401 -5.91503 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0184581 0.0162691 105 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_016.v common 8.88 vpr 64.27 MiB -1 -1 0.29 21996 12 0.33 -1 -1 36268 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65808 32 32 301 333 1 221 88 17 17 289 -1 unnamed_device 25.6 MiB 1.64 1369 10813 2694 6226 1893 64.3 MiB 0.08 0.00 7.38162 -160.306 -7.38162 7.38162 0.90 0.000302763 0.000239968 0.0208452 0.0170958 -1 -1 -1 -1 38 3708 26 6.79088e+06 323328 678818. 2348.85 3.44 0.116597 0.0973851 25966 169698 -1 2967 17 1375 4182 225540 49634 6.53388 6.53388 -153.856 -6.53388 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0209487 0.018872 145 207 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_017.v common 9.20 vpr 64.32 MiB -1 -1 0.27 21888 14 0.31 -1 -1 36336 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65864 32 32 277 309 1 209 84 17 17 289 -1 unnamed_device 25.5 MiB 2.44 1236 11613 2985 6550 2078 64.3 MiB 0.08 0.00 8.17676 -170.064 -8.17676 8.17676 0.88 0.000290763 0.000230843 0.0222506 0.0179895 -1 -1 -1 -1 38 3415 19 6.79088e+06 269440 678818. 2348.85 3.07 0.10781 0.0906609 25966 169698 -1 2691 18 1293 3341 180323 40261 6.8496 6.8496 -161.568 -6.8496 0 0 902133. 3121.57 0.33 0.06 0.16 -1 -1 0.33 0.0195061 0.0175022 126 183 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_018.v common 8.30 vpr 63.68 MiB -1 -1 0.27 21628 12 0.19 -1 -1 35980 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65204 32 32 227 259 1 172 81 17 17 289 -1 unnamed_device 24.8 MiB 2.26 883 11281 4156 5456 1669 63.7 MiB 0.07 0.00 7.10207 -150.267 -7.10207 7.10207 0.88 0.000229076 0.000181081 0.0176714 0.0143358 -1 -1 -1 -1 38 2409 30 6.79088e+06 229024 678818. 2348.85 2.40 0.0907519 0.0758906 25966 169698 -1 1894 31 962 2582 283428 126209 5.93857 5.93857 -140.954 -5.93857 0 0 902133. 3121.57 0.33 0.09 0.14 -1 -1 0.33 0.0213464 0.0186701 104 133 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_019.v common 7.65 vpr 63.35 MiB -1 -1 0.21 21204 10 0.11 -1 -1 35792 -1 -1 12 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64868 30 32 175 207 1 131 74 17 17 289 -1 unnamed_device 24.6 MiB 2.29 693 4724 1073 3462 189 63.3 MiB 0.03 0.00 5.04691 -118.984 -5.04691 5.04691 0.91 0.000167117 0.000132098 0.00704648 0.00586283 -1 -1 -1 -1 34 2177 27 6.79088e+06 161664 618332. 2139.56 2.06 0.0569373 0.0477062 25102 150614 -1 1767 16 696 1667 107608 23786 4.59685 4.59685 -120.776 -4.59685 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0100332 0.00895157 64 87 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_020.v common 8.11 vpr 63.87 MiB -1 -1 0.27 21712 13 0.21 -1 -1 36336 -1 -1 18 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65400 31 32 231 263 1 185 81 17 17 289 -1 unnamed_device 25.1 MiB 2.52 1078 7081 1598 4291 1192 63.9 MiB 0.05 0.00 7.44012 -155.367 -7.44012 7.44012 0.93 0.00023565 0.000187515 0.0121323 0.00999362 -1 -1 -1 -1 38 2549 23 6.79088e+06 242496 678818. 2348.85 2.02 0.0781268 0.0655262 25966 169698 -1 2114 18 1002 2395 111954 26863 6.19723 6.19723 -141.823 -6.19723 0 0 902133. 3121.57 0.32 0.04 0.17 -1 -1 0.32 0.0163002 0.0146532 107 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_021.v common 9.07 vpr 64.11 MiB -1 -1 0.27 21824 13 0.33 -1 -1 36764 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65644 32 32 304 336 1 225 85 17 17 289 -1 unnamed_device 25.5 MiB 2.46 1376 8083 2272 5247 564 64.1 MiB 0.07 0.00 7.87531 -169.049 -7.87531 7.87531 0.90 0.000298643 0.000237886 0.0169843 0.013941 -1 -1 -1 -1 40 3383 30 6.79088e+06 282912 706193. 2443.58 2.80 0.110488 0.0925586 26254 175826 -1 3149 18 1544 4193 282548 62973 7.01948 7.01948 -163.981 -7.01948 0 0 926341. 3205.33 0.33 0.08 0.16 -1 -1 0.33 0.0205009 0.0184007 142 210 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_022.v common 10.54 vpr 64.09 MiB -1 -1 0.29 22008 13 0.35 -1 -1 36792 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65628 32 32 288 320 1 217 85 17 17 289 -1 unnamed_device 25.6 MiB 2.68 1366 6595 1403 4488 704 64.1 MiB 0.06 0.00 7.64506 -164.428 -7.64506 7.64506 0.95 0.000297679 0.000229254 0.0143004 0.0117903 -1 -1 -1 -1 38 3755 26 6.79088e+06 282912 678818. 2348.85 4.03 0.116885 0.0991424 25966 169698 -1 3109 17 1444 4235 254551 55709 6.63122 6.63122 -156.188 -6.63122 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0193444 0.0173684 141 194 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_023.v common 6.10 vpr 62.86 MiB -1 -1 0.20 21260 9 0.10 -1 -1 36016 -1 -1 18 26 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64372 26 32 152 184 1 121 76 17 17 289 -1 unnamed_device 24.4 MiB 1.43 706 10316 3839 4776 1701 62.9 MiB 0.05 0.00 5.04309 -98.1528 -5.04309 5.04309 0.88 0.000148505 0.000117159 0.0111922 0.00901901 -1 -1 -1 -1 34 1633 17 6.79088e+06 242496 618332. 2139.56 1.39 0.0508804 0.0422655 25102 150614 -1 1485 15 582 1299 84732 19396 4.11565 4.11565 -91.5069 -4.11565 0 0 787024. 2723.27 0.29 0.03 0.14 -1 -1 0.29 0.00914851 0.00822382 67 76 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_024.v common 10.00 vpr 64.44 MiB -1 -1 0.25 21832 13 0.34 -1 -1 36412 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65988 32 32 287 319 1 211 83 17 17 289 -1 unnamed_device 25.5 MiB 1.80 1125 5843 1079 4624 140 64.4 MiB 0.05 0.00 8.08076 -161.905 -8.08076 8.08076 0.93 0.000282055 0.000223434 0.0123909 0.0101931 -1 -1 -1 -1 38 3541 37 6.79088e+06 255968 678818. 2348.85 4.39 0.125641 0.107166 25966 169698 -1 2774 19 1484 4002 217994 49808 7.04987 7.04987 -155.269 -7.04987 0 0 902133. 3121.57 0.33 0.07 0.16 -1 -1 0.33 0.0204971 0.0183379 130 193 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_025.v common 6.98 vpr 63.29 MiB -1 -1 0.18 21200 8 0.10 -1 -1 36060 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64804 32 32 154 186 1 126 81 17 17 289 -1 unnamed_device 24.4 MiB 2.18 620 6381 1458 4287 636 63.3 MiB 0.04 0.00 3.97346 -91.5032 -3.97346 3.97346 0.87 0.000154628 0.000122405 0.007415 0.00610977 -1 -1 -1 -1 34 1854 23 6.79088e+06 229024 618332. 2139.56 1.54 0.0478642 0.0400271 25102 150614 -1 1546 20 734 1509 107953 25155 4.08086 4.08086 -100.889 -4.08086 0 0 787024. 2723.27 0.29 0.04 0.14 -1 -1 0.29 0.00981016 0.00866532 63 60 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_026.v common 8.76 vpr 64.01 MiB -1 -1 0.25 21644 15 0.28 -1 -1 36216 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65548 32 32 254 286 1 202 83 17 17 289 -1 unnamed_device 25.3 MiB 2.48 1221 6203 1498 4397 308 64.0 MiB 0.05 0.00 8.46989 -175.396 -8.46989 8.46989 0.90 0.000264763 0.000209967 0.0122101 0.0100253 -1 -1 -1 -1 38 3232 21 6.79088e+06 255968 678818. 2348.85 2.55 0.0873118 0.073322 25966 169698 -1 2773 18 1360 3837 210322 46659 7.41463 7.41463 -167.004 -7.41463 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.017881 0.0160144 122 160 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_027.v common 9.00 vpr 63.76 MiB -1 -1 0.27 21748 13 0.28 -1 -1 36240 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65288 32 32 260 292 1 193 82 17 17 289 -1 unnamed_device 25.2 MiB 2.18 1121 7558 1845 4695 1018 63.8 MiB 0.06 0.00 6.82492 -146.441 -6.82492 6.82492 0.90 0.000261285 0.000206803 0.014368 0.0117735 -1 -1 -1 -1 40 2852 25 6.79088e+06 242496 706193. 2443.58 3.13 0.0909948 0.0761856 26254 175826 -1 2698 20 1308 3901 252106 54121 6.0649 6.0649 -143.158 -6.0649 0 0 926341. 3205.33 0.34 0.07 0.16 -1 -1 0.34 0.0191337 0.0170484 117 166 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_028.v common 9.83 vpr 63.94 MiB -1 -1 0.26 21652 13 0.32 -1 -1 36692 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65476 32 32 279 311 1 202 83 17 17 289 -1 unnamed_device 25.2 MiB 2.27 1252 5663 1219 3717 727 63.9 MiB 0.05 0.00 8.11176 -171.626 -8.11176 8.11176 0.90 0.000301108 0.000242793 0.0123351 0.0102449 -1 -1 -1 -1 38 3328 22 6.79088e+06 255968 678818. 2348.85 3.83 0.101789 0.0865391 25966 169698 -1 2770 19 1361 4207 221978 49045 7.12472 7.12472 -161.614 -7.12472 0 0 902133. 3121.57 0.33 0.08 0.15 -1 -1 0.33 0.023705 0.0213609 136 185 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_029.v common 8.04 vpr 63.97 MiB -1 -1 0.25 21484 12 0.19 -1 -1 36280 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65504 32 32 238 270 1 186 80 17 17 289 -1 unnamed_device 25.1 MiB 2.44 909 9196 2899 4953 1344 64.0 MiB 0.06 0.00 6.83474 -151.755 -6.83474 6.83474 0.87 0.000230246 0.000181512 0.0154721 0.0125626 -1 -1 -1 -1 40 2458 21 6.79088e+06 215552 706193. 2443.58 2.09 0.0847064 0.0710546 26254 175826 -1 2162 18 1093 2601 156654 36987 6.27979 6.27979 -148.637 -6.27979 0 0 926341. 3205.33 0.34 0.05 0.15 -1 -1 0.34 0.0160869 0.0143986 103 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_030.v common 9.38 vpr 63.60 MiB -1 -1 0.23 21468 11 0.18 -1 -1 36148 -1 -1 19 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65128 30 32 213 245 1 162 81 17 17 289 -1 unnamed_device 25.0 MiB 2.45 874 9531 2423 5364 1744 63.6 MiB 0.06 0.00 6.09984 -129.865 -6.09984 6.09984 0.88 0.000219023 0.000173303 0.014858 0.0121232 -1 -1 -1 -1 36 2648 21 6.79088e+06 255968 648988. 2245.63 3.48 0.0798366 0.0670645 25390 158009 -1 2057 18 979 2242 129108 29960 5.36338 5.36338 -123.968 -5.36338 0 0 828058. 2865.25 0.31 0.04 0.14 -1 -1 0.31 0.0137813 0.0123134 96 125 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_031.v common 7.96 vpr 63.50 MiB -1 -1 0.24 21316 11 0.21 -1 -1 36488 -1 -1 21 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65020 28 32 227 259 1 173 81 17 17 289 -1 unnamed_device 25.1 MiB 1.51 945 9706 2749 5392 1565 63.5 MiB 0.06 0.00 6.65573 -132.254 -6.65573 6.65573 0.87 0.000236045 0.000187084 0.0157717 0.0128963 -1 -1 -1 -1 36 2852 44 6.79088e+06 282912 648988. 2245.63 2.94 0.0922353 0.077119 25390 158009 -1 2253 17 1028 2705 156034 35206 5.82893 5.82893 -129.747 -5.82893 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0149811 0.013437 109 145 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_032.v common 20.57 vpr 63.99 MiB -1 -1 0.22 21504 12 0.24 -1 -1 36164 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65528 32 32 274 306 1 208 81 17 17 289 -1 unnamed_device 25.1 MiB 2.61 1298 10581 2710 6410 1461 64.0 MiB 0.07 0.00 7.32069 -168.079 -7.32069 7.32069 0.87 0.000275675 0.000218613 0.0208537 0.016859 -1 -1 -1 -1 42 3270 28 6.79088e+06 229024 744469. 2576.02 14.30 0.18356 0.153747 26542 182613 -1 2722 21 1342 3114 274537 90203 6.53044 6.53044 -160.98 -6.53044 0 0 949917. 3286.91 0.36 0.08 0.17 -1 -1 0.36 0.0201869 0.0179773 117 180 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_033.v common 10.08 vpr 63.80 MiB -1 -1 0.24 21520 12 0.20 -1 -1 36100 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65336 31 32 237 269 1 176 80 17 17 289 -1 unnamed_device 25.0 MiB 2.57 906 12464 4448 5883 2133 63.8 MiB 0.08 0.00 6.76776 -137.818 -6.76776 6.76776 0.89 0.000247359 0.000195173 0.021281 0.0171183 -1 -1 -1 -1 36 3090 39 6.79088e+06 229024 648988. 2245.63 3.92 0.100744 0.0834127 25390 158009 -1 2201 20 1328 3715 233391 52318 5.95423 5.95423 -138.769 -5.95423 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.0170234 0.0151468 101 146 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_034.v common 7.10 vpr 63.42 MiB -1 -1 0.25 21836 10 0.17 -1 -1 36152 -1 -1 17 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64940 29 32 220 252 1 167 78 17 17 289 -1 unnamed_device 24.8 MiB 1.24 921 7382 1707 5211 464 63.4 MiB 0.05 0.00 5.94728 -131.013 -5.94728 5.94728 0.88 0.000227837 0.000181069 0.0137421 0.0112966 -1 -1 -1 -1 38 2468 29 6.79088e+06 229024 678818. 2348.85 2.31 0.0817526 0.0684961 25966 169698 -1 2070 17 911 2517 145509 32044 5.07353 5.07353 -123.658 -5.07353 0 0 902133. 3121.57 0.32 0.05 0.15 -1 -1 0.32 0.017356 0.0156447 101 135 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_035.v common 8.44 vpr 64.34 MiB -1 -1 0.29 22220 13 0.36 -1 -1 36192 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65884 32 32 315 347 1 228 85 17 17 289 -1 unnamed_device 25.6 MiB 2.01 1377 7339 1645 5038 656 64.3 MiB 0.06 0.00 8.22902 -172.723 -8.22902 8.22902 0.85 0.000322416 0.000255731 0.0163126 0.0133874 -1 -1 -1 -1 44 3400 35 6.79088e+06 282912 787024. 2723.27 2.68 0.117158 0.0982553 27118 194962 -1 2777 18 1312 3813 206485 45286 7.09671 7.09671 -164.732 -7.09671 0 0 997811. 3452.63 0.38 0.06 0.17 -1 -1 0.38 0.0224123 0.0201472 147 221 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_036.v common 8.84 vpr 64.26 MiB -1 -1 0.30 22420 14 0.39 -1 -1 36948 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 32 32 282 314 1 224 83 17 17 289 -1 unnamed_device 25.5 MiB 2.06 1265 11423 3369 5757 2297 64.3 MiB 0.08 0.00 7.78618 -171.47 -7.78618 7.78618 0.91 0.000287108 0.000228491 0.022047 0.0179727 -1 -1 -1 -1 46 3400 17 6.79088e+06 255968 828058. 2865.25 2.76 0.106548 0.0894578 27406 200422 -1 2758 20 1410 3910 205662 45475 6.87069 6.87069 -163.476 -6.87069 0 0 1.01997e+06 3529.29 0.38 0.06 0.19 -1 -1 0.38 0.0217608 0.0195268 137 188 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_037.v common 12.53 vpr 63.82 MiB -1 -1 0.28 21660 12 0.19 -1 -1 36056 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65356 31 32 241 273 1 170 80 17 17 289 -1 unnamed_device 25.1 MiB 2.66 1020 5756 1178 4150 428 63.8 MiB 0.04 0.00 7.06821 -153.603 -7.06821 7.06821 0.91 0.000244809 0.000196553 0.0110766 0.00923601 -1 -1 -1 -1 30 2656 30 6.79088e+06 229024 556674. 1926.21 6.38 0.110775 0.0936111 24526 138013 -1 2263 20 1039 2709 142261 32448 6.36938 6.36938 -150.006 -6.36938 0 0 706193. 2443.58 0.28 0.05 0.13 -1 -1 0.28 0.0166302 0.0147833 103 150 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_038.v common 9.66 vpr 64.28 MiB -1 -1 0.31 21964 12 0.33 -1 -1 36388 -1 -1 24 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65820 31 32 307 339 1 223 87 17 17 289 -1 unnamed_device 25.4 MiB 3.15 1316 9879 2556 6613 710 64.3 MiB 0.07 0.00 7.54626 -159.356 -7.54626 7.54626 0.86 0.000345402 0.000282262 0.0196785 0.0160894 -1 -1 -1 -1 38 3535 31 6.79088e+06 323328 678818. 2348.85 2.84 0.1129 0.0942423 25966 169698 -1 2947 17 1380 3992 211528 47162 6.49016 6.49016 -151.667 -6.49016 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.0205112 0.0184077 146 216 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_039.v common 8.41 vpr 64.34 MiB -1 -1 0.29 22064 14 0.41 -1 -1 36720 -1 -1 23 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65884 31 32 293 325 1 208 86 17 17 289 -1 unnamed_device 25.4 MiB 1.70 1251 5189 1031 3752 406 64.3 MiB 0.05 0.00 8.43595 -166.985 -8.43595 8.43595 0.85 0.000293565 0.000232988 0.0114951 0.00957618 -1 -1 -1 -1 34 3867 46 6.79088e+06 309856 618332. 2139.56 3.02 0.128385 0.109353 25102 150614 -1 3016 22 1734 4891 301159 66213 7.40657 7.40657 -161.983 -7.40657 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0226988 0.020102 142 202 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_040.v common 10.80 vpr 64.27 MiB -1 -1 0.32 22248 13 0.31 -1 -1 36280 -1 -1 21 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65812 31 32 276 308 1 214 84 17 17 289 -1 unnamed_device 25.4 MiB 2.36 1271 13626 4763 6275 2588 64.3 MiB 0.09 0.00 8.48106 -170.153 -8.48106 8.48106 0.86 0.000279089 0.000220605 0.0239231 0.0193138 -1 -1 -1 -1 38 3853 46 6.79088e+06 282912 678818. 2348.85 4.73 0.131724 0.11074 25966 169698 -1 2921 25 1426 3817 344015 115253 7.3508 7.3508 -160.521 -7.3508 0 0 902133. 3121.57 0.34 0.10 0.15 -1 -1 0.34 0.0231343 0.0204819 131 185 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_041.v common 9.66 vpr 64.24 MiB -1 -1 0.28 21832 13 0.31 -1 -1 36492 -1 -1 20 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65784 31 32 269 301 1 203 83 17 17 289 -1 unnamed_device 25.4 MiB 1.71 1222 7823 1713 5702 408 64.2 MiB 0.06 0.00 7.81091 -159.172 -7.81091 7.81091 0.86 0.000273884 0.000217492 0.0154957 0.0127236 -1 -1 -1 -1 38 3516 39 6.79088e+06 269440 678818. 2348.85 4.22 0.117505 0.0996301 25966 169698 -1 2802 17 1267 3682 219549 47592 6.72962 6.72962 -149.438 -6.72962 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0194824 0.0175854 124 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_042.v common 7.65 vpr 64.10 MiB -1 -1 0.26 21716 12 0.23 -1 -1 36404 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65636 32 32 264 296 1 184 79 17 17 289 -1 unnamed_device 25.2 MiB 1.83 1065 5487 1235 3857 395 64.1 MiB 0.04 0.00 6.67703 -143.122 -6.67703 6.67703 0.88 0.000259857 0.00020588 0.0119147 0.00981156 -1 -1 -1 -1 34 2811 41 6.79088e+06 202080 618332. 2139.56 2.26 0.0978723 0.0819225 25102 150614 -1 2268 14 988 2481 146427 33391 5.86813 5.86813 -136.449 -5.86813 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0173887 0.0157641 110 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_043.v common 9.22 vpr 64.47 MiB -1 -1 0.33 22676 14 0.49 -1 -1 37136 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66020 32 32 324 356 1 243 85 17 17 289 -1 unnamed_device 25.6 MiB 1.43 1554 7897 2063 5110 724 64.5 MiB 0.07 0.00 8.5032 -181.474 -8.5032 8.5032 0.87 0.000337995 0.000268887 0.0186192 0.0152355 -1 -1 -1 -1 40 3897 28 6.79088e+06 282912 706193. 2443.58 3.83 0.139029 0.118498 26254 175826 -1 3723 19 1704 5197 378542 93252 7.3039 7.3039 -174.442 -7.3039 0 0 926341. 3205.33 0.33 0.10 0.16 -1 -1 0.33 0.0248612 0.0223801 159 230 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_044.v common 11.07 vpr 63.84 MiB -1 -1 0.24 21292 11 0.23 -1 -1 35884 -1 -1 16 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65368 31 32 249 281 1 188 79 17 17 289 -1 unnamed_device 25.0 MiB 2.38 1138 3966 751 3109 106 63.8 MiB 0.04 0.00 6.36587 -138.564 -6.36587 6.36587 0.86 0.000269011 0.000217606 0.00962781 0.00811087 -1 -1 -1 -1 36 3745 42 6.79088e+06 215552 648988. 2245.63 5.19 0.097052 0.0817068 25390 158009 -1 2890 20 1493 4258 294609 62197 5.61398 5.61398 -139.411 -5.61398 0 0 828058. 2865.25 0.31 0.08 0.14 -1 -1 0.31 0.0181764 0.0161713 112 158 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_045.v common 8.90 vpr 64.35 MiB -1 -1 0.28 21776 13 0.33 -1 -1 36784 -1 -1 21 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65892 31 32 284 316 1 191 84 17 17 289 -1 unnamed_device 25.5 MiB 1.49 1227 8868 2138 5562 1168 64.3 MiB 0.07 0.00 8.05648 -168.256 -8.05648 8.05648 0.88 0.000308773 0.00024631 0.0194646 0.0160511 -1 -1 -1 -1 36 3070 37 6.79088e+06 282912 648988. 2245.63 3.63 0.112338 0.093842 25390 158009 -1 2589 19 1196 3731 211584 47344 6.96022 6.96022 -156.818 -6.96022 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.0202433 0.0180578 137 193 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_046.v common 8.51 vpr 64.22 MiB -1 -1 0.28 22024 12 0.32 -1 -1 36384 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65760 32 32 303 335 1 210 85 17 17 289 -1 unnamed_device 25.5 MiB 1.90 1298 12361 2924 7866 1571 64.2 MiB 0.09 0.00 6.98572 -155.809 -6.98572 6.98572 0.89 0.000299149 0.000236005 0.0238094 0.0192682 -1 -1 -1 -1 38 3592 19 6.79088e+06 282912 678818. 2348.85 2.91 0.120772 0.101881 25966 169698 -1 2849 20 1609 4984 262433 57372 5.91508 5.91508 -148.327 -5.91508 0 0 902133. 3121.57 0.32 0.08 0.15 -1 -1 0.32 0.0224441 0.020001 145 209 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_047.v common 7.39 vpr 64.00 MiB -1 -1 0.25 21820 13 0.32 -1 -1 36540 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65540 32 32 272 304 1 195 84 17 17 289 -1 unnamed_device 25.2 MiB 1.61 1155 7770 1729 5200 841 64.0 MiB 0.06 0.00 7.69291 -164.646 -7.69291 7.69291 0.87 0.000287593 0.000228659 0.0162083 0.0133256 -1 -1 -1 -1 36 2952 19 6.79088e+06 269440 648988. 2245.63 2.13 0.0944674 0.0792603 25390 158009 -1 2586 17 1154 3000 174478 39827 6.83149 6.83149 -160.017 -6.83149 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0186789 0.016776 128 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_048.v common 23.41 vpr 63.86 MiB -1 -1 0.28 22088 13 0.26 -1 -1 36356 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65392 32 32 271 303 1 213 82 17 17 289 -1 unnamed_device 24.9 MiB 2.89 1245 11296 3823 5504 1969 63.9 MiB 0.08 0.00 7.62172 -162.859 -7.62172 7.62172 0.87 0.000394266 0.000302813 0.0215954 0.017418 -1 -1 -1 -1 38 3586 32 6.79088e+06 242496 678818. 2348.85 16.81 0.180093 0.150543 25966 169698 -1 2742 21 1295 3387 290693 97643 6.63466 6.63466 -152.535 -6.63466 0 0 902133. 3121.57 0.34 0.10 0.18 -1 -1 0.34 0.0254643 0.0229151 123 177 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_049.v common 23.11 vpr 64.15 MiB -1 -1 0.28 21952 12 0.30 -1 -1 36744 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65688 32 32 288 320 1 213 86 17 17 289 -1 unnamed_device 25.3 MiB 2.22 1309 8402 2054 5716 632 64.1 MiB 0.07 0.00 7.46308 -161.108 -7.46308 7.46308 0.88 0.00029565 0.000234763 0.0178765 0.014685 -1 -1 -1 -1 38 3536 26 6.79088e+06 296384 678818. 2348.85 17.15 0.206438 0.175606 25966 169698 -1 2921 17 1322 4142 229259 49783 6.67037 6.67037 -155.519 -6.67037 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0197078 0.0177026 141 194 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_050.v common 16.22 vpr 64.43 MiB -1 -1 0.29 22224 13 0.36 -1 -1 36572 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65980 32 32 306 338 1 225 85 17 17 289 -1 unnamed_device 25.4 MiB 1.64 1275 4735 898 3382 455 64.4 MiB 0.05 0.00 8.09732 -172.599 -8.09732 8.09732 0.90 0.000333455 0.000269302 0.0126091 0.0105571 -1 -1 -1 -1 36 3758 44 6.79088e+06 282912 648988. 2245.63 10.82 0.184873 0.15548 25390 158009 -1 2962 24 1450 4192 342188 109398 6.87756 6.87756 -155.489 -6.87756 0 0 828058. 2865.25 0.31 0.10 0.15 -1 -1 0.31 0.0252247 0.0223127 146 212 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_051.v common 7.87 vpr 64.13 MiB -1 -1 0.25 21724 14 0.35 -1 -1 36424 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65668 32 32 262 294 1 194 83 17 17 289 -1 unnamed_device 25.4 MiB 1.69 1332 6383 1390 4359 634 64.1 MiB 0.05 0.00 8.35004 -171.056 -8.35004 8.35004 0.87 0.000436168 0.000356973 0.0133735 0.0111533 -1 -1 -1 -1 46 2894 25 6.79088e+06 255968 828058. 2865.25 2.40 0.0939103 0.0793335 27406 200422 -1 2584 19 1154 3294 165810 37107 7.17517 7.17517 -156.302 -7.17517 0 0 1.01997e+06 3529.29 0.38 0.06 0.17 -1 -1 0.38 0.0205914 0.0184085 125 168 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_052.v common 19.22 vpr 64.31 MiB -1 -1 0.27 21740 13 0.32 -1 -1 36920 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65852 32 32 291 323 1 212 84 17 17 289 -1 unnamed_device 25.4 MiB 2.13 1280 6306 1448 4128 730 64.3 MiB 0.05 0.00 8.18011 -164.079 -8.18011 8.18011 0.86 0.000290239 0.000231045 0.0137895 0.0115047 -1 -1 -1 -1 38 3568 30 6.79088e+06 269440 678818. 2348.85 13.44 0.191874 0.162012 25966 169698 -1 2820 19 1475 4164 221195 50242 7.67626 7.67626 -165.309 -7.67626 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0200918 0.0179227 136 197 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_053.v common 8.91 vpr 64.21 MiB -1 -1 0.30 21872 13 0.35 -1 -1 36596 -1 -1 22 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65756 31 32 302 334 1 224 85 17 17 289 -1 unnamed_device 25.6 MiB 2.12 1301 8083 1880 5114 1089 64.2 MiB 0.07 0.00 7.82203 -169.793 -7.82203 7.82203 0.87 0.000313264 0.000251319 0.0167832 0.0138118 -1 -1 -1 -1 38 3364 33 6.79088e+06 296384 678818. 2348.85 2.98 0.116249 0.0978672 25966 169698 -1 2875 19 1442 4033 203579 45890 7.16392 7.16392 -162.446 -7.16392 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.0216004 0.0193765 144 211 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_054.v common 8.32 vpr 64.39 MiB -1 -1 0.30 21872 12 0.37 -1 -1 36560 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65932 32 32 308 340 1 224 85 17 17 289 -1 unnamed_device 25.4 MiB 1.61 1349 6967 1481 4942 544 64.4 MiB 0.06 0.00 7.58336 -160.336 -7.58336 7.58336 0.90 0.000302569 0.000239976 0.015461 0.012746 -1 -1 -1 -1 38 3461 22 6.79088e+06 282912 678818. 2348.85 2.86 0.109625 0.0924525 25966 169698 -1 2825 17 1341 3714 193952 43570 6.65918 6.65918 -153.457 -6.65918 0 0 902133. 3121.57 0.33 0.06 0.16 -1 -1 0.33 0.0205542 0.0184091 147 214 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_055.v common 7.60 vpr 63.40 MiB -1 -1 0.21 21540 11 0.15 -1 -1 36216 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64924 32 32 216 248 1 161 78 17 17 289 -1 unnamed_device 24.8 MiB 1.54 854 6552 1491 4896 165 63.4 MiB 0.05 0.00 6.10408 -131.471 -6.10408 6.10408 0.88 0.000211046 0.000166624 0.0108354 0.00887884 -1 -1 -1 -1 36 2444 29 6.79088e+06 188608 648988. 2245.63 2.75 0.0834336 0.0706013 25390 158009 -1 1945 16 889 2176 140210 32931 5.23808 5.23808 -129.554 -5.23808 0 0 828058. 2865.25 0.31 0.04 0.14 -1 -1 0.31 0.0129209 0.0115834 91 122 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_056.v common 9.38 vpr 63.86 MiB -1 -1 0.25 21872 13 0.25 -1 -1 36284 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65388 32 32 254 286 1 196 83 17 17 289 -1 unnamed_device 25.1 MiB 2.12 1125 4583 881 3537 165 63.9 MiB 0.04 0.00 7.79846 -167.848 -7.79846 7.79846 0.89 0.000265449 0.000212747 0.00982317 0.00826436 -1 -1 -1 -1 36 3266 28 6.79088e+06 255968 648988. 2245.63 3.71 0.0941779 0.0797027 25390 158009 -1 2548 15 1146 2804 171576 37989 6.91332 6.91332 -163.48 -6.91332 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0169895 0.0152535 117 160 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_057.v common 9.39 vpr 64.69 MiB -1 -1 0.30 22596 14 0.53 -1 -1 36952 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66244 32 32 338 370 1 252 88 17 17 289 -1 unnamed_device 25.8 MiB 1.42 1553 10618 2680 6617 1321 64.7 MiB 0.09 0.00 9.27313 -184.831 -9.27313 9.27313 0.87 0.00035364 0.000281984 0.0238655 0.0196367 -1 -1 -1 -1 44 4343 46 6.79088e+06 323328 787024. 2723.27 3.89 0.142994 0.119961 27118 194962 -1 3334 17 1642 4998 271773 59529 8.0278 8.0278 -171.471 -8.0278 0 0 997811. 3452.63 0.37 0.08 0.18 -1 -1 0.37 0.0236456 0.0212644 171 244 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_058.v common 10.34 vpr 64.18 MiB -1 -1 0.29 21828 13 0.35 -1 -1 36360 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65724 32 32 271 303 1 215 83 17 17 289 -1 unnamed_device 25.4 MiB 1.72 1263 10343 2759 5468 2116 64.2 MiB 0.08 0.00 7.95077 -174.752 -7.95077 7.95077 0.87 0.000290665 0.000227646 0.0209609 0.0170408 -1 -1 -1 -1 38 3631 26 6.79088e+06 255968 678818. 2348.85 4.81 0.107378 0.090117 25966 169698 -1 2958 16 1335 3587 203647 44404 6.89761 6.89761 -163.806 -6.89761 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.0184226 0.0165805 131 177 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_059.v common 5.93 vpr 63.57 MiB -1 -1 0.25 21776 11 0.21 -1 -1 36300 -1 -1 17 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65096 30 32 224 256 1 162 79 17 17 289 -1 unnamed_device 24.9 MiB 0.62 883 7853 1940 5339 574 63.6 MiB 0.05 0.00 6.27294 -133.979 -6.27294 6.27294 0.88 0.000222239 0.000175607 0.0135428 0.0111777 -1 -1 -1 -1 38 2297 16 6.79088e+06 229024 678818. 2348.85 1.79 0.0773 0.0651088 25966 169698 -1 1918 13 859 2398 122973 27825 5.57484 5.57484 -132.391 -5.57484 0 0 902133. 3121.57 0.33 0.04 0.15 -1 -1 0.33 0.012794 0.0116048 100 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_060.v common 23.70 vpr 64.59 MiB -1 -1 0.33 22908 15 0.63 -1 -1 36836 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66140 32 32 351 383 1 260 89 17 17 289 -1 unnamed_device 25.8 MiB 1.35 1559 7217 1529 4884 804 64.6 MiB 0.07 0.00 9.47559 -189.1 -9.47559 9.47559 0.89 0.000373333 0.000295547 0.0180302 0.0149111 -1 -1 -1 -1 40 4036 26 6.79088e+06 336800 706193. 2443.58 18.22 0.238146 0.19941 26254 175826 -1 3814 22 2179 6879 441568 95166 8.5413 8.5413 -182.536 -8.5413 0 0 926341. 3205.33 0.34 0.11 0.15 -1 -1 0.34 0.02915 0.0258561 180 257 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_061.v common 12.09 vpr 64.19 MiB -1 -1 0.27 22104 13 0.36 -1 -1 36632 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65732 32 32 297 329 1 215 84 17 17 289 -1 unnamed_device 25.3 MiB 1.41 1327 11613 3037 7153 1423 64.2 MiB 0.08 0.00 8.35567 -179.275 -8.35567 8.35567 0.93 0.000300893 0.000239266 0.0234732 0.0192132 -1 -1 -1 -1 36 3892 45 6.79088e+06 269440 648988. 2245.63 6.75 0.147188 0.12502 25390 158009 -1 2951 20 1522 4208 254330 56298 7.26121 7.26121 -171.101 -7.26121 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.022819 0.0204777 139 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_062.v common 8.14 vpr 63.67 MiB -1 -1 0.21 21328 11 0.17 -1 -1 36628 -1 -1 14 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65200 32 32 231 263 1 166 78 17 17 289 -1 unnamed_device 25.0 MiB 2.07 913 8544 1751 6687 106 63.7 MiB 0.06 0.00 6.71833 -138.755 -6.71833 6.71833 0.90 0.0002379 0.000189583 0.0148893 0.012248 -1 -1 -1 -1 36 2680 22 6.79088e+06 188608 648988. 2245.63 2.58 0.0775847 0.0645499 25390 158009 -1 2048 22 1004 2482 144860 33236 5.57057 5.57057 -137.043 -5.57057 0 0 828058. 2865.25 0.31 0.05 0.15 -1 -1 0.31 0.018013 0.0160281 95 137 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_063.v common 7.64 vpr 64.40 MiB -1 -1 0.27 21872 12 0.37 -1 -1 36028 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65944 32 32 305 337 1 211 84 17 17 289 -1 unnamed_device 25.7 MiB 1.36 1275 5757 1099 4374 284 64.4 MiB 0.05 0.00 8.05812 -164.83 -8.05812 8.05812 0.89 0.000307611 0.000244335 0.0135778 0.0113464 -1 -1 -1 -1 40 3130 19 6.79088e+06 269440 706193. 2443.58 2.45 0.103315 0.0871389 26254 175826 -1 2958 18 1330 4151 264505 58696 7.08896 7.08896 -157.183 -7.08896 0 0 926341. 3205.33 0.34 0.08 0.16 -1 -1 0.34 0.0247502 0.0224226 145 211 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_064.v common 11.95 vpr 63.89 MiB -1 -1 0.25 21472 12 0.24 -1 -1 36368 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65428 32 32 243 275 1 185 80 17 17 289 -1 unnamed_device 25.3 MiB 1.65 1063 10572 3521 4821 2230 63.9 MiB 0.07 0.00 7.07212 -150.92 -7.07212 7.07212 0.92 0.000252522 0.00019913 0.0194424 0.0157747 -1 -1 -1 -1 36 3409 40 6.79088e+06 215552 648988. 2245.63 6.64 0.104986 0.088026 25390 158009 -1 2673 17 1278 3195 206345 45647 6.29098 6.29098 -150.366 -6.29098 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0170877 0.0154512 111 149 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_065.v common 8.50 vpr 63.70 MiB -1 -1 0.25 21680 12 0.22 -1 -1 36324 -1 -1 18 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65224 30 32 228 260 1 165 80 17 17 289 -1 unnamed_device 25.2 MiB 1.35 1004 11776 3311 6854 1611 63.7 MiB 0.08 0.00 7.78962 -153.98 -7.78962 7.78962 0.91 0.000237086 0.000186667 0.0205593 0.0166361 -1 -1 -1 -1 36 2647 28 6.79088e+06 242496 648988. 2245.63 3.61 0.106425 0.0900547 25390 158009 -1 2204 16 865 2541 152000 33758 6.54507 6.54507 -146.067 -6.54507 0 0 828058. 2865.25 0.30 0.05 0.15 -1 -1 0.30 0.0148088 0.0133262 105 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_066.v common 10.65 vpr 64.16 MiB -1 -1 0.30 21896 12 0.34 -1 -1 36516 -1 -1 23 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65704 29 32 275 307 1 200 84 17 17 289 -1 unnamed_device 25.5 MiB 2.38 1221 7770 1855 5075 840 64.2 MiB 0.06 0.00 7.43187 -140.251 -7.43187 7.43187 0.89 0.000569505 0.000510167 0.0164716 0.0134951 -1 -1 -1 -1 34 3578 29 6.79088e+06 309856 618332. 2139.56 4.55 0.122244 0.103718 25102 150614 -1 3006 15 1375 3970 281069 58660 6.41972 6.41972 -135.251 -6.41972 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0184229 0.0166139 136 190 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_067.v common 7.69 vpr 64.38 MiB -1 -1 0.29 22084 13 0.42 -1 -1 36520 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65920 32 32 330 362 1 254 87 17 17 289 -1 unnamed_device 25.5 MiB 1.19 1359 13335 4246 7124 1965 64.4 MiB 0.10 0.00 8.15621 -168.312 -8.15621 8.15621 0.89 0.0003242 0.000257365 0.0276918 0.0226102 -1 -1 -1 -1 46 3494 23 6.79088e+06 309856 828058. 2865.25 2.49 0.121826 0.10184 27406 200422 -1 2798 17 1555 4022 186401 43603 7.04638 7.04638 -158.022 -7.04638 0 0 1.01997e+06 3529.29 0.38 0.06 0.18 -1 -1 0.38 0.0219693 0.019823 159 236 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_068.v common 8.10 vpr 64.11 MiB -1 -1 0.28 21988 12 0.30 -1 -1 36556 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65652 32 32 290 322 1 219 83 17 17 289 -1 unnamed_device 25.3 MiB 1.23 1355 8543 2261 5601 681 64.1 MiB 0.07 0.00 8.04027 -168.911 -8.04027 8.04027 0.90 0.000305315 0.000243829 0.0185997 0.0153354 -1 -1 -1 -1 46 3186 27 6.79088e+06 255968 828058. 2865.25 3.04 0.108564 0.0911621 27406 200422 -1 2643 19 1391 4028 208150 46578 6.74888 6.74888 -158.158 -6.74888 0 0 1.01997e+06 3529.29 0.38 0.07 0.18 -1 -1 0.38 0.0210952 0.0189173 138 196 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_069.v common 8.95 vpr 63.61 MiB -1 -1 0.27 21520 12 0.18 -1 -1 36584 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65136 32 32 214 246 1 159 80 17 17 289 -1 unnamed_device 24.8 MiB 2.60 863 11260 4224 5242 1794 63.6 MiB 0.07 0.00 7.26107 -147.208 -7.26107 7.26107 0.89 0.000230494 0.000184068 0.0174678 0.0142113 -1 -1 -1 -1 36 2331 19 6.79088e+06 215552 648988. 2245.63 2.78 0.0844205 0.0711935 25390 158009 -1 1967 15 845 2356 149699 33404 6.41977 6.41977 -139.921 -6.41977 0 0 828058. 2865.25 0.32 0.05 0.14 -1 -1 0.32 0.0135132 0.0122086 93 120 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_070.v common 14.85 vpr 63.89 MiB -1 -1 0.25 21788 12 0.27 -1 -1 36564 -1 -1 20 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65420 31 32 244 276 1 178 83 17 17 289 -1 unnamed_device 25.1 MiB 1.84 1108 3503 656 2559 288 63.9 MiB 0.03 0.00 7.09757 -147.836 -7.09757 7.09757 0.90 0.000251763 0.000200726 0.007775 0.00662372 -1 -1 -1 -1 30 3246 30 6.79088e+06 269440 556674. 1926.21 9.40 0.113098 0.0950152 24526 138013 -1 2672 21 1252 3451 184765 41988 6.47548 6.47548 -150.315 -6.47548 0 0 706193. 2443.58 0.28 0.07 0.13 -1 -1 0.28 0.0212659 0.018677 112 153 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_071.v common 7.73 vpr 64.17 MiB -1 -1 0.28 21736 11 0.23 -1 -1 36472 -1 -1 21 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 30 32 276 308 1 190 83 17 17 289 -1 unnamed_device 25.4 MiB 1.79 1054 9263 2245 5843 1175 64.2 MiB 0.07 0.00 6.88762 -135.169 -6.88762 6.88762 0.91 0.000339841 0.000281041 0.0191505 0.0157505 -1 -1 -1 -1 38 2945 19 6.79088e+06 282912 678818. 2348.85 2.21 0.0962087 0.0800687 25966 169698 -1 2413 18 1115 3680 189750 43063 5.99343 5.99343 -130.249 -5.99343 0 0 902133. 3121.57 0.32 0.06 0.15 -1 -1 0.32 0.0184155 0.0164271 126 188 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_072.v common 6.63 vpr 63.98 MiB -1 -1 0.25 21640 11 0.24 -1 -1 36532 -1 -1 19 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65516 28 32 253 285 1 177 79 17 17 289 -1 unnamed_device 25.1 MiB 1.07 995 10050 2718 6209 1123 64.0 MiB 0.06 0.00 6.45019 -124.228 -6.45019 6.45019 0.86 0.00025159 0.000200075 0.0181809 0.014783 -1 -1 -1 -1 38 2647 20 6.79088e+06 255968 678818. 2348.85 2.02 0.0953641 0.0801837 25966 169698 -1 2249 19 1131 3466 185142 40463 5.64548 5.64548 -120.405 -5.64548 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.0185367 0.0165503 116 171 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_073.v common 8.46 vpr 64.17 MiB -1 -1 0.26 21716 13 0.26 -1 -1 36308 -1 -1 17 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65708 30 32 235 267 1 171 79 17 17 289 -1 unnamed_device 25.5 MiB 2.65 969 10557 2812 6852 893 64.2 MiB 0.07 0.00 7.0265 -142.766 -7.0265 7.0265 0.88 0.000282303 0.000230391 0.0185272 0.0151046 -1 -1 -1 -1 38 2499 22 6.79088e+06 229024 678818. 2348.85 2.21 0.0903504 0.0755629 25966 169698 -1 2100 19 973 2722 134749 31327 6.40165 6.40165 -139.95 -6.40165 0 0 902133. 3121.57 0.32 0.05 0.15 -1 -1 0.32 0.0184286 0.0165741 108 147 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_074.v common 19.28 vpr 64.28 MiB -1 -1 0.25 21756 12 0.24 -1 -1 36308 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65820 32 32 264 296 1 201 82 17 17 289 -1 unnamed_device 25.3 MiB 2.79 1167 9872 2780 5471 1621 64.3 MiB 0.07 0.00 7.31132 -167.014 -7.31132 7.31132 0.86 0.000264499 0.000209915 0.018229 0.0146678 -1 -1 -1 -1 38 3358 22 6.79088e+06 242496 678818. 2348.85 12.90 0.149213 0.123779 25966 169698 -1 2616 16 1138 2926 158588 35298 6.38052 6.38052 -156.716 -6.38052 0 0 902133. 3121.57 0.33 0.05 0.15 -1 -1 0.33 0.0171777 0.0154801 119 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_075.v common 8.62 vpr 64.26 MiB -1 -1 0.27 21652 13 0.36 -1 -1 36560 -1 -1 21 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65800 31 32 278 310 1 200 84 17 17 289 -1 unnamed_device 25.4 MiB 1.40 988 9783 2758 5943 1082 64.3 MiB 0.07 0.00 8.18266 -152.572 -8.18266 8.18266 0.88 0.000287036 0.000223866 0.0187048 0.0151386 -1 -1 -1 -1 36 3345 28 6.79088e+06 282912 648988. 2245.63 3.56 0.108656 0.090751 25390 158009 -1 2527 19 1403 4134 243095 57317 7.38646 7.38646 -147.553 -7.38646 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.0197226 0.0175627 136 187 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_076.v common 7.79 vpr 64.15 MiB -1 -1 0.29 22020 14 0.33 -1 -1 37084 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65692 32 32 290 322 1 211 84 17 17 289 -1 unnamed_device 25.3 MiB 1.46 1245 13992 4430 7427 2135 64.2 MiB 0.10 0.00 8.45277 -175.285 -8.45277 8.45277 0.88 0.000307147 0.000236719 0.0277306 0.022229 -1 -1 -1 -1 46 3019 19 6.79088e+06 269440 828058. 2865.25 2.56 0.121167 0.102127 27406 200422 -1 2515 18 1193 3569 171019 38649 7.28928 7.28928 -161.175 -7.28928 0 0 1.01997e+06 3529.29 0.39 0.06 0.17 -1 -1 0.39 0.0200397 0.0180146 132 196 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_077.v common 11.77 vpr 64.28 MiB -1 -1 0.29 22372 14 0.30 -1 -1 36616 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65824 32 32 269 301 1 198 81 17 17 289 -1 unnamed_device 25.5 MiB 2.59 1219 5506 1194 3684 628 64.3 MiB 0.05 0.00 8.08149 -162.851 -8.08149 8.08149 0.88 0.000279531 0.000222989 0.0125087 0.0103987 -1 -1 -1 -1 36 3582 35 6.79088e+06 229024 648988. 2245.63 5.43 0.114903 0.0975682 25390 158009 -1 2752 19 1307 3808 241835 52748 6.88531 6.88531 -154.809 -6.88531 0 0 828058. 2865.25 0.32 0.09 0.14 -1 -1 0.32 0.0253505 0.0228467 119 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_078.v common 20.85 vpr 64.25 MiB -1 -1 0.31 22340 13 0.42 -1 -1 36912 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65788 32 32 296 328 1 224 85 17 17 289 -1 unnamed_device 25.2 MiB 1.83 1337 14779 4197 8584 1998 64.2 MiB 0.10 0.00 8.4678 -172.702 -8.4678 8.4678 0.88 0.000305341 0.000243142 0.0284362 0.0230968 -1 -1 -1 -1 38 3676 48 6.79088e+06 282912 678818. 2348.85 15.07 0.236669 0.200495 25966 169698 -1 3099 28 1497 4330 401264 158279 7.55101 7.55101 -166.266 -7.55101 0 0 902133. 3121.57 0.33 0.13 0.16 -1 -1 0.33 0.0282562 0.0249182 145 202 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_079.v common 8.33 vpr 63.72 MiB -1 -1 0.24 21528 13 0.23 -1 -1 36344 -1 -1 17 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65252 30 32 234 266 1 174 79 17 17 289 -1 unnamed_device 25.0 MiB 2.22 971 11402 3221 6944 1237 63.7 MiB 0.07 0.00 7.37867 -152.623 -7.37867 7.37867 0.88 0.000232 0.000183041 0.0187646 0.0152218 -1 -1 -1 -1 30 3089 46 6.79088e+06 229024 556674. 1926.21 2.57 0.0723239 0.0602026 24526 138013 -1 2347 17 1030 2656 140863 32234 6.66267 6.66267 -147.632 -6.66267 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.0164395 0.0148506 104 146 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_080.v common 8.27 vpr 64.17 MiB -1 -1 0.30 22232 13 0.54 -1 -1 36644 -1 -1 21 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 30 32 291 323 1 223 83 17 17 289 -1 unnamed_device 25.3 MiB 1.66 1226 6203 1393 4036 774 64.2 MiB 0.05 0.00 8.37399 -164.454 -8.37399 8.37399 0.92 0.0003064 0.000243795 0.0146387 0.0121628 -1 -1 -1 -1 38 3383 21 6.79088e+06 282912 678818. 2348.85 2.58 0.107603 0.0907891 25966 169698 -1 2692 19 1392 3870 198202 44973 7.30385 7.30385 -157.208 -7.30385 0 0 902133. 3121.57 0.34 0.07 0.15 -1 -1 0.34 0.0230948 0.0207001 144 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_081.v common 9.08 vpr 64.05 MiB -1 -1 0.29 21912 14 0.37 -1 -1 36056 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65588 32 32 274 306 1 201 81 17 17 289 -1 unnamed_device 25.2 MiB 2.01 1220 8831 2339 5185 1307 64.1 MiB 0.07 0.00 8.43664 -177.857 -8.43664 8.43664 0.86 0.000267391 0.000211058 0.016633 0.0134736 -1 -1 -1 -1 38 3412 29 6.79088e+06 229024 678818. 2348.85 3.21 0.10304 0.0861622 25966 169698 -1 2715 23 1368 4033 233965 50066 7.75127 7.75127 -174.096 -7.75127 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0229107 0.0202821 127 180 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_082.v common 10.49 vpr 64.10 MiB -1 -1 0.28 22008 13 0.28 -1 -1 36332 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65636 31 32 266 298 1 194 80 17 17 289 -1 unnamed_device 25.0 MiB 1.78 1018 10056 2882 5099 2075 64.1 MiB 0.07 0.00 7.45028 -151.18 -7.45028 7.45028 0.89 0.000268157 0.000212095 0.0194509 0.0158388 -1 -1 -1 -1 36 3259 28 6.79088e+06 229024 648988. 2245.63 4.94 0.120777 0.102807 25390 158009 -1 2643 18 1429 3975 253181 55848 6.74528 6.74528 -150.387 -6.74528 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0188261 0.0169265 122 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_083.v common 10.82 vpr 63.89 MiB -1 -1 0.28 21972 13 0.26 -1 -1 36368 -1 -1 22 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65424 30 32 266 298 1 200 84 17 17 289 -1 unnamed_device 25.1 MiB 2.11 1131 8319 2355 4583 1381 63.9 MiB 0.06 0.00 7.49592 -149.558 -7.49592 7.49592 0.87 0.000261521 0.000206678 0.0153258 0.0125583 -1 -1 -1 -1 36 3396 30 6.79088e+06 296384 648988. 2245.63 5.03 0.104138 0.0877263 25390 158009 -1 2724 15 1255 3390 217318 47668 6.64352 6.64352 -144.455 -6.64352 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0167497 0.0151098 123 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_084.v common 9.18 vpr 64.38 MiB -1 -1 0.29 21884 14 0.44 -1 -1 36620 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65924 32 32 310 342 1 229 85 17 17 289 -1 unnamed_device 25.6 MiB 1.66 1332 11803 3711 6311 1781 64.4 MiB 0.09 0.00 8.47332 -174.325 -8.47332 8.47332 0.93 0.000334058 0.00026657 0.0258452 0.0210709 -1 -1 -1 -1 40 3627 36 6.79088e+06 282912 706193. 2443.58 3.50 0.134108 0.113175 26254 175826 -1 3319 19 1685 5093 354961 76925 7.38651 7.38651 -167.314 -7.38651 0 0 926341. 3205.33 0.36 0.10 0.16 -1 -1 0.36 0.0265238 0.0239927 152 216 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_085.v common 7.91 vpr 64.15 MiB -1 -1 0.31 21784 11 0.34 -1 -1 36524 -1 -1 22 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65692 29 32 262 294 1 201 83 17 17 289 -1 unnamed_device 25.4 MiB 2.19 1187 9803 3077 5789 937 64.2 MiB 0.07 0.00 7.32112 -142.904 -7.32112 7.32112 0.87 0.000279691 0.00022244 0.0187499 0.0152235 -1 -1 -1 -1 30 3094 33 6.79088e+06 296384 556674. 1926.21 2.04 0.0822179 0.0695579 24526 138013 -1 2563 15 1165 3347 160722 37461 6.46667 6.46667 -139.078 -6.46667 0 0 706193. 2443.58 0.28 0.05 0.13 -1 -1 0.28 0.0173754 0.0156876 134 177 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_086.v common 10.52 vpr 63.84 MiB -1 -1 0.22 21364 13 0.19 -1 -1 36272 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65376 32 32 222 254 1 182 79 17 17 289 -1 unnamed_device 25.1 MiB 3.87 1035 5318 1067 4048 203 63.8 MiB 0.04 0.00 6.99248 -161.236 -6.99248 6.99248 0.87 0.000261176 0.000213235 0.00985797 0.00813266 -1 -1 -1 -1 34 3444 42 6.79088e+06 202080 618332. 2139.56 3.26 0.0832439 0.0695336 25102 150614 -1 2604 22 1228 2959 273887 81898 6.40514 6.40514 -163.936 -6.40514 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0165428 0.0146808 99 128 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_087.v common 8.97 vpr 63.91 MiB -1 -1 0.29 21864 14 0.28 -1 -1 36508 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65448 32 32 267 299 1 198 81 17 17 289 -1 unnamed_device 25.2 MiB 2.01 1250 4456 885 3313 258 63.9 MiB 0.04 0.00 8.38045 -174.115 -8.38045 8.38045 0.88 0.000278794 0.00022252 0.0111062 0.00929059 -1 -1 -1 -1 36 3265 43 6.79088e+06 229024 648988. 2245.63 3.38 0.111829 0.095034 25390 158009 -1 2720 18 1249 3337 202574 44403 7.34737 7.34737 -167.601 -7.34737 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0187043 0.0168004 122 173 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_088.v common 9.20 vpr 64.40 MiB -1 -1 0.30 22588 15 0.51 -1 -1 36080 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65948 32 32 334 366 1 250 88 17 17 289 -1 unnamed_device 25.8 MiB 2.03 1484 9253 2259 6050 944 64.4 MiB 0.08 0.00 8.87836 -189.569 -8.87836 8.87836 0.89 0.000343145 0.000272909 0.0228454 0.0187174 -1 -1 -1 -1 38 3870 29 6.79088e+06 323328 678818. 2348.85 3.14 0.149302 0.127238 25966 169698 -1 3149 20 1729 4589 229265 52252 7.67991 7.67991 -179.191 -7.67991 0 0 902133. 3121.57 0.34 0.08 0.15 -1 -1 0.34 0.0264208 0.0235607 164 240 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_089.v common 8.48 vpr 63.34 MiB -1 -1 0.25 21520 11 0.20 -1 -1 36420 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64856 32 32 220 252 1 168 80 17 17 289 -1 unnamed_device 24.7 MiB 2.02 863 10916 3769 4696 2451 63.3 MiB 0.06 0.00 6.70603 -139.827 -6.70603 6.70603 0.91 0.000216515 0.000171348 0.016307 0.0132088 -1 -1 -1 -1 36 2507 19 6.79088e+06 215552 648988. 2245.63 3.01 0.0801888 0.0670358 25390 158009 -1 2032 20 956 2486 140727 33215 5.82893 5.82893 -135.434 -5.82893 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.01526 0.0135858 97 126 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_090.v common 20.69 vpr 63.72 MiB -1 -1 0.23 21352 12 0.23 -1 -1 36172 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65248 31 32 244 276 1 191 80 17 17 289 -1 unnamed_device 25.2 MiB 1.94 1084 6788 1723 4832 233 63.7 MiB 0.05 0.00 6.66627 -147.96 -6.66627 6.66627 0.86 0.000243816 0.000193744 0.0128305 0.0105721 -1 -1 -1 -1 40 2883 20 6.79088e+06 229024 706193. 2443.58 15.22 0.158014 0.132069 26254 175826 -1 2742 20 1285 3332 222917 48765 6.02908 6.02908 -145.897 -6.02908 0 0 926341. 3205.33 0.34 0.06 0.15 -1 -1 0.34 0.0172465 0.015323 110 153 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_091.v common 17.49 vpr 64.17 MiB -1 -1 0.29 21968 12 0.36 -1 -1 36488 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65708 32 32 300 332 1 218 83 17 17 289 -1 unnamed_device 25.2 MiB 1.51 1207 11783 4120 5343 2320 64.2 MiB 0.08 0.00 7.30286 -159.953 -7.30286 7.30286 0.87 0.00030603 0.000242276 0.0243601 0.0198348 -1 -1 -1 -1 38 3359 40 6.79088e+06 255968 678818. 2348.85 12.22 0.194451 0.161842 25966 169698 -1 2649 16 1324 3690 183138 42791 6.49812 6.49812 -151.05 -6.49812 0 0 902133. 3121.57 0.32 0.06 0.15 -1 -1 0.32 0.0205613 0.0185503 143 206 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_092.v common 10.67 vpr 64.06 MiB -1 -1 0.28 22096 12 0.29 -1 -1 36344 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65596 32 32 271 303 1 210 83 17 17 289 -1 unnamed_device 25.3 MiB 2.19 1287 12503 3462 6480 2561 64.1 MiB 0.09 0.00 7.56098 -155.748 -7.56098 7.56098 0.87 0.000276068 0.000216352 0.0225535 0.0182166 -1 -1 -1 -1 40 3696 38 6.79088e+06 255968 706193. 2443.58 4.62 0.135123 0.115763 26254 175826 -1 3296 33 1534 4492 706599 301301 6.38062 6.38062 -154.578 -6.38062 0 0 926341. 3205.33 0.34 0.19 0.16 -1 -1 0.34 0.0272189 0.0238633 129 177 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_093.v common 9.01 vpr 64.89 MiB -1 -1 0.30 22104 14 0.55 -1 -1 36672 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66444 32 32 327 359 1 236 86 17 17 289 -1 unnamed_device 26.0 MiB 2.26 1355 14639 3834 9114 1691 64.9 MiB 0.11 0.00 8.8746 -178.899 -8.8746 8.8746 0.87 0.0003437 0.000274486 0.0312257 0.0253249 -1 -1 -1 -1 44 3437 21 6.79088e+06 296384 787024. 2723.27 2.54 0.13361 0.112093 27118 194962 -1 2971 17 1549 4664 242467 55768 7.78601 7.78601 -168.081 -7.78601 0 0 997811. 3452.63 0.37 0.07 0.18 -1 -1 0.37 0.0237452 0.0214266 167 233 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_094.v common 7.76 vpr 64.07 MiB -1 -1 0.29 21556 12 0.25 -1 -1 36384 -1 -1 19 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65612 30 32 246 278 1 190 81 17 17 289 -1 unnamed_device 25.2 MiB 1.43 1159 7956 2272 5164 520 64.1 MiB 0.06 0.00 7.18863 -142.1 -7.18863 7.18863 0.86 0.000271161 0.000218558 0.0152348 0.0123521 -1 -1 -1 -1 46 2786 16 6.79088e+06 255968 828058. 2865.25 2.71 0.0846098 0.0708201 27406 200422 -1 2411 17 1025 3107 160837 35887 6.20488 6.20488 -133.311 -6.20488 0 0 1.01997e+06 3529.29 0.38 0.05 0.18 -1 -1 0.38 0.0170654 0.0153819 120 158 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_095.v common 7.10 vpr 63.67 MiB -1 -1 0.22 21596 11 0.22 -1 -1 36036 -1 -1 19 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65200 27 32 219 251 1 164 78 17 17 289 -1 unnamed_device 25.1 MiB 2.03 723 8378 2042 5918 418 63.7 MiB 0.05 0.00 7.21752 -126.98 -7.21752 7.21752 0.86 0.000220393 0.000174635 0.013633 0.0110982 -1 -1 -1 -1 30 2453 31 6.79088e+06 255968 556674. 1926.21 1.70 0.0599965 0.0505099 24526 138013 -1 1858 17 1043 2825 131913 33470 6.28323 6.28323 -126.905 -6.28323 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0144648 0.0129977 103 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_096.v common 10.83 vpr 64.64 MiB -1 -1 0.33 22488 13 0.52 -1 -1 36752 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66192 32 32 380 412 1 275 90 17 17 289 -1 unnamed_device 25.8 MiB 2.32 1657 9537 2520 6357 660 64.6 MiB 0.09 0.00 8.12297 -168.527 -8.12297 8.12297 0.88 0.000395899 0.000316514 0.0232488 0.0190608 -1 -1 -1 -1 40 4417 48 6.79088e+06 350272 706193. 2443.58 4.47 0.16472 0.138643 26254 175826 -1 4056 20 2002 5949 375778 80918 7.01061 7.01061 -160.57 -7.01061 0 0 926341. 3205.33 0.34 0.11 0.16 -1 -1 0.34 0.0313426 0.0280464 189 286 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_097.v common 9.08 vpr 64.12 MiB -1 -1 0.30 21984 14 0.31 -1 -1 36536 -1 -1 21 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65660 31 32 277 309 1 196 84 17 17 289 -1 unnamed_device 25.5 MiB 2.03 1072 7770 1789 5369 612 64.1 MiB 0.06 0.00 8.3779 -165.706 -8.3779 8.3779 0.87 0.00029262 0.00023548 0.0159889 0.0132182 -1 -1 -1 -1 36 3014 34 6.79088e+06 282912 648988. 2245.63 3.35 0.10427 0.0873492 25390 158009 -1 2529 17 1112 2992 170582 39422 7.4761 7.4761 -162.537 -7.4761 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0191844 0.0173064 129 186 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_098.v common 9.20 vpr 63.68 MiB -1 -1 0.26 21832 12 0.21 -1 -1 36708 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65208 32 32 229 261 1 176 82 17 17 289 -1 unnamed_device 25.2 MiB 1.73 1078 7914 1805 5400 709 63.7 MiB 0.05 0.00 7.28787 -159.969 -7.28787 7.28787 0.87 0.000238706 0.000190552 0.0132596 0.0109548 -1 -1 -1 -1 36 2782 20 6.79088e+06 242496 648988. 2245.63 3.92 0.0848182 0.0716486 25390 158009 -1 2255 16 1008 2402 136904 31819 6.14227 6.14227 -150.778 -6.14227 0 0 828058. 2865.25 0.31 0.05 0.15 -1 -1 0.31 0.0153056 0.0137901 107 135 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_099.v common 12.50 vpr 64.19 MiB -1 -1 0.28 21776 13 0.34 -1 -1 36592 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65728 32 32 263 295 1 196 84 17 17 289 -1 unnamed_device 25.4 MiB 1.95 1065 10698 4067 5787 844 64.2 MiB 0.07 0.00 7.98061 -163.524 -7.98061 7.98061 0.86 0.000269779 0.000213768 0.0192227 0.0156587 -1 -1 -1 -1 36 3909 46 6.79088e+06 269440 648988. 2245.63 6.92 0.117238 0.0981445 25390 158009 -1 2692 17 1384 3771 259060 67340 6.79921 6.79921 -153.949 -6.79921 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0187965 0.0167873 128 169 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_100.v common 9.65 vpr 64.20 MiB -1 -1 0.30 22308 13 0.40 -1 -1 36452 -1 -1 23 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65744 31 32 321 353 1 242 86 17 17 289 -1 unnamed_device 25.5 MiB 1.97 1396 6890 1500 5037 353 64.2 MiB 0.06 0.00 7.43872 -154.496 -7.43872 7.43872 0.87 0.000325015 0.000257865 0.0168091 0.0139154 -1 -1 -1 -1 40 3737 36 6.79088e+06 309856 706193. 2443.58 3.71 0.124398 0.104604 26254 175826 -1 3682 27 2058 6214 669400 215172 6.83487 6.83487 -156.489 -6.83487 0 0 926341. 3205.33 0.34 0.17 0.16 -1 -1 0.34 0.0298576 0.0264696 156 230 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_101.v common 19.14 vpr 64.36 MiB -1 -1 0.27 21768 11 0.30 -1 -1 36260 -1 -1 22 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65908 30 32 287 319 1 199 84 17 17 289 -1 unnamed_device 25.5 MiB 1.65 1110 11613 3419 5918 2276 64.4 MiB 0.08 0.00 7.42892 -141.349 -7.42892 7.42892 0.86 0.000295954 0.000234425 0.0229563 0.0184624 -1 -1 -1 -1 40 2846 20 6.79088e+06 296384 706193. 2443.58 13.86 0.20384 0.17007 26254 175826 -1 2618 18 1293 3907 258023 62669 6.37282 6.37282 -138.264 -6.37282 0 0 926341. 3205.33 0.34 0.07 0.15 -1 -1 0.34 0.0202377 0.0181181 139 199 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_102.v common 11.01 vpr 64.24 MiB -1 -1 0.29 22392 15 0.42 -1 -1 36200 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65784 32 32 296 328 1 217 83 17 17 289 -1 unnamed_device 25.3 MiB 1.64 1158 4223 686 3480 57 64.2 MiB 0.04 0.00 8.41622 -176.296 -8.41622 8.41622 0.87 0.000304767 0.000241993 0.0108887 0.00909589 -1 -1 -1 -1 38 3611 49 6.79088e+06 255968 678818. 2348.85 5.62 0.127151 0.107421 25966 169698 -1 2680 20 1426 4396 232365 53103 7.21437 7.21437 -162.943 -7.21437 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0221896 0.0198252 145 202 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_103.v common 7.61 vpr 64.22 MiB -1 -1 0.29 22372 13 0.39 -1 -1 36612 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65764 32 32 285 317 1 216 84 17 17 289 -1 unnamed_device 25.4 MiB 1.71 1276 7221 1624 4993 604 64.2 MiB 0.06 0.00 7.85531 -170.15 -7.85531 7.85531 0.87 0.00029485 0.00023493 0.0156438 0.0129636 -1 -1 -1 -1 38 3322 23 6.79088e+06 269440 678818. 2348.85 2.09 0.100526 0.0841969 25966 169698 -1 2775 19 1297 3768 192177 43619 6.67391 6.67391 -156.024 -6.67391 0 0 902133. 3121.57 0.34 0.06 0.16 -1 -1 0.34 0.0209342 0.0187179 141 191 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_104.v common 10.03 vpr 63.63 MiB -1 -1 0.24 21308 12 0.24 -1 -1 36228 -1 -1 19 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65156 29 32 239 271 1 187 80 17 17 289 -1 unnamed_device 25.1 MiB 2.18 941 12292 3647 6240 2405 63.6 MiB 0.08 0.00 7.84567 -154.348 -7.84567 7.84567 0.89 0.000253936 0.000194063 0.0208423 0.0169293 -1 -1 -1 -1 36 3159 47 6.79088e+06 255968 648988. 2245.63 4.30 0.106664 0.0891116 25390 158009 -1 2472 21 1302 3079 197616 45139 6.84606 6.84606 -149.622 -6.84606 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0183489 0.0162454 112 154 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_105.v common 9.92 vpr 63.53 MiB -1 -1 0.23 21772 11 0.19 -1 -1 36088 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65052 32 32 235 267 1 177 79 17 17 289 -1 unnamed_device 25.0 MiB 2.85 1083 3966 758 3081 127 63.5 MiB 0.03 0.00 6.83947 -148.082 -6.83947 6.83947 0.87 0.000227454 0.000180372 0.00785354 0.0065266 -1 -1 -1 -1 34 3143 44 6.79088e+06 202080 618332. 2139.56 3.69 0.0841381 0.0702702 25102 150614 -1 2742 18 1192 2985 213870 46281 6.10302 6.10302 -148.662 -6.10302 0 0 787024. 2723.27 0.29 0.06 0.13 -1 -1 0.29 0.0151898 0.0135263 99 141 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_106.v common 8.43 vpr 64.22 MiB -1 -1 0.29 21548 13 0.40 -1 -1 36204 -1 -1 21 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65764 31 32 294 326 1 213 84 17 17 289 -1 unnamed_device 25.3 MiB 1.64 1276 7221 1604 4833 784 64.2 MiB 0.06 0.00 8.37916 -162.744 -8.37916 8.37916 0.91 0.000325611 0.000262418 0.0166359 0.0137431 -1 -1 -1 -1 38 3408 30 6.79088e+06 282912 678818. 2348.85 2.93 0.128083 0.109284 25966 169698 -1 2832 17 1371 4127 228456 50332 7.06981 7.06981 -151.734 -7.06981 0 0 902133. 3121.57 0.32 0.07 0.15 -1 -1 0.32 0.0204271 0.0184269 144 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_107.v common 6.77 vpr 63.20 MiB -1 -1 0.23 21320 10 0.20 -1 -1 36348 -1 -1 17 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64720 29 32 219 251 1 163 78 17 17 289 -1 unnamed_device 24.7 MiB 1.62 808 5722 1225 4266 231 63.2 MiB 0.04 0.00 6.21616 -120.606 -6.21616 6.21616 0.89 0.000221164 0.000175007 0.0104045 0.00864764 -1 -1 -1 -1 30 2732 29 6.79088e+06 229024 556674. 1926.21 1.76 0.0575519 0.0487109 24526 138013 -1 2028 15 966 2271 122606 29724 5.32762 5.32762 -121.477 -5.32762 0 0 706193. 2443.58 0.27 0.04 0.12 -1 -1 0.27 0.0133679 0.0120228 98 134 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_108.v common 10.33 vpr 63.57 MiB -1 -1 0.24 21400 14 0.23 -1 -1 36056 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65100 32 32 239 271 1 183 82 17 17 289 -1 unnamed_device 24.9 MiB 3.37 1099 12720 3439 7948 1333 63.6 MiB 0.08 0.00 7.66942 -163.822 -7.66942 7.66942 0.87 0.000243529 0.000192041 0.0205285 0.0166728 -1 -1 -1 -1 36 3025 27 6.79088e+06 242496 648988. 2245.63 3.39 0.1061 0.0897605 25390 158009 -1 2555 19 1122 2802 177721 38329 6.67042 6.67042 -157.002 -6.67042 0 0 828058. 2865.25 0.32 0.06 0.16 -1 -1 0.32 0.0177506 0.0159192 109 145 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_109.v common 19.46 vpr 64.16 MiB -1 -1 0.29 22164 13 0.32 -1 -1 36612 -1 -1 19 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65696 31 32 266 298 1 209 82 17 17 289 -1 unnamed_device 25.4 MiB 2.49 1233 13432 4516 6489 2427 64.2 MiB 0.09 0.00 7.95965 -167.858 -7.95965 7.95965 0.86 0.000266072 0.000210831 0.0240325 0.0195684 -1 -1 -1 -1 40 3008 40 6.79088e+06 255968 706193. 2443.58 13.27 0.19373 0.162099 26254 175826 -1 2838 16 1339 3498 222720 49547 6.87761 6.87761 -160.894 -6.87761 0 0 926341. 3205.33 0.35 0.07 0.16 -1 -1 0.35 0.0181432 0.0163924 124 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_110.v common 10.79 vpr 63.81 MiB -1 -1 0.25 21560 12 0.18 -1 -1 36236 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65344 31 32 225 257 1 171 80 17 17 289 -1 unnamed_device 25.1 MiB 3.85 973 6100 1428 4438 234 63.8 MiB 0.04 0.00 7.06748 -154.36 -7.06748 7.06748 0.86 0.000223202 0.000177422 0.0105329 0.00868933 -1 -1 -1 -1 36 2757 20 6.79088e+06 229024 648988. 2245.63 3.48 0.0825741 0.0701336 25390 158009 -1 2293 14 959 2284 150234 32953 6.25178 6.25178 -149.108 -6.25178 0 0 828058. 2865.25 0.32 0.05 0.14 -1 -1 0.32 0.0135492 0.0122999 96 134 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_111.v common 9.64 vpr 64.29 MiB -1 -1 0.29 22044 12 0.24 -1 -1 37240 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65836 32 32 288 320 1 202 82 17 17 289 -1 unnamed_device 25.4 MiB 2.41 1283 13432 3933 7023 2476 64.3 MiB 0.09 0.00 6.994 -152.251 -6.994 6.994 0.86 0.000281395 0.000222495 0.0250705 0.0201939 -1 -1 -1 -1 38 3258 45 6.79088e+06 242496 678818. 2348.85 3.56 0.132132 0.110604 25966 169698 -1 2792 20 1289 3786 376231 129036 6.19713 6.19713 -147.635 -6.19713 0 0 902133. 3121.57 0.33 0.11 0.15 -1 -1 0.33 0.0209715 0.0187289 130 194 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_112.v common 9.70 vpr 64.27 MiB -1 -1 0.30 22156 13 0.36 -1 -1 36336 -1 -1 20 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65812 31 32 282 314 1 210 83 17 17 289 -1 unnamed_device 25.4 MiB 1.29 1255 7103 1784 4908 411 64.3 MiB 0.06 0.00 7.93183 -164.51 -7.93183 7.93183 0.85 0.000299155 0.000231455 0.0147265 0.0120838 -1 -1 -1 -1 34 4320 48 6.79088e+06 269440 618332. 2139.56 4.75 0.131731 0.111526 25102 150614 -1 3155 18 1371 3899 244448 54181 6.88526 6.88526 -161.632 -6.88526 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.021508 0.0192024 143 191 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_113.v common 8.96 vpr 63.73 MiB -1 -1 0.24 21776 11 0.21 -1 -1 36276 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65260 32 32 233 265 1 182 79 17 17 289 -1 unnamed_device 25.0 MiB 2.28 990 7515 1854 5471 190 63.7 MiB 0.05 0.00 6.41871 -149.746 -6.41871 6.41871 0.85 0.000240487 0.000190646 0.0137526 0.0112731 -1 -1 -1 -1 38 3026 47 6.79088e+06 202080 678818. 2348.85 3.20 0.0953982 0.0797864 25966 169698 -1 2413 21 1194 3250 178371 39313 5.48535 5.48535 -142.68 -5.48535 0 0 902133. 3121.57 0.32 0.06 0.16 -1 -1 0.32 0.0186843 0.0166456 105 139 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_114.v common 12.04 vpr 63.91 MiB -1 -1 0.26 21764 13 0.26 -1 -1 36520 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 32 32 254 286 1 188 79 17 17 289 -1 unnamed_device 25.2 MiB 2.82 917 4473 833 3541 99 63.9 MiB 0.04 0.00 7.87829 -165.569 -7.87829 7.87829 0.87 0.000276185 0.000223089 0.0103146 0.00871419 -1 -1 -1 -1 38 3450 43 6.79088e+06 202080 678818. 2348.85 5.60 0.10592 0.0900874 25966 169698 -1 2306 16 1127 3059 160954 38761 7.08558 7.08558 -157.83 -7.08558 0 0 902133. 3121.57 0.35 0.06 0.15 -1 -1 0.35 0.0203278 0.0184164 111 160 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_115.v common 10.51 vpr 64.06 MiB -1 -1 0.27 21772 13 0.31 -1 -1 36836 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65600 32 32 285 317 1 216 82 17 17 289 -1 unnamed_device 25.3 MiB 1.58 1260 5600 1161 4083 356 64.1 MiB 0.05 0.00 7.71882 -168.307 -7.71882 7.71882 0.86 0.000285745 0.000227702 0.0123871 0.0102528 -1 -1 -1 -1 38 3737 30 6.79088e+06 242496 678818. 2348.85 5.29 0.11418 0.0971218 25966 169698 -1 2953 18 1578 4251 227933 50692 6.83143 6.83143 -163.944 -6.83143 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0210355 0.0186374 135 191 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_116.v common 10.58 vpr 63.96 MiB -1 -1 0.27 21756 11 0.24 -1 -1 36588 -1 -1 20 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65500 29 32 243 275 1 190 81 17 17 289 -1 unnamed_device 25.1 MiB 1.68 1127 10581 2985 5703 1893 64.0 MiB 0.07 0.00 6.61008 -134.136 -6.61008 6.61008 0.86 0.000279865 0.000224683 0.0199887 0.0159653 -1 -1 -1 -1 36 3306 47 6.79088e+06 269440 648988. 2245.63 5.42 0.107102 0.0892004 25390 158009 -1 2514 16 1106 3134 199443 43558 5.42613 5.42613 -127.322 -5.42613 0 0 828058. 2865.25 0.30 0.05 0.14 -1 -1 0.30 0.0147866 0.0132906 115 158 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_117.v common 8.64 vpr 64.46 MiB -1 -1 0.30 22416 14 0.40 -1 -1 36752 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66008 32 32 318 350 1 240 87 17 17 289 -1 unnamed_device 25.7 MiB 1.59 1488 8535 2146 5424 965 64.5 MiB 0.07 0.00 8.76875 -186.498 -8.76875 8.76875 0.86 0.000362583 0.000287018 0.0193083 0.0159735 -1 -1 -1 -1 38 3684 27 6.79088e+06 309856 678818. 2348.85 3.26 0.119182 0.100318 25966 169698 -1 2955 19 1551 4202 207936 47522 7.68406 7.68406 -173.86 -7.68406 0 0 902133. 3121.57 0.32 0.07 0.15 -1 -1 0.32 0.0242756 0.021789 159 224 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_118.v common 9.05 vpr 63.55 MiB -1 -1 0.23 21436 12 0.19 -1 -1 36484 -1 -1 18 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65076 31 32 222 254 1 185 81 17 17 289 -1 unnamed_device 24.8 MiB 3.65 1096 6031 1363 3831 837 63.6 MiB 0.04 0.00 6.47142 -144.358 -6.47142 6.47142 0.85 0.000220001 0.000174562 0.00993625 0.00817493 -1 -1 -1 -1 34 2909 32 6.79088e+06 242496 618332. 2139.56 2.08 0.0777082 0.0648327 25102 150614 -1 2421 17 1034 2357 151779 34030 5.9596 5.9596 -144.961 -5.9596 0 0 787024. 2723.27 0.29 0.05 0.14 -1 -1 0.29 0.0143555 0.0128796 105 131 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_119.v common 10.86 vpr 64.31 MiB -1 -1 0.30 22320 13 0.36 -1 -1 36444 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65852 32 32 282 314 1 207 83 17 17 289 -1 unnamed_device 25.5 MiB 1.63 1347 4043 780 2904 359 64.3 MiB 0.04 0.00 8.02094 -165.56 -8.02094 8.02094 0.86 0.000288331 0.000229171 0.0095516 0.00798834 -1 -1 -1 -1 36 3935 48 6.79088e+06 255968 648988. 2245.63 5.57 0.110551 0.0928784 25390 158009 -1 3170 18 1474 4112 257378 55889 6.75652 6.75652 -156.97 -6.75652 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.0198135 0.0177058 134 188 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_120.v common 7.79 vpr 63.45 MiB -1 -1 0.26 22100 13 0.22 -1 -1 36400 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64976 32 32 238 270 1 179 82 17 17 289 -1 unnamed_device 25.0 MiB 1.63 1085 7914 1906 5077 931 63.5 MiB 0.05 0.00 7.51507 -164.29 -7.51507 7.51507 0.86 0.000281415 0.000219862 0.0140605 0.0113313 -1 -1 -1 -1 36 2804 28 6.79088e+06 242496 648988. 2245.63 2.72 0.0842775 0.0699883 25390 158009 -1 2373 16 961 2488 145746 32856 6.54507 6.54507 -157.043 -6.54507 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0148654 0.0133871 105 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_121.v common 11.97 vpr 64.10 MiB -1 -1 0.27 21832 12 0.27 -1 -1 36496 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65640 32 32 269 301 1 191 84 17 17 289 -1 unnamed_device 25.3 MiB 2.01 1205 12711 3448 7705 1558 64.1 MiB 0.09 0.00 7.30358 -162.225 -7.30358 7.30358 0.86 0.000277649 0.000220438 0.0233518 0.019052 -1 -1 -1 -1 36 3744 48 6.79088e+06 269440 648988. 2245.63 6.30 0.139177 0.117967 25390 158009 -1 2886 17 1210 3824 230778 49498 6.19718 6.19718 -153.421 -6.19718 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0189406 0.0169951 128 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_122.v common 23.82 vpr 64.78 MiB -1 -1 0.35 22816 15 0.60 -1 -1 37112 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66336 32 32 350 382 1 256 89 17 17 289 -1 unnamed_device 26.0 MiB 1.41 1525 10583 2684 6530 1369 64.8 MiB 0.09 0.00 9.41018 -195.78 -9.41018 9.41018 0.87 0.000383362 0.000293163 0.0245095 0.0198033 -1 -1 -1 -1 40 4114 45 6.79088e+06 336800 706193. 2443.58 18.01 0.258328 0.215055 26254 175826 -1 4000 52 4022 11811 1250416 466982 8.81104 8.81104 -192.679 -8.81104 0 0 926341. 3205.33 0.35 0.33 0.16 -1 -1 0.35 0.0512644 0.0441197 183 256 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_123.v common 8.91 vpr 63.21 MiB -1 -1 0.21 21220 10 0.12 -1 -1 35972 -1 -1 11 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64728 30 32 174 206 1 132 73 17 17 289 -1 unnamed_device 24.6 MiB 2.40 569 10257 4278 5714 265 63.2 MiB 0.05 0.00 4.82946 -112.742 -4.82946 4.82946 0.87 0.000165392 0.000129978 0.0128564 0.0103551 -1 -1 -1 -1 36 2039 50 6.79088e+06 148192 648988. 2245.63 3.28 0.0727513 0.0606662 25390 158009 -1 1387 19 709 1580 96839 23573 4.50726 4.50726 -111.085 -4.50726 0 0 828058. 2865.25 0.31 0.04 0.14 -1 -1 0.31 0.0109364 0.00971432 64 86 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_124.v common 8.44 vpr 63.99 MiB -1 -1 0.26 21564 13 0.23 -1 -1 36052 -1 -1 17 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65528 30 32 228 260 1 176 79 17 17 289 -1 unnamed_device 25.3 MiB 1.87 1029 5825 1231 4146 448 64.0 MiB 0.05 0.00 7.87321 -160.437 -7.87321 7.87321 0.90 0.000237756 0.000189143 0.0117383 0.00985194 -1 -1 -1 -1 34 2956 35 6.79088e+06 229024 618332. 2139.56 2.96 0.0844697 0.0707607 25102 150614 -1 2528 20 1164 2881 175744 40261 7.08552 7.08552 -160.21 -7.08552 0 0 787024. 2723.27 0.29 0.06 0.13 -1 -1 0.29 0.0177657 0.0158539 103 140 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_125.v common 9.54 vpr 63.97 MiB -1 -1 0.24 21624 12 0.25 -1 -1 36232 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65504 32 32 264 296 1 198 81 17 17 289 -1 unnamed_device 25.1 MiB 2.67 1009 12506 4599 6333 1574 64.0 MiB 0.08 0.00 7.16042 -155.076 -7.16042 7.16042 0.87 0.000261399 0.000207888 0.0231888 0.018796 -1 -1 -1 -1 38 3077 27 6.79088e+06 229024 678818. 2348.85 3.33 0.0989852 0.082064 25966 169698 -1 2467 16 1204 3071 181392 41122 6.24752 6.24752 -151.183 -6.24752 0 0 902133. 3121.57 0.33 0.05 0.15 -1 -1 0.33 0.0166954 0.0150332 115 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_126.v common 6.14 vpr 63.21 MiB -1 -1 0.22 21372 9 0.16 -1 -1 36276 -1 -1 19 25 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64732 25 32 183 215 1 134 76 17 17 289 -1 unnamed_device 24.8 MiB 1.23 748 10796 2964 6480 1352 63.2 MiB 0.05 0.00 4.9582 -97.454 -4.9582 4.9582 0.88 0.000179932 0.000142284 0.0140972 0.0113696 -1 -1 -1 -1 28 2171 21 6.79088e+06 255968 531479. 1839.03 1.67 0.0496978 0.0415872 23950 126010 -1 1961 17 814 2175 142079 32307 4.5107 4.5107 -100.849 -4.5107 0 0 648988. 2245.63 0.25 0.04 0.11 -1 -1 0.25 0.0116048 0.0103505 86 110 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_127.v common 20.49 vpr 64.26 MiB -1 -1 0.29 21892 12 0.32 -1 -1 36612 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 32 32 300 332 1 227 85 17 17 289 -1 unnamed_device 25.4 MiB 1.65 1301 7897 1769 4878 1250 64.3 MiB 0.06 0.00 7.57033 -160.892 -7.57033 7.57033 0.89 0.000308527 0.000245223 0.0165643 0.0136249 -1 -1 -1 -1 40 3568 32 6.79088e+06 282912 706193. 2443.58 15.05 0.222588 0.188644 26254 175826 -1 3380 19 1713 4993 342694 73491 6.58422 6.58422 -157.178 -6.58422 0 0 926341. 3205.33 0.34 0.09 0.17 -1 -1 0.34 0.0214021 0.0191499 140 206 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_128.v common 18.74 vpr 64.20 MiB -1 -1 0.31 22508 13 0.37 -1 -1 36564 -1 -1 24 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65736 31 32 290 322 1 215 87 17 17 289 -1 unnamed_device 25.3 MiB 2.00 1277 8535 2081 5648 806 64.2 MiB 0.07 0.00 8.4853 -176.747 -8.4853 8.4853 0.88 0.00029878 0.000237391 0.0175466 0.0143222 -1 -1 -1 -1 30 4058 33 6.79088e+06 323328 556674. 1926.21 13.02 0.162962 0.138082 24526 138013 -1 3141 20 1517 4357 239407 53989 7.3039 7.3039 -168.302 -7.3039 0 0 706193. 2443.58 0.28 0.07 0.12 -1 -1 0.28 0.0220861 0.0197264 146 199 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 8.51 vpr 64.33 MiB -1 -1 0.19 21584 1 0.03 -1 -1 34000 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65872 32 32 354 285 1 207 90 17 17 289 -1 unnamed_device 25.4 MiB 4.12 992 16773 5017 8446 3310 64.3 MiB 0.12 0.00 5.50182 -160.116 -5.50182 5.50182 0.89 0.000217392 0.000172749 0.0202573 0.0163653 -1 -1 -1 -1 30 2790 25 6.87369e+06 363320 556674. 1926.21 1.14 0.0600286 0.0497682 25186 138497 -1 2050 21 1426 2321 167960 54826 4.58385 4.58385 -149.725 -4.58385 0 0 706193. 2443.58 0.27 0.06 0.12 -1 -1 0.27 0.0144926 0.0125486 142 50 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 8.70 vpr 64.35 MiB -1 -1 0.19 21636 1 0.03 -1 -1 33936 -1 -1 24 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65896 30 32 363 293 1 200 86 17 17 289 -1 unnamed_device 25.6 MiB 3.81 985 11804 3116 7588 1100 64.4 MiB 0.09 0.00 4.6679 -136.949 -4.6679 4.6679 0.93 0.00020882 0.000165526 0.0153518 0.0124577 -1 -1 -1 -1 38 2075 19 6.87369e+06 335372 678818. 2348.85 1.58 0.0697692 0.0572803 26626 170182 -1 1843 21 1475 2215 137639 32758 3.98296 3.98296 -132.597 -3.98296 0 0 902133. 3121.57 0.34 0.05 0.14 -1 -1 0.34 0.0150757 0.0131826 141 63 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 9.00 vpr 64.14 MiB -1 -1 0.18 21456 1 0.03 -1 -1 33772 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65684 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 25.3 MiB 4.24 979 10873 2754 7440 679 64.1 MiB 0.08 0.00 4.36457 -122.364 -4.36457 4.36457 0.89 0.000182972 0.00014521 0.0124166 0.00997204 -1 -1 -1 -1 34 2557 26 6.87369e+06 293451 618332. 2139.56 1.53 0.0653695 0.0536214 25762 151098 -1 2008 23 1430 1899 131183 31865 3.84576 3.84576 -123.257 -3.84576 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0127133 0.0109722 124 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 6.20 vpr 63.94 MiB -1 -1 0.19 21452 1 0.03 -1 -1 33676 -1 -1 29 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65476 29 32 308 248 1 172 90 17 17 289 -1 unnamed_device 25.4 MiB 1.48 974 13557 4041 7216 2300 63.9 MiB 0.10 0.00 4.63038 -128.348 -4.63038 4.63038 0.87 0.000185454 0.000146198 0.0153275 0.0124612 -1 -1 -1 -1 34 2407 26 6.87369e+06 405241 618332. 2139.56 1.52 0.066463 0.0546049 25762 151098 -1 1961 22 1401 2565 182639 41805 3.8767 3.8767 -124.544 -3.8767 0 0 787024. 2723.27 0.29 0.05 0.14 -1 -1 0.29 0.0118213 0.0101877 124 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 7.04 vpr 64.41 MiB -1 -1 0.17 21356 1 0.03 -1 -1 33660 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65956 32 32 336 268 1 181 91 17 17 289 -1 unnamed_device 25.6 MiB 2.16 1051 17023 5465 9028 2530 64.4 MiB 0.12 0.00 4.52512 -133.724 -4.52512 4.52512 0.88 0.000201529 0.000159499 0.0188235 0.0148836 -1 -1 -1 -1 34 2741 27 6.87369e+06 377294 618332. 2139.56 1.63 0.0775819 0.0634841 25762 151098 -1 2360 24 1773 3437 275466 61389 3.9127 3.9127 -134.692 -3.9127 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0142261 0.0119439 131 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 6.08 vpr 64.25 MiB -1 -1 0.20 21340 1 0.03 -1 -1 33796 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65796 32 32 366 295 1 189 94 17 17 289 -1 unnamed_device 25.4 MiB 1.88 1058 17134 5267 9607 2260 64.3 MiB 0.12 0.00 3.34527 -120.073 -3.34527 3.34527 0.87 0.000218603 0.00017219 0.0202554 0.016458 -1 -1 -1 -1 32 2826 21 6.87369e+06 419215 586450. 2029.24 0.95 0.0556855 0.0461075 25474 144626 -1 2263 21 1465 2393 192469 43839 3.15781 3.15781 -124.682 -3.15781 0 0 744469. 2576.02 0.29 0.06 0.13 -1 -1 0.29 0.0134695 0.011624 136 58 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 7.37 vpr 63.79 MiB -1 -1 0.18 21524 1 0.03 -1 -1 34180 -1 -1 19 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65320 27 32 259 221 1 141 78 17 17 289 -1 unnamed_device 25.3 MiB 2.88 666 11532 3128 7372 1032 63.8 MiB 0.06 0.00 3.87934 -105.571 -3.87934 3.87934 0.87 0.000168595 0.000134332 0.0121237 0.00963779 -1 -1 -1 -1 34 1687 23 6.87369e+06 265503 618332. 2139.56 1.38 0.0549054 0.0449015 25762 151098 -1 1454 23 1227 2083 146465 34887 2.92996 2.92996 -102.338 -2.92996 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0105566 0.00910985 97 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 5.25 vpr 63.88 MiB -1 -1 0.18 21244 1 0.03 -1 -1 33684 -1 -1 32 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65412 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 25.2 MiB 1.26 977 15431 4363 8928 2140 63.9 MiB 0.10 0.00 3.48795 -106.181 -3.48795 3.48795 0.86 0.000180863 0.000143801 0.0144896 0.0116236 -1 -1 -1 -1 30 2176 24 6.87369e+06 447163 556674. 1926.21 0.89 0.044912 0.0371143 25186 138497 -1 1868 21 942 1689 101117 22863 2.58436 2.58436 -98.3935 -2.58436 0 0 706193. 2443.58 0.27 0.04 0.12 -1 -1 0.27 0.0107869 0.00937976 119 4 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 8.09 vpr 63.79 MiB -1 -1 0.20 21552 1 0.03 -1 -1 34124 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65316 31 32 317 271 1 175 80 17 17 289 -1 unnamed_device 25.1 MiB 3.42 888 12980 4535 6374 2071 63.8 MiB 0.08 0.00 3.31297 -114.045 -3.31297 3.31297 0.87 0.000181538 0.000142661 0.0157881 0.0126348 -1 -1 -1 -1 34 2335 21 6.87369e+06 237555 618332. 2139.56 1.49 0.0674077 0.055309 25762 151098 -1 2046 18 1239 1803 154821 34852 3.09961 3.09961 -119.68 -3.09961 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0107691 0.00936183 113 64 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 9.78 vpr 63.61 MiB -1 -1 0.20 21576 1 0.03 -1 -1 33868 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65140 32 32 298 248 1 162 80 17 17 289 -1 unnamed_device 25.0 MiB 5.09 870 10400 2388 6951 1061 63.6 MiB 0.07 0.00 3.96554 -131.116 -3.96554 3.96554 0.89 0.000180716 0.000142889 0.0125026 0.0101082 -1 -1 -1 -1 34 2168 22 6.87369e+06 223581 618332. 2139.56 1.44 0.0636113 0.0524674 25762 151098 -1 1797 23 1331 2222 162159 37386 3.08026 3.08026 -125.632 -3.08026 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0121771 0.0104954 107 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 8.69 vpr 64.05 MiB -1 -1 0.19 21508 1 0.03 -1 -1 33956 -1 -1 16 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65592 30 32 303 262 1 148 78 17 17 289 -1 unnamed_device 25.2 MiB 4.14 661 6718 1392 5000 326 64.1 MiB 0.05 0.00 3.87398 -111.275 -3.87398 3.87398 0.87 0.000176543 0.00013915 0.008433 0.00681307 -1 -1 -1 -1 34 1788 24 6.87369e+06 223581 618332. 2139.56 1.41 0.0576011 0.0474062 25762 151098 -1 1485 22 1074 1734 115497 27750 2.96596 2.96596 -108.426 -2.96596 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0113795 0.00977261 98 63 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 8.02 vpr 63.83 MiB -1 -1 0.17 21600 1 0.03 -1 -1 33444 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65360 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 25.2 MiB 3.47 808 7606 1773 5149 684 63.8 MiB 0.06 0.00 3.6704 -113.65 -3.6704 3.6704 0.88 0.000168811 0.000132835 0.00866371 0.00699783 -1 -1 -1 -1 34 2163 24 6.87369e+06 237555 618332. 2139.56 1.44 0.0553507 0.0455829 25762 151098 -1 1798 17 1055 1503 107396 25939 3.04261 3.04261 -113.8 -3.04261 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0115029 0.0100669 107 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 10.96 vpr 64.27 MiB -1 -1 0.19 21664 1 0.03 -1 -1 34060 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65812 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 25.6 MiB 5.00 843 12183 3137 7426 1620 64.3 MiB 0.10 0.00 4.18499 -131.201 -4.18499 4.18499 0.87 0.000213814 0.000170245 0.0152805 0.0123207 -1 -1 -1 -1 36 2671 41 6.87369e+06 321398 648988. 2245.63 2.72 0.088454 0.0737936 26050 158493 -1 2062 22 1974 3012 214596 52850 3.52851 3.52851 -128.635 -3.52851 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0143818 0.0124351 142 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 7.31 vpr 64.50 MiB -1 -1 0.19 21552 1 0.04 -1 -1 34076 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66052 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 25.6 MiB 3.13 910 14567 3971 8883 1713 64.5 MiB 0.11 0.00 4.81568 -140.871 -4.81568 4.81568 0.87 0.000222205 0.000176582 0.0162889 0.0130433 -1 -1 -1 -1 30 2435 22 6.87369e+06 433189 556674. 1926.21 0.97 0.0512967 0.0422141 25186 138497 -1 1932 21 1346 2179 134417 31060 3.80436 3.80436 -134.289 -3.80436 0 0 706193. 2443.58 0.30 0.05 0.12 -1 -1 0.30 0.0130764 0.011223 133 61 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 6.66 vpr 63.70 MiB -1 -1 0.17 21160 1 0.03 -1 -1 33644 -1 -1 19 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65232 29 32 248 215 1 142 80 17 17 289 -1 unnamed_device 25.3 MiB 2.65 780 9368 2426 5947 995 63.7 MiB 0.06 0.00 3.03342 -94.7718 -3.03342 3.03342 0.88 0.000161452 0.000127603 0.0119105 0.00959906 -1 -1 -1 -1 32 2012 24 6.87369e+06 265503 586450. 2029.24 0.90 0.0401974 0.033263 25474 144626 -1 1689 24 1222 1996 155355 35631 2.89726 2.89726 -98.8151 -2.89726 0 0 744469. 2576.02 0.28 0.05 0.13 -1 -1 0.28 0.0110788 0.00946006 94 27 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 11.05 vpr 64.35 MiB -1 -1 0.19 21532 1 0.03 -1 -1 33936 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65892 32 32 370 297 1 191 88 17 17 289 -1 unnamed_device 25.6 MiB 3.00 1098 16273 6888 8626 759 64.3 MiB 0.11 0.00 3.7276 -123.933 -3.7276 3.7276 0.88 0.000214107 0.000169295 0.0202248 0.016307 -1 -1 -1 -1 30 2688 22 6.87369e+06 335372 556674. 1926.21 4.85 0.0986908 0.0817035 25186 138497 -1 2213 19 1465 2579 155231 37186 3.02451 3.02451 -118.452 -3.02451 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.0129597 0.0112098 135 58 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 9.93 vpr 64.36 MiB -1 -1 0.18 21512 1 0.03 -1 -1 33664 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65900 32 32 338 269 1 204 85 17 17 289 -1 unnamed_device 25.4 MiB 5.21 1093 10315 2797 6648 870 64.4 MiB 0.08 0.00 4.18499 -137.545 -4.18499 4.18499 0.87 0.000201274 0.000159325 0.0134844 0.0108486 -1 -1 -1 -1 34 2792 22 6.87369e+06 293451 618332. 2139.56 1.52 0.0713528 0.0588687 25762 151098 -1 2280 21 1588 2312 178533 39644 3.21661 3.21661 -126.358 -3.21661 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0132114 0.0115057 140 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 7.28 vpr 64.02 MiB -1 -1 0.19 21436 1 0.03 -1 -1 33724 -1 -1 28 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65560 32 32 323 276 1 156 92 17 17 289 -1 unnamed_device 25.0 MiB 3.29 867 16445 5131 9259 2055 64.0 MiB 0.10 0.00 2.85191 -106.24 -2.85191 2.85191 0.88 0.000185716 0.000144756 0.0162943 0.0128716 -1 -1 -1 -1 30 1915 21 6.87369e+06 391268 556674. 1926.21 0.88 0.0466519 0.0380285 25186 138497 -1 1643 20 1156 1984 111966 26084 1.93252 1.93252 -95.358 -1.93252 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0112675 0.00965214 109 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 5.07 vpr 63.52 MiB -1 -1 0.17 21232 1 0.03 -1 -1 33852 -1 -1 14 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65048 30 32 222 206 1 117 76 17 17 289 -1 unnamed_device 25.0 MiB 1.07 548 9196 3125 4759 1312 63.5 MiB 0.05 0.00 2.38778 -80.5436 -2.38778 2.38778 0.91 0.0001446 0.000113739 0.00938499 0.00749858 -1 -1 -1 -1 30 1363 23 6.87369e+06 195634 556674. 1926.21 0.84 0.0329234 0.0269981 25186 138497 -1 1117 18 558 803 49612 11779 2.06882 2.06882 -84.1472 -2.06882 0 0 706193. 2443.58 0.28 0.03 0.12 -1 -1 0.28 0.00770304 0.00665885 71 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 8.78 vpr 63.76 MiB -1 -1 0.19 21464 1 0.03 -1 -1 33992 -1 -1 19 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65288 31 32 291 243 1 178 82 17 17 289 -1 unnamed_device 25.1 MiB 4.81 940 10406 3145 6506 755 63.8 MiB 0.08 0.00 5.00887 -149.776 -5.00887 5.00887 0.86 0.00017477 0.000138479 0.0117171 0.00940101 -1 -1 -1 -1 30 2234 22 6.87369e+06 265503 556674. 1926.21 0.89 0.0415066 0.0342714 25186 138497 -1 1813 18 871 1255 70103 17136 3.44261 3.44261 -132.101 -3.44261 0 0 706193. 2443.58 0.27 0.03 0.12 -1 -1 0.27 0.01055 0.00924348 116 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 5.59 vpr 64.50 MiB -1 -1 0.17 21616 1 0.03 -1 -1 34020 -1 -1 35 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66048 32 32 342 271 1 181 99 17 17 289 -1 unnamed_device 25.6 MiB 1.32 1070 16515 4276 10581 1658 64.5 MiB 0.11 0.00 4.18253 -136.483 -4.18253 4.18253 0.90 0.000218939 0.000173716 0.0182828 0.0146876 -1 -1 -1 -1 32 2544 27 6.87369e+06 489084 586450. 2029.24 0.99 0.0558548 0.0460373 25474 144626 -1 2155 19 1439 2152 176436 39627 3.6371 3.6371 -132.704 -3.6371 0 0 744469. 2576.02 0.29 0.05 0.13 -1 -1 0.29 0.0118721 0.0102692 137 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 8.54 vpr 64.51 MiB -1 -1 0.19 21848 1 0.03 -1 -1 33772 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66060 32 32 372 300 1 206 86 17 17 289 -1 unnamed_device 25.6 MiB 3.84 1162 10481 3111 6377 993 64.5 MiB 0.08 0.00 4.31025 -134.645 -4.31025 4.31025 0.88 0.000213009 0.000168271 0.0137125 0.0109948 -1 -1 -1 -1 34 2905 21 6.87369e+06 307425 618332. 2139.56 1.49 0.0695954 0.0570431 25762 151098 -1 2438 21 1694 2671 225483 49755 3.71046 3.71046 -133.687 -3.71046 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0147713 0.0128025 142 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 6.64 vpr 63.23 MiB -1 -1 0.18 21204 1 0.03 -1 -1 34132 -1 -1 17 26 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64744 26 32 190 182 1 108 75 17 17 289 -1 unnamed_device 24.8 MiB 2.51 352 9555 3939 4800 816 63.2 MiB 0.04 0.00 2.60613 -72.4296 -2.60613 2.60613 0.90 0.000126887 9.8819e-05 0.00886621 0.007068 -1 -1 -1 -1 30 1310 31 6.87369e+06 237555 556674. 1926.21 0.97 0.0326299 0.026786 25186 138497 -1 893 26 691 1039 62560 16373 2.27547 2.27547 -71.0198 -2.27547 0 0 706193. 2443.58 0.29 0.03 0.12 -1 -1 0.29 0.00941443 0.00807459 67 30 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 6.62 vpr 64.26 MiB -1 -1 0.18 21388 1 0.03 -1 -1 33940 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65800 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 25.3 MiB 1.80 1029 10263 2694 6379 1190 64.3 MiB 0.07 0.00 4.63338 -131.361 -4.63338 4.63338 0.89 0.000211893 0.000172216 0.0113791 0.0091156 -1 -1 -1 -1 34 2410 23 6.87369e+06 321398 618332. 2139.56 1.51 0.0621788 0.0513478 25762 151098 -1 2071 24 1472 2681 184281 41722 3.7964 3.7964 -126.792 -3.7964 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0124751 0.0107363 119 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 5.24 vpr 63.29 MiB -1 -1 0.16 21268 1 0.03 -1 -1 33536 -1 -1 12 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64804 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 24.7 MiB 0.87 415 9836 3792 4975 1069 63.3 MiB 0.04 0.00 2.58823 -76.4648 -2.58823 2.58823 0.87 0.000125104 9.7628e-05 0.00884574 0.00709388 -1 -1 -1 -1 34 1145 25 6.87369e+06 167686 618332. 2139.56 1.32 0.0430803 0.0355142 25762 151098 -1 885 16 568 649 42105 11703 1.91852 1.91852 -73.9266 -1.91852 0 0 787024. 2723.27 0.31 0.02 0.14 -1 -1 0.31 0.00643702 0.00563631 65 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 5.43 vpr 63.78 MiB -1 -1 0.17 21612 1 0.03 -1 -1 34048 -1 -1 30 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65312 32 32 300 245 1 169 94 17 17 289 -1 unnamed_device 25.1 MiB 1.40 1004 15430 3940 9856 1634 63.8 MiB 0.10 0.00 4.64012 -131.611 -4.64012 4.64012 0.86 0.000187172 0.000147852 0.0150625 0.0120806 -1 -1 -1 -1 32 2393 20 6.87369e+06 419215 586450. 2029.24 0.92 0.0460406 0.0380854 25474 144626 -1 1946 21 1053 1702 124165 28883 3.7541 3.7541 -122.23 -3.7541 0 0 744469. 2576.02 0.30 0.04 0.13 -1 -1 0.30 0.011511 0.00997266 120 24 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 6.04 vpr 63.88 MiB -1 -1 0.18 21460 1 0.04 -1 -1 34124 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65416 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.4 MiB 1.30 1073 17591 5429 9856 2306 63.9 MiB 0.12 0.00 3.58631 -114.754 -3.58631 3.58631 0.86 0.00018755 0.000147804 0.0171624 0.0136837 -1 -1 -1 -1 34 2373 20 6.87369e+06 433189 618332. 2139.56 1.46 0.0703845 0.0580749 25762 151098 -1 2028 19 1130 2110 126575 29957 2.92126 2.92126 -109.204 -2.92126 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0125005 0.0108608 130 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 7.29 vpr 64.48 MiB -1 -1 0.17 21576 1 0.03 -1 -1 33980 -1 -1 28 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66028 32 32 338 277 1 186 92 17 17 289 -1 unnamed_device 25.6 MiB 2.33 1004 15824 5333 7131 3360 64.5 MiB 0.09 0.00 4.74578 -132.154 -4.74578 4.74578 0.87 0.000200646 0.000157617 0.0170658 0.0136257 -1 -1 -1 -1 34 2495 24 6.87369e+06 391268 618332. 2139.56 1.77 0.0769595 0.0633788 25762 151098 -1 2006 23 1429 2568 184000 43320 3.81646 3.81646 -126.442 -3.81646 0 0 787024. 2723.27 0.33 0.06 0.14 -1 -1 0.33 0.0136491 0.0117499 131 50 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 6.03 vpr 63.66 MiB -1 -1 0.18 21464 1 0.03 -1 -1 33508 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65188 32 32 284 241 1 148 80 17 17 289 -1 unnamed_device 25.1 MiB 1.44 894 11776 3852 5929 1995 63.7 MiB 0.07 0.00 3.01142 -109.398 -3.01142 3.01142 0.86 0.000176946 0.00013899 0.0138676 0.0110936 -1 -1 -1 -1 34 1983 21 6.87369e+06 223581 618332. 2139.56 1.41 0.0634284 0.0524243 25762 151098 -1 1738 19 935 1554 112505 25755 2.78496 2.78496 -110.254 -2.78496 0 0 787024. 2723.27 0.33 0.04 0.13 -1 -1 0.33 0.0111853 0.00959634 99 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 5.74 vpr 63.60 MiB -1 -1 0.18 21244 1 0.03 -1 -1 33760 -1 -1 26 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65128 30 32 262 227 1 138 88 17 17 289 -1 unnamed_device 25.1 MiB 1.66 686 13738 3515 8195 2028 63.6 MiB 0.08 0.00 3.22907 -99.998 -3.22907 3.22907 0.87 0.000161894 0.000128535 0.0127397 0.0101941 -1 -1 -1 -1 32 1791 21 6.87369e+06 363320 586450. 2029.24 0.89 0.0389462 0.0320306 25474 144626 -1 1519 21 966 1551 138665 31664 3.03361 3.03361 -102.154 -3.03361 0 0 744469. 2576.02 0.29 0.04 0.13 -1 -1 0.29 0.0109926 0.00958498 97 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 5.60 vpr 63.57 MiB -1 -1 0.18 21204 1 0.03 -1 -1 33892 -1 -1 18 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65100 28 32 260 223 1 140 78 17 17 289 -1 unnamed_device 25.1 MiB 1.45 760 11698 3432 6949 1317 63.6 MiB 0.07 0.00 3.46101 -100.103 -3.46101 3.46101 0.87 0.000156832 0.000123268 0.0125783 0.0100956 -1 -1 -1 -1 32 2253 27 6.87369e+06 251529 586450. 2029.24 0.96 0.0411185 0.0337766 25474 144626 -1 1755 23 1204 2187 187934 42549 3.07756 3.07756 -104.944 -3.07756 0 0 744469. 2576.02 0.29 0.05 0.13 -1 -1 0.29 0.0111367 0.00951466 95 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 6.45 vpr 63.70 MiB -1 -1 0.17 21180 1 0.03 -1 -1 33836 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65224 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 25.2 MiB 1.93 766 11106 2788 6396 1922 63.7 MiB 0.07 0.00 3.84524 -116.411 -3.84524 3.84524 0.87 0.000197252 0.000146353 0.0117572 0.00943593 -1 -1 -1 -1 34 1889 24 6.87369e+06 237555 618332. 2139.56 1.39 0.0562714 0.0464698 25762 151098 -1 1686 21 1144 1880 136805 31506 2.90826 2.90826 -111.82 -2.90826 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0114918 0.00994396 101 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 5.48 vpr 63.86 MiB -1 -1 0.17 21540 1 0.03 -1 -1 33932 -1 -1 26 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65392 31 32 271 231 1 149 89 17 17 289 -1 unnamed_device 25.3 MiB 1.31 707 5831 1162 4318 351 63.9 MiB 0.05 0.00 3.52097 -104.958 -3.52097 3.52097 0.87 0.000171914 0.000134749 0.00644796 0.00517352 -1 -1 -1 -1 26 2268 25 6.87369e+06 363320 503264. 1741.40 1.14 0.0351103 0.0290267 24322 120374 -1 1908 24 1432 2460 211794 50111 3.34816 3.34816 -113.514 -3.34816 0 0 618332. 2139.56 0.24 0.06 0.11 -1 -1 0.24 0.0111884 0.00959163 102 30 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 7.85 vpr 63.75 MiB -1 -1 0.17 21568 1 0.03 -1 -1 33840 -1 -1 25 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65276 29 32 291 250 1 154 86 17 17 289 -1 unnamed_device 25.1 MiB 3.79 703 8591 2001 5849 741 63.7 MiB 0.06 0.00 3.08002 -96.8082 -3.08002 3.08002 0.87 0.000174136 0.000138121 0.00935411 0.00754332 -1 -1 -1 -1 32 2079 29 6.87369e+06 349346 586450. 2029.24 0.96 0.0418974 0.0346882 25474 144626 -1 1673 21 1230 1792 142577 34533 2.40547 2.40547 -97.8315 -2.40547 0 0 744469. 2576.02 0.29 0.04 0.13 -1 -1 0.29 0.011033 0.00946145 106 54 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 8.48 vpr 64.49 MiB -1 -1 0.18 21608 1 0.03 -1 -1 34092 -1 -1 40 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66040 32 32 367 282 1 201 104 17 17 289 -1 unnamed_device 25.7 MiB 4.25 1195 18160 4666 11113 2381 64.5 MiB 0.14 0.00 4.16289 -124.14 -4.16289 4.16289 0.86 0.000229536 0.000180967 0.0181676 0.0144561 -1 -1 -1 -1 32 3261 23 6.87369e+06 558954 586450. 2029.24 1.01 0.0561579 0.0461033 25474 144626 -1 2610 22 1638 3229 246794 56458 4.1243 4.1243 -129.916 -4.1243 0 0 744469. 2576.02 0.29 0.07 0.13 -1 -1 0.29 0.0152047 0.0130998 156 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 8.93 vpr 64.48 MiB -1 -1 0.19 21620 1 0.03 -1 -1 33872 -1 -1 38 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66028 32 32 391 311 1 194 102 17 17 289 -1 unnamed_device 25.7 MiB 4.08 1033 17476 4934 10272 2270 64.5 MiB 0.12 0.00 3.9888 -134.401 -3.9888 3.9888 0.87 0.000229321 0.000182431 0.0182869 0.0145763 -1 -1 -1 -1 34 2352 21 6.87369e+06 531006 618332. 2139.56 1.53 0.0844112 0.0689581 25762 151098 -1 2021 19 1552 2502 154132 36441 3.07746 3.07746 -120.734 -3.07746 0 0 787024. 2723.27 0.32 0.05 0.13 -1 -1 0.32 0.0130941 0.0113113 148 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 8.62 vpr 63.88 MiB -1 -1 0.19 21436 1 0.03 -1 -1 33496 -1 -1 18 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65412 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 25.2 MiB 3.89 827 12506 3647 6930 1929 63.9 MiB 0.08 0.00 4.12999 -122.522 -4.12999 4.12999 0.88 0.000173881 0.000136756 0.0144355 0.0116593 -1 -1 -1 -1 34 2129 21 6.87369e+06 251529 618332. 2139.56 1.50 0.0606221 0.0498021 25762 151098 -1 1834 20 1281 1931 143544 34065 3.45621 3.45621 -119.844 -3.45621 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0109344 0.00949315 109 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 8.56 vpr 64.23 MiB -1 -1 0.17 21420 1 0.03 -1 -1 33908 -1 -1 26 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65772 31 32 370 297 1 187 89 17 17 289 -1 unnamed_device 25.5 MiB 3.52 986 12959 4044 6276 2639 64.2 MiB 0.09 0.00 3.77586 -121.787 -3.77586 3.77586 0.91 0.000210073 0.000165408 0.0155697 0.0124705 -1 -1 -1 -1 34 2740 27 6.87369e+06 363320 618332. 2139.56 1.70 0.0819817 0.0673999 25762 151098 -1 2242 22 1647 2835 204711 47759 3.12956 3.12956 -121.716 -3.12956 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0155844 0.0134228 136 61 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 11.03 vpr 64.66 MiB -1 -1 0.20 21684 1 0.03 -1 -1 33864 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66208 31 32 377 302 1 237 88 17 17 289 -1 unnamed_device 25.8 MiB 5.80 1219 10033 2675 6590 768 64.7 MiB 0.09 0.00 5.67608 -170.361 -5.67608 5.67608 0.85 0.000215035 0.000170419 0.0128736 0.0104017 -1 -1 -1 -1 34 3445 48 6.87369e+06 349346 618332. 2139.56 1.98 0.0848909 0.0694761 25762 151098 -1 2683 23 2323 3428 293108 64897 4.86379 4.86379 -170.23 -4.86379 0 0 787024. 2723.27 0.32 0.08 0.14 -1 -1 0.32 0.015249 0.0131515 159 64 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 10.35 vpr 64.50 MiB -1 -1 0.20 21544 1 0.03 -1 -1 33864 -1 -1 27 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66044 31 32 383 305 1 212 90 17 17 289 -1 unnamed_device 25.8 MiB 5.58 920 11145 2994 7135 1016 64.5 MiB 0.10 0.00 5.24874 -155.932 -5.24874 5.24874 0.86 0.00022297 0.000174611 0.0140711 0.011303 -1 -1 -1 -1 34 2724 30 6.87369e+06 377294 618332. 2139.56 1.58 0.07622 0.0624516 25762 151098 -1 2074 21 1789 2709 186218 47251 4.63715 4.63715 -156.683 -4.63715 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0148903 0.0129278 152 64 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 8.04 vpr 64.29 MiB -1 -1 0.18 21348 1 0.03 -1 -1 33760 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65832 31 32 352 285 1 186 88 17 17 289 -1 unnamed_device 25.4 MiB 3.70 890 9058 2302 6004 752 64.3 MiB 0.08 0.00 4.13563 -126.898 -4.13563 4.13563 0.96 0.000204981 0.000162576 0.0121584 0.00987331 -1 -1 -1 -1 32 3034 27 6.87369e+06 349346 586450. 2029.24 1.04 0.0504547 0.0418583 25474 144626 -1 2241 19 1631 2733 219947 53339 3.29421 3.29421 -122.325 -3.29421 0 0 744469. 2576.02 0.29 0.06 0.13 -1 -1 0.29 0.0121847 0.0105805 131 55 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 8.31 vpr 63.91 MiB -1 -1 0.18 21600 1 0.03 -1 -1 34120 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 32 32 291 242 1 183 84 17 17 289 -1 unnamed_device 25.2 MiB 3.66 1007 14907 5613 7321 1973 63.9 MiB 0.10 0.00 4.35225 -119.373 -4.35225 4.35225 0.86 0.000180219 0.000142392 0.0162985 0.0129916 -1 -1 -1 -1 34 2610 26 6.87369e+06 279477 618332. 2139.56 1.51 0.068598 0.0563448 25762 151098 -1 2183 24 1390 2007 154868 35799 3.95806 3.95806 -125.287 -3.95806 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0124195 0.0106856 119 27 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 8.68 vpr 64.83 MiB -1 -1 0.22 21816 1 0.03 -1 -1 34136 -1 -1 38 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66388 32 32 457 356 1 225 102 17 17 289 -1 unnamed_device 26.0 MiB 4.21 1243 16762 4798 10410 1554 64.8 MiB 0.14 0.00 4.91341 -161.214 -4.91341 4.91341 0.87 0.000279995 0.000224746 0.0205663 0.0165792 -1 -1 -1 -1 32 3317 36 6.87369e+06 531006 586450. 2029.24 1.13 0.0731824 0.06056 25474 144626 -1 2723 16 1683 2742 221851 50536 4.03776 4.03776 -154.055 -4.03776 0 0 744469. 2576.02 0.29 0.06 0.13 -1 -1 0.29 0.0149162 0.0130734 173 87 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 6.52 vpr 63.63 MiB -1 -1 0.17 21204 1 0.03 -1 -1 33696 -1 -1 22 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65156 31 32 261 225 1 148 85 17 17 289 -1 unnamed_device 25.1 MiB 2.55 672 7339 1576 4955 808 63.6 MiB 0.05 0.00 3.55895 -103.04 -3.55895 3.55895 0.86 0.000196884 0.000155301 0.00821501 0.00658229 -1 -1 -1 -1 32 1962 22 6.87369e+06 307425 586450. 2029.24 0.94 0.0350549 0.0289551 25474 144626 -1 1649 22 1252 2135 162207 38995 2.88796 2.88796 -104.481 -2.88796 0 0 744469. 2576.02 0.28 0.05 0.13 -1 -1 0.28 0.0102635 0.00880367 96 28 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 7.94 vpr 64.35 MiB -1 -1 0.19 21664 1 0.03 -1 -1 33944 -1 -1 23 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65896 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 25.5 MiB 3.84 1158 13127 3358 8192 1577 64.4 MiB 0.10 0.00 4.80948 -147.413 -4.80948 4.80948 0.87 0.000204709 0.000158017 0.0158426 0.0124126 -1 -1 -1 -1 30 3059 23 6.87369e+06 321398 556674. 1926.21 0.98 0.0516685 0.0423348 25186 138497 -1 2479 20 1353 2038 146343 31929 3.92376 3.92376 -138.461 -3.92376 0 0 706193. 2443.58 0.27 0.05 0.12 -1 -1 0.27 0.0125177 0.0108805 140 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 6.85 vpr 64.09 MiB -1 -1 0.18 21568 1 0.04 -1 -1 34064 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65632 32 32 349 284 1 183 96 17 17 289 -1 unnamed_device 25.3 MiB 2.56 1064 11703 2949 7949 805 64.1 MiB 0.09 0.00 3.7235 -118.87 -3.7235 3.7235 0.89 0.000214498 0.000170261 0.0133162 0.0106671 -1 -1 -1 -1 28 2710 26 6.87369e+06 447163 531479. 1839.03 1.11 0.049963 0.0411625 24610 126494 -1 2453 23 1578 2751 231219 51613 3.38641 3.38641 -125.641 -3.38641 0 0 648988. 2245.63 0.26 0.06 0.11 -1 -1 0.26 0.0136939 0.0117776 132 53 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 5.65 vpr 63.76 MiB -1 -1 0.17 21504 1 0.03 -1 -1 33824 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65292 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 25.1 MiB 1.47 984 6120 1199 4615 306 63.8 MiB 0.06 0.00 4.14049 -128.002 -4.14049 4.14049 0.93 0.000183612 0.000145389 0.00747757 0.00607191 -1 -1 -1 -1 32 2790 25 6.87369e+06 363320 586450. 2029.24 1.01 0.0404815 0.0338292 25474 144626 -1 2197 22 1532 2815 214696 50708 3.5868 3.5868 -125.637 -3.5868 0 0 744469. 2576.02 0.28 0.06 0.13 -1 -1 0.28 0.0119646 0.010365 123 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 9.12 vpr 64.24 MiB -1 -1 0.20 21456 1 0.03 -1 -1 33960 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65780 32 32 353 287 1 203 86 17 17 289 -1 unnamed_device 25.3 MiB 4.18 1135 13883 3973 8209 1701 64.2 MiB 0.10 0.00 4.95345 -149.04 -4.95345 4.95345 0.86 0.000206099 0.000163198 0.0172178 0.0138493 -1 -1 -1 -1 34 2680 19 6.87369e+06 307425 618332. 2139.56 1.70 0.0813986 0.0676801 25762 151098 -1 2300 18 1333 1762 130570 29977 3.8404 3.8404 -137.578 -3.8404 0 0 787024. 2723.27 0.31 0.04 0.14 -1 -1 0.31 0.0121718 0.0106346 136 55 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 9.00 vpr 64.25 MiB -1 -1 0.21 21476 1 0.03 -1 -1 33828 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65792 32 32 361 291 1 189 96 17 17 289 -1 unnamed_device 25.4 MiB 3.77 887 17835 5720 8884 3231 64.2 MiB 0.12 0.00 3.78934 -120.114 -3.78934 3.78934 0.89 0.000206801 0.000163232 0.0201687 0.0161617 -1 -1 -1 -1 36 2335 23 6.87369e+06 447163 648988. 2245.63 1.92 0.0803492 0.0659414 26050 158493 -1 1838 16 1140 2130 129087 32042 3.31711 3.31711 -116.549 -3.31711 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0119392 0.010366 136 55 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 8.78 vpr 64.39 MiB -1 -1 0.19 21684 1 0.03 -1 -1 33900 -1 -1 35 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65940 32 32 382 305 1 193 99 17 17 289 -1 unnamed_device 25.4 MiB 3.86 937 16971 4971 8753 3247 64.4 MiB 0.12 0.00 4.12873 -132.442 -4.12873 4.12873 0.85 0.000219084 0.000173316 0.0182127 0.0145954 -1 -1 -1 -1 34 2718 26 6.87369e+06 489084 618332. 2139.56 1.73 0.0796005 0.065304 25762 151098 -1 2066 22 1668 2690 198901 47188 3.31716 3.31716 -120.864 -3.31716 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0142968 0.0122603 144 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 5.43 vpr 63.89 MiB -1 -1 0.19 21520 1 0.03 -1 -1 33924 -1 -1 33 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65420 32 32 306 248 1 170 97 17 17 289 -1 unnamed_device 25.2 MiB 1.30 950 11863 2938 8144 781 63.9 MiB 0.09 0.00 4.28779 -126.336 -4.28779 4.28779 0.86 0.000185384 0.000146661 0.011894 0.00957567 -1 -1 -1 -1 32 2445 24 6.87369e+06 461137 586450. 2029.24 0.95 0.0440985 0.036476 25474 144626 -1 2085 21 1314 2365 183655 42268 3.6921 3.6921 -124.78 -3.6921 0 0 744469. 2576.02 0.28 0.05 0.13 -1 -1 0.28 0.0115595 0.00997995 124 24 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 8.40 vpr 64.02 MiB -1 -1 0.18 21564 1 0.04 -1 -1 34044 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65556 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 25.5 MiB 3.71 982 14072 3725 8207 2140 64.0 MiB 0.10 0.00 4.76758 -137.763 -4.76758 4.76758 0.86 0.000192846 0.000153494 0.0160173 0.0128907 -1 -1 -1 -1 34 2626 24 6.87369e+06 307425 618332. 2139.56 1.48 0.0691839 0.0568788 25762 151098 -1 2196 25 1842 2696 197778 45492 3.8247 3.8247 -129.883 -3.8247 0 0 787024. 2723.27 0.29 0.06 0.13 -1 -1 0.29 0.0159108 0.0138456 135 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 9.67 vpr 64.70 MiB -1 -1 0.20 21812 1 0.03 -1 -1 33680 -1 -1 22 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66256 31 32 373 299 1 204 85 17 17 289 -1 unnamed_device 25.7 MiB 3.70 1107 16267 4807 9259 2201 64.7 MiB 0.12 0.00 4.75448 -144.408 -4.75448 4.75448 0.87 0.000211369 0.000166651 0.0205508 0.0164032 -1 -1 -1 -1 34 3196 22 6.87369e+06 307425 618332. 2139.56 2.59 0.0918204 0.0765512 25762 151098 -1 2511 24 1945 3198 270338 61028 4.16936 4.16936 -144.077 -4.16936 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.015381 0.0132659 141 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 8.73 vpr 64.48 MiB -1 -1 0.20 21500 1 0.03 -1 -1 34192 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66032 32 32 387 315 1 194 85 17 17 289 -1 unnamed_device 25.7 MiB 3.75 1063 9385 2579 6271 535 64.5 MiB 0.08 0.00 4.4085 -135.318 -4.4085 4.4085 0.88 0.000246281 0.000199372 0.0134671 0.011054 -1 -1 -1 -1 34 3018 23 6.87369e+06 293451 618332. 2139.56 1.63 0.0766212 0.0634457 25762 151098 -1 2545 21 1704 3056 247319 56220 3.8237 3.8237 -136.367 -3.8237 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0139007 0.0119758 135 77 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 5.74 vpr 63.55 MiB -1 -1 0.18 21116 1 0.03 -1 -1 34176 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65072 32 32 251 219 1 141 86 17 17 289 -1 unnamed_device 24.9 MiB 1.23 618 7457 1687 5261 509 63.5 MiB 0.05 0.00 3.47695 -100.633 -3.47695 3.47695 0.87 0.000185731 0.000151293 0.00808224 0.00656838 -1 -1 -1 -1 28 1979 29 6.87369e+06 307425 531479. 1839.03 1.40 0.0379984 0.0315949 24610 126494 -1 1725 19 1119 1757 142622 35883 2.81396 2.81396 -104.891 -2.81396 0 0 648988. 2245.63 0.26 0.04 0.11 -1 -1 0.26 0.00940755 0.00814981 93 23 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 7.76 vpr 64.27 MiB -1 -1 0.21 21572 1 0.03 -1 -1 34144 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65812 32 32 341 285 1 188 82 17 17 289 -1 unnamed_device 25.6 MiB 2.84 945 13432 4185 7036 2211 64.3 MiB 0.09 0.00 3.78256 -132.968 -3.78256 3.78256 0.87 0.000200469 0.00015811 0.0173695 0.0139996 -1 -1 -1 -1 34 2610 20 6.87369e+06 251529 618332. 2139.56 1.65 0.0696586 0.0570374 25762 151098 -1 2258 24 1858 2665 241814 53754 3.4618 3.4618 -134.64 -3.4618 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0135124 0.0116071 124 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 10.03 vpr 64.50 MiB -1 -1 0.20 21784 1 0.03 -1 -1 33924 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66044 32 32 387 293 1 236 88 17 17 289 -1 unnamed_device 25.6 MiB 4.25 1267 11983 3302 6304 2377 64.5 MiB 0.11 0.00 5.48208 -158.647 -5.48208 5.48208 0.88 0.00022477 0.00017763 0.01675 0.0136925 -1 -1 -1 -1 34 3774 46 6.87369e+06 335372 618332. 2139.56 2.50 0.103216 0.0863165 25762 151098 -1 2816 20 2121 3257 267636 63434 4.8824 4.8824 -161.854 -4.8824 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0146874 0.0127984 166 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 8.06 vpr 64.47 MiB -1 -1 0.17 21524 1 0.03 -1 -1 33924 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66016 32 32 340 270 1 185 98 17 17 289 -1 unnamed_device 25.5 MiB 3.93 1044 14048 3802 8919 1327 64.5 MiB 0.10 0.00 4.31147 -138.674 -4.31147 4.31147 0.86 0.000211123 0.000169083 0.0145409 0.0116653 -1 -1 -1 -1 28 2441 22 6.87369e+06 475111 531479. 1839.03 0.95 0.0487379 0.040258 24610 126494 -1 2295 23 1620 2678 202128 45280 3.09026 3.09026 -127.972 -3.09026 0 0 648988. 2245.63 0.26 0.06 0.11 -1 -1 0.26 0.0139504 0.0120348 137 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 5.66 vpr 63.66 MiB -1 -1 0.19 21456 1 0.03 -1 -1 34160 -1 -1 25 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65184 30 32 278 235 1 150 87 17 17 289 -1 unnamed_device 25.1 MiB 1.02 638 14295 3167 9759 1369 63.7 MiB 0.08 0.00 3.573 -107.747 -3.573 3.573 0.91 0.000184091 0.000139265 0.0156394 0.0125036 -1 -1 -1 -1 28 2093 49 6.87369e+06 349346 531479. 1839.03 1.54 0.0565213 0.0467189 24610 126494 -1 1708 23 1291 2042 156044 38519 3.09756 3.09756 -114.089 -3.09756 0 0 648988. 2245.63 0.26 0.05 0.11 -1 -1 0.26 0.011828 0.0101509 104 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 12.81 vpr 64.70 MiB -1 -1 0.20 21900 1 0.03 -1 -1 33696 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66252 32 32 431 332 1 239 89 17 17 289 -1 unnamed_device 25.7 MiB 7.23 1345 13553 3739 8295 1519 64.7 MiB 0.11 0.00 5.88501 -174.993 -5.88501 5.88501 0.86 0.000245323 0.00019343 0.0187615 0.015055 -1 -1 -1 -1 36 3244 24 6.87369e+06 349346 648988. 2245.63 2.31 0.0880204 0.0724885 26050 158493 -1 2821 22 2283 3476 317566 69187 4.6476 4.6476 -163.711 -4.6476 0 0 828058. 2865.25 0.31 0.08 0.14 -1 -1 0.31 0.0167235 0.0144216 171 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 8.17 vpr 64.32 MiB -1 -1 0.21 21516 1 0.03 -1 -1 34060 -1 -1 35 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65864 32 32 336 268 1 182 99 17 17 289 -1 unnamed_device 25.5 MiB 3.96 991 19023 5843 10714 2466 64.3 MiB 0.13 0.00 4.60102 -140.95 -4.60102 4.60102 0.89 0.000208127 0.000164665 0.0201842 0.0159919 -1 -1 -1 -1 28 2347 24 6.87369e+06 489084 531479. 1839.03 0.96 0.0545055 0.0445963 24610 126494 -1 2160 21 1572 2552 174583 41140 3.7901 3.7901 -135.578 -3.7901 0 0 648988. 2245.63 0.26 0.05 0.11 -1 -1 0.26 0.0125939 0.0108846 135 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 5.59 vpr 63.68 MiB -1 -1 0.16 21152 1 0.03 -1 -1 34144 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65212 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 25.0 MiB 1.13 773 11398 3057 7257 1084 63.7 MiB 0.07 0.00 3.5954 -103.22 -3.5954 3.5954 0.87 0.000155596 0.000123173 0.0101212 0.00811918 -1 -1 -1 -1 34 1951 23 6.87369e+06 335372 618332. 2139.56 1.38 0.0513009 0.0422595 25762 151098 -1 1568 17 903 1512 100341 24273 2.92426 2.92426 -99.3986 -2.92426 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.00838239 0.00726734 94 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 6.97 vpr 64.38 MiB -1 -1 0.19 21496 1 0.03 -1 -1 33860 -1 -1 37 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65928 32 32 349 273 1 191 101 17 17 289 -1 unnamed_device 25.4 MiB 2.88 1100 15611 4394 9181 2036 64.4 MiB 0.11 0.00 5.24422 -137.452 -5.24422 5.24422 0.86 0.000250968 0.000201365 0.0165942 0.0132878 -1 -1 -1 -1 30 2517 25 6.87369e+06 517032 556674. 1926.21 0.94 0.0525168 0.0431364 25186 138497 -1 2066 19 1062 2224 129406 29709 4.11965 4.11965 -128.758 -4.11965 0 0 706193. 2443.58 0.27 0.04 0.12 -1 -1 0.27 0.0120706 0.0104877 145 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 5.56 vpr 63.54 MiB -1 -1 0.18 21192 1 0.03 -1 -1 34100 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65064 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 25.1 MiB 1.56 806 14303 4874 7080 2349 63.5 MiB 0.08 0.00 3.43775 -108.88 -3.43775 3.43775 0.86 0.00016034 0.000126234 0.0141108 0.0112364 -1 -1 -1 -1 30 1962 20 6.87369e+06 265503 556674. 1926.21 0.91 0.0402696 0.0330655 25186 138497 -1 1661 19 1041 1865 115410 26078 2.85796 2.85796 -108.295 -2.85796 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0102036 0.00892491 98 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 7.13 vpr 63.88 MiB -1 -1 0.19 21544 1 0.04 -1 -1 34208 -1 -1 34 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65416 30 32 278 235 1 151 96 17 17 289 -1 unnamed_device 25.3 MiB 3.05 844 16959 4603 10486 1870 63.9 MiB 0.10 0.00 3.87934 -115.346 -3.87934 3.87934 0.87 0.000201069 0.000136921 0.0149077 0.011701 -1 -1 -1 -1 28 1981 18 6.87369e+06 475111 531479. 1839.03 0.89 0.0424226 0.0346479 24610 126494 -1 1929 24 1355 2462 170836 39582 3.10226 3.10226 -115.695 -3.10226 0 0 648988. 2245.63 0.25 0.05 0.12 -1 -1 0.25 0.0112471 0.00959406 109 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 10.29 vpr 64.10 MiB -1 -1 0.19 21468 1 0.03 -1 -1 34008 -1 -1 24 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65640 29 32 355 287 1 200 85 17 17 289 -1 unnamed_device 25.3 MiB 5.40 1009 14221 4548 7033 2640 64.1 MiB 0.10 0.00 4.12353 -122.981 -4.12353 4.12353 0.89 0.000201607 0.000159274 0.0180321 0.0144694 -1 -1 -1 -1 34 2595 24 6.87369e+06 335372 618332. 2139.56 1.54 0.0737166 0.0601646 25762 151098 -1 2283 23 1888 2879 220409 50981 3.35291 3.35291 -119.962 -3.35291 0 0 787024. 2723.27 0.29 0.06 0.13 -1 -1 0.29 0.0138334 0.0119034 138 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 7.78 vpr 64.16 MiB -1 -1 0.20 21620 1 0.03 -1 -1 33716 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65696 32 32 358 289 1 183 90 17 17 289 -1 unnamed_device 25.3 MiB 3.05 979 14964 4132 9262 1570 64.2 MiB 0.11 0.00 4.41935 -144.511 -4.41935 4.41935 0.89 0.000223373 0.000177032 0.0196241 0.0159406 -1 -1 -1 -1 34 2262 22 6.87369e+06 363320 618332. 2139.56 1.49 0.078053 0.0644658 25762 151098 -1 1874 21 1397 2097 141545 33476 3.96296 3.96296 -136.55 -3.96296 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0130412 0.0112637 132 54 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 7.50 vpr 64.34 MiB -1 -1 0.19 21424 1 0.03 -1 -1 33540 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65884 32 32 353 285 1 188 91 17 17 289 -1 unnamed_device 25.5 MiB 3.20 1060 12943 3369 8335 1239 64.3 MiB 0.10 0.00 4.82683 -144.882 -4.82683 4.82683 0.87 0.000231243 0.00018828 0.0153243 0.0123569 -1 -1 -1 -1 32 2771 40 6.87369e+06 377294 586450. 2029.24 1.11 0.0631507 0.0526685 25474 144626 -1 2341 21 1610 2888 258610 57275 3.91576 3.91576 -141.496 -3.91576 0 0 744469. 2576.02 0.30 0.07 0.13 -1 -1 0.30 0.0133944 0.0115883 133 51 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 9.43 vpr 63.88 MiB -1 -1 0.16 21484 1 0.03 -1 -1 33696 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65416 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 25.3 MiB 4.86 898 11233 2898 7220 1115 63.9 MiB 0.08 0.00 4.78272 -135.094 -4.78272 4.78272 0.89 0.000201272 0.000163274 0.0138391 0.0111807 -1 -1 -1 -1 34 2238 25 6.87369e+06 209608 618332. 2139.56 1.42 0.060307 0.0495095 25762 151098 -1 1856 19 949 1318 98854 22515 3.2292 3.2292 -118.065 -3.2292 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0104533 0.00909971 103 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 8.41 vpr 64.12 MiB -1 -1 0.18 21572 1 0.03 -1 -1 33708 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65660 31 32 319 272 1 176 80 17 17 289 -1 unnamed_device 25.4 MiB 3.59 852 9712 2603 6178 931 64.1 MiB 0.07 0.00 3.7214 -119.25 -3.7214 3.7214 0.86 0.000183259 0.000144617 0.0122168 0.00983741 -1 -1 -1 -1 34 2440 41 6.87369e+06 237555 618332. 2139.56 1.60 0.0696976 0.0571619 25762 151098 -1 1987 23 1447 2180 181291 41758 3.2835 3.2835 -120.806 -3.2835 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0142525 0.0123797 114 64 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 7.19 vpr 64.22 MiB -1 -1 0.19 21500 1 0.03 -1 -1 33836 -1 -1 34 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65760 30 32 329 273 1 167 96 17 17 289 -1 unnamed_device 25.4 MiB 3.11 924 12798 3409 8384 1005 64.2 MiB 0.09 0.00 3.48905 -102.127 -3.48905 3.48905 0.89 0.000191759 0.000151781 0.0130683 0.0104301 -1 -1 -1 -1 28 2301 21 6.87369e+06 475111 531479. 1839.03 0.94 0.047146 0.0389255 24610 126494 -1 2051 21 1207 2406 163683 38026 2.93926 2.93926 -104.1 -2.93926 0 0 648988. 2245.63 0.26 0.05 0.12 -1 -1 0.26 0.0119777 0.0103086 124 57 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 6.72 vpr 64.05 MiB -1 -1 0.18 21588 1 0.03 -1 -1 34036 -1 -1 35 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65584 28 32 277 229 1 156 95 17 17 289 -1 unnamed_device 25.2 MiB 2.30 881 17159 5393 9230 2536 64.0 MiB 0.11 0.00 4.16979 -108.643 -4.16979 4.16979 0.88 0.000174671 0.000138171 0.0161798 0.0128686 -1 -1 -1 -1 26 2324 34 6.87369e+06 489084 503264. 1741.40 1.29 0.0484731 0.0396303 24322 120374 -1 2062 24 1373 2548 261583 55392 3.966 3.966 -116.28 -3.966 0 0 618332. 2139.56 0.24 0.07 0.11 -1 -1 0.24 0.0120659 0.0103096 117 27 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 9.43 vpr 63.98 MiB -1 -1 0.18 21492 1 0.03 -1 -1 34108 -1 -1 17 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65516 30 32 317 269 1 156 79 17 17 289 -1 unnamed_device 25.1 MiB 3.93 809 13092 4770 6917 1405 64.0 MiB 0.08 0.00 3.85608 -118.614 -3.85608 3.85608 0.86 0.000183817 0.000144609 0.0156417 0.0124822 -1 -1 -1 -1 30 2084 24 6.87369e+06 237555 556674. 1926.21 2.40 0.0755705 0.0617202 25186 138497 -1 1731 18 1161 2035 128861 29834 2.83766 2.83766 -112.297 -2.83766 0 0 706193. 2443.58 0.27 0.04 0.12 -1 -1 0.27 0.0107421 0.00921368 105 63 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 8.69 vpr 64.11 MiB -1 -1 0.19 21404 1 0.03 -1 -1 33800 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65644 32 32 335 282 1 189 81 17 17 289 -1 unnamed_device 25.3 MiB 3.88 1036 10756 3215 6482 1059 64.1 MiB 0.08 0.00 3.6884 -127.691 -3.6884 3.6884 0.86 0.000193828 0.000152936 0.0137165 0.0110409 -1 -1 -1 -1 34 2701 19 6.87369e+06 237555 618332. 2139.56 1.59 0.0657353 0.0539136 25762 151098 -1 2296 20 1527 2272 197567 44265 3.28591 3.28591 -130.033 -3.28591 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0119938 0.010373 122 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 5.63 vpr 64.02 MiB -1 -1 0.19 21568 1 0.03 -1 -1 34084 -1 -1 31 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65560 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 25.3 MiB 1.36 1012 10744 2561 7474 709 64.0 MiB 0.08 0.00 4.55512 -132.128 -4.55512 4.55512 0.89 0.000186951 0.00014754 0.0111891 0.00879744 -1 -1 -1 -1 30 2480 24 6.87369e+06 433189 556674. 1926.21 1.04 0.0428633 0.0353869 25186 138497 -1 2052 22 1079 2002 143467 30775 3.3592 3.3592 -119.088 -3.3592 0 0 706193. 2443.58 0.28 0.05 0.14 -1 -1 0.28 0.0152971 0.0133835 129 4 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 10.53 vpr 64.43 MiB -1 -1 0.19 21692 1 0.03 -1 -1 33520 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65980 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 25.5 MiB 4.85 991 16023 4565 8930 2528 64.4 MiB 0.12 0.00 4.82048 -149.465 -4.82048 4.82048 0.87 0.000205949 0.00016229 0.0192859 0.0155108 -1 -1 -1 -1 34 3392 28 6.87369e+06 321398 618332. 2139.56 2.35 0.0834287 0.0684184 25762 151098 -1 2503 23 2181 3291 278560 65633 4.13006 4.13006 -148.675 -4.13006 0 0 787024. 2723.27 0.31 0.08 0.13 -1 -1 0.31 0.0164201 0.0143193 147 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 9.56 vpr 64.70 MiB -1 -1 0.19 21404 1 0.03 -1 -1 33788 -1 -1 36 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66252 32 32 385 308 1 196 100 17 17 289 -1 unnamed_device 25.7 MiB 5.10 978 12164 2681 7945 1538 64.7 MiB 0.08 0.00 5.314 -155.075 -5.314 5.314 0.86 0.000230005 0.000178822 0.0134726 0.0106731 -1 -1 -1 -1 30 2902 25 6.87369e+06 503058 556674. 1926.21 1.32 0.0523121 0.0428717 25186 138497 -1 2004 20 1329 2376 136001 33949 4.02235 4.02235 -142.136 -4.02235 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.013369 0.0115866 147 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 10.10 vpr 64.59 MiB -1 -1 0.19 21452 1 0.03 -1 -1 33884 -1 -1 41 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66144 32 32 387 309 1 192 105 17 17 289 -1 unnamed_device 25.6 MiB 3.97 1071 14431 4037 9367 1027 64.6 MiB 0.12 0.00 4.54908 -143.401 -4.54908 4.54908 0.90 0.000241624 0.000192231 0.0180896 0.0138319 -1 -1 -1 -1 26 3450 43 6.87369e+06 572927 503264. 1741.40 2.90 0.0690116 0.056194 24322 120374 -1 2750 24 2085 3776 389607 85971 4.2086 4.2086 -148.799 -4.2086 0 0 618332. 2139.56 0.24 0.09 0.10 -1 -1 0.24 0.0149508 0.012786 148 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 7.97 vpr 63.89 MiB -1 -1 0.17 21512 1 0.03 -1 -1 33688 -1 -1 17 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65420 30 32 272 232 1 151 79 17 17 289 -1 unnamed_device 25.3 MiB 3.31 839 13261 4339 6686 2236 63.9 MiB 0.09 0.00 3.89188 -120.186 -3.89188 3.89188 0.90 0.000173703 0.000137086 0.0158339 0.01274 -1 -1 -1 -1 34 2030 23 6.87369e+06 237555 618332. 2139.56 1.48 0.0652139 0.054027 25762 151098 -1 1732 20 1092 1954 136878 32517 2.84696 2.84696 -109.404 -2.84696 0 0 787024. 2723.27 0.28 0.04 0.12 -1 -1 0.28 0.00962702 0.00834271 99 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 9.73 vpr 64.27 MiB -1 -1 0.20 21384 1 0.03 -1 -1 33940 -1 -1 22 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65816 30 32 375 299 1 188 84 17 17 289 -1 unnamed_device 25.3 MiB 4.93 1044 13260 3550 8119 1591 64.3 MiB 0.11 0.00 4.61482 -143.823 -4.61482 4.61482 0.88 0.000218949 0.000172608 0.0188824 0.0152717 -1 -1 -1 -1 34 2567 22 6.87369e+06 307425 618332. 2139.56 1.56 0.078298 0.0643329 25762 151098 -1 2198 21 1641 2564 203753 44634 3.8886 3.8886 -139.378 -3.8886 0 0 787024. 2723.27 0.29 0.06 0.13 -1 -1 0.29 0.0130224 0.0112781 136 63 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 8.08 vpr 64.29 MiB -1 -1 0.18 21556 1 0.03 -1 -1 33796 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65828 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 25.4 MiB 3.29 1037 9111 2097 6458 556 64.3 MiB 0.07 0.00 5.22106 -152.651 -5.22106 5.22106 0.87 0.000210716 0.000167583 0.0113397 0.0091687 -1 -1 -1 -1 34 2776 30 6.87369e+06 321398 618332. 2139.56 1.63 0.0701968 0.0577076 25762 151098 -1 2362 23 1709 2786 230735 51614 4.07176 4.07176 -144.258 -4.07176 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.014083 0.0121261 140 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 9.07 vpr 64.36 MiB -1 -1 0.21 21452 1 0.03 -1 -1 33920 -1 -1 28 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65904 31 32 340 275 1 201 91 17 17 289 -1 unnamed_device 25.5 MiB 3.99 1053 16207 5040 8570 2597 64.4 MiB 0.12 0.00 5.4124 -149.797 -5.4124 5.4124 0.87 0.000199347 0.000157444 0.0181351 0.0146101 -1 -1 -1 -1 34 2846 23 6.87369e+06 391268 618332. 2139.56 1.87 0.0772232 0.0636806 25762 151098 -1 2223 21 1638 2564 188756 44603 4.66515 4.66515 -146.332 -4.66515 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0128254 0.0111323 141 47 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 8.58 vpr 64.41 MiB -1 -1 0.19 21512 1 0.03 -1 -1 34092 -1 -1 31 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65956 30 32 377 310 1 181 93 17 17 289 -1 unnamed_device 25.5 MiB 4.29 925 12903 3392 7693 1818 64.4 MiB 0.10 0.00 4.69758 -137.96 -4.69758 4.69758 0.86 0.000209868 0.000164769 0.0146888 0.0117146 -1 -1 -1 -1 28 2649 21 6.87369e+06 433189 531479. 1839.03 1.16 0.0514802 0.0421063 24610 126494 -1 2191 19 1414 2329 179999 42937 3.46156 3.46156 -129.767 -3.46156 0 0 648988. 2245.63 0.25 0.06 0.11 -1 -1 0.25 0.0128945 0.011153 136 83 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 8.11 vpr 64.36 MiB -1 -1 0.19 21592 1 0.03 -1 -1 33644 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65904 32 32 365 294 1 187 85 17 17 289 -1 unnamed_device 25.5 MiB 3.30 1052 9943 2760 6470 713 64.4 MiB 0.09 0.00 4.73658 -142.26 -4.73658 4.73658 0.86 0.000237184 0.000193276 0.0140186 0.0115019 -1 -1 -1 -1 34 2918 23 6.87369e+06 293451 618332. 2139.56 1.60 0.0719063 0.0593052 25762 151098 -1 2375 24 1836 3219 237418 55210 4.11106 4.11106 -143.911 -4.11106 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.014805 0.0127572 132 57 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 7.42 vpr 64.15 MiB -1 -1 0.22 21428 1 0.03 -1 -1 33648 -1 -1 29 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65692 29 32 378 310 1 179 90 17 17 289 -1 unnamed_device 25.4 MiB 3.28 985 14361 3761 8676 1924 64.2 MiB 0.10 0.00 4.09163 -124.793 -4.09163 4.09163 0.89 0.000207836 0.000163961 0.0168279 0.0135041 -1 -1 -1 -1 30 2131 23 6.87369e+06 405241 556674. 1926.21 0.92 0.0532075 0.0436334 25186 138497 -1 1690 18 1078 1813 98061 23508 2.93501 2.93501 -111.204 -2.93501 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.012209 0.0105906 132 85 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 6.09 vpr 63.50 MiB -1 -1 0.17 21204 1 0.03 -1 -1 33880 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65024 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 24.8 MiB 1.62 780 9356 2513 5902 941 63.5 MiB 0.06 0.00 3.99928 -120.309 -3.99928 3.99928 0.87 0.00015973 0.000126082 0.00972099 0.00779122 -1 -1 -1 -1 34 1813 21 6.87369e+06 237555 618332. 2139.56 1.39 0.0528279 0.0435685 25762 151098 -1 1604 20 854 1316 101333 23397 2.87996 2.87996 -108.612 -2.87996 0 0 787024. 2723.27 0.29 0.04 0.14 -1 -1 0.29 0.00950901 0.00824143 96 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 10.08 vpr 64.38 MiB -1 -1 0.19 21548 1 0.03 -1 -1 34088 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65920 32 32 373 302 1 184 98 17 17 289 -1 unnamed_device 25.4 MiB 5.82 1081 10898 2607 7262 1029 64.4 MiB 0.09 0.00 4.62608 -141.152 -4.62608 4.62608 0.86 0.000214349 0.00016931 0.0123603 0.0098143 -1 -1 -1 -1 28 2708 23 6.87369e+06 475111 531479. 1839.03 1.15 0.0536319 0.0445342 24610 126494 -1 2458 22 1693 2867 224205 50600 3.8954 3.8954 -141.192 -3.8954 0 0 648988. 2245.63 0.26 0.06 0.11 -1 -1 0.26 0.0135503 0.0116224 137 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 10.78 vpr 64.34 MiB -1 -1 0.20 21568 1 0.04 -1 -1 33752 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65880 32 32 397 314 1 197 85 17 17 289 -1 unnamed_device 25.6 MiB 5.73 999 9013 2093 6314 606 64.3 MiB 0.08 0.00 4.56982 -152.894 -4.56982 4.56982 0.86 0.000223354 0.000176314 0.0125736 0.0101569 -1 -1 -1 -1 34 2775 24 6.87369e+06 293451 618332. 2139.56 1.87 0.0786465 0.0649695 25762 151098 -1 2295 20 1844 3015 218749 51049 3.7934 3.7934 -148.97 -3.7934 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0150006 0.0130132 142 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 8.16 vpr 63.70 MiB -1 -1 0.18 21580 1 0.03 -1 -1 33724 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65228 32 32 269 231 1 170 80 17 17 289 -1 unnamed_device 25.2 MiB 3.71 750 8508 2024 5759 725 63.7 MiB 0.06 0.00 4.48134 -122.374 -4.48134 4.48134 0.85 0.00016799 0.000132168 0.0098704 0.00799461 -1 -1 -1 -1 34 2152 23 6.87369e+06 223581 618332. 2139.56 1.41 0.0553541 0.0455721 25762 151098 -1 1715 18 1028 1348 88426 23124 3.3655 3.3655 -115.562 -3.3655 0 0 787024. 2723.27 0.29 0.03 0.13 -1 -1 0.29 0.00956476 0.0083355 106 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 5.54 vpr 63.65 MiB -1 -1 0.17 21184 1 0.03 -1 -1 33696 -1 -1 20 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65176 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 25.2 MiB 1.58 827 12143 3533 6628 1982 63.6 MiB 0.07 0.00 3.95118 -117.571 -3.95118 3.95118 0.87 0.000157545 0.000123952 0.0119351 0.0095313 -1 -1 -1 -1 30 2035 24 6.87369e+06 279477 556674. 1926.21 0.89 0.0388592 0.0320785 25186 138497 -1 1786 21 1044 1832 114076 25448 2.88196 2.88196 -110.159 -2.88196 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.00999443 0.00863205 99 4 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 9.51 vpr 64.50 MiB -1 -1 0.19 21388 1 0.03 -1 -1 33984 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66044 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 25.6 MiB 4.44 991 14871 5387 7142 2342 64.5 MiB 0.10 0.00 4.73658 -148.901 -4.73658 4.73658 0.87 0.000204989 0.000161932 0.0175868 0.0140458 -1 -1 -1 -1 34 3440 28 6.87369e+06 321398 618332. 2139.56 1.85 0.0769473 0.0629483 25762 151098 -1 2472 23 2154 2974 246206 55991 4.48946 4.48946 -149.449 -4.48946 0 0 787024. 2723.27 0.31 0.08 0.13 -1 -1 0.31 0.0161747 0.0139942 145 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 9.12 vpr 64.56 MiB -1 -1 0.19 21580 1 0.03 -1 -1 34100 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66108 32 32 356 289 1 202 91 17 17 289 -1 unnamed_device 25.6 MiB 4.14 1154 11923 3090 8152 681 64.6 MiB 0.10 0.00 5.18474 -149.968 -5.18474 5.18474 0.87 0.000222012 0.000177045 0.0152303 0.0123451 -1 -1 -1 -1 34 3034 41 6.87369e+06 377294 618332. 2139.56 1.78 0.081948 0.0675749 25762 151098 -1 2254 22 1523 2265 155197 40705 4.52365 4.52365 -151.721 -4.52365 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0136362 0.0117584 142 56 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 6.38 vpr 63.70 MiB -1 -1 0.20 21436 1 0.03 -1 -1 33856 -1 -1 36 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65224 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 24.9 MiB 1.06 1048 20284 6297 10335 3652 63.7 MiB 0.13 0.00 5.33542 -146.763 -5.33542 5.33542 0.86 0.000216977 0.00017302 0.0207815 0.0166711 -1 -1 -1 -1 34 3116 28 6.87369e+06 503058 618332. 2139.56 2.06 0.0837578 0.0684927 25762 151098 -1 2452 22 1878 3312 278955 63395 4.70785 4.70785 -145.786 -4.70785 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0150766 0.0130911 157 3 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 7.00 vpr 64.03 MiB -1 -1 0.19 21720 1 0.03 -1 -1 33760 -1 -1 34 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65564 30 32 316 264 1 165 96 17 17 289 -1 unnamed_device 25.1 MiB 2.97 934 17397 5220 9797 2380 64.0 MiB 0.10 0.00 3.59195 -107.694 -3.59195 3.59195 0.86 0.000185467 0.000146251 0.0162253 0.0128967 -1 -1 -1 -1 30 2081 23 6.87369e+06 475111 556674. 1926.21 0.91 0.0471479 0.0386653 25186 138497 -1 1768 19 973 1696 95345 22746 2.80666 2.80666 -101.502 -2.80666 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0113866 0.00990853 119 52 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 5.93 vpr 63.67 MiB -1 -1 0.19 21572 1 0.03 -1 -1 34360 -1 -1 21 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65196 27 32 255 219 1 139 80 17 17 289 -1 unnamed_device 25.2 MiB 1.36 647 12980 4064 8068 848 63.7 MiB 0.07 0.00 3.48275 -97.807 -3.48275 3.48275 0.87 0.000162659 0.000121533 0.0131598 0.0103956 -1 -1 -1 -1 34 1699 22 6.87369e+06 293451 618332. 2139.56 1.35 0.0546758 0.0444766 25762 151098 -1 1429 20 1057 1601 114649 26035 2.72966 2.72966 -93.4311 -2.72966 0 0 787024. 2723.27 0.31 0.04 0.13 -1 -1 0.31 0.00939077 0.00809281 96 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 10.49 vpr 64.57 MiB -1 -1 0.20 21792 1 0.03 -1 -1 33988 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66120 32 32 421 327 1 233 88 17 17 289 -1 unnamed_device 25.8 MiB 5.43 1306 7108 1644 4961 503 64.6 MiB 0.07 0.00 4.4536 -142.144 -4.4536 4.4536 0.87 0.000237625 0.000188052 0.0112593 0.00911151 -1 -1 -1 -1 34 3921 24 6.87369e+06 335372 618332. 2139.56 1.79 0.0764642 0.0625209 25762 151098 -1 3133 21 2077 3410 276995 63575 4.57546 4.57546 -154.017 -4.57546 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0188484 0.0165798 165 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 11.87 vpr 64.33 MiB -1 -1 0.19 21468 1 0.03 -1 -1 33768 -1 -1 22 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65872 31 32 365 296 1 202 85 17 17 289 -1 unnamed_device 25.5 MiB 6.91 1071 15151 5059 7758 2334 64.3 MiB 0.10 0.00 5.62787 -168.296 -5.62787 5.62787 0.86 0.000206487 0.000162654 0.0191333 0.0153283 -1 -1 -1 -1 36 2526 36 6.87369e+06 307425 648988. 2245.63 1.73 0.0823969 0.0675825 26050 158493 -1 2113 21 1551 2426 157015 37447 4.59085 4.59085 -154.24 -4.59085 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0134691 0.0116523 139 64 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 11.67 vpr 64.26 MiB -1 -1 0.19 21452 1 0.03 -1 -1 34112 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 32 32 331 280 1 185 81 17 17 289 -1 unnamed_device 25.6 MiB 7.03 884 8831 2130 6252 449 64.3 MiB 0.07 0.00 4.48255 -144.515 -4.48255 4.48255 0.87 0.000189185 0.00014829 0.0111893 0.00897289 -1 -1 -1 -1 34 2511 25 6.87369e+06 237555 618332. 2139.56 1.45 0.0631945 0.0516384 25762 151098 -1 2085 20 1422 2107 158928 37458 3.98026 3.98026 -143.093 -3.98026 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0122753 0.0106186 118 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 5.88 vpr 64.26 MiB -1 -1 0.18 21488 1 0.03 -1 -1 33816 -1 -1 33 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 32 32 326 263 1 176 97 17 17 289 -1 unnamed_device 25.4 MiB 1.75 978 12751 2883 8925 943 64.3 MiB 0.09 0.00 4.92341 -136.221 -4.92341 4.92341 0.86 0.000233221 0.000163401 0.013419 0.010638 -1 -1 -1 -1 32 2844 25 6.87369e+06 461137 586450. 2029.24 1.00 0.0468676 0.0384912 25474 144626 -1 2202 24 1453 2365 203989 46275 3.7844 3.7844 -126.569 -3.7844 0 0 744469. 2576.02 0.29 0.06 0.13 -1 -1 0.29 0.0141345 0.0121704 129 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 6.74 vpr 64.32 MiB -1 -1 0.19 21356 1 0.03 -1 -1 33756 -1 -1 34 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65860 31 32 373 294 1 197 97 17 17 289 -1 unnamed_device 25.7 MiB 2.53 994 11641 2686 8324 631 64.3 MiB 0.09 0.00 4.45728 -128.707 -4.45728 4.45728 0.86 0.000227679 0.00018129 0.0138821 0.0112255 -1 -1 -1 -1 26 2822 32 6.87369e+06 475111 503264. 1741.40 1.09 0.056187 0.0464994 24322 120374 -1 2420 24 1643 2677 211161 49524 4.10046 4.10046 -136.732 -4.10046 0 0 618332. 2139.56 0.24 0.06 0.11 -1 -1 0.24 0.0155306 0.0133668 149 50 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 7.02 vpr 64.16 MiB -1 -1 0.20 21500 1 0.03 -1 -1 34140 -1 -1 31 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65700 30 32 325 268 1 172 93 17 17 289 -1 unnamed_device 25.4 MiB 2.68 790 16473 4651 9095 2727 64.2 MiB 0.11 0.00 3.6935 -103.107 -3.6935 3.6935 0.86 0.000189885 0.000150042 0.0165365 0.0131881 -1 -1 -1 -1 30 2610 40 6.87369e+06 433189 556674. 1926.21 1.20 0.0555933 0.0455279 25186 138497 -1 1665 19 1172 2092 115397 30397 2.83221 2.83221 -100.063 -2.83221 0 0 706193. 2443.58 0.28 0.04 0.13 -1 -1 0.28 0.0114488 0.00991402 124 51 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 10.59 vpr 64.11 MiB -1 -1 0.19 21596 1 0.03 -1 -1 33984 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65652 32 32 350 275 1 215 86 17 17 289 -1 unnamed_device 25.6 MiB 5.48 1285 14639 4463 8795 1381 64.1 MiB 0.11 0.00 4.87673 -155.976 -4.87673 4.87673 0.86 0.00021841 0.00016591 0.0184577 0.0146479 -1 -1 -1 -1 36 3172 31 6.87369e+06 307425 648988. 2245.63 1.86 0.0781861 0.0638386 26050 158493 -1 2654 21 1778 2884 251542 53356 4.19495 4.19495 -148.621 -4.19495 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.0144029 0.0124861 148 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 8.56 vpr 64.55 MiB -1 -1 0.16 21416 1 0.03 -1 -1 33436 -1 -1 36 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66096 32 32 386 307 1 196 100 17 17 289 -1 unnamed_device 25.8 MiB 4.16 1114 17964 5405 9806 2753 64.5 MiB 0.14 0.00 4.14663 -137.433 -4.14663 4.14663 0.91 0.000221219 0.000173367 0.0198504 0.0158461 -1 -1 -1 -1 28 3006 33 6.87369e+06 503058 531479. 1839.03 1.07 0.063717 0.0521149 24610 126494 -1 2459 21 1688 2717 206629 48333 3.48446 3.48446 -134.388 -3.48446 0 0 648988. 2245.63 0.26 0.07 0.11 -1 -1 0.26 0.0149446 0.0129223 147 62 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 6.40 vpr 63.95 MiB -1 -1 0.18 21660 1 0.03 -1 -1 33996 -1 -1 19 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65488 29 32 269 229 1 150 80 17 17 289 -1 unnamed_device 25.2 MiB 2.47 708 14184 4108 7835 2241 64.0 MiB 0.07 0.00 3.90218 -115.978 -3.90218 3.90218 0.90 0.00016032 0.000125708 0.0154568 0.0124164 -1 -1 -1 -1 28 1736 21 6.87369e+06 265503 531479. 1839.03 0.86 0.0452246 0.0371815 24610 126494 -1 1548 18 1133 1639 120489 27801 3.16076 3.16076 -114.916 -3.16076 0 0 648988. 2245.63 0.27 0.04 0.11 -1 -1 0.27 0.00934291 0.00812722 101 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 7.32 vpr 64.08 MiB -1 -1 0.18 21508 1 0.03 -1 -1 34004 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65616 32 32 310 266 1 175 81 17 17 289 -1 unnamed_device 25.4 MiB 2.69 963 14081 4182 8130 1769 64.1 MiB 0.09 0.00 3.97822 -122.829 -3.97822 3.97822 0.89 0.000188158 0.000146273 0.0169303 0.0134773 -1 -1 -1 -1 34 2188 24 6.87369e+06 237555 618332. 2139.56 1.48 0.0683485 0.0558112 25762 151098 -1 1967 18 1248 1705 141947 30974 3.3007 3.3007 -124.41 -3.3007 0 0 787024. 2723.27 0.31 0.04 0.13 -1 -1 0.31 0.0107915 0.0093941 112 58 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 6.51 vpr 64.37 MiB -1 -1 0.19 21512 1 0.03 -1 -1 33732 -1 -1 39 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65912 31 32 326 261 1 178 102 17 17 289 -1 unnamed_device 25.5 MiB 2.06 880 19380 5710 10605 3065 64.4 MiB 0.13 0.00 4.54717 -125.702 -4.54717 4.54717 0.86 0.000219557 0.000169668 0.0193951 0.0154263 -1 -1 -1 -1 28 2476 25 6.87369e+06 544980 531479. 1839.03 1.28 0.0562995 0.046308 24610 126494 -1 2103 21 1460 2605 205764 46881 4.4682 4.4682 -129.602 -4.4682 0 0 648988. 2245.63 0.26 0.06 0.11 -1 -1 0.26 0.0124492 0.010691 135 33 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 7.92 vpr 63.69 MiB -1 -1 0.20 21380 1 0.03 -1 -1 33948 -1 -1 19 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65216 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 25.2 MiB 3.42 859 10916 2514 7778 624 63.7 MiB 0.08 0.00 4.61538 -122.043 -4.61538 4.61538 0.89 0.000167145 0.000131779 0.0129629 0.010479 -1 -1 -1 -1 34 2080 22 6.87369e+06 265503 618332. 2139.56 1.36 0.0571326 0.0470818 25762 151098 -1 1752 23 1110 1432 97234 23995 3.57416 3.57416 -114.879 -3.57416 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0109649 0.00946401 107 31 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 8.83 vpr 63.80 MiB -1 -1 0.16 21632 1 0.03 -1 -1 33924 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65332 32 32 278 238 1 158 79 17 17 289 -1 unnamed_device 25.3 MiB 4.72 890 13092 3761 8015 1316 63.8 MiB 0.08 0.00 3.89598 -125.954 -3.89598 3.89598 0.87 0.000171354 0.000135034 0.0152367 0.0123164 -1 -1 -1 -1 30 2147 21 6.87369e+06 209608 556674. 1926.21 0.96 0.0452009 0.0373054 25186 138497 -1 1826 22 1154 1910 142563 30699 2.86266 2.86266 -114.625 -2.86266 0 0 706193. 2443.58 0.29 0.04 0.13 -1 -1 0.29 0.0111396 0.00954684 101 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 7.68 vpr 64.47 MiB -1 -1 0.20 21552 1 0.03 -1 -1 33896 -1 -1 37 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66020 31 32 373 300 1 185 100 17 17 289 -1 unnamed_device 25.5 MiB 3.58 1030 11700 3258 7456 986 64.5 MiB 0.09 0.00 3.94428 -127.758 -3.94428 3.94428 0.88 0.000216092 0.000171061 0.0128502 0.0102733 -1 -1 -1 -1 28 2447 27 6.87369e+06 517032 531479. 1839.03 0.92 0.0505062 0.0415247 24610 126494 -1 2173 19 1608 2521 177586 41218 3.09026 3.09026 -122.565 -3.09026 0 0 648988. 2245.63 0.26 0.05 0.11 -1 -1 0.26 0.0133711 0.011593 141 64 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 8.52 vpr 64.06 MiB -1 -1 0.17 21392 1 0.03 -1 -1 33628 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65600 31 32 265 230 1 169 80 17 17 289 -1 unnamed_device 25.3 MiB 3.90 763 6616 1499 4719 398 64.1 MiB 0.05 0.00 3.6942 -114.024 -3.6942 3.6942 0.89 0.000163157 0.00012855 0.00835915 0.00689354 -1 -1 -1 -1 34 2136 24 6.87369e+06 237555 618332. 2139.56 1.48 0.0552961 0.0457836 25762 151098 -1 1636 20 1101 1571 107353 27112 3.19991 3.19991 -110.054 -3.19991 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.0102804 0.00894007 105 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 8.31 vpr 64.49 MiB -1 -1 0.20 21412 1 0.03 -1 -1 33884 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66040 32 32 349 286 1 177 95 17 17 289 -1 unnamed_device 25.4 MiB 3.80 991 15431 4685 8411 2335 64.5 MiB 0.10 0.00 3.75634 -117.047 -3.75634 3.75634 0.87 0.000200603 0.000158341 0.0162771 0.0130149 -1 -1 -1 -1 28 2561 28 6.87369e+06 433189 531479. 1839.03 1.39 0.0548869 0.0451299 24610 126494 -1 2292 23 1283 2119 183686 40418 3.15881 3.15881 -116.2 -3.15881 0 0 648988. 2245.63 0.26 0.05 0.11 -1 -1 0.26 0.0134217 0.0114878 129 57 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 8.31 vpr 64.46 MiB -1 -1 0.20 21780 1 0.03 -1 -1 33908 -1 -1 32 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66008 31 32 396 325 1 185 95 17 17 289 -1 unnamed_device 25.6 MiB 4.19 969 16511 5526 8195 2790 64.5 MiB 0.11 0.00 3.7606 -125.402 -3.7606 3.7606 0.87 0.000220366 0.00017296 0.01895 0.0150968 -1 -1 -1 -1 30 2175 23 6.87369e+06 447163 556674. 1926.21 0.92 0.0569141 0.0463087 25186 138497 -1 1707 22 1533 2185 119272 29204 2.85691 2.85691 -118.154 -2.85691 0 0 706193. 2443.58 0.28 0.05 0.13 -1 -1 0.28 0.0145575 0.0124793 137 91 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 7.39 vpr 63.80 MiB -1 -1 0.17 21584 1 0.03 -1 -1 33904 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65328 32 32 303 262 1 154 80 17 17 289 -1 unnamed_device 25.0 MiB 2.90 763 5412 1083 3998 331 63.8 MiB 0.05 0.00 3.46595 -107.951 -3.46595 3.46595 0.90 0.000178109 0.000140443 0.0070076 0.00565435 -1 -1 -1 -1 34 2023 20 6.87369e+06 223581 618332. 2139.56 1.39 0.0543405 0.0448283 25762 151098 -1 1758 19 1028 1626 127152 30410 3.12476 3.12476 -114.292 -3.12476 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0103366 0.00894385 99 57 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 7.44 vpr 64.33 MiB -1 -1 0.18 21452 1 0.03 -1 -1 33812 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65876 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 25.6 MiB 2.76 878 7202 1691 5055 456 64.3 MiB 0.07 0.00 4.13079 -127.98 -4.13079 4.13079 0.91 0.0001915 0.000142961 0.00999316 0.00819459 -1 -1 -1 -1 34 2496 23 6.87369e+06 251529 618332. 2139.56 1.51 0.0581654 0.047901 25762 151098 -1 2073 22 1468 2194 182182 42188 3.22191 3.22191 -125.177 -3.22191 0 0 787024. 2723.27 0.29 0.05 0.13 -1 -1 0.29 0.0124815 0.0108271 114 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 9.09 vpr 64.01 MiB -1 -1 0.17 21344 1 0.03 -1 -1 33728 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65548 32 32 318 257 1 197 86 17 17 289 -1 unnamed_device 25.4 MiB 4.36 1100 9914 2382 6266 1266 64.0 MiB 0.07 0.00 4.82651 -140.217 -4.82651 4.82651 0.87 0.000191462 0.000151394 0.0116126 0.00936138 -1 -1 -1 -1 34 2714 26 6.87369e+06 307425 618332. 2139.56 1.60 0.0657325 0.0541548 25762 151098 -1 2273 23 1641 2291 162066 37556 4.02506 4.02506 -136.33 -4.02506 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0129756 0.0111949 132 30 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 7.46 vpr 64.21 MiB -1 -1 0.19 21508 1 0.03 -1 -1 33928 -1 -1 29 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65756 29 32 324 268 1 169 90 17 17 289 -1 unnamed_device 25.5 MiB 3.40 896 9336 2254 6420 662 64.2 MiB 0.07 0.00 4.11363 -114.181 -4.11363 4.11363 0.86 0.00018861 0.000149263 0.0102484 0.00826903 -1 -1 -1 -1 32 2343 29 6.87369e+06 405241 586450. 2029.24 0.91 0.0442427 0.0365621 25474 144626 -1 1909 23 1104 1920 137359 32910 3.23561 3.23561 -112.659 -3.23561 0 0 744469. 2576.02 0.29 0.05 0.14 -1 -1 0.29 0.013584 0.0116781 123 55 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 9.82 vpr 64.39 MiB -1 -1 0.18 21840 1 0.03 -1 -1 34224 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65936 32 32 393 312 1 215 86 17 17 289 -1 unnamed_device 25.6 MiB 4.91 1101 15395 4526 8609 2260 64.4 MiB 0.12 0.00 5.22906 -166.389 -5.22906 5.22906 0.86 0.000220267 0.000174296 0.0199596 0.0159683 -1 -1 -1 -1 34 2846 21 6.87369e+06 307425 618332. 2139.56 1.67 0.0807651 0.0656844 25762 151098 -1 2432 21 1761 2709 230464 50254 4.17706 4.17706 -157.262 -4.17706 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0151215 0.0131077 151 65 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 5.36 vpr 63.65 MiB -1 -1 0.18 21196 1 0.03 -1 -1 33776 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65180 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 25.0 MiB 1.53 761 7992 2192 5273 527 63.7 MiB 0.05 0.00 3.42155 -104.71 -3.42155 3.42155 0.86 0.0001647 0.000132209 0.00875948 0.00708657 -1 -1 -1 -1 28 1923 21 6.87369e+06 237555 531479. 1839.03 0.84 0.033537 0.0278612 24610 126494 -1 1756 15 866 1350 103447 23875 3.05556 3.05556 -108.436 -3.05556 0 0 648988. 2245.63 0.25 0.03 0.11 -1 -1 0.25 0.00817191 0.00720539 92 4 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 7.40 vpr 64.56 MiB -1 -1 0.21 21728 1 0.03 -1 -1 34008 -1 -1 35 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66108 32 32 412 334 1 194 99 17 17 289 -1 unnamed_device 25.7 MiB 2.62 1080 17883 4895 11214 1774 64.6 MiB 0.12 0.00 4.44135 -148.747 -4.44135 4.44135 0.88 0.000226699 0.000178049 0.0204654 0.0160596 -1 -1 -1 -1 34 2607 20 6.87369e+06 489084 618332. 2139.56 1.52 0.0819429 0.0665467 25762 151098 -1 2257 21 1628 2285 170577 39284 4.02096 4.02096 -147.829 -4.02096 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0168415 0.0144833 145 90 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 10.16 vpr 64.38 MiB -1 -1 0.19 21536 1 0.03 -1 -1 33512 -1 -1 16 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65920 32 32 376 318 1 168 80 17 17 289 -1 unnamed_device 25.6 MiB 5.53 879 12808 3950 7474 1384 64.4 MiB 0.09 0.00 3.59615 -129.411 -3.59615 3.59615 0.86 0.000208218 0.000161966 0.0174581 0.0138737 -1 -1 -1 -1 34 2239 23 6.87369e+06 223581 618332. 2139.56 1.44 0.072401 0.0589299 25762 151098 -1 1862 21 1602 2312 183384 40198 2.87886 2.87886 -123.177 -2.87886 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0134128 0.0115365 114 96 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 7.83 vpr 64.27 MiB -1 -1 0.20 21420 1 0.03 -1 -1 33892 -1 -1 32 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65816 32 32 360 293 1 182 96 17 17 289 -1 unnamed_device 25.3 MiB 3.71 1029 16083 4329 9310 2444 64.3 MiB 0.11 0.00 4.14663 -128.65 -4.14663 4.14663 0.87 0.000213299 0.000169185 0.0173993 0.0139803 -1 -1 -1 -1 32 2440 18 6.87369e+06 447163 586450. 2029.24 0.91 0.050178 0.0413322 25474 144626 -1 2064 21 1193 1878 143364 33256 3.24961 3.24961 -117.981 -3.24961 0 0 744469. 2576.02 0.28 0.05 0.13 -1 -1 0.28 0.0131798 0.0113627 134 60 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 11.30 vpr 64.73 MiB -1 -1 0.25 21912 1 0.03 -1 -1 34080 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66280 32 32 396 299 1 240 89 17 17 289 -1 unnamed_device 25.8 MiB 6.08 1256 15335 4173 9820 1342 64.7 MiB 0.13 0.00 5.90839 -177.511 -5.90839 5.90839 0.86 0.000233107 0.000185047 0.02089 0.0169988 -1 -1 -1 -1 34 3251 23 6.87369e+06 349346 618332. 2139.56 1.88 0.0879556 0.0728296 25762 151098 -1 2604 22 2027 3067 244222 57410 4.9762 4.9762 -169.067 -4.9762 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0171648 0.0148977 171 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 6.65 vpr 63.51 MiB -1 -1 0.17 21200 1 0.03 -1 -1 33888 -1 -1 15 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65036 30 32 224 207 1 137 77 17 17 289 -1 unnamed_device 24.9 MiB 2.25 720 11161 3070 6702 1389 63.5 MiB 0.06 0.00 3.01346 -96.0966 -3.01346 3.01346 0.87 0.000139644 0.000109126 0.0107476 0.00853718 -1 -1 -1 -1 34 1704 20 6.87369e+06 209608 618332. 2139.56 1.33 0.0471826 0.038592 25762 151098 -1 1500 26 993 1332 109947 25100 2.57366 2.57366 -98.2805 -2.57366 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0102362 0.00877065 81 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 5.47 vpr 63.74 MiB -1 -1 0.18 21400 1 0.03 -1 -1 33896 -1 -1 19 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65272 30 32 286 239 1 151 81 17 17 289 -1 unnamed_device 25.2 MiB 1.51 777 9706 2580 6483 643 63.7 MiB 0.07 0.00 3.91444 -121.772 -3.91444 3.91444 0.86 0.000173879 0.000137611 0.0110428 0.00881899 -1 -1 -1 -1 30 1783 33 6.87369e+06 265503 556674. 1926.21 0.89 0.0447394 0.0368804 25186 138497 -1 1503 20 912 1424 85348 19767 2.94916 2.94916 -112.049 -2.94916 0 0 706193. 2443.58 0.27 0.04 0.12 -1 -1 0.27 0.010425 0.0090227 105 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 5.96 vpr 63.80 MiB -1 -1 0.17 21588 1 0.03 -1 -1 33748 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65332 32 32 296 247 1 158 87 17 17 289 -1 unnamed_device 25.2 MiB 1.82 823 13719 4254 8151 1314 63.8 MiB 0.09 0.00 3.44891 -115.299 -3.44891 3.44891 0.88 0.000183636 0.000143315 0.0149143 0.011786 -1 -1 -1 -1 32 2354 28 6.87369e+06 321398 586450. 2029.24 0.98 0.0467496 0.0382073 25474 144626 -1 1959 22 1404 2460 214166 49660 3.07456 3.07456 -119.964 -3.07456 0 0 744469. 2576.02 0.28 0.06 0.13 -1 -1 0.28 0.0119403 0.0103049 109 34 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 5.02 vpr 63.48 MiB -1 -1 0.16 21196 1 0.03 -1 -1 33892 -1 -1 29 25 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65000 25 32 216 194 1 123 86 17 17 289 -1 unnamed_device 24.9 MiB 1.09 469 11804 3249 6587 1968 63.5 MiB 0.06 0.00 3.45495 -79.1828 -3.45495 3.45495 0.86 0.000138923 0.000107705 0.00996419 0.007792 -1 -1 -1 -1 30 1311 22 6.87369e+06 405241 556674. 1926.21 0.84 0.03198 0.0260863 25186 138497 -1 979 19 618 1125 61942 15499 2.93926 2.93926 -76.5502 -2.93926 0 0 706193. 2443.58 0.29 0.03 0.12 -1 -1 0.29 0.00776522 0.00668126 87 29 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 8.58 vpr 64.32 MiB -1 -1 0.21 21600 1 0.03 -1 -1 33888 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65860 32 32 376 307 1 193 84 17 17 289 -1 unnamed_device 25.4 MiB 3.67 967 14724 4258 8138 2328 64.3 MiB 0.11 0.00 4.3826 -128.252 -4.3826 4.3826 0.87 0.000219628 0.000174028 0.0200568 0.0161102 -1 -1 -1 -1 34 2869 26 6.87369e+06 279477 618332. 2139.56 1.65 0.0798496 0.0651133 25762 151098 -1 2467 20 1544 2645 204924 48842 3.93806 3.93806 -132.192 -3.93806 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.013917 0.0120956 133 72 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 8.62 vpr 64.58 MiB -1 -1 0.20 21636 1 0.03 -1 -1 34120 -1 -1 31 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66132 31 32 409 331 1 193 94 17 17 289 -1 unnamed_device 25.6 MiB 3.85 1004 17347 4956 10888 1503 64.6 MiB 0.13 0.00 4.17399 -136.544 -4.17399 4.17399 0.87 0.000251491 0.000203286 0.0217684 0.0176775 -1 -1 -1 -1 34 2399 24 6.87369e+06 433189 618332. 2139.56 1.47 0.0833938 0.0683127 25762 151098 -1 2014 19 1684 2595 166650 40129 3.01531 3.01531 -120.867 -3.01531 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0145411 0.0124862 143 90 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 10.02 vpr 64.12 MiB -1 -1 0.18 21564 1 0.03 -1 -1 33820 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65656 32 32 354 285 1 223 88 17 17 289 -1 unnamed_device 25.3 MiB 2.74 1064 11788 3543 6827 1418 64.1 MiB 0.10 0.00 5.46377 -156.517 -5.46377 5.46377 0.88 0.000224853 0.000180705 0.0152571 0.0123533 -1 -1 -1 -1 30 2967 26 6.89349e+06 338252 556674. 1926.21 4.12 0.0920899 0.0756403 25186 138497 -1 2182 20 1416 2193 131888 32218 4.30509 4.30509 -143.214 -4.30509 0 0 706193. 2443.58 0.27 0.05 0.12 -1 -1 0.27 0.0127301 0.0110348 149 50 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 7.57 vpr 64.29 MiB -1 -1 0.20 21552 1 0.03 -1 -1 34148 -1 -1 26 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65832 30 32 363 293 1 229 88 17 17 289 -1 unnamed_device 25.4 MiB 2.64 1124 13738 3850 8296 1592 64.3 MiB 0.11 0.00 4.83304 -147.244 -4.83304 4.83304 0.89 0.000206477 0.000163244 0.016513 0.0131582 -1 -1 -1 -1 34 2963 23 6.89349e+06 366440 618332. 2139.56 1.69 0.0724681 0.059149 25762 151098 -1 2412 24 2103 3099 213515 50520 4.35719 4.35719 -147.275 -4.35719 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0146084 0.0125248 158 63 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 7.49 vpr 63.85 MiB -1 -1 0.17 21364 1 0.03 -1 -1 33896 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65380 32 32 299 247 1 190 85 17 17 289 -1 unnamed_device 25.3 MiB 2.76 986 10315 2668 7087 560 63.8 MiB 0.08 0.00 4.28303 -120.803 -4.28303 4.28303 0.88 0.00018383 0.000146061 0.0123218 0.00987699 -1 -1 -1 -1 34 2543 23 6.89349e+06 295971 618332. 2139.56 1.57 0.0631218 0.05207 25762 151098 -1 2116 19 1277 1735 126865 29234 3.6343 3.6343 -119.864 -3.6343 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.010731 0.0093187 125 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 7.27 vpr 63.60 MiB -1 -1 0.19 21460 1 0.03 -1 -1 34016 -1 -1 24 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65124 29 32 308 248 1 195 85 17 17 289 -1 unnamed_device 25.0 MiB 2.23 1020 7153 1669 4959 525 63.6 MiB 0.06 0.00 4.81208 -129.448 -4.81208 4.81208 0.88 0.000185646 0.000146337 0.00956448 0.00785228 -1 -1 -1 -1 36 2388 20 6.89349e+06 338252 648988. 2245.63 1.84 0.0596682 0.0493457 26050 158493 -1 2075 18 1238 2046 156652 33509 3.6654 3.6654 -116.643 -3.6654 0 0 828058. 2865.25 0.31 0.05 0.15 -1 -1 0.31 0.0111509 0.00973852 134 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 8.06 vpr 64.06 MiB -1 -1 0.17 21396 1 0.03 -1 -1 33764 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65600 32 32 336 268 1 212 87 17 17 289 -1 unnamed_device 25.3 MiB 2.50 1107 14679 4819 7580 2280 64.1 MiB 0.11 0.00 5.27653 -151.244 -5.27653 5.27653 0.87 0.000201533 0.000159385 0.0175563 0.014029 -1 -1 -1 -1 38 2778 26 6.89349e+06 324158 678818. 2348.85 2.32 0.0756039 0.0620266 26626 170182 -1 2374 21 1936 3505 253868 55492 4.19779 4.19779 -144.222 -4.19779 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0125344 0.0108346 142 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 9.02 vpr 64.39 MiB -1 -1 0.18 21516 1 0.03 -1 -1 33960 -1 -1 33 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65932 32 32 366 295 1 231 97 17 17 289 -1 unnamed_device 25.4 MiB 3.41 1135 18523 5440 10042 3041 64.4 MiB 0.14 0.00 3.8789 -124.315 -3.8789 3.8789 0.90 0.000216935 0.00017068 0.0200823 0.0159448 -1 -1 -1 -1 36 2957 47 6.89349e+06 465097 648988. 2245.63 2.29 0.0885275 0.0723023 26050 158493 -1 2462 34 2089 3697 334723 100094 3.61635 3.61635 -126.916 -3.61635 0 0 828058. 2865.25 0.31 0.10 0.14 -1 -1 0.31 0.018662 0.0158481 162 58 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 6.27 vpr 63.54 MiB -1 -1 0.18 21432 1 0.03 -1 -1 34088 -1 -1 21 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65068 27 32 259 221 1 159 80 17 17 289 -1 unnamed_device 25.1 MiB 1.73 817 10228 2968 5692 1568 63.5 MiB 0.06 0.00 4.18543 -114.153 -4.18543 4.18543 0.86 0.000164744 0.000129968 0.0112553 0.0090359 -1 -1 -1 -1 34 1818 19 6.89349e+06 295971 618332. 2139.56 1.44 0.0545169 0.0446936 25762 151098 -1 1628 19 1216 1762 136661 30771 3.06791 3.06791 -104.44 -3.06791 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.00922338 0.00798496 107 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 5.49 vpr 63.69 MiB -1 -1 0.19 21332 1 0.03 -1 -1 33832 -1 -1 32 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65216 31 32 271 219 1 164 95 17 17 289 -1 unnamed_device 25.1 MiB 1.01 946 10895 2711 7214 970 63.7 MiB 0.07 0.00 3.35428 -101.445 -3.35428 3.35428 0.88 0.000174059 0.000138727 0.0108533 0.00828946 -1 -1 -1 -1 34 2155 20 6.89349e+06 451003 618332. 2139.56 1.36 0.0553374 0.0450268 25762 151098 -1 1873 16 929 1621 103965 24171 2.61751 2.61751 -96.334 -2.61751 0 0 787024. 2723.27 0.29 0.03 0.13 -1 -1 0.29 0.008567 0.00745461 119 4 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 7.39 vpr 64.04 MiB -1 -1 0.20 21616 1 0.03 -1 -1 34036 -1 -1 19 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65580 31 32 317 271 1 207 82 17 17 289 -1 unnamed_device 25.3 MiB 2.65 1055 11652 3009 7126 1517 64.0 MiB 0.09 0.00 3.67955 -123.605 -3.67955 3.67955 0.87 0.000209518 0.000170541 0.0142956 0.0114398 -1 -1 -1 -1 34 2608 23 6.89349e+06 267783 618332. 2139.56 1.53 0.0645575 0.0529282 25762 151098 -1 2206 19 1445 1973 164904 35471 2.98246 2.98246 -120.289 -2.98246 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0149775 0.0131074 131 64 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 6.91 vpr 63.91 MiB -1 -1 0.18 21584 1 0.03 -1 -1 34052 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65448 32 32 298 248 1 185 82 17 17 289 -1 unnamed_device 25.2 MiB 2.26 837 7202 1579 5230 393 63.9 MiB 0.07 0.00 4.04458 -129.952 -4.04458 4.04458 0.87 0.0001822 0.000143925 0.00885725 0.00718108 -1 -1 -1 -1 34 2391 27 6.89349e+06 253689 618332. 2139.56 1.47 0.0593092 0.0487788 25762 151098 -1 1910 20 1366 1796 129797 30654 3.2385 3.2385 -122.793 -3.2385 0 0 787024. 2723.27 0.30 0.04 0.16 -1 -1 0.30 0.0120678 0.0105627 120 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 7.61 vpr 63.88 MiB -1 -1 0.19 21400 1 0.03 -1 -1 33908 -1 -1 21 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65412 30 32 303 262 1 191 83 17 17 289 -1 unnamed_device 25.2 MiB 2.80 927 14123 4163 7804 2156 63.9 MiB 0.09 0.00 4.49997 -130.748 -4.49997 4.49997 0.87 0.000173406 0.000135916 0.0153751 0.012255 -1 -1 -1 -1 34 2305 38 6.89349e+06 295971 618332. 2139.56 1.59 0.0682435 0.055674 25762 151098 -1 1923 20 1284 1698 124692 28790 3.4872 3.4872 -123.184 -3.4872 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0107664 0.00930254 124 63 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 6.79 vpr 63.89 MiB -1 -1 0.19 21400 1 0.03 -1 -1 33580 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65428 32 32 276 237 1 171 81 17 17 289 -1 unnamed_device 25.2 MiB 2.01 952 13206 3625 8328 1253 63.9 MiB 0.08 0.00 3.6917 -113.924 -3.6917 3.6917 0.86 0.000183917 0.000146037 0.0147045 0.0117537 -1 -1 -1 -1 34 2324 21 6.89349e+06 239595 618332. 2139.56 1.61 0.0606574 0.0497475 25762 151098 -1 1923 19 1033 1405 106174 24328 2.93851 2.93851 -112.281 -2.93851 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.00992751 0.00858937 108 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 8.18 vpr 64.23 MiB -1 -1 0.19 21328 1 0.03 -1 -1 33784 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65768 32 32 344 272 1 209 87 17 17 289 -1 unnamed_device 25.4 MiB 2.72 1112 16791 5058 9343 2390 64.2 MiB 0.12 0.00 4.10168 -134.349 -4.10168 4.10168 0.86 0.000200833 0.00015863 0.0191746 0.0153194 -1 -1 -1 -1 36 2698 22 6.89349e+06 324158 648988. 2245.63 2.19 0.0781544 0.0642166 26050 158493 -1 2404 21 1507 2341 203029 43277 3.18756 3.18756 -125.041 -3.18756 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0143072 0.0122936 143 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 8.69 vpr 64.18 MiB -1 -1 0.19 21680 1 0.03 -1 -1 33808 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65716 32 32 363 295 1 232 88 17 17 289 -1 unnamed_device 25.5 MiB 3.39 1080 16663 5045 8811 2807 64.2 MiB 0.13 0.00 5.63697 -160.415 -5.63697 5.63697 0.87 0.000214536 0.000169284 0.0208783 0.0167881 -1 -1 -1 -1 34 3263 37 6.89349e+06 338252 618332. 2139.56 1.96 0.0871053 0.071511 25762 151098 -1 2346 21 1863 2593 189943 44204 4.44739 4.44739 -150.221 -4.44739 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.013795 0.0119333 153 61 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 6.58 vpr 63.39 MiB -1 -1 0.19 21300 1 0.03 -1 -1 33848 -1 -1 18 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64916 29 32 248 215 1 160 79 17 17 289 -1 unnamed_device 25.0 MiB 1.98 852 11909 3160 6915 1834 63.4 MiB 0.07 0.00 3.19582 -98.8741 -3.19582 3.19582 0.87 0.000154617 0.000122625 0.012206 0.00978114 -1 -1 -1 -1 34 1998 28 6.89349e+06 253689 618332. 2139.56 1.42 0.0566323 0.0465394 25762 151098 -1 1744 19 990 1363 98877 22900 2.88911 2.88911 -99.3738 -2.88911 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.00941014 0.00818673 102 27 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 8.99 vpr 64.21 MiB -1 -1 0.18 21524 1 0.03 -1 -1 33960 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65756 32 32 370 297 1 234 88 17 17 289 -1 unnamed_device 25.3 MiB 3.74 1298 15493 4676 9050 1767 64.2 MiB 0.12 0.00 4.1661 -138.014 -4.1661 4.1661 0.90 0.000240919 0.000194263 0.0198154 0.0158833 -1 -1 -1 -1 34 3382 50 6.89349e+06 338252 618332. 2139.56 1.93 0.0933646 0.0764721 25762 151098 -1 2818 21 2116 3346 245686 55521 3.72535 3.72535 -140.74 -3.72535 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0139328 0.0120557 159 58 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 7.88 vpr 63.96 MiB -1 -1 0.20 21536 1 0.03 -1 -1 33668 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65496 32 32 338 269 1 205 86 17 17 289 -1 unnamed_device 25.2 MiB 2.72 1059 14450 4622 7260 2568 64.0 MiB 0.10 0.00 4.13204 -133.409 -4.13204 4.13204 0.86 0.000207884 0.000163843 0.0176867 0.0141329 -1 -1 -1 -1 34 2659 50 6.89349e+06 310065 618332. 2139.56 1.95 0.087335 0.0716111 25762 151098 -1 2275 19 1487 2177 187547 40425 3.10146 3.10146 -121.303 -3.10146 0 0 787024. 2723.27 0.29 0.05 0.13 -1 -1 0.29 0.0119671 0.0103802 142 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 8.10 vpr 63.51 MiB -1 -1 0.20 21580 1 0.03 -1 -1 33508 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65036 32 32 323 276 1 215 85 17 17 289 -1 unnamed_device 24.8 MiB 3.11 1220 14965 4341 8910 1714 63.5 MiB 0.11 0.00 3.67155 -130.035 -3.67155 3.67155 0.86 0.000194765 0.000153851 0.0174776 0.0139938 -1 -1 -1 -1 34 2727 43 6.89349e+06 295971 618332. 2139.56 1.75 0.0765472 0.0627204 25762 151098 -1 2283 21 1426 1856 144371 32637 2.81996 2.81996 -120.618 -2.81996 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0123266 0.0106877 131 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 5.69 vpr 63.40 MiB -1 -1 0.16 21244 1 0.03 -1 -1 33892 -1 -1 15 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64920 30 32 222 206 1 141 77 17 17 289 -1 unnamed_device 24.9 MiB 1.81 773 8390 2474 4676 1240 63.4 MiB 0.05 0.00 2.65863 -91.3953 -2.65863 2.65863 0.87 0.000150124 0.000119156 0.00884611 0.00714858 -1 -1 -1 -1 30 1654 19 6.89349e+06 211408 556674. 1926.21 0.85 0.0315785 0.0261245 25186 138497 -1 1419 17 650 748 54641 12715 2.06407 2.06407 -89.984 -2.06407 0 0 706193. 2443.58 0.28 0.03 0.12 -1 -1 0.28 0.00747759 0.00650192 82 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 9.54 vpr 63.87 MiB -1 -1 0.19 21540 1 0.03 -1 -1 34016 -1 -1 19 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65400 31 32 291 243 1 179 82 17 17 289 -1 unnamed_device 24.9 MiB 3.28 980 13254 3823 7828 1603 63.9 MiB 0.09 0.00 4.85214 -146.508 -4.85214 4.85214 0.87 0.000174741 0.000137893 0.0149417 0.0119799 -1 -1 -1 -1 30 2316 25 6.89349e+06 267783 556674. 1926.21 3.06 0.0835773 0.0684444 25186 138497 -1 1957 21 1095 1745 135680 29709 3.44645 3.44645 -132.388 -3.44645 0 0 706193. 2443.58 0.27 0.04 0.12 -1 -1 0.27 0.0109613 0.00948978 117 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 6.79 vpr 63.91 MiB -1 -1 0.20 21524 1 0.03 -1 -1 34128 -1 -1 34 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 32 32 342 271 1 207 98 17 17 289 -1 unnamed_device 25.3 MiB 1.90 1134 18323 5038 11357 1928 63.9 MiB 0.13 0.00 4.77763 -150.944 -4.77763 4.77763 0.87 0.000211794 0.000169677 0.0186971 0.0151112 -1 -1 -1 -1 34 2697 21 6.89349e+06 479191 618332. 2139.56 1.61 0.0741239 0.0609715 25762 151098 -1 2339 24 1570 2408 208449 46358 3.91014 3.91014 -143.319 -3.91014 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.014082 0.0121288 151 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 7.89 vpr 64.22 MiB -1 -1 0.20 21824 1 0.03 -1 -1 33656 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65764 32 32 372 300 1 229 87 17 17 289 -1 unnamed_device 25.3 MiB 2.37 1226 16023 5263 8066 2694 64.2 MiB 0.12 0.00 4.5284 -136.451 -4.5284 4.5284 0.87 0.000226913 0.000169465 0.0197733 0.0157684 -1 -1 -1 -1 36 2809 22 6.89349e+06 324158 648988. 2245.63 2.26 0.0896427 0.0740442 26050 158493 -1 2359 21 1619 2528 181970 39402 3.8927 3.8927 -135.308 -3.8927 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0140121 0.0121505 156 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 6.49 vpr 63.20 MiB -1 -1 0.17 21312 1 0.03 -1 -1 34340 -1 -1 18 26 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64720 26 32 190 182 1 126 76 17 17 289 -1 unnamed_device 24.5 MiB 1.72 418 9996 4130 4980 886 63.2 MiB 0.05 0.00 2.70371 -73.8386 -2.70371 2.70371 0.92 0.000126079 9.8583e-05 0.0100499 0.00815439 -1 -1 -1 -1 34 1435 48 6.89349e+06 253689 618332. 2139.56 1.62 0.0484037 0.0395386 25762 151098 -1 992 15 616 732 54609 13861 2.01835 2.01835 -68.0094 -2.01835 0 0 787024. 2723.27 0.30 0.02 0.13 -1 -1 0.30 0.00614773 0.00537018 75 30 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 6.34 vpr 63.64 MiB -1 -1 0.18 21380 1 0.03 -1 -1 33928 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65164 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 25.1 MiB 1.54 1067 12375 3267 7751 1357 63.6 MiB 0.10 0.00 4.54727 -128.116 -4.54727 4.54727 0.88 0.00018808 0.000149125 0.014201 0.0114221 -1 -1 -1 -1 34 2366 30 6.89349e+06 324158 618332. 2139.56 1.60 0.0662961 0.0546645 25762 151098 -1 2096 19 1286 2385 179552 39922 3.6391 3.6391 -122.053 -3.6391 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0113849 0.00991717 119 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 4.74 vpr 63.21 MiB -1 -1 0.15 21196 1 0.03 -1 -1 33596 -1 -1 12 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64732 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 24.6 MiB 0.68 403 9836 3145 4361 2330 63.2 MiB 0.04 0.00 2.34152 -71.3945 -2.34152 2.34152 0.90 0.000125186 9.7798e-05 0.0088304 0.00706624 -1 -1 -1 -1 32 1221 23 6.89349e+06 169126 586450. 2029.24 0.87 0.0291468 0.0239823 25474 144626 -1 935 22 680 881 63446 17592 2.01306 2.01306 -72.7771 -2.01306 0 0 744469. 2576.02 0.28 0.03 0.13 -1 -1 0.28 0.00770049 0.00661744 65 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 6.96 vpr 63.81 MiB -1 -1 0.18 21580 1 0.03 -1 -1 33960 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65340 32 32 300 245 1 187 84 17 17 289 -1 unnamed_device 25.2 MiB 2.21 984 14175 4702 7220 2253 63.8 MiB 0.10 0.00 4.89708 -136.259 -4.89708 4.89708 0.90 0.000185985 0.000147326 0.0168321 0.0136475 -1 -1 -1 -1 34 2464 24 6.89349e+06 281877 618332. 2139.56 1.55 0.069935 0.0570079 25762 151098 -1 1959 18 1130 1661 120876 27312 3.76256 3.76256 -122.693 -3.76256 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0105594 0.00921037 125 24 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 5.39 vpr 63.69 MiB -1 -1 0.18 21624 1 0.03 -1 -1 34180 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65216 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 25.1 MiB 1.09 988 16079 4364 9917 1798 63.7 MiB 0.12 0.00 3.39295 -107.482 -3.39295 3.39295 0.95 0.000186774 0.000147218 0.0155786 0.012451 -1 -1 -1 -1 28 2520 24 6.89349e+06 436909 531479. 1839.03 1.02 0.0495745 0.040932 24610 126494 -1 2310 22 1455 2525 183042 43297 2.94641 2.94641 -112.319 -2.94641 0 0 648988. 2245.63 0.26 0.05 0.11 -1 -1 0.26 0.0121503 0.0104621 130 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 8.50 vpr 64.37 MiB -1 -1 0.22 21404 1 0.03 -1 -1 33868 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65916 32 32 338 277 1 215 87 17 17 289 -1 unnamed_device 25.6 MiB 3.25 1111 15639 4885 7776 2978 64.4 MiB 0.12 0.00 4.89143 -136.037 -4.89143 4.89143 0.89 0.000207019 0.000163099 0.0192408 0.0153268 -1 -1 -1 -1 38 2557 22 6.89349e+06 324158 678818. 2348.85 1.88 0.0771184 0.0634838 26626 170182 -1 2201 21 1376 2078 155445 32828 3.67726 3.67726 -123.649 -3.67726 0 0 902133. 3121.57 0.34 0.05 0.15 -1 -1 0.34 0.0126882 0.0109504 142 50 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 7.72 vpr 63.39 MiB -1 -1 0.18 21628 1 0.03 -1 -1 33408 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64916 32 32 284 241 1 177 81 17 17 289 -1 unnamed_device 24.9 MiB 2.95 990 13381 4491 7116 1774 63.4 MiB 0.10 0.00 3.64535 -123.731 -3.64535 3.64535 0.87 0.000187765 0.000149281 0.0167903 0.0135444 -1 -1 -1 -1 34 2272 22 6.89349e+06 239595 618332. 2139.56 1.52 0.0648108 0.0532143 25762 151098 -1 1932 21 1182 1772 132877 30221 3.01066 3.01066 -119.775 -3.01066 0 0 787024. 2723.27 0.29 0.05 0.14 -1 -1 0.29 0.0112991 0.00977726 112 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 7.67 vpr 63.68 MiB -1 -1 0.18 21392 1 0.03 -1 -1 33612 -1 -1 17 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65212 30 32 262 227 1 161 79 17 17 289 -1 unnamed_device 25.0 MiB 2.36 909 12754 4731 6611 1412 63.7 MiB 0.08 0.00 4.01762 -117.054 -4.01762 4.01762 0.90 0.000175382 0.000138981 0.0147816 0.0118638 -1 -1 -1 -1 34 2214 31 6.89349e+06 239595 618332. 2139.56 1.97 0.0712565 0.0591749 25762 151098 -1 1810 31 1254 2280 302828 126933 3.3027 3.3027 -110.07 -3.3027 0 0 787024. 2723.27 0.34 0.09 0.14 -1 -1 0.34 0.013792 0.011779 104 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 7.58 vpr 63.55 MiB -1 -1 0.18 21108 1 0.03 -1 -1 33708 -1 -1 20 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65072 28 32 260 223 1 163 80 17 17 289 -1 unnamed_device 25.1 MiB 2.53 829 10744 2823 7309 612 63.5 MiB 0.07 0.00 4.27226 -119.167 -4.27226 4.27226 0.87 0.000166808 0.000131094 0.0119062 0.00953428 -1 -1 -1 -1 34 2243 35 6.89349e+06 281877 618332. 2139.56 1.90 0.0609143 0.0497295 25762 151098 -1 1746 16 1011 1667 124248 27774 3.45175 3.45175 -114.697 -3.45175 0 0 787024. 2723.27 0.31 0.04 0.13 -1 -1 0.31 0.00895902 0.00777382 107 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 5.79 vpr 63.37 MiB -1 -1 0.17 21132 1 0.03 -1 -1 33648 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64892 32 32 253 210 1 156 81 17 17 289 -1 unnamed_device 24.7 MiB 1.60 714 11106 3926 4953 2227 63.4 MiB 0.07 0.00 3.82748 -115.489 -3.82748 3.82748 0.86 0.000160618 0.000126928 0.0116481 0.00929028 -1 -1 -1 -1 30 2318 26 6.89349e+06 239595 556674. 1926.21 1.07 0.0402944 0.0331175 25186 138497 -1 1775 21 1230 2035 152867 35481 3.02446 3.02446 -116.652 -3.02446 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.0104922 0.0090933 101 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 7.12 vpr 63.62 MiB -1 -1 0.19 21116 1 0.03 -1 -1 34112 -1 -1 18 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65144 31 32 271 231 1 172 81 17 17 289 -1 unnamed_device 25.1 MiB 2.30 960 14081 4470 7746 1865 63.6 MiB 0.09 0.00 3.58045 -113.742 -3.58045 3.58045 0.86 0.000174776 0.000138686 0.0157125 0.0126894 -1 -1 -1 -1 34 2228 27 6.89349e+06 253689 618332. 2139.56 1.64 0.0640585 0.0526174 25762 151098 -1 1952 22 1147 1743 143098 31691 2.80601 2.80601 -107.332 -2.80601 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0110174 0.00953755 108 30 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 8.18 vpr 63.58 MiB -1 -1 0.19 21720 1 0.03 -1 -1 33808 -1 -1 22 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65108 29 32 291 250 1 185 83 17 17 289 -1 unnamed_device 25.0 MiB 3.12 955 13763 4007 8316 1440 63.6 MiB 0.09 0.00 3.50915 -107.043 -3.50915 3.50915 0.89 0.000177216 0.000139437 0.0152351 0.0121653 -1 -1 -1 -1 36 2068 25 6.89349e+06 310065 648988. 2245.63 1.73 0.0681436 0.0563474 26050 158493 -1 1863 22 1037 1440 101893 22703 2.57556 2.57556 -101.163 -2.57556 0 0 828058. 2865.25 0.31 0.04 0.15 -1 -1 0.31 0.0117574 0.01014 120 54 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 7.51 vpr 64.34 MiB -1 -1 0.19 21360 1 0.03 -1 -1 34052 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65880 32 32 367 282 1 224 89 17 17 289 -1 unnamed_device 25.4 MiB 2.12 1344 6623 1379 4726 518 64.3 MiB 0.07 0.00 4.57545 -133.188 -4.57545 4.57545 0.87 0.000265472 0.000219161 0.00956012 0.00784696 -1 -1 -1 -1 36 2931 18 6.89349e+06 352346 648988. 2245.63 2.19 0.0725018 0.0603118 26050 158493 -1 2509 19 1364 2352 169188 37207 3.89416 3.89416 -129.763 -3.89416 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0134012 0.0116214 159 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 8.69 vpr 64.50 MiB -1 -1 0.19 21508 1 0.03 -1 -1 33668 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66048 32 32 391 311 1 250 88 17 17 289 -1 unnamed_device 25.6 MiB 3.11 1314 15103 4520 8282 2301 64.5 MiB 0.11 0.00 4.62597 -154.671 -4.62597 4.62597 0.88 0.000241954 0.000174667 0.0199846 0.0161171 -1 -1 -1 -1 38 2891 23 6.89349e+06 338252 678818. 2348.85 2.16 0.101792 0.0861614 26626 170182 -1 2554 24 2212 3209 243302 52794 3.76655 3.76655 -142.691 -3.76655 0 0 902133. 3121.57 0.33 0.08 0.14 -1 -1 0.33 0.0178651 0.0152686 168 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 7.22 vpr 63.72 MiB -1 -1 0.19 21560 1 0.03 -1 -1 33356 -1 -1 18 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65248 31 32 279 237 1 167 81 17 17 289 -1 unnamed_device 25.1 MiB 2.32 891 12681 4633 6140 1908 63.7 MiB 0.08 0.00 4.00748 -121.286 -4.00748 4.00748 0.88 0.000167801 0.00013189 0.0136682 0.0109159 -1 -1 -1 -1 34 2241 20 6.89349e+06 253689 618332. 2139.56 1.70 0.0604483 0.0494803 25762 151098 -1 1909 20 958 1482 148975 31008 3.08205 3.08205 -114.277 -3.08205 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0109286 0.00945882 109 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 8.63 vpr 64.05 MiB -1 -1 0.20 21568 1 0.03 -1 -1 34192 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65592 31 32 370 297 1 235 88 17 17 289 -1 unnamed_device 25.3 MiB 3.43 1219 12958 3345 8411 1202 64.1 MiB 0.11 0.00 4.38103 -136.881 -4.38103 4.38103 0.87 0.000214516 0.000167273 0.0169755 0.0135967 -1 -1 -1 -1 34 3233 38 6.89349e+06 352346 618332. 2139.56 1.92 0.0893028 0.0733587 25762 151098 -1 2633 17 1526 2281 168204 37222 3.963 3.963 -135.949 -3.963 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.013326 0.0116282 160 61 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 9.61 vpr 64.67 MiB -1 -1 0.19 21844 1 0.03 -1 -1 33784 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66224 31 32 377 302 1 241 88 17 17 289 -1 unnamed_device 25.9 MiB 3.73 1259 16858 6134 8135 2589 64.7 MiB 0.13 0.00 5.51507 -165.9 -5.51507 5.51507 0.89 0.000217228 0.000171727 0.021436 0.0172903 -1 -1 -1 -1 34 3658 48 6.89349e+06 352346 618332. 2139.56 2.44 0.101261 0.0835919 25762 151098 -1 2837 19 1954 2862 268934 54976 4.90688 4.90688 -164.555 -4.90688 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0165894 0.0145369 163 64 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 8.70 vpr 64.23 MiB -1 -1 0.21 21672 1 0.03 -1 -1 33804 -1 -1 25 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65768 31 32 383 305 1 240 88 17 17 289 -1 unnamed_device 25.3 MiB 3.60 1190 15688 5780 7361 2547 64.2 MiB 0.12 0.00 5.54088 -167.719 -5.54088 5.54088 0.87 0.00022965 0.000183606 0.0209817 0.0170536 -1 -1 -1 -1 36 2845 29 6.89349e+06 352346 648988. 2245.63 1.81 0.0848826 0.0696389 26050 158493 -1 2560 21 1818 2649 192963 43117 4.66028 4.66028 -159.451 -4.66028 0 0 828058. 2865.25 0.33 0.06 0.14 -1 -1 0.33 0.0140163 0.0121507 166 64 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 7.73 vpr 64.15 MiB -1 -1 0.20 21580 1 0.03 -1 -1 34064 -1 -1 24 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65692 31 32 352 285 1 223 87 17 17 289 -1 unnamed_device 25.3 MiB 2.84 1192 10071 2510 6717 844 64.2 MiB 0.09 0.00 4.12652 -128.326 -4.12652 4.12652 0.88 0.000204637 0.000161764 0.0128692 0.0103678 -1 -1 -1 -1 34 3003 29 6.89349e+06 338252 618332. 2139.56 1.64 0.0718484 0.0589535 25762 151098 -1 2411 19 1685 2497 182458 40756 3.06536 3.06536 -118.85 -3.06536 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0123196 0.0106909 148 55 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 7.39 vpr 63.41 MiB -1 -1 0.24 21464 1 0.03 -1 -1 33764 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64936 32 32 291 242 1 188 84 17 17 289 -1 unnamed_device 24.8 MiB 2.17 1042 13992 4713 7020 2259 63.4 MiB 0.09 0.00 4.55135 -122.838 -4.55135 4.55135 0.89 0.000186023 0.000146247 0.0160372 0.0128928 -1 -1 -1 -1 36 2449 22 6.89349e+06 281877 648988. 2245.63 1.83 0.0691096 0.0573564 26050 158493 -1 2067 17 1068 1504 111942 24821 3.83 3.83 -120.094 -3.83 0 0 828058. 2865.25 0.31 0.04 0.16 -1 -1 0.31 0.0102246 0.00893711 120 27 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 11.06 vpr 64.70 MiB -1 -1 0.21 21836 1 0.03 -1 -1 34144 -1 -1 31 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66248 32 32 457 356 1 296 95 17 17 289 -1 unnamed_device 25.8 MiB 3.90 1364 18239 5649 8664 3926 64.7 MiB 0.15 0.00 5.39684 -169.798 -5.39684 5.39684 0.87 0.00025467 0.000202474 0.0241345 0.0193968 -1 -1 -1 -1 38 3436 29 6.89349e+06 436909 678818. 2348.85 3.70 0.108771 0.0898374 26626 170182 -1 2763 22 2303 3425 225653 53510 4.45139 4.45139 -158.876 -4.45139 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0181762 0.0157852 203 87 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 7.15 vpr 63.67 MiB -1 -1 0.18 21476 1 0.03 -1 -1 33620 -1 -1 18 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65200 31 32 261 225 1 171 81 17 17 289 -1 unnamed_device 24.9 MiB 2.45 847 14606 5701 6811 2094 63.7 MiB 0.09 0.00 3.7437 -110.885 -3.7437 3.7437 0.86 0.000161833 0.000126952 0.015082 0.0120729 -1 -1 -1 -1 34 2267 24 6.89349e+06 253689 618332. 2139.56 1.44 0.0626368 0.0512795 25762 151098 -1 1904 18 1147 1538 109956 25270 3.04966 3.04966 -107.803 -3.04966 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.00936004 0.00815747 106 28 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 6.54 vpr 63.90 MiB -1 -1 0.20 21516 1 0.03 -1 -1 33716 -1 -1 23 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65436 31 32 337 267 1 207 86 17 17 289 -1 unnamed_device 25.2 MiB 2.29 1157 11993 3200 7722 1071 63.9 MiB 0.10 0.00 4.79572 -144.196 -4.79572 4.79572 0.96 0.000216984 0.00017288 0.0157461 0.0127641 -1 -1 -1 -1 30 2885 23 6.89349e+06 324158 556674. 1926.21 0.97 0.0509703 0.0420582 25186 138497 -1 2325 20 1370 2211 156865 34337 3.9931 3.9931 -137.075 -3.9931 0 0 706193. 2443.58 0.29 0.05 0.12 -1 -1 0.29 0.0129763 0.0112568 140 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 8.56 vpr 64.15 MiB -1 -1 0.17 21600 1 0.03 -1 -1 33796 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65692 32 32 349 284 1 222 87 17 17 289 -1 unnamed_device 25.4 MiB 3.49 1255 9111 2360 6068 683 64.2 MiB 0.08 0.00 4.32959 -133.247 -4.32959 4.32959 0.87 0.000202904 0.000160799 0.0112855 0.00912266 -1 -1 -1 -1 34 3300 43 6.89349e+06 324158 618332. 2139.56 1.86 0.0810333 0.0668438 25762 151098 -1 2606 22 1582 2655 192368 43364 3.5174 3.5174 -127.286 -3.5174 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0155443 0.0135373 149 53 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 5.41 vpr 63.65 MiB -1 -1 0.17 21516 1 0.03 -1 -1 33716 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65176 32 32 291 230 1 175 90 17 17 289 -1 unnamed_device 25.1 MiB 1.19 980 8934 2029 6214 691 63.6 MiB 0.08 0.00 4.26729 -129.015 -4.26729 4.26729 0.88 0.000186274 0.00014782 0.0105544 0.00863692 -1 -1 -1 -1 32 2710 19 6.89349e+06 366440 586450. 2029.24 0.95 0.039582 0.032944 25474 144626 -1 2224 21 1333 2551 219755 47788 3.5072 3.5072 -124.725 -3.5072 0 0 744469. 2576.02 0.29 0.06 0.14 -1 -1 0.29 0.0115817 0.00996786 123 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 8.25 vpr 64.01 MiB -1 -1 0.20 21420 1 0.03 -1 -1 33776 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65548 32 32 353 287 1 220 87 17 17 289 -1 unnamed_device 25.2 MiB 2.65 1059 12951 4043 6051 2857 64.0 MiB 0.11 0.00 4.45401 -129.14 -4.45401 4.45401 0.88 0.000255288 0.000202689 0.0167644 0.0134365 -1 -1 -1 -1 36 2779 21 6.89349e+06 324158 648988. 2245.63 2.31 0.0738512 0.0605748 26050 158493 -1 2152 20 1610 2295 178017 39817 3.10276 3.10276 -115.251 -3.10276 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0135618 0.0117874 148 55 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 8.75 vpr 64.35 MiB -1 -1 0.19 21532 1 0.03 -1 -1 33952 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65896 32 32 361 291 1 233 88 17 17 289 -1 unnamed_device 25.4 MiB 3.43 1253 16468 4636 10263 1569 64.4 MiB 0.12 0.00 4.19329 -135.481 -4.19329 4.19329 0.88 0.000323816 0.000260762 0.0198233 0.0158857 -1 -1 -1 -1 36 3187 25 6.89349e+06 338252 648988. 2245.63 2.02 0.0771515 0.0630639 26050 158493 -1 2602 20 1650 2573 193342 42638 3.59435 3.59435 -129.638 -3.59435 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0132238 0.011446 154 55 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 8.48 vpr 64.62 MiB -1 -1 0.20 21692 1 0.03 -1 -1 33768 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66168 32 32 382 305 1 243 89 17 17 289 -1 unnamed_device 25.6 MiB 3.31 1372 15929 5275 8784 1870 64.6 MiB 0.13 0.00 4.08378 -136.371 -4.08378 4.08378 0.88 0.00024939 0.000172743 0.0205947 0.0165734 -1 -1 -1 -1 34 3362 24 6.89349e+06 352346 618332. 2139.56 1.82 0.0872811 0.0717787 25762 151098 -1 2766 19 1860 2580 210207 46305 3.09876 3.09876 -128.874 -3.09876 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.0135505 0.0118026 162 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 7.12 vpr 63.89 MiB -1 -1 0.16 21608 1 0.03 -1 -1 33892 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65420 32 32 306 248 1 188 85 17 17 289 -1 unnamed_device 25.3 MiB 2.11 1045 14593 4202 8807 1584 63.9 MiB 0.10 0.00 4.52205 -134.216 -4.52205 4.52205 0.90 0.000244859 0.000200286 0.016905 0.0135666 -1 -1 -1 -1 34 2490 46 6.89349e+06 295971 618332. 2139.56 1.78 0.0805238 0.0662346 25762 151098 -1 2130 21 1363 2223 147926 34667 3.74036 3.74036 -127.635 -3.74036 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0122592 0.0105518 128 24 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 7.35 vpr 63.97 MiB -1 -1 0.21 21532 1 0.03 -1 -1 34144 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65504 32 32 319 257 1 203 86 17 17 289 -1 unnamed_device 25.2 MiB 2.53 987 13883 3666 8021 2196 64.0 MiB 0.10 0.00 4.84598 -138.838 -4.84598 4.84598 0.89 0.000211646 0.000162171 0.0167419 0.0134463 -1 -1 -1 -1 34 2558 31 6.89349e+06 310065 618332. 2139.56 1.57 0.0750353 0.061534 25762 151098 -1 2181 19 1428 2105 149429 34251 3.7003 3.7003 -129.472 -3.7003 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0114728 0.00992739 135 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 8.85 vpr 64.21 MiB -1 -1 0.21 21668 1 0.03 -1 -1 33756 -1 -1 23 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65752 31 32 373 299 1 227 86 17 17 289 -1 unnamed_device 25.3 MiB 3.04 1211 14828 4402 8079 2347 64.2 MiB 0.12 0.00 4.74072 -143.031 -4.74072 4.74072 0.87 0.000235172 0.000189527 0.0190479 0.0152447 -1 -1 -1 -1 36 3108 20 6.89349e+06 324158 648988. 2245.63 2.39 0.0821017 0.0677276 26050 158493 -1 2499 22 1859 2953 201173 44890 3.8508 3.8508 -134.377 -3.8508 0 0 828058. 2865.25 0.33 0.06 0.20 -1 -1 0.33 0.0151513 0.0131421 156 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 9.11 vpr 64.49 MiB -1 -1 0.19 21516 1 0.03 -1 -1 34224 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66036 32 32 387 315 1 249 89 17 17 289 -1 unnamed_device 25.6 MiB 3.84 1258 10979 3006 7476 497 64.5 MiB 0.09 0.00 4.38808 -134.784 -4.38808 4.38808 0.91 0.000233508 0.000187004 0.0146118 0.0117304 -1 -1 -1 -1 34 3563 33 6.89349e+06 352346 618332. 2139.56 1.89 0.0806024 0.0657894 25762 151098 -1 2828 18 1981 2913 201286 46276 3.89396 3.89396 -136.54 -3.89396 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0126381 0.0109413 166 77 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 5.77 vpr 63.46 MiB -1 -1 0.18 21184 1 0.03 -1 -1 34052 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64988 32 32 251 219 1 156 79 17 17 289 -1 unnamed_device 24.8 MiB 1.82 732 6839 1674 4948 217 63.5 MiB 0.05 0.00 3.52919 -107.237 -3.52919 3.52919 0.88 0.000158517 0.000124819 0.0080669 0.00657729 -1 -1 -1 -1 30 2067 32 6.89349e+06 211408 556674. 1926.21 0.87 0.0386345 0.0322536 25186 138497 -1 1612 19 901 1393 89815 21726 2.59451 2.59451 -100.794 -2.59451 0 0 706193. 2443.58 0.28 0.03 0.12 -1 -1 0.28 0.00925818 0.00806481 96 23 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 7.59 vpr 64.36 MiB -1 -1 0.17 21676 1 0.03 -1 -1 33840 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65908 32 32 341 285 1 219 84 17 17 289 -1 unnamed_device 25.6 MiB 2.34 1174 13260 4046 7113 2101 64.4 MiB 0.10 0.00 4.29355 -148.609 -4.29355 4.29355 0.87 0.000202666 0.000160106 0.0165662 0.0133078 -1 -1 -1 -1 36 2755 25 6.89349e+06 281877 648988. 2245.63 2.03 0.0768323 0.0631697 26050 158493 -1 2310 19 1602 2184 177150 37060 3.48465 3.48465 -140.596 -3.48465 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0120959 0.0105556 138 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 8.41 vpr 64.25 MiB -1 -1 0.21 21924 1 0.03 -1 -1 33976 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65792 32 32 387 293 1 237 89 17 17 289 -1 unnamed_device 25.5 MiB 2.75 1358 17711 6857 9017 1837 64.2 MiB 0.15 0.00 5.47492 -162.011 -5.47492 5.47492 0.89 0.000234337 0.000184977 0.0230645 0.0184228 -1 -1 -1 -1 36 3307 24 6.89349e+06 352346 648988. 2245.63 2.23 0.0914352 0.0752617 26050 158493 -1 2710 21 1821 2824 198903 44515 4.51965 4.51965 -153.16 -4.51965 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0150431 0.0130059 168 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 7.96 vpr 64.25 MiB -1 -1 0.19 21508 1 0.03 -1 -1 34172 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65788 32 32 340 270 1 212 86 17 17 289 -1 unnamed_device 25.4 MiB 2.64 1178 13694 4056 7919 1719 64.2 MiB 0.11 0.00 4.47216 -142.443 -4.47216 4.47216 0.87 0.000212295 0.000168884 0.0171037 0.0137963 -1 -1 -1 -1 34 2809 24 6.89349e+06 310065 618332. 2139.56 2.10 0.0827224 0.0682788 25762 151098 -1 2332 18 1519 2197 170175 37027 3.02241 3.02241 -123.52 -3.02241 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.01211 0.0105586 144 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 6.80 vpr 63.78 MiB -1 -1 0.18 21500 1 0.03 -1 -1 34036 -1 -1 27 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65312 30 32 278 235 1 175 89 17 17 289 -1 unnamed_device 25.0 MiB 2.05 951 12563 3271 8399 893 63.8 MiB 0.09 0.00 4.13238 -126.06 -4.13238 4.13238 0.88 0.000176476 0.000139397 0.0130066 0.0104352 -1 -1 -1 -1 34 2327 25 6.89349e+06 380534 618332. 2139.56 1.51 0.0613547 0.0503494 25762 151098 -1 2025 22 1297 2018 167340 37083 3.77555 3.77555 -130.14 -3.77555 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0120739 0.0103751 118 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 11.30 vpr 64.41 MiB -1 -1 0.20 21920 1 0.03 -1 -1 33904 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65956 32 32 431 332 1 270 91 17 17 289 -1 unnamed_device 25.5 MiB 4.43 1567 13555 4048 7346 2161 64.4 MiB 0.13 0.00 6.44359 -187.4 -6.44359 6.44359 0.90 0.000259704 0.000206441 0.0194302 0.0156467 -1 -1 -1 -1 36 3996 24 6.89349e+06 380534 648988. 2245.63 3.51 0.107723 0.0904502 26050 158493 -1 3297 22 2374 3728 349639 71806 5.33889 5.33889 -177.809 -5.33889 0 0 828058. 2865.25 0.32 0.09 0.14 -1 -1 0.32 0.0166698 0.0143769 188 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 6.85 vpr 63.89 MiB -1 -1 0.19 21384 1 0.03 -1 -1 33972 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65428 32 32 336 268 1 205 85 17 17 289 -1 unnamed_device 25.2 MiB 2.03 1067 14035 3934 8096 2005 63.9 MiB 0.10 0.00 4.72832 -145.11 -4.72832 4.72832 0.87 0.000207986 0.000164228 0.0170896 0.0136728 -1 -1 -1 -1 34 2595 24 6.89349e+06 295971 618332. 2139.56 1.50 0.0727815 0.059682 25762 151098 -1 2235 22 1787 2518 195167 43859 3.9007 3.9007 -137.409 -3.9007 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0139607 0.0121214 139 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 4.96 vpr 63.57 MiB -1 -1 0.17 21000 1 0.03 -1 -1 34140 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65100 32 32 231 199 1 142 88 17 17 289 -1 unnamed_device 24.9 MiB 0.96 695 14713 4314 8460 1939 63.6 MiB 0.09 0.00 3.6346 -100.535 -3.6346 3.6346 0.86 0.000152384 0.000119735 0.0127958 0.0101573 -1 -1 -1 -1 28 1921 19 6.89349e+06 338252 531479. 1839.03 0.93 0.0375383 0.0310653 24610 126494 -1 1691 22 1132 2010 173636 39630 2.82941 2.82941 -100.021 -2.82941 0 0 648988. 2245.63 0.26 0.05 0.12 -1 -1 0.26 0.00953107 0.00817972 94 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 8.24 vpr 64.16 MiB -1 -1 0.20 21504 1 0.03 -1 -1 33768 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65696 32 32 349 273 1 214 87 17 17 289 -1 unnamed_device 25.3 MiB 2.70 1217 14679 5443 7869 1367 64.2 MiB 0.12 0.00 5.41897 -143.636 -5.41897 5.41897 0.90 0.000208187 0.000164324 0.0180294 0.0144803 -1 -1 -1 -1 34 3127 23 6.89349e+06 324158 618332. 2139.56 2.19 0.0798716 0.065814 25762 151098 -1 2493 23 1492 2833 239730 50744 4.52875 4.52875 -141.093 -4.52875 0 0 787024. 2723.27 0.32 0.08 0.14 -1 -1 0.32 0.0169528 0.0147723 149 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 6.02 vpr 63.48 MiB -1 -1 0.15 21116 1 0.03 -1 -1 33876 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65004 32 32 247 207 1 153 83 17 17 289 -1 unnamed_device 24.8 MiB 1.27 843 14303 4411 8253 1639 63.5 MiB 0.09 0.00 3.54325 -111.744 -3.54325 3.54325 0.90 0.000160496 0.00012702 0.0144331 0.0116025 -1 -1 -1 -1 34 2087 30 6.89349e+06 267783 618332. 2139.56 1.55 0.0613956 0.050387 25762 151098 -1 1778 19 1107 1988 160160 33986 2.69866 2.69866 -106.27 -2.69866 0 0 787024. 2723.27 0.29 0.04 0.14 -1 -1 0.29 0.00871485 0.00754703 98 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 7.05 vpr 63.84 MiB -1 -1 0.18 21348 1 0.03 -1 -1 34140 -1 -1 20 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65372 30 32 278 235 1 175 82 17 17 289 -1 unnamed_device 25.3 MiB 1.97 773 9160 2547 5968 645 63.8 MiB 0.07 0.00 4.07968 -116.565 -4.07968 4.07968 0.87 0.000168988 0.000134149 0.00999903 0.00805093 -1 -1 -1 -1 36 2054 21 6.89349e+06 281877 648988. 2245.63 1.91 0.0590784 0.0488576 26050 158493 -1 1793 19 1139 1633 120373 28155 3.23906 3.23906 -112.268 -3.23906 0 0 828058. 2865.25 0.31 0.04 0.14 -1 -1 0.31 0.0106213 0.00927478 113 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 9.48 vpr 64.39 MiB -1 -1 0.19 21716 1 0.03 -1 -1 34076 -1 -1 26 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65932 29 32 355 287 1 224 87 17 17 289 -1 unnamed_device 25.5 MiB 4.25 1139 8919 2183 6102 634 64.4 MiB 0.09 0.00 4.48897 -131.496 -4.48897 4.48897 0.89 0.00023292 0.00018955 0.0132077 0.0109389 -1 -1 -1 -1 34 3051 27 6.89349e+06 366440 618332. 2139.56 1.95 0.0807409 0.066276 25762 151098 -1 2534 20 1630 2380 194289 42924 3.74455 3.74455 -127.937 -3.74455 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0129559 0.0112123 154 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 8.38 vpr 64.22 MiB -1 -1 0.18 21512 1 0.03 -1 -1 33740 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65764 32 32 358 289 1 230 86 17 17 289 -1 unnamed_device 25.3 MiB 3.09 1122 9158 2488 6122 548 64.2 MiB 0.08 0.00 4.88804 -152.378 -4.88804 4.88804 0.87 0.000218867 0.000166422 0.0121742 0.00968663 -1 -1 -1 -1 36 3060 23 6.89349e+06 310065 648988. 2245.63 2.05 0.0771834 0.063744 26050 158493 -1 2572 21 1939 2826 207505 46566 4.20505 4.20505 -147.248 -4.20505 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.013929 0.0120036 151 54 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 8.19 vpr 64.13 MiB -1 -1 0.19 21500 1 0.03 -1 -1 33956 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65668 32 32 353 285 1 228 87 17 17 289 -1 unnamed_device 25.3 MiB 2.83 1252 12951 3653 7742 1556 64.1 MiB 0.11 0.00 5.47602 -157.843 -5.47602 5.47602 0.96 0.000216545 0.000171341 0.0169538 0.013584 -1 -1 -1 -1 36 3028 40 6.89349e+06 324158 648988. 2245.63 1.95 0.0843726 0.0693144 26050 158493 -1 2626 23 1726 2584 195995 43414 4.44939 4.44939 -152.134 -4.44939 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.0144147 0.012407 150 51 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 7.06 vpr 63.72 MiB -1 -1 0.18 21596 1 0.03 -1 -1 33648 -1 -1 15 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65248 32 32 276 237 1 165 79 17 17 289 -1 unnamed_device 25.0 MiB 2.33 881 10726 3077 6717 932 63.7 MiB 0.08 0.00 4.53843 -126.576 -4.53843 4.53843 0.93 0.000177589 0.000141076 0.0131789 0.0106657 -1 -1 -1 -1 34 2186 24 6.89349e+06 211408 618332. 2139.56 1.51 0.0593135 0.0488972 25762 151098 -1 1901 14 900 1276 93884 21447 3.19321 3.19321 -115.532 -3.19321 0 0 787024. 2723.27 0.29 0.03 0.13 -1 -1 0.29 0.00838106 0.00739634 105 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 7.71 vpr 64.04 MiB -1 -1 0.20 21468 1 0.03 -1 -1 34208 -1 -1 20 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65576 31 32 319 272 1 203 83 17 17 289 -1 unnamed_device 25.3 MiB 2.36 1053 14483 4664 7716 2103 64.0 MiB 0.10 0.00 3.74261 -124.975 -3.74261 3.74261 0.86 0.00019116 0.000150082 0.0167545 0.0133714 -1 -1 -1 -1 36 2563 23 6.89349e+06 281877 648988. 2245.63 2.04 0.0808209 0.0670413 26050 158493 -1 2164 22 1485 2076 149242 33231 3.09511 3.09511 -121.194 -3.09511 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.0146055 0.0126156 131 64 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 7.84 vpr 64.21 MiB -1 -1 0.18 21652 1 0.03 -1 -1 33752 -1 -1 26 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65752 30 32 329 273 1 213 88 17 17 289 -1 unnamed_device 25.4 MiB 2.87 1173 16273 5377 8794 2102 64.2 MiB 0.12 0.00 3.817 -113.195 -3.817 3.817 0.89 0.000199001 0.000157122 0.019174 0.0154011 -1 -1 -1 -1 34 2618 31 6.89349e+06 366440 618332. 2139.56 1.68 0.079373 0.0648642 25762 151098 -1 2167 19 1424 2168 148471 34334 3.03691 3.03691 -109.432 -3.03691 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0126549 0.0110328 142 57 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 6.57 vpr 63.51 MiB -1 -1 0.20 21600 1 0.03 -1 -1 33676 -1 -1 23 28 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65036 28 32 277 229 1 171 83 17 17 289 -1 unnamed_device 25.0 MiB 1.78 909 14123 3958 8851 1314 63.5 MiB 0.09 0.00 4.4511 -113.819 -4.4511 4.4511 0.87 0.000190269 0.000153005 0.015593 0.012582 -1 -1 -1 -1 34 2337 28 6.89349e+06 324158 618332. 2139.56 1.59 0.0663948 0.0546634 25762 151098 -1 1901 21 1023 1801 147034 33072 3.68256 3.68256 -111.515 -3.68256 0 0 787024. 2723.27 0.31 0.04 0.13 -1 -1 0.31 0.0107761 0.00932466 119 27 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 7.85 vpr 63.99 MiB -1 -1 0.18 21632 1 0.03 -1 -1 34036 -1 -1 21 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65528 30 32 317 269 1 202 83 17 17 289 -1 unnamed_device 25.3 MiB 2.54 979 14663 6207 7722 734 64.0 MiB 0.11 0.00 4.62158 -135.813 -4.62158 4.62158 0.87 0.000185792 0.000146188 0.0195364 0.0156069 -1 -1 -1 -1 36 2485 25 6.89349e+06 295971 648988. 2245.63 2.00 0.0767083 0.0631708 26050 158493 -1 2130 21 1831 2535 203191 44657 3.68864 3.68864 -131.093 -3.68864 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.0131674 0.0113969 131 63 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 7.71 vpr 64.39 MiB -1 -1 0.19 21404 1 0.04 -1 -1 33464 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65932 32 32 335 282 1 222 84 17 17 289 -1 unnamed_device 25.6 MiB 2.41 1197 9966 2574 6122 1270 64.4 MiB 0.08 0.00 4.03794 -140.884 -4.03794 4.03794 0.87 0.000193796 0.000152816 0.0120509 0.00967655 -1 -1 -1 -1 34 2973 29 6.89349e+06 281877 618332. 2139.56 2.06 0.0714379 0.0587164 25762 151098 -1 2572 20 1685 2314 206518 44032 3.1324 3.1324 -129.633 -3.1324 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0108127 0.00934711 138 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 5.35 vpr 63.74 MiB -1 -1 0.19 21540 1 0.03 -1 -1 33844 -1 -1 31 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65268 31 32 293 230 1 175 94 17 17 289 -1 unnamed_device 25.2 MiB 1.17 933 8827 1959 6407 461 63.7 MiB 0.08 0.00 4.68742 -132.125 -4.68742 4.68742 0.87 0.000186806 0.000147963 0.0100878 0.00814999 -1 -1 -1 -1 30 2469 28 6.89349e+06 436909 556674. 1926.21 1.05 0.0438982 0.0365201 25186 138497 -1 1985 21 973 1855 124155 27575 3.7575 3.7575 -123.081 -3.7575 0 0 706193. 2443.58 0.28 0.05 0.13 -1 -1 0.28 0.0119022 0.0102699 129 4 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 9.00 vpr 64.16 MiB -1 -1 0.18 21360 1 0.03 -1 -1 33712 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65704 32 32 350 275 1 214 87 17 17 289 -1 unnamed_device 25.3 MiB 2.75 993 15063 4441 7937 2685 64.2 MiB 0.11 0.00 4.79682 -147.448 -4.79682 4.79682 0.87 0.000235871 0.000179445 0.0182445 0.0146315 -1 -1 -1 -1 34 3341 42 6.89349e+06 324158 618332. 2139.56 3.02 0.0909885 0.075167 25762 151098 -1 2507 20 1793 2731 251308 57180 3.9366 3.9366 -137.622 -3.9366 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.013813 0.012014 148 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 8.54 vpr 64.45 MiB -1 -1 0.19 21404 1 0.04 -1 -1 33848 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65992 32 32 385 308 1 244 90 17 17 289 -1 unnamed_device 25.4 MiB 3.09 1227 14562 3938 8589 2035 64.4 MiB 0.11 0.00 5.38159 -164.838 -5.38159 5.38159 0.87 0.000216342 0.000169996 0.0178491 0.0142946 -1 -1 -1 -1 36 3243 27 6.89349e+06 366440 648988. 2245.63 2.12 0.0872039 0.0723198 26050 158493 -1 2568 22 2110 2989 235894 51836 4.67469 4.67469 -163.039 -4.67469 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0152243 0.0130985 163 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 8.35 vpr 64.38 MiB -1 -1 0.20 21412 1 0.03 -1 -1 33848 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65928 32 32 387 309 1 248 90 17 17 289 -1 unnamed_device 25.5 MiB 2.92 1249 15366 4699 7930 2737 64.4 MiB 0.13 0.00 4.52977 -146.574 -4.52977 4.52977 0.89 0.00022406 0.000176418 0.0196924 0.0158578 -1 -1 -1 -1 38 3101 27 6.89349e+06 366440 678818. 2348.85 2.03 0.0863312 0.0713635 26626 170182 -1 2575 23 1733 2651 221947 45896 3.99995 3.99995 -142.366 -3.99995 0 0 902133. 3121.57 0.32 0.06 0.15 -1 -1 0.32 0.0150738 0.0129656 164 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 7.75 vpr 63.74 MiB -1 -1 0.19 21624 1 0.03 -1 -1 33628 -1 -1 21 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65268 30 32 272 232 1 176 83 17 17 289 -1 unnamed_device 25.2 MiB 2.80 905 12323 3823 7116 1384 63.7 MiB 0.08 0.00 4.24433 -127.173 -4.24433 4.24433 0.88 0.000169995 0.00013441 0.0140633 0.0113106 -1 -1 -1 -1 34 2274 35 6.89349e+06 295971 618332. 2139.56 1.75 0.070587 0.0589281 25762 151098 -1 1900 18 1130 1599 128112 27804 3.10946 3.10946 -111.538 -3.10946 0 0 787024. 2723.27 0.31 0.04 0.13 -1 -1 0.31 0.010385 0.00908104 112 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 9.03 vpr 64.13 MiB -1 -1 0.18 21536 1 0.03 -1 -1 34060 -1 -1 25 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65672 30 32 375 299 1 236 87 17 17 289 -1 unnamed_device 25.4 MiB 3.92 1252 13143 3283 8520 1340 64.1 MiB 0.12 0.00 5.23091 -159.91 -5.23091 5.23091 0.87 0.000226322 0.000180694 0.0180533 0.0146464 -1 -1 -1 -1 34 3195 38 6.89349e+06 352346 618332. 2139.56 1.78 0.0894459 0.0740319 25762 151098 -1 2683 19 1969 2727 216393 49027 4.70289 4.70289 -164.912 -4.70289 0 0 787024. 2723.27 0.32 0.08 0.14 -1 -1 0.32 0.0188618 0.0167659 161 63 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 6.62 vpr 64.09 MiB -1 -1 0.20 21656 1 0.03 -1 -1 33796 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65628 32 32 340 270 1 204 87 17 17 289 -1 unnamed_device 25.4 MiB 1.67 1135 15255 4537 8764 1954 64.1 MiB 0.11 0.00 5.17695 -153.732 -5.17695 5.17695 0.87 0.000206882 0.000163994 0.0186481 0.0150797 -1 -1 -1 -1 34 2919 21 6.89349e+06 324158 618332. 2139.56 1.67 0.0773999 0.0635052 25762 151098 -1 2433 22 1711 2956 258805 55965 4.1143 4.1143 -143.928 -4.1143 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0143529 0.0125091 139 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 8.37 vpr 64.09 MiB -1 -1 0.21 21404 1 0.03 -1 -1 33984 -1 -1 23 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65632 31 32 340 275 1 211 86 17 17 289 -1 unnamed_device 25.3 MiB 3.05 1193 13505 4546 7143 1816 64.1 MiB 0.10 0.00 5.02824 -144.831 -5.02824 5.02824 0.89 0.000214071 0.000171813 0.0172817 0.0141109 -1 -1 -1 -1 36 2814 25 6.89349e+06 324158 648988. 2245.63 1.97 0.0785416 0.065389 26050 158493 -1 2418 20 1423 2236 198439 41166 4.31415 4.31415 -140.912 -4.31415 0 0 828058. 2865.25 0.32 0.06 0.17 -1 -1 0.32 0.0140801 0.0123401 142 47 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 8.95 vpr 64.31 MiB -1 -1 0.21 21456 1 0.03 -1 -1 33680 -1 -1 26 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65856 30 32 377 310 1 239 88 17 17 289 -1 unnamed_device 25.4 MiB 3.61 1197 15103 4411 8230 2462 64.3 MiB 0.12 0.00 4.851 -140.164 -4.851 4.851 0.86 0.000218957 0.000171954 0.0190873 0.0152473 -1 -1 -1 -1 36 2863 21 6.89349e+06 366440 648988. 2245.63 2.07 0.080848 0.066584 26050 158493 -1 2495 20 1595 2287 181696 38931 3.88729 3.88729 -134.431 -3.88729 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0146383 0.0126512 162 83 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 8.82 vpr 64.28 MiB -1 -1 0.20 21460 1 0.03 -1 -1 33880 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65820 32 32 365 294 1 230 87 17 17 289 -1 unnamed_device 25.4 MiB 3.58 1204 16599 6004 7939 2656 64.3 MiB 0.13 0.00 5.44287 -158.373 -5.44287 5.44287 0.87 0.000210339 0.000165915 0.0200411 0.0160027 -1 -1 -1 -1 34 3283 32 6.89349e+06 324158 618332. 2139.56 2.00 0.0851265 0.0697532 25762 151098 -1 2597 23 1963 2925 216361 49453 4.61969 4.61969 -154.947 -4.61969 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0154019 0.0132937 155 57 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 8.46 vpr 64.40 MiB -1 -1 0.21 21560 1 0.03 -1 -1 33804 -1 -1 30 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65944 29 32 378 310 1 247 91 17 17 289 -1 unnamed_device 25.6 MiB 3.23 1306 12535 3228 8012 1295 64.4 MiB 0.10 0.00 4.60117 -137.507 -4.60117 4.60117 0.87 0.000211365 0.000166754 0.0149306 0.0119897 -1 -1 -1 -1 36 2897 26 6.89349e+06 422815 648988. 2245.63 1.88 0.076822 0.0631717 26050 158493 -1 2382 22 1487 2016 135818 31245 3.54206 3.54206 -127.169 -3.54206 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0160641 0.0139558 166 85 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 6.08 vpr 63.54 MiB -1 -1 0.17 21308 1 0.03 -1 -1 33860 -1 -1 17 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65060 32 32 243 205 1 149 81 17 17 289 -1 unnamed_device 24.9 MiB 1.34 733 12331 3194 7563 1574 63.5 MiB 0.08 0.00 4.02268 -117.682 -4.02268 4.02268 0.91 0.000158313 0.000125286 0.0123222 0.00984157 -1 -1 -1 -1 34 1915 37 6.89349e+06 239595 618332. 2139.56 1.54 0.0619203 0.0510451 25762 151098 -1 1583 17 919 1489 101979 24094 2.86616 2.86616 -105.485 -2.86616 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.00855326 0.00745792 96 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 8.17 vpr 64.49 MiB -1 -1 0.20 21568 1 0.03 -1 -1 33800 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66036 32 32 373 302 1 241 89 17 17 289 -1 unnamed_device 25.5 MiB 2.67 1366 14741 4940 7308 2493 64.5 MiB 0.11 0.00 5.7749 -170.888 -5.7749 5.7749 0.90 0.000227611 0.00018247 0.0181751 0.0145469 -1 -1 -1 -1 38 2766 20 6.89349e+06 352346 678818. 2348.85 2.15 0.082013 0.0680406 26626 170182 -1 2489 21 1542 2193 169330 36565 4.41358 4.41358 -149.332 -4.41358 0 0 902133. 3121.57 0.32 0.05 0.15 -1 -1 0.32 0.0139317 0.0120549 156 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 9.47 vpr 64.32 MiB -1 -1 0.19 21576 1 0.03 -1 -1 34008 -1 -1 25 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65860 32 32 397 314 1 256 89 17 17 289 -1 unnamed_device 25.6 MiB 4.12 1405 14543 3790 8651 2102 64.3 MiB 0.12 0.00 5.38563 -174.831 -5.38563 5.38563 0.87 0.000263834 0.000214785 0.0186289 0.0148561 -1 -1 -1 -1 36 3143 23 6.89349e+06 352346 648988. 2245.63 2.07 0.0850953 0.069996 26050 158493 -1 2788 23 2224 3211 255670 54788 4.79045 4.79045 -171.521 -4.79045 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.0160556 0.0137533 171 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 7.58 vpr 63.61 MiB -1 -1 0.17 21680 1 0.03 -1 -1 33756 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65136 32 32 269 231 1 172 82 17 17 289 -1 unnamed_device 25.1 MiB 2.93 852 8626 2126 6029 471 63.6 MiB 0.06 0.00 4.14342 -115.954 -4.14342 4.14342 0.91 0.000171689 0.000135885 0.0102649 0.00829265 -1 -1 -1 -1 34 2167 20 6.89349e+06 253689 618332. 2139.56 1.45 0.0591447 0.0488901 25762 151098 -1 1869 21 1272 1680 114108 27008 3.08576 3.08576 -107.616 -3.08576 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0106081 0.0091389 108 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 5.23 vpr 63.57 MiB -1 -1 0.17 21220 1 0.03 -1 -1 33704 -1 -1 20 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65092 31 32 245 205 1 153 83 17 17 289 -1 unnamed_device 24.9 MiB 1.25 843 11783 3233 6725 1825 63.6 MiB 0.07 0.00 3.90644 -115.807 -3.90644 3.90644 0.86 0.000164022 0.000129286 0.0121108 0.00974695 -1 -1 -1 -1 26 2165 23 6.89349e+06 281877 503264. 1741.40 0.95 0.0407021 0.0336825 24322 120374 -1 1999 20 1253 2044 176217 38787 2.84601 2.84601 -111.426 -2.84601 0 0 618332. 2139.56 0.26 0.05 0.11 -1 -1 0.26 0.0105247 0.00912968 99 4 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 7.69 vpr 64.36 MiB -1 -1 0.19 21616 1 0.04 -1 -1 34212 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65908 32 32 348 274 1 215 87 17 17 289 -1 unnamed_device 25.5 MiB 2.66 1150 8727 2018 5615 1094 64.4 MiB 0.07 0.00 4.64652 -149.201 -4.64652 4.64652 0.89 0.000217597 0.0001732 0.0115041 0.0092988 -1 -1 -1 -1 36 2852 22 6.89349e+06 324158 648988. 2245.63 1.76 0.0699619 0.0577096 26050 158493 -1 2401 21 1728 2486 197683 41996 3.7788 3.7788 -141.038 -3.7788 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.013637 0.011716 145 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 7.97 vpr 64.30 MiB -1 -1 0.19 21572 1 0.04 -1 -1 33764 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65840 32 32 356 289 1 224 87 17 17 289 -1 unnamed_device 25.4 MiB 2.66 1167 15639 4762 8859 2018 64.3 MiB 0.12 0.00 4.99039 -145.722 -4.99039 4.99039 0.91 0.000214623 0.000169191 0.0205804 0.016466 -1 -1 -1 -1 36 2585 21 6.89349e+06 324158 648988. 2245.63 1.91 0.0841832 0.0686341 26050 158493 -1 2196 19 1312 1916 134550 31443 4.22525 4.22525 -139.154 -4.22525 0 0 828058. 2865.25 0.31 0.04 0.14 -1 -1 0.31 0.0116432 0.0101237 149 56 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 5.19 vpr 64.25 MiB -1 -1 0.19 21400 1 0.03 -1 -1 33644 -1 -1 36 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65796 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 25.4 MiB 0.89 1179 13092 3559 8453 1080 64.3 MiB 0.12 0.00 5.17121 -146.734 -5.17121 5.17121 0.85 0.000214311 0.000170543 0.0147671 0.0118306 -1 -1 -1 -1 30 2906 22 6.89349e+06 507378 556674. 1926.21 1.16 0.0514778 0.0426877 25186 138497 -1 2340 25 1609 3088 192920 45460 4.11249 4.11249 -141.088 -4.11249 0 0 706193. 2443.58 0.27 0.06 0.12 -1 -1 0.27 0.0150705 0.0128983 157 3 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 7.06 vpr 64.16 MiB -1 -1 0.21 21396 1 0.03 -1 -1 33972 -1 -1 25 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65696 30 32 316 264 1 208 87 17 17 289 -1 unnamed_device 25.2 MiB 2.15 1065 7191 1699 5079 413 64.2 MiB 0.06 0.00 3.88834 -114.437 -3.88834 3.88834 0.92 0.000189467 0.000149954 0.00899693 0.00733651 -1 -1 -1 -1 34 2645 26 6.89349e+06 352346 618332. 2139.56 1.65 0.0612938 0.0503579 25762 151098 -1 2237 20 1738 2562 187968 42121 2.95776 2.95776 -105.775 -2.95776 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0124444 0.010763 136 52 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 7.25 vpr 63.51 MiB -1 -1 0.19 21316 1 0.03 -1 -1 34448 -1 -1 20 27 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65036 27 32 255 219 1 162 79 17 17 289 -1 unnamed_device 25.1 MiB 2.09 671 12416 5195 5941 1280 63.5 MiB 0.06 0.00 4.39223 -112.843 -4.39223 4.39223 0.86 0.000156566 0.000123332 0.0126608 0.010104 -1 -1 -1 -1 38 1671 32 6.89349e+06 281877 678818. 2348.85 1.92 0.0614399 0.0505605 26626 170182 -1 1407 17 1024 1491 107563 26317 3.6986 3.6986 -109.598 -3.6986 0 0 902133. 3121.57 0.34 0.04 0.16 -1 -1 0.34 0.00868357 0.00757209 106 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 11.25 vpr 64.55 MiB -1 -1 0.22 21728 1 0.03 -1 -1 33992 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66100 32 32 421 327 1 271 91 17 17 289 -1 unnamed_device 25.7 MiB 4.71 1558 16615 4500 10327 1788 64.6 MiB 0.15 0.00 4.61521 -148.623 -4.61521 4.61521 0.89 0.000283426 0.000228106 0.024252 0.0193967 -1 -1 -1 -1 36 3673 23 6.89349e+06 380534 648988. 2245.63 3.12 0.0984116 0.0811268 26050 158493 -1 3191 24 2272 3571 278292 59853 4.16294 4.16294 -150.154 -4.16294 0 0 828058. 2865.25 0.31 0.08 0.14 -1 -1 0.31 0.017699 0.0152311 185 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 8.58 vpr 64.42 MiB -1 -1 0.22 21612 1 0.03 -1 -1 33708 -1 -1 24 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65964 31 32 365 296 1 233 87 17 17 289 -1 unnamed_device 25.5 MiB 2.87 1047 15639 5809 6811 3019 64.4 MiB 0.11 0.00 5.48492 -159.854 -5.48492 5.48492 0.86 0.000208444 0.000164229 0.0189925 0.0152245 -1 -1 -1 -1 36 3015 30 6.89349e+06 338252 648988. 2245.63 2.44 0.0837545 0.0687581 26050 158493 -1 2282 21 1981 2827 183364 45738 4.50245 4.50245 -153.354 -4.50245 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0137182 0.0118565 155 64 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 8.90 vpr 64.02 MiB -1 -1 0.18 21564 1 0.04 -1 -1 34144 -1 -1 20 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65560 32 32 331 280 1 221 84 17 17 289 -1 unnamed_device 25.3 MiB 3.19 998 16737 6124 7825 2788 64.0 MiB 0.11 0.00 4.30139 -136.522 -4.30139 4.30139 0.90 0.000191264 0.000150451 0.0197056 0.0157354 -1 -1 -1 -1 36 2611 25 6.89349e+06 281877 648988. 2245.63 2.34 0.0852404 0.0703005 26050 158493 -1 2181 19 1550 2018 174734 37539 3.6675 3.6675 -136.623 -3.6675 0 0 828058. 2865.25 0.33 0.05 0.15 -1 -1 0.33 0.0129648 0.0113589 136 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 7.14 vpr 64.04 MiB -1 -1 0.19 21404 1 0.03 -1 -1 33660 -1 -1 21 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65576 32 32 326 263 1 203 85 17 17 289 -1 unnamed_device 25.3 MiB 2.91 1090 9571 2534 6373 664 64.0 MiB 0.08 0.00 5.23032 -144.251 -5.23032 5.23032 0.87 0.000204682 0.000164049 0.0118923 0.00961145 -1 -1 -1 -1 30 2676 38 6.89349e+06 295971 556674. 1926.21 1.06 0.0527201 0.0437493 25186 138497 -1 2130 21 1071 1615 102090 23111 3.50786 3.50786 -126.051 -3.50786 0 0 706193. 2443.58 0.29 0.04 0.13 -1 -1 0.29 0.0133202 0.0116229 134 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 7.63 vpr 64.29 MiB -1 -1 0.21 21540 1 0.03 -1 -1 33748 -1 -1 26 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65836 31 32 373 294 1 231 89 17 17 289 -1 unnamed_device 25.4 MiB 2.72 1202 13751 3835 8049 1867 64.3 MiB 0.11 0.00 4.46995 -129.311 -4.46995 4.46995 0.89 0.000216914 0.000171493 0.0169632 0.0135533 -1 -1 -1 -1 34 3036 19 6.89349e+06 366440 618332. 2139.56 1.53 0.0806781 0.0666505 25762 151098 -1 2515 20 1830 2785 182795 43219 3.97726 3.97726 -130.915 -3.97726 0 0 787024. 2723.27 0.29 0.06 0.13 -1 -1 0.29 0.0150029 0.0129856 163 50 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 8.41 vpr 64.07 MiB -1 -1 0.19 21384 1 0.03 -1 -1 33908 -1 -1 24 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65608 30 32 325 268 1 210 86 17 17 289 -1 unnamed_device 25.4 MiB 3.10 1079 13505 3291 8862 1352 64.1 MiB 0.09 0.00 4.22645 -118.107 -4.22645 4.22645 0.89 0.000192335 0.000151895 0.015583 0.012555 -1 -1 -1 -1 36 2650 30 6.89349e+06 338252 648988. 2245.63 1.98 0.0759697 0.0625964 26050 158493 -1 2237 22 1376 2100 160748 37276 3.5733 3.5733 -114.748 -3.5733 0 0 828058. 2865.25 0.32 0.05 0.15 -1 -1 0.32 0.0127622 0.0109791 140 51 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 9.56 vpr 64.11 MiB -1 -1 0.18 21580 1 0.04 -1 -1 33708 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65652 32 32 350 275 1 215 86 17 17 289 -1 unnamed_device 25.3 MiB 3.50 1201 14828 4491 8253 2084 64.1 MiB 0.11 0.00 4.92758 -154.781 -4.92758 4.92758 0.86 0.000222992 0.000179642 0.0184761 0.0148277 -1 -1 -1 -1 36 2974 20 6.89349e+06 310065 648988. 2245.63 2.76 0.0794158 0.0655552 26050 158493 -1 2570 23 1760 2903 286164 58396 3.9208 3.9208 -138.75 -3.9208 0 0 828058. 2865.25 0.31 0.07 0.15 -1 -1 0.31 0.0144479 0.0124762 148 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 8.67 vpr 64.34 MiB -1 -1 0.19 21572 1 0.03 -1 -1 33848 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65888 32 32 386 307 1 246 90 17 17 289 -1 unnamed_device 25.3 MiB 3.36 1278 15969 5302 7451 3216 64.3 MiB 0.12 0.00 4.11194 -136.871 -4.11194 4.11194 0.89 0.000239403 0.000186798 0.0212166 0.0167338 -1 -1 -1 -1 34 3529 33 6.89349e+06 366440 618332. 2139.56 1.98 0.0984623 0.0810942 25762 151098 -1 2607 21 1935 2630 187376 44216 3.44175 3.44175 -130.508 -3.44175 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0152788 0.0133049 167 62 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 6.48 vpr 63.77 MiB -1 -1 0.18 21612 1 0.03 -1 -1 34072 -1 -1 20 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65296 29 32 269 229 1 173 81 17 17 289 -1 unnamed_device 25.3 MiB 1.85 628 7956 1799 5721 436 63.8 MiB 0.06 0.00 4.24503 -122.739 -4.24503 4.24503 0.89 0.000169929 0.000134059 0.00918924 0.007411 -1 -1 -1 -1 34 1772 23 6.89349e+06 281877 618332. 2139.56 1.43 0.0537282 0.0441484 25762 151098 -1 1416 20 1270 1714 104194 26944 3.11671 3.11671 -110.381 -3.11671 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0104692 0.00901836 110 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 7.53 vpr 63.97 MiB -1 -1 0.19 21620 1 0.03 -1 -1 34088 -1 -1 19 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65508 32 32 310 266 1 198 83 17 17 289 -1 unnamed_device 25.1 MiB 2.39 1048 12863 3756 7750 1357 64.0 MiB 0.09 0.00 4.21989 -132.438 -4.21989 4.21989 0.88 0.000189161 0.000148673 0.015273 0.01226 -1 -1 -1 -1 34 2769 27 6.89349e+06 267783 618332. 2139.56 1.88 0.0686427 0.0561911 25762 151098 -1 2297 22 1779 2470 220677 46955 3.55295 3.55295 -130.18 -3.55295 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0114665 0.00987813 124 58 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 7.22 vpr 63.96 MiB -1 -1 0.20 21716 1 0.03 -1 -1 33944 -1 -1 22 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65500 31 32 326 261 1 204 85 17 17 289 -1 unnamed_device 25.0 MiB 2.08 1137 13477 4102 7180 2195 64.0 MiB 0.10 0.00 4.87863 -139.519 -4.87863 4.87863 0.89 0.000193611 0.000153172 0.0158798 0.0127456 -1 -1 -1 -1 34 2786 29 6.89349e+06 310065 618332. 2139.56 1.87 0.0777381 0.0642205 25762 151098 -1 2336 20 1569 2472 202216 45007 3.8758 3.8758 -132.732 -3.8758 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.012456 0.0107901 137 33 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 7.96 vpr 63.64 MiB -1 -1 0.18 21444 1 0.03 -1 -1 33988 -1 -1 19 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65168 29 32 262 224 1 168 80 17 17 289 -1 unnamed_device 24.9 MiB 3.09 741 7132 1582 5226 324 63.6 MiB 0.05 0.00 4.21347 -110.205 -4.21347 4.21347 0.87 0.000161269 0.000127886 0.00803115 0.00647959 -1 -1 -1 -1 36 1970 26 6.89349e+06 267783 648988. 2245.63 1.75 0.0531073 0.0435763 26050 158493 -1 1708 21 1033 1418 102366 24026 2.9515 2.9515 -101.659 -2.9515 0 0 828058. 2865.25 0.31 0.04 0.14 -1 -1 0.31 0.0108974 0.00941213 108 31 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 7.56 vpr 63.73 MiB -1 -1 0.16 21088 1 0.03 -1 -1 33848 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65256 32 32 278 238 1 182 82 17 17 289 -1 unnamed_device 25.2 MiB 2.62 990 11652 3107 7306 1239 63.7 MiB 0.08 0.00 4.18333 -131.846 -4.18333 4.18333 0.86 0.000178889 0.000140051 0.0131177 0.0105063 -1 -1 -1 -1 34 2497 36 6.89349e+06 253689 618332. 2139.56 1.81 0.0632953 0.0518626 25762 151098 -1 2108 20 1418 1990 164645 35637 3.33911 3.33911 -125.551 -3.33911 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0103527 0.00895079 114 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 8.21 vpr 64.36 MiB -1 -1 0.35 21376 1 0.03 -1 -1 33964 -1 -1 27 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65908 31 32 373 300 1 237 90 17 17 289 -1 unnamed_device 25.4 MiB 2.83 1223 15366 4453 8707 2206 64.4 MiB 0.11 0.00 4.62897 -148.141 -4.62897 4.62897 0.86 0.000214825 0.000170185 0.0185092 0.0149201 -1 -1 -1 -1 34 3088 26 6.89349e+06 380534 618332. 2139.56 1.90 0.0837912 0.0694053 25762 151098 -1 2624 21 2025 2784 225288 48923 3.81065 3.81065 -141.55 -3.81065 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0139731 0.0120642 161 64 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 7.36 vpr 62.84 MiB -1 -1 0.30 21404 1 0.03 -1 -1 33724 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64348 31 32 265 230 1 175 80 17 17 289 -1 unnamed_device 24.4 MiB 2.49 784 6616 1386 4941 289 62.8 MiB 0.05 0.00 3.61555 -111.527 -3.61555 3.61555 0.89 0.00017499 0.000138484 0.00808786 0.00658843 -1 -1 -1 -1 34 2257 32 6.89349e+06 239595 618332. 2139.56 1.49 0.0552635 0.0454869 25762 151098 -1 1840 21 1118 1559 126902 29314 2.80111 2.80111 -102.063 -2.80111 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.0104484 0.00903092 108 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 8.10 vpr 64.10 MiB -1 -1 0.21 21464 1 0.03 -1 -1 34080 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65640 32 32 349 286 1 221 86 17 17 289 -1 unnamed_device 25.3 MiB 3.01 1232 13883 4397 7250 2236 64.1 MiB 0.10 0.00 4.30445 -129.833 -4.30445 4.30445 0.87 0.000223711 0.000177809 0.0173171 0.0139408 -1 -1 -1 -1 34 3088 24 6.89349e+06 310065 618332. 2139.56 1.76 0.0822191 0.0681636 25762 151098 -1 2505 20 1424 2090 159741 35454 3.49095 3.49095 -126.618 -3.49095 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0128673 0.0111364 146 57 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 9.79 vpr 64.34 MiB -1 -1 0.32 21692 1 0.03 -1 -1 33896 -1 -1 26 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65888 31 32 396 325 1 259 89 17 17 289 -1 unnamed_device 25.7 MiB 3.96 1374 16127 5335 8292 2500 64.3 MiB 0.14 0.00 4.99104 -161.962 -4.99104 4.99104 0.87 0.000226088 0.000178838 0.0200231 0.0160135 -1 -1 -1 -1 38 3351 24 6.89349e+06 366440 678818. 2348.85 2.29 0.0908218 0.0749714 26626 170182 -1 2835 21 2331 3297 257631 54905 4.08179 4.08179 -152.863 -4.08179 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0145635 0.0126187 167 91 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 8.14 vpr 63.14 MiB -1 -1 0.28 21436 1 0.04 -1 -1 33568 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64656 32 32 303 262 1 200 82 17 17 289 -1 unnamed_device 24.5 MiB 3.03 1102 7736 1887 5035 814 63.1 MiB 0.06 0.00 3.7859 -118.769 -3.7859 3.7859 0.88 0.000177665 0.000139841 0.00987401 0.00802278 -1 -1 -1 -1 34 2661 27 6.89349e+06 253689 618332. 2139.56 1.74 0.0641052 0.0527475 25762 151098 -1 2286 22 1581 2190 195646 40939 2.91016 2.91016 -116.403 -2.91016 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0118209 0.0101773 124 57 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 7.33 vpr 63.57 MiB -1 -1 0.28 21484 1 0.03 -1 -1 33896 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65092 32 32 290 244 1 177 82 17 17 289 -1 unnamed_device 25.0 MiB 1.89 923 8804 2372 6054 378 63.6 MiB 0.07 0.00 4.24743 -129.394 -4.24743 4.24743 0.88 0.000177277 0.000140269 0.0100712 0.00811543 -1 -1 -1 -1 36 2225 43 6.89349e+06 253689 648988. 2245.63 1.96 0.0672133 0.0552882 26050 158493 -1 2047 20 1283 1926 179909 39070 3.36506 3.36506 -124.98 -3.36506 0 0 828058. 2865.25 0.31 0.05 0.15 -1 -1 0.31 0.0107525 0.0093051 115 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 7.70 vpr 63.12 MiB -1 -1 0.26 21120 1 0.04 -1 -1 33972 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64636 32 32 318 257 1 198 86 17 17 289 -1 unnamed_device 24.7 MiB 2.84 1050 14828 5325 7370 2133 63.1 MiB 0.10 0.00 4.90028 -137.564 -4.90028 4.90028 0.87 0.00019059 0.000150666 0.0171228 0.0137812 -1 -1 -1 -1 34 2608 24 6.89349e+06 310065 618332. 2139.56 1.52 0.070452 0.0578302 25762 151098 -1 2257 21 1429 2017 147852 33854 3.75346 3.75346 -131.737 -3.75346 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0133191 0.0114665 133 30 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 7.42 vpr 64.40 MiB -1 -1 0.26 21000 1 0.03 -1 -1 33760 -1 -1 25 29 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65948 29 32 324 268 1 208 86 17 17 289 -1 unnamed_device 25.6 MiB 2.50 1098 14450 3824 8602 2024 64.4 MiB 0.10 0.00 4.06068 -112.703 -4.06068 4.06068 0.85 0.000199919 0.000159421 0.0168726 0.0136145 -1 -1 -1 -1 34 2543 49 6.89349e+06 352346 618332. 2139.56 1.56 0.0768483 0.0628762 25762 151098 -1 2152 21 1448 2024 148243 34376 3.09046 3.09046 -106.337 -3.09046 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0131766 0.0114919 138 55 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 9.62 vpr 64.74 MiB -1 -1 0.28 21812 1 0.03 -1 -1 34176 -1 -1 24 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66292 32 32 393 312 1 243 88 17 17 289 -1 unnamed_device 25.8 MiB 3.27 1257 16078 5484 8239 2355 64.7 MiB 0.13 0.00 5.66698 -180.512 -5.66698 5.66698 0.87 0.000222315 0.000175159 0.0206194 0.0165424 -1 -1 -1 -1 36 3202 36 6.89349e+06 338252 648988. 2245.63 2.82 0.0953754 0.0787125 26050 158493 -1 2847 20 1924 2947 238685 50612 4.68858 4.68858 -165.045 -4.68858 0 0 828058. 2865.25 0.33 0.06 0.19 -1 -1 0.33 0.0139926 0.0121058 166 65 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 6.12 vpr 63.52 MiB -1 -1 0.27 21004 1 0.03 -1 -1 33860 -1 -1 17 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65044 31 32 229 197 1 143 80 17 17 289 -1 unnamed_device 25.0 MiB 1.37 761 9024 2389 5969 666 63.5 MiB 0.06 0.00 3.2803 -102.743 -3.2803 3.2803 0.89 0.000172361 0.000139514 0.00986147 0.00798018 -1 -1 -1 -1 34 1907 21 6.89349e+06 239595 618332. 2139.56 1.40 0.0514252 0.0425589 25762 151098 -1 1608 21 825 1331 100637 22807 2.57631 2.57631 -99.2132 -2.57631 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0102324 0.00887831 92 4 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 9.56 vpr 64.52 MiB -1 -1 0.34 21756 1 0.04 -1 -1 33860 -1 -1 27 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66068 32 32 412 334 1 269 91 17 17 289 -1 unnamed_device 25.7 MiB 3.06 1326 14167 4936 7169 2062 64.5 MiB 0.12 0.00 5.79178 -174.585 -5.79178 5.79178 0.87 0.000227504 0.000179447 0.0176675 0.0141324 -1 -1 -1 -1 36 3412 40 6.89349e+06 380534 648988. 2245.63 2.98 0.0957321 0.0785271 26050 158493 -1 2668 21 1984 2651 224780 54704 5.17574 5.17574 -170.058 -5.17574 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.014798 0.01279 175 90 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 8.77 vpr 64.30 MiB -1 -1 0.27 21524 1 0.03 -1 -1 33852 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65844 32 32 376 318 1 259 87 17 17 289 -1 unnamed_device 25.6 MiB 3.15 1345 11415 2751 7803 861 64.3 MiB 0.10 0.00 4.85389 -165.92 -4.85389 4.85389 0.90 0.000208365 0.000164112 0.0143276 0.0115196 -1 -1 -1 -1 34 3780 38 6.89349e+06 324158 618332. 2139.56 2.21 0.0862424 0.0713597 25762 151098 -1 2839 26 2609 3334 278222 60452 4.42139 4.42139 -167.968 -4.42139 0 0 787024. 2723.27 0.32 0.08 0.13 -1 -1 0.32 0.0159606 0.0136721 160 96 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 8.40 vpr 64.47 MiB -1 -1 0.19 21408 1 0.03 -1 -1 33916 -1 -1 22 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66016 32 32 360 293 1 227 86 17 17 289 -1 unnamed_device 25.5 MiB 2.84 1062 14450 5050 7036 2364 64.5 MiB 0.11 0.00 4.10168 -125.093 -4.10168 4.10168 0.87 0.000216066 0.00017107 0.017831 0.0142849 -1 -1 -1 -1 34 3126 46 6.89349e+06 310065 618332. 2139.56 2.27 0.090039 0.0740892 25762 151098 -1 2289 17 1616 2256 167937 39501 3.36511 3.36511 -119.664 -3.36511 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0118268 0.0103592 153 60 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 9.17 vpr 64.43 MiB -1 -1 0.21 21660 1 0.04 -1 -1 34024 -1 -1 26 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65980 32 32 396 299 1 241 90 17 17 289 -1 unnamed_device 25.5 MiB 3.46 1315 16170 4894 8590 2686 64.4 MiB 0.14 0.00 5.8116 -176.256 -5.8116 5.8116 0.88 0.000245471 0.000197596 0.0216113 0.0174804 -1 -1 -1 -1 36 3148 23 6.89349e+06 366440 648988. 2245.63 2.27 0.0879041 0.0725497 26050 158493 -1 2680 22 1899 3048 266739 54735 4.49735 4.49735 -158.676 -4.49735 0 0 828058. 2865.25 0.31 0.07 0.15 -1 -1 0.31 0.0152605 0.0132117 172 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 5.81 vpr 63.55 MiB -1 -1 0.22 21024 1 0.03 -1 -1 33664 -1 -1 15 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65076 30 32 224 207 1 138 77 17 17 289 -1 unnamed_device 25.0 MiB 1.42 714 5293 1259 3713 321 63.6 MiB 0.04 0.00 3.04786 -95.0532 -3.04786 3.04786 0.86 0.000144759 0.000114063 0.00566903 0.00457922 -1 -1 -1 -1 34 1618 20 6.89349e+06 211408 618332. 2139.56 1.30 0.0418559 0.0344161 25762 151098 -1 1440 18 680 907 66003 15107 2.32142 2.32142 -94.0544 -2.32142 0 0 787024. 2723.27 0.29 0.03 0.13 -1 -1 0.29 0.00789936 0.00685774 82 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 6.78 vpr 63.84 MiB -1 -1 0.24 21340 1 0.03 -1 -1 33868 -1 -1 20 30 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65368 30 32 286 239 1 176 82 17 17 289 -1 unnamed_device 25.3 MiB 2.07 918 13610 4688 7323 1599 63.8 MiB 0.09 0.00 4.49503 -139.908 -4.49503 4.49503 0.86 0.000171746 0.000135181 0.0147959 0.0117114 -1 -1 -1 -1 34 2210 22 6.89349e+06 281877 618332. 2139.56 1.42 0.0612134 0.0499293 25762 151098 -1 1798 23 1240 1867 138538 31230 3.6393 3.6393 -124.669 -3.6393 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0124747 0.0107689 119 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 8.14 vpr 63.53 MiB -1 -1 0.20 21348 1 0.04 -1 -1 33616 -1 -1 18 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65056 32 32 296 247 1 187 82 17 17 289 -1 unnamed_device 25.0 MiB 3.18 1110 8804 2328 5458 1018 63.5 MiB 0.07 0.00 4.17499 -140.03 -4.17499 4.17499 0.87 0.000188164 0.000149049 0.0111407 0.00907564 -1 -1 -1 -1 38 2415 31 6.89349e+06 253689 678818. 2348.85 1.67 0.0637072 0.0522717 26626 170182 -1 2258 20 1273 2270 159500 34665 3.3385 3.3385 -133.564 -3.3385 0 0 902133. 3121.57 0.34 0.05 0.16 -1 -1 0.34 0.0117575 0.0101997 120 34 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 6.74 vpr 63.48 MiB -1 -1 0.17 20956 1 0.03 -1 -1 33984 -1 -1 21 25 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65008 25 32 216 194 1 138 78 17 17 289 -1 unnamed_device 24.8 MiB 1.89 575 11200 4680 5492 1028 63.5 MiB 0.05 0.00 3.6605 -87.6445 -3.6605 3.6605 0.86 0.000134731 0.000105236 0.0105888 0.00847447 -1 -1 -1 -1 36 1473 28 6.89349e+06 295971 648988. 2245.63 1.74 0.0559745 0.046382 26050 158493 -1 1215 19 713 1069 96004 27609 2.77716 2.77716 -80.0425 -2.77716 0 0 828058. 2865.25 0.31 0.04 0.14 -1 -1 0.31 0.00831187 0.00718729 92 29 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 10.40 vpr 64.30 MiB -1 -1 0.27 21316 1 0.04 -1 -1 33764 -1 -1 23 32 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65844 32 32 376 307 1 242 87 17 17 289 -1 unnamed_device 25.3 MiB 4.40 1353 10455 2851 6962 642 64.3 MiB 0.10 0.00 4.36555 -133.994 -4.36555 4.36555 0.88 0.000212198 0.000167881 0.014099 0.0113254 -1 -1 -1 -1 36 3449 28 6.89349e+06 324158 648988. 2245.63 2.56 0.0841818 0.0694423 26050 158493 -1 2903 21 1960 2918 219685 47503 3.70946 3.70946 -133.463 -3.70946 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0140724 0.0121656 160 72 -1 -1 -1 -1 -fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 9.64 vpr 63.66 MiB -1 -1 0.27 21412 1 0.04 -1 -1 33896 -1 -1 29 31 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65192 31 32 409 331 1 264 92 17 17 289 -1 unnamed_device 24.8 MiB 3.80 1246 10442 2641 6824 977 63.7 MiB 0.10 0.00 4.77028 -152.681 -4.77028 4.77028 0.88 0.000265743 0.000217859 0.0138873 0.0111742 -1 -1 -1 -1 34 3563 24 6.89349e+06 408721 618332. 2139.56 2.43 0.0877076 0.0728632 25762 151098 -1 2750 22 2202 3046 245515 54377 4.20389 4.20389 -150.8 -4.20389 0 0 787024. 2723.27 0.31 0.07 0.15 -1 -1 0.31 0.0155906 0.0134583 179 90 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 3.42 vpr 62.79 MiB -1 -1 0.38 18688 14 0.25 -1 -1 32944 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64300 32 32 277 309 1 202 103 17 17 289 -1 unnamed_device 23.7 MiB 0.13 1547 9502 2152 6495 855 62.8 MiB 0.09 0.00 8.07544 -169.743 -8.07544 8.07544 0.32 0.000899886 0.000831959 0.0389219 0.0360116 -1 -1 -1 -1 28 3643 25 6.55708e+06 470145 500653. 1732.36 0.98 0.157731 0.138292 21310 115450 -1 3135 20 1428 5029 257339 61759 6.9613 6.9613 -157.642 -6.9613 0 0 612192. 2118.31 0.03 0.10 0.10 -1 -1 0.03 0.0374491 0.0327568 193 183 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 3.20 vpr 63.32 MiB -1 -1 0.41 18644 14 0.27 -1 -1 32760 -1 -1 39 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64844 30 32 272 304 1 193 101 17 17 289 -1 unnamed_device 23.7 MiB 0.10 1322 7151 1527 4686 938 63.3 MiB 0.08 0.00 8.01406 -160.585 -8.01406 8.01406 0.31 0.000946647 0.000878015 0.0319553 0.0296902 -1 -1 -1 -1 28 3435 28 6.55708e+06 470145 500653. 1732.36 0.76 0.156061 0.136801 21310 115450 -1 2820 19 1177 3615 175609 44433 6.94704 6.94704 -150.651 -6.94704 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0360537 0.0316786 194 184 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 3.34 vpr 62.70 MiB -1 -1 0.34 18168 11 0.24 -1 -1 32492 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64200 32 32 280 312 1 190 104 17 17 289 -1 unnamed_device 23.7 MiB 0.10 1349 9132 2022 6010 1100 62.7 MiB 0.09 0.00 6.82588 -135.752 -6.82588 6.82588 0.32 0.000906573 0.000836187 0.037231 0.0344479 -1 -1 -1 -1 26 3939 32 6.55708e+06 482200 477104. 1650.88 0.95 0.168247 0.147065 21022 109990 -1 3066 20 1319 4861 248158 60039 6.13352 6.13352 -135.053 -6.13352 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0380961 0.0333989 194 186 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 3.31 vpr 63.41 MiB -1 -1 0.36 18248 12 0.31 -1 -1 32832 -1 -1 41 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64932 29 32 275 307 1 193 102 17 17 289 -1 unnamed_device 23.8 MiB 0.09 1321 8432 1770 5951 711 63.4 MiB 0.08 0.00 7.97532 -148.743 -7.97532 7.97532 0.32 0.000904317 0.000838587 0.0356923 0.0329942 -1 -1 -1 -1 22 3603 44 6.55708e+06 494255 420624. 1455.45 0.94 0.186163 0.162104 20158 92377 -1 3227 16 1177 3853 213521 52797 7.1599 7.1599 -148.544 -7.1599 0 0 500653. 1732.36 0.02 0.08 0.08 -1 -1 0.02 0.0323126 0.0284639 200 190 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 3.37 vpr 62.98 MiB -1 -1 0.38 18384 13 0.27 -1 -1 32848 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64488 32 32 302 334 1 214 106 17 17 289 -1 unnamed_device 23.7 MiB 0.12 1672 9856 2131 7054 671 63.0 MiB 0.11 0.00 8.06277 -168.566 -8.06277 8.06277 0.32 0.00100468 0.000929012 0.0474751 0.0438051 -1 -1 -1 -1 32 3848 27 6.55708e+06 506310 554710. 1919.41 0.76 0.185353 0.162891 22174 131602 -1 3263 16 1310 4212 205623 50945 7.27044 7.27044 -162.359 -7.27044 0 0 701300. 2426.64 0.04 0.09 0.11 -1 -1 0.04 0.0385923 0.0343556 217 208 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 3.24 vpr 62.84 MiB -1 -1 0.39 18616 13 0.24 -1 -1 32908 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64352 32 32 292 324 1 214 105 17 17 289 -1 unnamed_device 23.7 MiB 0.12 1522 10479 2742 6826 911 62.8 MiB 0.11 0.00 8.0037 -160.293 -8.0037 8.0037 0.32 0.000938065 0.000869644 0.0437923 0.0405003 -1 -1 -1 -1 30 3477 18 6.55708e+06 494255 526063. 1820.29 0.74 0.158732 0.139622 21886 126133 -1 2963 16 1237 4638 205817 50427 6.61036 6.61036 -146.138 -6.61036 0 0 666494. 2306.21 0.03 0.09 0.12 -1 -1 0.03 0.0341773 0.0301008 207 198 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 2.76 vpr 62.60 MiB -1 -1 0.28 17976 12 0.19 -1 -1 32644 -1 -1 38 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64104 27 32 229 261 1 167 97 17 17 289 -1 unnamed_device 23.6 MiB 0.09 1076 6757 1422 4783 552 62.6 MiB 0.06 0.00 7.57737 -131.413 -7.57737 7.57737 0.35 0.000740353 0.000687695 0.0254221 0.0235468 -1 -1 -1 -1 30 2249 15 6.55708e+06 458090 526063. 1820.29 0.51 0.107135 0.0937171 21886 126133 -1 1995 16 754 2397 101760 26051 6.58844 6.58844 -121.637 -6.58844 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0301412 0.0274345 162 150 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 3.27 vpr 62.58 MiB -1 -1 0.30 18408 12 0.19 -1 -1 32680 -1 -1 33 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64080 31 32 229 261 1 172 96 17 17 289 -1 unnamed_device 23.3 MiB 0.11 1185 7761 1749 4997 1015 62.6 MiB 0.07 0.00 6.59345 -131.227 -6.59345 6.59345 0.32 0.000740267 0.000683218 0.0287775 0.0266122 -1 -1 -1 -1 26 3514 29 6.55708e+06 397815 477104. 1650.88 0.94 0.134112 0.117297 21022 109990 -1 2674 30 1375 5142 317498 101013 5.83766 5.83766 -127.871 -5.83766 0 0 585099. 2024.56 0.03 0.13 0.09 -1 -1 0.03 0.0413928 0.0359352 148 138 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 3.39 vpr 62.46 MiB -1 -1 0.35 18288 12 0.17 -1 -1 32580 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63956 31 32 235 267 1 170 98 17 17 289 -1 unnamed_device 23.4 MiB 0.10 1150 5948 1022 4676 250 62.5 MiB 0.06 0.00 6.96335 -140.158 -6.96335 6.96335 0.31 0.000753943 0.000699553 0.0226974 0.0210228 -1 -1 -1 -1 26 3167 48 6.55708e+06 421925 477104. 1650.88 1.10 0.149191 0.129498 21022 109990 -1 2456 16 1013 3172 157031 38959 6.14378 6.14378 -136.577 -6.14378 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0266218 0.0234099 156 144 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 3.30 vpr 62.71 MiB -1 -1 0.34 18364 13 0.18 -1 -1 32684 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64212 32 32 250 282 1 185 101 17 17 289 -1 unnamed_device 23.5 MiB 0.13 1310 8561 1766 6158 637 62.7 MiB 0.08 0.00 7.32681 -164.785 -7.32681 7.32681 0.32 0.000806421 0.000748231 0.032678 0.0302492 -1 -1 -1 -1 22 3707 41 6.55708e+06 446035 420624. 1455.45 0.96 0.159714 0.139055 20158 92377 -1 3144 22 1536 4703 302925 83797 7.11044 7.11044 -169.479 -7.11044 0 0 500653. 1732.36 0.02 0.12 0.08 -1 -1 0.02 0.0377263 0.0330363 169 156 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 3.04 vpr 62.66 MiB -1 -1 0.32 18360 12 0.18 -1 -1 32500 -1 -1 34 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64168 30 32 216 248 1 156 96 17 17 289 -1 unnamed_device 23.5 MiB 0.10 1085 13455 3502 7863 2090 62.7 MiB 0.11 0.00 6.98058 -139.107 -6.98058 6.98058 0.32 0.000706583 0.000654372 0.0460849 0.042576 -1 -1 -1 -1 26 2621 28 6.55708e+06 409870 477104. 1650.88 0.68 0.140216 0.123163 21022 109990 -1 2240 20 875 2659 140729 35447 5.89878 5.89878 -131.517 -5.89878 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0296663 0.0260164 143 128 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 2.91 vpr 62.74 MiB -1 -1 0.32 18052 12 0.15 -1 -1 32616 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64244 32 32 236 268 1 173 98 17 17 289 -1 unnamed_device 23.5 MiB 0.11 1288 8423 1772 6132 519 62.7 MiB 0.08 0.00 6.87747 -151.377 -6.87747 6.87747 0.32 0.00073449 0.000680238 0.0303734 0.028109 -1 -1 -1 -1 28 2973 18 6.55708e+06 409870 500653. 1732.36 0.61 0.116636 0.102105 21310 115450 -1 2529 16 839 2521 133802 32359 6.18098 6.18098 -146.692 -6.18098 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0257764 0.0227065 150 142 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 3.54 vpr 62.89 MiB -1 -1 0.36 18592 13 0.25 -1 -1 32832 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64396 32 32 283 315 1 206 102 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1426 6052 1096 4598 358 62.9 MiB 0.07 0.00 8.0061 -165.376 -8.0061 8.0061 0.32 0.000916281 0.000850066 0.0267505 0.0247926 -1 -1 -1 -1 26 3620 47 6.55708e+06 458090 477104. 1650.88 1.12 0.183408 0.160275 21022 109990 -1 3079 19 1291 4174 244750 58251 6.88996 6.88996 -156.115 -6.88996 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0370142 0.0325621 198 189 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 3.38 vpr 63.03 MiB -1 -1 0.19 18636 14 0.30 -1 -1 32760 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64544 32 32 303 335 1 215 104 17 17 289 -1 unnamed_device 23.8 MiB 0.14 1520 7912 1705 5577 630 63.0 MiB 0.09 0.00 8.3696 -177.365 -8.3696 8.3696 0.32 0.000984218 0.000903441 0.0354887 0.0328104 -1 -1 -1 -1 26 4141 36 6.55708e+06 482200 477104. 1650.88 1.02 0.186931 0.163435 21022 109990 -1 3353 18 1405 4498 228483 55374 7.48896 7.48896 -170.624 -7.48896 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0379564 0.0333719 216 209 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 2.74 vpr 62.71 MiB -1 -1 0.18 18064 11 0.17 -1 -1 32552 -1 -1 36 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64212 29 32 225 257 1 163 97 17 17 289 -1 unnamed_device 23.4 MiB 0.09 1115 7423 1566 5277 580 62.7 MiB 0.07 0.00 7.04921 -139.832 -7.04921 7.04921 0.32 0.000737989 0.00067938 0.0274667 0.0254253 -1 -1 -1 -1 24 2698 17 6.55708e+06 433980 448715. 1552.65 0.72 0.114567 0.100372 20734 103517 -1 2319 15 905 2840 129429 33992 6.07044 6.07044 -132.959 -6.07044 0 0 554710. 1919.41 0.02 0.06 0.09 -1 -1 0.02 0.0244856 0.0215996 152 140 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 5.14 vpr 63.51 MiB -1 -1 0.37 18608 12 0.27 -1 -1 32816 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65036 32 32 301 333 1 212 106 17 17 289 -1 unnamed_device 23.7 MiB 0.09 1404 10356 2240 7262 854 63.5 MiB 0.11 0.00 7.6034 -157.023 -7.6034 7.6034 0.32 0.000986243 0.000913586 0.0448187 0.0415179 -1 -1 -1 -1 26 4153 50 6.55708e+06 506310 477104. 1650.88 2.40 0.330694 0.286609 21022 109990 -1 3387 63 1446 5629 497568 239020 6.7621 6.7621 -150.957 -6.7621 0 0 585099. 2024.56 0.03 0.32 0.09 -1 -1 0.03 0.102549 0.0883407 213 207 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 3.28 vpr 62.71 MiB -1 -1 0.37 18580 14 0.25 -1 -1 32704 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64216 32 32 277 309 1 206 101 17 17 289 -1 unnamed_device 23.7 MiB 0.14 1531 7856 1651 5478 727 62.7 MiB 0.09 0.00 7.90798 -165.159 -7.90798 7.90798 0.32 0.000914553 0.000837208 0.035185 0.0325047 -1 -1 -1 -1 30 3460 18 6.55708e+06 446035 526063. 1820.29 0.81 0.143486 0.12563 21886 126133 -1 2989 16 1122 3925 170499 42050 7.0789 7.0789 -153.742 -7.0789 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.031931 0.0281751 192 183 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 2.66 vpr 63.16 MiB -1 -1 0.20 18268 12 0.16 -1 -1 32356 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64676 32 32 227 259 1 155 94 17 17 289 -1 unnamed_device 23.4 MiB 0.11 1103 7549 1640 5016 893 63.2 MiB 0.07 0.00 6.90503 -150.614 -6.90503 6.90503 0.31 0.000751557 0.000696619 0.0292465 0.0270462 -1 -1 -1 -1 28 2694 24 6.55708e+06 361650 500653. 1732.36 0.60 0.122009 0.106579 21310 115450 -1 2278 14 742 2504 129695 31816 6.33838 6.33838 -147.073 -6.33838 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0239144 0.0211348 145 133 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 2.62 vpr 62.35 MiB -1 -1 0.28 17800 10 0.10 -1 -1 32260 -1 -1 25 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63848 30 32 175 207 1 133 87 17 17 289 -1 unnamed_device 22.8 MiB 0.08 811 4695 871 3644 180 62.4 MiB 0.04 0.00 5.40266 -122.656 -5.40266 5.40266 0.32 0.000568494 0.000526097 0.0157929 0.0146534 -1 -1 -1 -1 26 1955 23 6.55708e+06 301375 477104. 1650.88 0.65 0.0859717 0.0745792 21022 109990 -1 1670 12 586 1597 81013 21398 4.63 4.63 -118.358 -4.63 0 0 585099. 2024.56 0.03 0.04 0.09 -1 -1 0.03 0.0159524 0.0140477 100 87 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 2.76 vpr 62.49 MiB -1 -1 0.34 18296 13 0.18 -1 -1 32680 -1 -1 31 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63992 31 32 231 263 1 172 94 17 17 289 -1 unnamed_device 23.2 MiB 0.11 1258 7975 1762 5323 890 62.5 MiB 0.07 0.00 7.44731 -157.607 -7.44731 7.44731 0.32 0.000748428 0.000694005 0.0311018 0.0287773 -1 -1 -1 -1 24 3105 22 6.55708e+06 373705 448715. 1552.65 0.57 0.122904 0.107535 20734 103517 -1 2636 16 909 2629 136306 33723 6.78964 6.78964 -154.613 -6.78964 0 0 554710. 1919.41 0.02 0.04 0.06 -1 -1 0.02 0.0153205 0.0138164 149 140 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 3.46 vpr 63.14 MiB -1 -1 0.37 18592 13 0.31 -1 -1 32732 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64656 32 32 304 336 1 214 108 17 17 289 -1 unnamed_device 24.0 MiB 0.15 1563 10902 2454 7573 875 63.1 MiB 0.10 0.00 8.54287 -168.338 -8.54287 8.54287 0.32 0.000757053 0.000688421 0.0433442 0.0400117 -1 -1 -1 -1 28 3779 24 6.55708e+06 530420 500653. 1732.36 0.85 0.178107 0.156968 21310 115450 -1 3100 18 1177 3654 174875 43999 7.25256 7.25256 -158.25 -7.25256 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0371453 0.0327124 220 210 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 3.50 vpr 63.49 MiB -1 -1 0.39 18632 13 0.26 -1 -1 32404 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65012 32 32 288 320 1 214 108 17 17 289 -1 unnamed_device 23.8 MiB 0.14 1588 11673 2814 7710 1149 63.5 MiB 0.11 0.00 7.83754 -170.581 -7.83754 7.83754 0.32 0.000936857 0.000868849 0.0460503 0.042534 -1 -1 -1 -1 32 3772 19 6.55708e+06 530420 554710. 1919.41 0.85 0.160212 0.140901 22174 131602 -1 3384 18 1296 4620 244514 58156 6.78764 6.78764 -159.157 -6.78764 0 0 701300. 2426.64 0.03 0.10 0.12 -1 -1 0.03 0.0372381 0.0328667 200 194 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 2.38 vpr 62.29 MiB -1 -1 0.26 17848 9 0.08 -1 -1 32156 -1 -1 30 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63788 26 32 152 184 1 110 88 17 17 289 -1 unnamed_device 22.9 MiB 0.06 699 12763 4166 6425 2172 62.3 MiB 0.08 0.00 4.8768 -89.1013 -4.8768 4.8768 0.32 0.000516238 0.000480457 0.0357187 0.0331681 -1 -1 -1 -1 26 1518 13 6.55708e+06 361650 477104. 1650.88 0.45 0.0905651 0.0798395 21022 109990 -1 1379 11 413 1169 60315 15298 4.28566 4.28566 -87.0192 -4.28566 0 0 585099. 2024.56 0.03 0.04 0.11 -1 -1 0.03 0.0140782 0.0124511 94 76 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 3.32 vpr 63.50 MiB -1 -1 0.18 18440 13 0.25 -1 -1 32828 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65020 32 32 287 319 1 208 106 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1509 10606 2561 6937 1108 63.5 MiB 0.12 0.00 8.39278 -170.222 -8.39278 8.39278 0.32 0.000923794 0.000856858 0.046789 0.0431241 -1 -1 -1 -1 26 3983 22 6.55708e+06 506310 477104. 1650.88 0.94 0.167216 0.146905 21022 109990 -1 3302 18 1502 4741 255372 64248 7.7191 7.7191 -166.982 -7.7191 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0365216 0.0320212 206 193 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 2.50 vpr 62.44 MiB -1 -1 0.25 17764 8 0.09 -1 -1 32168 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63936 32 32 154 186 1 117 91 17 17 289 -1 unnamed_device 23.0 MiB 0.06 804 12127 3272 7580 1275 62.4 MiB 0.08 0.00 4.197 -96.967 -4.197 4.197 0.31 0.000509299 0.000472577 0.0323362 0.0300116 -1 -1 -1 -1 26 1624 14 6.55708e+06 325485 477104. 1650.88 0.54 0.0861366 0.0759918 21022 109990 -1 1429 12 453 1098 55059 14583 3.85168 3.85168 -96.2848 -3.85168 0 0 585099. 2024.56 0.03 0.04 0.09 -1 -1 0.03 0.0142867 0.0125614 83 60 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 2.98 vpr 62.74 MiB -1 -1 0.23 18368 15 0.23 -1 -1 32684 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64248 32 32 254 286 1 185 105 17 17 289 -1 unnamed_device 23.5 MiB 0.10 1357 8750 1852 5899 999 62.7 MiB 0.08 0.00 8.78692 -176.106 -8.78692 8.78692 0.32 0.000841685 0.000781697 0.0333692 0.0309533 -1 -1 -1 -1 26 3294 25 6.55708e+06 494255 477104. 1650.88 0.72 0.144213 0.126082 21022 109990 -1 2784 18 1066 3613 168865 42582 7.47729 7.47729 -162.925 -7.47729 0 0 585099. 2024.56 0.03 0.08 0.11 -1 -1 0.03 0.0323117 0.0283185 174 160 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 3.24 vpr 63.17 MiB -1 -1 0.34 18288 13 0.22 -1 -1 32700 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64684 32 32 260 292 1 184 100 17 17 289 -1 unnamed_device 23.7 MiB 0.12 1236 6828 1429 4776 623 63.2 MiB 0.07 0.00 7.22178 -148.744 -7.22178 7.22178 0.33 0.000850362 0.000789992 0.0285833 0.0264987 -1 -1 -1 -1 26 3414 46 6.55708e+06 433980 477104. 1650.88 0.94 0.175959 0.15368 21022 109990 -1 2784 14 1038 3314 173102 43007 6.51004 6.51004 -147.171 -6.51004 0 0 585099. 2024.56 0.02 0.06 0.06 -1 -1 0.02 0.0262637 0.0232639 178 166 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 3.25 vpr 62.85 MiB -1 -1 0.35 18376 13 0.27 -1 -1 32748 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64356 32 32 279 311 1 202 103 17 17 289 -1 unnamed_device 23.7 MiB 0.13 1423 8056 1725 6010 321 62.8 MiB 0.08 0.00 7.89081 -163.559 -7.89081 7.89081 0.32 0.000904151 0.000838018 0.0337874 0.0312462 -1 -1 -1 -1 28 3623 24 6.55708e+06 470145 500653. 1732.36 0.82 0.154157 0.13497 21310 115450 -1 2952 21 1195 4024 195422 47755 7.0835 7.0835 -157.6 -7.0835 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0393404 0.0344626 193 185 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 2.78 vpr 62.49 MiB -1 -1 0.33 18292 12 0.17 -1 -1 32640 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63988 32 32 238 270 1 177 97 17 17 289 -1 unnamed_device 23.2 MiB 0.11 1283 6313 1272 4518 523 62.5 MiB 0.06 0.00 6.94869 -150.095 -6.94869 6.94869 0.32 0.000766333 0.000702292 0.0250366 0.023128 -1 -1 -1 -1 26 3018 21 6.55708e+06 397815 477104. 1650.88 0.60 0.116745 0.101823 21022 109990 -1 2574 16 853 2668 138914 34450 6.13918 6.13918 -145.442 -6.13918 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0266072 0.023439 152 144 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 2.76 vpr 62.88 MiB -1 -1 0.32 18160 11 0.15 -1 -1 32620 -1 -1 31 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64384 30 32 213 245 1 156 93 17 17 289 -1 unnamed_device 23.3 MiB 0.08 1055 6813 1438 4810 565 62.9 MiB 0.06 0.00 6.26019 -134.121 -6.26019 6.26019 0.31 0.00068437 0.000634858 0.0248044 0.0229674 -1 -1 -1 -1 30 2151 16 6.55708e+06 373705 526063. 1820.29 0.56 0.102637 0.0897125 21886 126133 -1 1944 16 673 2039 82756 21710 5.59726 5.59726 -126.076 -5.59726 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0238631 0.0209819 135 125 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 2.76 vpr 62.54 MiB -1 -1 0.27 18100 11 0.19 -1 -1 32736 -1 -1 38 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64040 28 32 227 259 1 163 98 17 17 289 -1 unnamed_device 23.3 MiB 0.09 1081 10223 2473 6798 952 62.5 MiB 0.09 0.00 6.57342 -128.258 -6.57342 6.57342 0.32 0.000741994 0.000684816 0.0363059 0.0335193 -1 -1 -1 -1 26 2656 19 6.55708e+06 458090 477104. 1650.88 0.54 0.123119 0.107977 21022 109990 -1 2269 14 849 2638 129086 32720 6.04852 6.04852 -125.768 -6.04852 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0238756 0.0211151 156 145 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 3.02 vpr 63.32 MiB -1 -1 0.20 17924 12 0.25 -1 -1 32632 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64844 32 32 274 306 1 201 102 17 17 289 -1 unnamed_device 23.5 MiB 0.12 1382 8194 1732 5834 628 63.3 MiB 0.08 0.00 7.69231 -166.04 -7.69231 7.69231 0.35 0.000944117 0.000868224 0.0333096 0.030821 -1 -1 -1 -1 26 3410 24 6.55708e+06 458090 477104. 1650.88 0.72 0.143882 0.12525 21022 109990 -1 2909 17 1202 3496 167059 42450 6.85578 6.85578 -159.649 -6.85578 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0324514 0.028574 185 180 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 2.83 vpr 62.81 MiB -1 -1 0.19 18044 12 0.16 -1 -1 32576 -1 -1 36 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64320 31 32 237 269 1 168 99 17 17 289 -1 unnamed_device 23.5 MiB 0.07 1114 7395 1500 5554 341 62.8 MiB 0.07 0.00 7.20861 -144.977 -7.20861 7.20861 0.32 0.000749918 0.000695574 0.0270787 0.0250429 -1 -1 -1 -1 26 2893 30 6.55708e+06 433980 477104. 1650.88 0.70 0.129164 0.112442 21022 109990 -1 2449 20 1102 3305 170318 43536 6.31284 6.31284 -141.494 -6.31284 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0310354 0.0271562 158 146 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 2.69 vpr 62.45 MiB -1 -1 0.34 18380 10 0.14 -1 -1 32644 -1 -1 32 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63944 29 32 220 252 1 154 93 17 17 289 -1 unnamed_device 23.2 MiB 0.10 1047 4713 857 3500 356 62.4 MiB 0.05 0.00 6.45011 -129.949 -6.45011 6.45011 0.31 0.000718108 0.000665769 0.0188329 0.0174671 -1 -1 -1 -1 26 2448 16 6.55708e+06 385760 477104. 1650.88 0.56 0.100732 0.087901 21022 109990 -1 2149 14 706 2368 115894 29208 5.58138 5.58138 -125.157 -5.58138 0 0 585099. 2024.56 0.03 0.06 0.06 -1 -1 0.03 0.0230322 0.0203345 147 135 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 3.39 vpr 63.05 MiB -1 -1 0.40 18768 13 0.31 -1 -1 32728 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64560 32 32 315 347 1 217 107 17 17 289 -1 unnamed_device 24.0 MiB 0.15 1538 9468 1856 6925 687 63.0 MiB 0.10 0.00 7.8442 -164.077 -7.8442 7.8442 0.32 0.00100417 0.000928364 0.0416764 0.0384657 -1 -1 -1 -1 26 3906 24 6.55708e+06 518365 477104. 1650.88 0.81 0.173345 0.15164 21022 109990 -1 3305 15 1279 4430 227851 55350 6.8777 6.8777 -158.675 -6.8777 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0364353 0.0323555 231 221 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 3.32 vpr 63.32 MiB -1 -1 0.39 18804 14 0.31 -1 -1 33228 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64836 32 32 282 314 1 222 108 17 17 289 -1 unnamed_device 23.6 MiB 0.09 1631 9874 2233 6950 691 63.3 MiB 0.10 0.00 8.2454 -177.702 -8.2454 8.2454 0.32 0.000982675 0.000903861 0.041767 0.0387156 -1 -1 -1 -1 30 3585 25 6.55708e+06 530420 526063. 1820.29 0.83 0.165383 0.145325 21886 126133 -1 2986 15 1169 4017 176190 43725 7.15589 7.15589 -164.997 -7.15589 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.0320695 0.0283765 201 188 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 2.78 vpr 62.50 MiB -1 -1 0.33 18276 12 0.15 -1 -1 32320 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64000 31 32 241 273 1 176 98 17 17 289 -1 unnamed_device 23.2 MiB 0.10 1176 7073 1425 5398 250 62.5 MiB 0.07 0.00 7.74192 -156.635 -7.74192 7.74192 0.32 0.000758765 0.000702637 0.0272496 0.0251481 -1 -1 -1 -1 30 2322 17 6.55708e+06 421925 526063. 1820.29 0.50 0.111988 0.0978798 21886 126133 -1 2111 15 827 2525 111524 28428 6.78504 6.78504 -144.859 -6.78504 0 0 666494. 2306.21 0.04 0.07 0.10 -1 -1 0.04 0.026533 0.0236362 159 150 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 3.99 vpr 63.53 MiB -1 -1 0.42 18684 12 0.27 -1 -1 32780 -1 -1 42 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65052 31 32 307 339 1 218 105 17 17 289 -1 unnamed_device 23.7 MiB 0.12 1419 7762 1569 5652 541 63.5 MiB 0.08 0.00 7.28025 -148.967 -7.28025 7.28025 0.32 0.000982032 0.000911484 0.0340629 0.0314986 -1 -1 -1 -1 22 4721 49 6.55708e+06 506310 420624. 1455.45 1.56 0.206661 0.179792 20158 92377 -1 3783 23 1921 6554 357016 88581 7.01978 7.01978 -157.251 -7.01978 0 0 500653. 1732.36 0.02 0.08 0.05 -1 -1 0.02 0.0259526 0.0231127 222 216 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 3.65 vpr 63.49 MiB -1 -1 0.40 18720 14 0.33 -1 -1 32684 -1 -1 40 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65016 31 32 293 325 1 212 103 17 17 289 -1 unnamed_device 23.7 MiB 0.12 1490 10707 2543 7210 954 63.5 MiB 0.11 0.00 8.22472 -163.274 -8.22472 8.22472 0.32 0.000962421 0.000891849 0.0473765 0.0437746 -1 -1 -1 -1 26 3802 31 6.55708e+06 482200 477104. 1650.88 0.91 0.18991 0.16663 21022 109990 -1 3208 17 1283 4300 228236 54411 7.2383 7.2383 -156.541 -7.2383 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0358316 0.0315391 212 202 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 3.57 vpr 62.72 MiB -1 -1 0.42 18820 13 0.26 -1 -1 32712 -1 -1 45 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64224 31 32 276 308 1 208 108 17 17 289 -1 unnamed_device 23.6 MiB 0.13 1520 8332 1703 5917 712 62.7 MiB 0.09 0.00 7.94503 -161.931 -7.94503 7.94503 0.32 0.000907456 0.000836347 0.0336936 0.031227 -1 -1 -1 -1 26 4333 40 6.55708e+06 542475 477104. 1650.88 1.02 0.173665 0.151349 21022 109990 -1 3482 17 1358 4203 231179 55264 7.22664 7.22664 -160.684 -7.22664 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0333933 0.0294144 200 185 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 3.27 vpr 62.66 MiB -1 -1 0.39 18500 13 0.25 -1 -1 32904 -1 -1 37 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64160 31 32 269 301 1 187 100 17 17 289 -1 unnamed_device 23.6 MiB 0.12 1368 6596 1290 4863 443 62.7 MiB 0.07 0.00 7.49321 -147.911 -7.49321 7.49321 0.32 0.000877954 0.000814043 0.0285454 0.0264212 -1 -1 -1 -1 20 4011 36 6.55708e+06 446035 394039. 1363.46 0.64 0.0928277 0.0822614 19870 87366 -1 3774 42 1470 5602 673854 269857 6.5635 6.5635 -146.713 -6.5635 0 0 477104. 1650.88 0.02 0.27 0.08 -1 -1 0.02 0.0621905 0.0540273 186 178 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 2.76 vpr 62.70 MiB -1 -1 0.32 18392 12 0.19 -1 -1 32744 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64204 32 32 264 296 1 184 99 17 17 289 -1 unnamed_device 23.5 MiB 0.12 1260 8079 1687 5923 469 62.7 MiB 0.08 0.00 7.05937 -147.674 -7.05937 7.05937 0.31 0.000841239 0.000778312 0.0329972 0.0304944 -1 -1 -1 -1 30 2686 16 6.55708e+06 421925 526063. 1820.29 0.53 0.129132 0.113126 21886 126133 -1 2369 16 835 2903 124037 31171 5.95024 5.95024 -137.57 -5.95024 0 0 666494. 2306.21 0.02 0.04 0.07 -1 -1 0.02 0.017409 0.0157321 179 170 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 4.30 vpr 63.76 MiB -1 -1 0.45 19308 14 0.39 -1 -1 32772 -1 -1 45 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65288 32 32 324 356 1 237 109 17 17 289 -1 unnamed_device 24.0 MiB 0.15 1730 8429 1700 5837 892 63.8 MiB 0.09 0.00 8.45055 -180.473 -8.45055 8.45055 0.32 0.00104857 0.000970525 0.0381495 0.0352672 -1 -1 -1 -1 26 4823 30 6.55708e+06 542475 477104. 1650.88 1.47 0.189214 0.165292 21022 109990 -1 3883 21 1840 6692 351771 85080 7.21136 7.21136 -168.669 -7.21136 0 0 585099. 2024.56 0.03 0.14 0.09 -1 -1 0.03 0.0485863 0.0426573 241 230 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 2.93 vpr 62.72 MiB -1 -1 0.30 17896 11 0.19 -1 -1 32360 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64228 31 32 249 281 1 176 101 17 17 289 -1 unnamed_device 23.6 MiB 0.11 1224 11146 2806 7190 1150 62.7 MiB 0.10 0.00 6.67349 -140.784 -6.67349 6.67349 0.32 0.000809058 0.000751074 0.0415832 0.0384845 -1 -1 -1 -1 26 3255 30 6.55708e+06 458090 477104. 1650.88 0.64 0.148789 0.129962 21022 109990 -1 2554 18 1072 3465 164802 41276 6.02298 6.02298 -135.82 -6.02298 0 0 585099. 2024.56 0.03 0.08 0.10 -1 -1 0.03 0.0309815 0.0271194 173 158 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 3.70 vpr 62.80 MiB -1 -1 0.21 18648 13 0.25 -1 -1 33096 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64304 31 32 284 316 1 196 104 17 17 289 -1 unnamed_device 23.7 MiB 0.14 1353 8400 1833 5671 896 62.8 MiB 0.08 0.00 8.00359 -155.245 -8.00359 8.00359 0.31 0.000908757 0.000841742 0.0358726 0.0331558 -1 -1 -1 -1 24 3710 27 6.55708e+06 494255 448715. 1552.65 1.43 0.161372 0.140867 20734 103517 -1 3127 19 1328 4863 261396 62929 7.33356 7.33356 -160.506 -7.33356 0 0 554710. 1919.41 0.02 0.10 0.09 -1 -1 0.02 0.0370573 0.032434 201 193 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 3.51 vpr 62.97 MiB -1 -1 0.36 18516 12 0.23 -1 -1 32672 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64484 32 32 303 335 1 212 104 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1559 7424 1464 5587 373 63.0 MiB 0.08 0.00 6.85312 -152.686 -6.85312 6.85312 0.32 0.000961384 0.000889927 0.0341386 0.0315623 -1 -1 -1 -1 28 4158 28 6.55708e+06 482200 500653. 1732.36 1.10 0.167896 0.14574 21310 115450 -1 3410 20 1603 5993 308576 73677 6.30118 6.30118 -147.734 -6.30118 0 0 612192. 2118.31 0.03 0.11 0.10 -1 -1 0.03 0.04091 0.0358022 217 209 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 3.20 vpr 63.23 MiB -1 -1 0.35 18316 13 0.27 -1 -1 32784 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64748 32 32 272 304 1 187 98 17 17 289 -1 unnamed_device 23.6 MiB 0.13 1280 7748 1671 5489 588 63.2 MiB 0.08 0.00 7.63209 -154.882 -7.63209 7.63209 0.36 0.00090211 0.000836187 0.0351912 0.0325766 -1 -1 -1 -1 26 3437 27 6.55708e+06 409870 477104. 1650.88 0.75 0.155252 0.135635 21022 109990 -1 2857 16 1182 3668 180373 44601 7.03004 7.03004 -157.27 -7.03004 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0317053 0.0278992 188 178 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 3.17 vpr 63.41 MiB -1 -1 0.36 18616 13 0.23 -1 -1 33228 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64928 32 32 271 303 1 198 105 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1188 12208 3113 7738 1357 63.4 MiB 0.11 0.00 7.52995 -155.23 -7.52995 7.52995 0.32 0.000875002 0.000810912 0.0468745 0.0433827 -1 -1 -1 -1 28 3299 28 6.55708e+06 494255 500653. 1732.36 0.77 0.169221 0.148743 21310 115450 -1 2552 17 1006 3227 156870 41705 6.9633 6.9633 -154.236 -6.9633 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0325114 0.0285998 189 177 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 3.07 vpr 62.90 MiB -1 -1 0.39 18680 12 0.25 -1 -1 32780 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64412 32 32 288 320 1 205 103 17 17 289 -1 unnamed_device 23.8 MiB 0.16 1426 7092 1428 5198 466 62.9 MiB 0.08 0.00 7.48926 -155.909 -7.48926 7.48926 0.31 0.000932767 0.000863927 0.0312962 0.028962 -1 -1 -1 -1 30 3199 15 6.55708e+06 470145 526063. 1820.29 0.57 0.136026 0.118805 21886 126133 -1 2762 16 1052 4146 179279 43677 6.62964 6.62964 -146.455 -6.62964 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.033507 0.0295339 200 194 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 3.25 vpr 63.02 MiB -1 -1 0.23 18936 13 0.28 -1 -1 33244 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64528 32 32 306 338 1 222 108 17 17 289 -1 unnamed_device 24.0 MiB 0.17 1446 8332 1726 5917 689 63.0 MiB 0.09 0.00 7.48621 -159.043 -7.48621 7.48621 0.31 0.000991449 0.000915205 0.0361546 0.0334219 -1 -1 -1 -1 28 3881 25 6.55708e+06 530420 500653. 1732.36 0.80 0.166994 0.145882 21310 115450 -1 3232 17 1503 4700 220862 55806 6.34038 6.34038 -152.587 -6.34038 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0373484 0.0329125 224 212 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 3.27 vpr 63.29 MiB -1 -1 0.35 18292 14 0.25 -1 -1 32768 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64808 32 32 262 294 1 188 100 17 17 289 -1 unnamed_device 23.7 MiB 0.09 1309 9148 1954 6493 701 63.3 MiB 0.09 0.00 8.44795 -161.218 -8.44795 8.44795 0.32 0.000861576 0.00079987 0.0377303 0.0349561 -1 -1 -1 -1 26 3401 37 6.55708e+06 433980 477104. 1650.88 0.92 0.165349 0.144991 21022 109990 -1 2893 18 1150 3780 194516 47654 7.30202 7.30202 -154.004 -7.30202 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0329873 0.0289992 181 168 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 3.53 vpr 62.97 MiB -1 -1 0.35 18332 13 0.26 -1 -1 32732 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64480 32 32 291 323 1 211 106 17 17 289 -1 unnamed_device 23.8 MiB 0.15 1565 8856 1818 6304 734 63.0 MiB 0.09 0.00 8.42643 -168.235 -8.42643 8.42643 0.32 0.000917861 0.000849185 0.0371507 0.0344311 -1 -1 -1 -1 30 3528 20 6.55708e+06 506310 526063. 1820.29 0.90 0.15283 0.134108 21886 126133 -1 3137 26 1288 4293 264169 94963 7.3173 7.3173 -157.853 -7.3173 0 0 666494. 2306.21 0.03 0.13 0.10 -1 -1 0.03 0.0470932 0.0410716 206 197 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 3.39 vpr 62.84 MiB -1 -1 0.39 18608 13 0.27 -1 -1 32608 -1 -1 44 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64352 31 32 302 334 1 217 107 17 17 289 -1 unnamed_device 23.7 MiB 0.11 1482 8962 1772 6559 631 62.8 MiB 0.12 0.00 8.06507 -165.54 -8.06507 8.06507 0.35 0.000971141 0.000901544 0.0404047 0.0371686 -1 -1 -1 -1 28 3613 27 6.55708e+06 530420 500653. 1732.36 0.80 0.175475 0.154076 21310 115450 -1 3047 16 1298 4142 204211 51602 7.09116 7.09116 -157.288 -7.09116 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0347175 0.0306741 219 211 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 3.55 vpr 63.54 MiB -1 -1 0.40 18700 12 0.29 -1 -1 32776 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65068 32 32 308 340 1 224 108 17 17 289 -1 unnamed_device 24.0 MiB 0.15 1570 9103 1909 6305 889 63.5 MiB 0.10 0.00 7.98943 -163.871 -7.98943 7.98943 0.34 0.000969482 0.000894204 0.0390409 0.0360704 -1 -1 -1 -1 26 4306 32 6.55708e+06 530420 477104. 1650.88 0.86 0.17512 0.152838 21022 109990 -1 3542 18 1499 4783 243504 60501 7.1207 7.1207 -158.832 -7.1207 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0383071 0.033803 223 214 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 2.99 vpr 62.67 MiB -1 -1 0.30 18120 11 0.13 -1 -1 32532 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64176 32 32 216 248 1 153 96 17 17 289 -1 unnamed_device 23.2 MiB 0.08 1195 6666 1336 4773 557 62.7 MiB 0.06 0.00 7.06249 -141.612 -7.06249 7.06249 0.32 0.000697418 0.000647372 0.0233708 0.0216673 -1 -1 -1 -1 22 3077 37 6.55708e+06 385760 420624. 1455.45 0.78 0.125317 0.108982 20158 92377 -1 2622 18 828 2501 158693 47274 6.20392 6.20392 -140.866 -6.20392 0 0 500653. 1732.36 0.02 0.07 0.08 -1 -1 0.02 0.0262371 0.0230173 135 122 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 3.40 vpr 63.24 MiB -1 -1 0.36 18264 13 0.21 -1 -1 32704 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64756 32 32 254 286 1 183 104 17 17 289 -1 unnamed_device 23.5 MiB 0.11 1327 10840 2517 7130 1193 63.2 MiB 0.10 0.00 7.53291 -162.915 -7.53291 7.53291 0.31 0.00083373 0.000773612 0.0404522 0.0374191 -1 -1 -1 -1 26 3261 28 6.55708e+06 482200 477104. 1650.88 0.97 0.158062 0.138756 21022 109990 -1 2798 18 1120 3468 175676 43024 6.90984 6.90984 -156.407 -6.90984 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0324025 0.0284632 174 160 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 3.80 vpr 63.83 MiB -1 -1 0.40 19140 14 0.42 -1 -1 32864 -1 -1 46 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65364 32 32 338 370 1 245 110 17 17 289 -1 unnamed_device 24.2 MiB 0.15 1708 12208 2848 8389 971 63.8 MiB 0.13 0.00 8.78166 -178.693 -8.78166 8.78166 0.31 0.00108765 0.00100414 0.0550645 0.0508193 -1 -1 -1 -1 26 4540 36 6.55708e+06 554530 477104. 1650.88 0.99 0.224559 0.19761 21022 109990 -1 3880 19 2070 6885 338520 83338 8.02122 8.02122 -177.468 -8.02122 0 0 585099. 2024.56 0.03 0.12 0.09 -1 -1 0.03 0.045465 0.0399312 254 244 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 3.19 vpr 63.70 MiB -1 -1 0.37 18556 13 0.28 -1 -1 32768 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65232 32 32 271 303 1 201 101 17 17 289 -1 unnamed_device 24.1 MiB 0.16 1362 9031 2039 6215 777 63.7 MiB 0.09 0.00 7.646 -162.789 -7.646 7.646 0.31 0.000910378 0.000840374 0.0392549 0.0363376 -1 -1 -1 -1 28 3476 18 6.55708e+06 446035 500653. 1732.36 0.70 0.146089 0.128211 21310 115450 -1 2856 16 1088 3704 181667 45177 6.75044 6.75044 -156.36 -6.75044 0 0 612192. 2118.31 0.02 0.05 0.08 -1 -1 0.02 0.0188276 0.0169693 188 177 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 2.56 vpr 62.56 MiB -1 -1 0.22 18372 11 0.17 -1 -1 32744 -1 -1 34 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64064 30 32 224 256 1 151 96 17 17 289 -1 unnamed_device 23.2 MiB 0.08 1021 6009 1170 4207 632 62.6 MiB 0.06 0.00 6.69868 -137.721 -6.69868 6.69868 0.31 0.000744432 0.00068886 0.0234868 0.0217316 -1 -1 -1 -1 26 2563 19 6.55708e+06 409870 477104. 1650.88 0.48 0.108507 0.0946241 21022 109990 -1 2138 19 897 2977 137771 35551 5.97918 5.97918 -132.876 -5.97918 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0287311 0.0251435 152 136 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 3.78 vpr 63.93 MiB -1 -1 0.25 19448 15 0.50 -1 -1 32836 -1 -1 51 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65464 32 32 351 383 1 255 115 17 17 289 -1 unnamed_device 24.2 MiB 0.16 1912 10996 2333 7725 938 63.9 MiB 0.12 0.00 9.61395 -186.411 -9.61395 9.61395 0.32 0.0011181 0.00103394 0.0489481 0.0452034 -1 -1 -1 -1 30 4514 22 6.55708e+06 614805 526063. 1820.29 0.99 0.19515 0.17157 21886 126133 -1 3814 18 1702 6171 283766 68025 8.38947 8.38947 -178.746 -8.38947 0 0 666494. 2306.21 0.03 0.11 0.10 -1 -1 0.03 0.0451658 0.0398477 263 257 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 3.50 vpr 63.46 MiB -1 -1 0.27 18540 13 0.31 -1 -1 32704 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64988 32 32 297 329 1 211 102 17 17 289 -1 unnamed_device 23.7 MiB 0.14 1494 7004 1387 5016 601 63.5 MiB 0.08 0.00 8.20269 -173.766 -8.20269 8.20269 0.31 0.000968816 0.000895698 0.0320626 0.0296533 -1 -1 -1 -1 26 4015 40 6.55708e+06 458090 477104. 1650.88 0.95 0.181751 0.158141 21022 109990 -1 3254 19 1320 4206 214082 52716 7.1971 7.1971 -166.207 -7.1971 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0425909 0.0376766 210 203 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 2.74 vpr 62.46 MiB -1 -1 0.29 17984 11 0.14 -1 -1 32748 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63964 32 32 231 263 1 168 98 17 17 289 -1 unnamed_device 23.2 MiB 0.09 1195 6623 1177 5107 339 62.5 MiB 0.06 0.00 6.65457 -141.306 -6.65457 6.65457 0.31 0.000733112 0.000677393 0.0241635 0.0223735 -1 -1 -1 -1 26 2620 21 6.55708e+06 409870 477104. 1650.88 0.56 0.111688 0.0973356 21022 109990 -1 2451 16 921 2842 142303 34856 5.78058 5.78058 -136.602 -5.78058 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0256648 0.0226153 150 137 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 3.55 vpr 63.50 MiB -1 -1 0.35 18624 12 0.29 -1 -1 32772 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65020 32 32 305 337 1 218 105 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1529 7515 1571 5267 677 63.5 MiB 0.08 0.00 7.72901 -156.772 -7.72901 7.72901 0.32 0.000967232 0.000892794 0.0341895 0.0315955 -1 -1 -1 -1 26 4149 29 6.55708e+06 494255 477104. 1650.88 1.07 0.170357 0.148539 21022 109990 -1 3309 15 1237 4513 241158 57589 6.8823 6.8823 -153.607 -6.8823 0 0 585099. 2024.56 0.02 0.06 0.06 -1 -1 0.02 0.0200681 0.0180731 221 211 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 3.44 vpr 62.68 MiB -1 -1 0.30 18164 12 0.21 -1 -1 32584 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64188 32 32 243 275 1 186 101 17 17 289 -1 unnamed_device 23.5 MiB 0.13 1330 8561 1943 5809 809 62.7 MiB 0.08 0.00 7.52541 -159.549 -7.52541 7.52541 0.32 0.000794552 0.000736469 0.031952 0.0295954 -1 -1 -1 -1 26 3709 42 6.55708e+06 446035 477104. 1650.88 1.17 0.168637 0.1482 21022 109990 -1 2986 17 1258 3785 210183 52319 6.47024 6.47024 -152.762 -6.47024 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0297057 0.0261693 163 149 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 2.87 vpr 62.47 MiB -1 -1 0.33 18064 12 0.20 -1 -1 32768 -1 -1 35 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63972 30 32 228 260 1 158 97 17 17 289 -1 unnamed_device 23.4 MiB 0.09 1101 6979 1478 4733 768 62.5 MiB 0.06 0.00 7.33897 -151.074 -7.33897 7.33897 0.32 0.000743562 0.000688744 0.0262544 0.0243127 -1 -1 -1 -1 28 2502 19 6.55708e+06 421925 500653. 1732.36 0.53 0.114578 0.100017 21310 115450 -1 2128 14 720 2386 111841 28645 6.59044 6.59044 -145.015 -6.59044 0 0 612192. 2118.31 0.04 0.08 0.12 -1 -1 0.04 0.0296621 0.0262135 152 140 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 3.19 vpr 62.88 MiB -1 -1 0.40 18500 12 0.27 -1 -1 33148 -1 -1 41 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64392 29 32 275 307 1 195 102 17 17 289 -1 unnamed_device 23.8 MiB 0.14 1359 6290 1245 4520 525 62.9 MiB 0.07 0.00 6.96821 -134.331 -6.96821 6.96821 0.32 0.000909702 0.000839218 0.02755 0.0255126 -1 -1 -1 -1 32 3043 20 6.55708e+06 494255 554710. 1919.41 0.69 0.137461 0.119841 22174 131602 -1 2601 16 1002 3561 167179 41745 6.22018 6.22018 -128.31 -6.22018 0 0 701300. 2426.64 0.03 0.08 0.12 -1 -1 0.03 0.0324309 0.0285455 197 190 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 3.34 vpr 63.48 MiB -1 -1 0.38 18640 13 0.33 -1 -1 32840 -1 -1 46 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65008 32 32 330 362 1 232 110 17 17 289 -1 unnamed_device 23.9 MiB 0.10 1613 8263 1573 6205 485 63.5 MiB 0.09 0.00 8.04821 -173.791 -8.04821 8.04821 0.32 0.00104309 0.000967316 0.0377572 0.0349477 -1 -1 -1 -1 30 3707 17 6.55708e+06 554530 526063. 1820.29 0.78 0.160975 0.141271 21886 126133 -1 3234 16 1428 4357 196312 49219 7.2363 7.2363 -164.701 -7.2363 0 0 666494. 2306.21 0.03 0.09 0.10 -1 -1 0.03 0.036774 0.0325126 244 236 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 3.35 vpr 63.08 MiB -1 -1 0.38 18704 12 0.23 -1 -1 32960 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64592 32 32 290 322 1 201 102 17 17 289 -1 unnamed_device 24.0 MiB 0.14 1385 9622 2059 6854 709 63.1 MiB 0.10 0.00 7.65501 -156.101 -7.65501 7.65501 0.32 0.000938068 0.000869992 0.0423961 0.0392761 -1 -1 -1 -1 26 3475 21 6.55708e+06 458090 477104. 1650.88 0.79 0.164136 0.14429 21022 109990 -1 2941 17 1188 3862 185644 46684 6.8013 6.8013 -149.206 -6.8013 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0346934 0.0305257 206 196 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 3.15 vpr 62.40 MiB -1 -1 0.30 18004 12 0.15 -1 -1 32632 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63900 32 32 214 246 1 155 96 17 17 289 -1 unnamed_device 23.2 MiB 0.09 1125 6666 1294 5032 340 62.4 MiB 0.06 0.00 7.29066 -147.807 -7.29066 7.29066 0.32 0.000701615 0.000650735 0.0246956 0.0228416 -1 -1 -1 -1 22 2900 39 6.55708e+06 385760 420624. 1455.45 0.94 0.132536 0.115238 20158 92377 -1 2581 16 881 2608 157756 38846 6.59044 6.59044 -147.231 -6.59044 0 0 500653. 1732.36 0.02 0.07 0.08 -1 -1 0.02 0.0247746 0.0218342 135 120 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 3.24 vpr 62.70 MiB -1 -1 0.37 18348 12 0.21 -1 -1 32552 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64204 31 32 244 276 1 170 98 17 17 289 -1 unnamed_device 23.5 MiB 0.13 1189 7523 1566 5534 423 62.7 MiB 0.04 0.00 7.41001 -146.411 -7.41001 7.41001 0.35 0.000358955 0.000326716 0.0147765 0.0135455 -1 -1 -1 -1 24 3105 50 6.55708e+06 421925 448715. 1552.65 0.80 0.145427 0.125661 20734 103517 -1 2552 19 973 3397 173269 43343 6.79164 6.79164 -146.624 -6.79164 0 0 554710. 1919.41 0.02 0.08 0.09 -1 -1 0.02 0.0314169 0.0275637 162 153 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 4.53 vpr 63.00 MiB -1 -1 0.37 18272 11 0.19 -1 -1 32708 -1 -1 39 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64512 30 32 276 308 1 197 101 17 17 289 -1 unnamed_device 24.0 MiB 0.11 1426 9971 2414 6635 922 63.0 MiB 0.10 0.00 7.22338 -143.08 -7.22338 7.22338 0.32 0.000876745 0.000812141 0.0406845 0.0376122 -1 -1 -1 -1 24 3993 48 6.55708e+06 470145 448715. 1552.65 2.14 0.182956 0.159725 20734 103517 -1 3229 16 1219 4281 241988 56922 6.19064 6.19064 -138.743 -6.19064 0 0 554710. 1919.41 0.02 0.09 0.09 -1 -1 0.02 0.0310984 0.0273684 199 188 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 3.34 vpr 62.77 MiB -1 -1 0.33 18160 11 0.20 -1 -1 32740 -1 -1 36 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64280 28 32 253 285 1 176 96 17 17 289 -1 unnamed_device 23.6 MiB 0.10 1246 7323 1575 5225 523 62.8 MiB 0.07 0.00 6.62198 -127.747 -6.62198 6.62198 0.32 0.00082665 0.000768122 0.0306829 0.0284525 -1 -1 -1 -1 26 3068 24 6.55708e+06 433980 477104. 1650.88 0.97 0.150062 0.131275 21022 109990 -1 2531 29 978 3458 238017 92974 5.71946 5.71946 -121.388 -5.71946 0 0 585099. 2024.56 0.03 0.12 0.09 -1 -1 0.03 0.0442903 0.0383556 177 171 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 2.84 vpr 62.65 MiB -1 -1 0.35 18396 13 0.21 -1 -1 32420 -1 -1 33 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64152 30 32 235 267 1 168 95 17 17 289 -1 unnamed_device 23.6 MiB 0.09 1204 5279 954 4026 299 62.6 MiB 0.06 0.00 8.00795 -145.087 -8.00795 8.00795 0.32 0.00076175 0.000706018 0.0216427 0.0200584 -1 -1 -1 -1 26 2869 21 6.55708e+06 397815 477104. 1650.88 0.55 0.112511 0.0980716 21022 109990 -1 2411 15 837 2812 138210 35074 6.93376 6.93376 -136.665 -6.93376 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0255488 0.0225753 152 147 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 3.06 vpr 62.77 MiB -1 -1 0.36 18316 12 0.21 -1 -1 32380 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64280 32 32 264 296 1 187 102 17 17 289 -1 unnamed_device 23.6 MiB 0.11 1239 8194 1644 5945 605 62.8 MiB 0.08 0.00 7.35564 -159.23 -7.35564 7.35564 0.31 0.000824275 0.000761206 0.0328836 0.0304361 -1 -1 -1 -1 26 2909 20 6.55708e+06 458090 477104. 1650.88 0.60 0.135099 0.118105 21022 109990 -1 2669 18 1127 3676 180485 45638 6.2395 6.2395 -150.065 -6.2395 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0330151 0.0289867 179 170 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 3.08 vpr 62.71 MiB -1 -1 0.30 18272 13 0.30 -1 -1 32772 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64212 31 32 278 310 1 196 104 17 17 289 -1 unnamed_device 23.6 MiB 0.13 1448 8400 1737 5934 729 62.7 MiB 0.08 0.00 8.2073 -161.714 -8.2073 8.2073 0.31 0.000905066 0.000840014 0.034896 0.0323122 -1 -1 -1 -1 26 3513 21 6.55708e+06 494255 477104. 1650.88 0.71 0.14739 0.128916 21022 109990 -1 2996 20 1129 3647 181615 44143 7.33356 7.33356 -152.995 -7.33356 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0372298 0.0325823 196 187 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 3.34 vpr 63.44 MiB -1 -1 0.39 18604 14 0.26 -1 -1 32768 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64964 32 32 290 322 1 201 104 17 17 289 -1 unnamed_device 23.8 MiB 0.15 1372 11328 2709 7566 1053 63.4 MiB 0.11 0.00 8.34267 -169.936 -8.34267 8.34267 0.32 0.000926812 0.000860008 0.0469082 0.0433586 -1 -1 -1 -1 28 3373 18 6.55708e+06 482200 500653. 1732.36 0.80 0.161715 0.142394 21310 115450 -1 2886 19 1177 3938 204238 50221 7.36876 7.36876 -163.804 -7.36876 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0371656 0.0326212 206 196 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 3.04 vpr 62.79 MiB -1 -1 0.38 18952 14 0.24 -1 -1 32964 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64292 32 32 269 301 1 188 102 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1306 6528 1307 4742 479 62.8 MiB 0.07 0.00 8.55579 -163.16 -8.55579 8.55579 0.32 0.000881207 0.000817373 0.0273229 0.0253321 -1 -1 -1 -1 26 3317 19 6.55708e+06 458090 477104. 1650.88 0.69 0.132486 0.115678 21022 109990 -1 2722 17 904 3226 159952 38577 7.09882 7.09882 -148.664 -7.09882 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0323844 0.0285102 184 175 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 3.60 vpr 62.89 MiB -1 -1 0.39 18860 13 0.32 -1 -1 33284 -1 -1 47 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64396 32 32 296 328 1 215 111 17 17 289 -1 unnamed_device 24.0 MiB 0.09 1536 11283 2699 7315 1269 62.9 MiB 0.11 0.00 8.43349 -165.198 -8.43349 8.43349 0.31 0.000970797 0.000893463 0.0446889 0.0412869 -1 -1 -1 -1 28 4013 26 6.55708e+06 566585 500653. 1732.36 1.09 0.173911 0.152258 21310 115450 -1 3340 19 1628 5528 311003 74006 7.40996 7.40996 -155.551 -7.40996 0 0 612192. 2118.31 0.03 0.11 0.10 -1 -1 0.03 0.0396495 0.0347938 221 202 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 3.12 vpr 62.63 MiB -1 -1 0.33 17996 13 0.19 -1 -1 32608 -1 -1 35 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64136 30 32 234 266 1 170 97 17 17 289 -1 unnamed_device 23.6 MiB 0.11 1095 9643 2297 6523 823 62.6 MiB 0.09 0.00 7.57687 -153.622 -7.57687 7.57687 0.32 0.000749552 0.000694958 0.035486 0.0328216 -1 -1 -1 -1 26 2745 41 6.55708e+06 421925 477104. 1650.88 0.81 0.15246 0.133136 21022 109990 -1 2320 20 1189 3529 166003 41402 6.58844 6.58844 -145.166 -6.58844 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0313603 0.0274117 156 146 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 3.92 vpr 63.50 MiB -1 -1 0.42 18888 13 0.41 -1 -1 32528 -1 -1 42 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65024 30 32 291 323 1 217 104 17 17 289 -1 unnamed_device 23.7 MiB 0.13 1643 8156 1726 5561 869 63.5 MiB 0.09 0.00 8.47463 -169.552 -8.47463 8.47463 0.30 0.000993615 0.000901795 0.0364261 0.0337005 -1 -1 -1 -1 26 4550 39 6.55708e+06 506310 477104. 1650.88 1.23 0.187607 0.163719 21022 109990 -1 3734 19 1812 5679 316152 76055 7.49096 7.49096 -165.311 -7.49096 0 0 585099. 2024.56 0.03 0.11 0.09 -1 -1 0.03 0.0395355 0.0346332 211 203 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 3.67 vpr 62.81 MiB -1 -1 0.39 18656 14 0.30 -1 -1 32756 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64316 32 32 274 306 1 187 100 17 17 289 -1 unnamed_device 23.7 MiB 0.15 1364 8684 2015 5564 1105 62.8 MiB 0.09 0.00 7.94732 -163.062 -7.94732 7.94732 0.32 0.000900951 0.000832234 0.0371411 0.0343661 -1 -1 -1 -1 28 3744 49 6.55708e+06 433980 500653. 1732.36 1.10 0.190516 0.165697 21310 115450 -1 3013 19 1335 4767 245783 58396 7.13036 7.13036 -156.349 -7.13036 0 0 612192. 2118.31 0.03 0.10 0.10 -1 -1 0.03 0.0363847 0.0318477 189 180 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 3.12 vpr 62.69 MiB -1 -1 0.38 18504 13 0.24 -1 -1 32852 -1 -1 39 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64192 31 32 266 298 1 189 102 17 17 289 -1 unnamed_device 23.7 MiB 0.10 1327 9146 1874 6578 694 62.7 MiB 0.09 0.00 7.52981 -147.017 -7.52981 7.52981 0.31 0.000874503 0.000812615 0.0367502 0.0340574 -1 -1 -1 -1 26 3405 24 6.55708e+06 470145 477104. 1650.88 0.72 0.147393 0.128779 21022 109990 -1 2791 18 1055 3463 170861 42133 6.6811 6.6811 -141.376 -6.6811 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0333883 0.0293232 188 175 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 3.05 vpr 62.99 MiB -1 -1 0.40 18640 13 0.21 -1 -1 32744 -1 -1 42 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64500 30 32 266 298 1 198 104 17 17 289 -1 unnamed_device 24.0 MiB 0.13 1472 11572 2894 7268 1410 63.0 MiB 0.06 0.00 8.17786 -154.973 -8.17786 8.17786 0.29 0.000390555 0.000359082 0.0212739 0.0194777 -1 -1 -1 -1 28 3469 21 6.55708e+06 506310 500653. 1732.36 0.66 0.12667 0.109852 21310 115450 -1 3013 15 1087 3771 191591 47084 6.85076 6.85076 -144.64 -6.85076 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0291137 0.0256711 188 178 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 3.91 vpr 63.93 MiB -1 -1 0.39 18616 14 0.35 -1 -1 32904 -1 -1 45 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65468 32 32 310 342 1 224 109 17 17 289 -1 unnamed_device 24.3 MiB 0.12 1581 7909 1499 5924 486 63.9 MiB 0.09 0.00 8.22563 -168.56 -8.22563 8.22563 0.32 0.00101534 0.000935231 0.0347461 0.032097 -1 -1 -1 -1 24 4402 36 6.55708e+06 542475 448715. 1552.65 1.24 0.187015 0.163114 20734 103517 -1 3466 19 1581 5463 267328 66072 7.83989 7.83989 -167.701 -7.83989 0 0 554710. 1919.41 0.02 0.11 0.09 -1 -1 0.02 0.0407656 0.0358467 224 216 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 3.29 vpr 62.74 MiB -1 -1 0.39 18588 11 0.27 -1 -1 32880 -1 -1 40 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64248 29 32 262 294 1 197 101 17 17 289 -1 unnamed_device 23.7 MiB 0.12 1268 9501 2060 6462 979 62.7 MiB 0.09 0.00 7.09867 -136.55 -7.09867 7.09867 0.32 0.000888396 0.000824305 0.0394313 0.0365356 -1 -1 -1 -1 28 3329 23 6.55708e+06 482200 500653. 1732.36 0.81 0.153343 0.134375 21310 115450 -1 2580 16 1111 3693 174673 45072 6.17838 6.17838 -129.615 -6.17838 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.031461 0.0277134 187 177 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 2.70 vpr 62.45 MiB -1 -1 0.30 18100 13 0.16 -1 -1 32568 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63952 32 32 222 254 1 166 98 17 17 289 -1 unnamed_device 23.2 MiB 0.09 1204 5273 829 4270 174 62.5 MiB 0.05 0.00 7.20711 -162.372 -7.20711 7.20711 0.31 0.000721513 0.000666162 0.0202018 0.018688 -1 -1 -1 -1 26 2831 18 6.55708e+06 409870 477104. 1650.88 0.53 0.104861 0.0915183 21022 109990 -1 2300 18 816 2198 106663 27075 6.69898 6.69898 -157.013 -6.69898 0 0 585099. 2024.56 0.03 0.06 0.07 -1 -1 0.03 0.027625 0.0242476 141 128 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 3.43 vpr 62.80 MiB -1 -1 0.39 18644 14 0.24 -1 -1 32796 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64308 32 32 267 299 1 189 103 17 17 289 -1 unnamed_device 23.8 MiB 0.14 1242 6369 1126 4900 343 62.8 MiB 0.07 0.00 8.36029 -164.452 -8.36029 8.36029 0.32 0.000868932 0.000806635 0.0264232 0.0245137 -1 -1 -1 -1 26 3744 27 6.55708e+06 470145 477104. 1650.88 0.93 0.144699 0.126107 21022 109990 -1 2835 22 1327 4478 231737 58002 7.4401 7.4401 -161.774 -7.4401 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0395097 0.0346127 185 173 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 3.77 vpr 63.83 MiB -1 -1 0.40 19184 15 0.40 -1 -1 32816 -1 -1 52 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65360 32 32 334 366 1 242 116 17 17 289 -1 unnamed_device 24.3 MiB 0.15 1774 10268 2038 7406 824 63.8 MiB 0.11 0.00 9.11143 -194.591 -9.11143 9.11143 0.32 0.00103847 0.000953017 0.0446023 0.0411933 -1 -1 -1 -1 28 4494 24 6.55708e+06 626860 500653. 1732.36 1.00 0.185052 0.162338 21310 115450 -1 3839 16 1527 5153 260352 63673 8.33801 8.33801 -188.071 -8.33801 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0314369 0.0283527 251 240 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 2.80 vpr 62.82 MiB -1 -1 0.33 18000 11 0.17 -1 -1 32780 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64328 32 32 220 252 1 154 96 17 17 289 -1 unnamed_device 23.1 MiB 0.11 1024 5571 1006 4032 533 62.8 MiB 0.05 0.00 6.85992 -141.008 -6.85992 6.85992 0.32 0.000708137 0.000656691 0.0207248 0.0191827 -1 -1 -1 -1 28 2438 19 6.55708e+06 385760 500653. 1732.36 0.57 0.106907 0.0933761 21310 115450 -1 2194 17 733 2323 117456 30004 5.91304 5.91304 -134.349 -5.91304 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0257862 0.0226699 142 126 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 2.98 vpr 62.71 MiB -1 -1 0.31 18060 12 0.18 -1 -1 33032 -1 -1 36 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64220 31 32 244 276 1 183 99 17 17 289 -1 unnamed_device 23.5 MiB 0.10 1234 5343 953 4107 283 62.7 MiB 0.06 0.00 7.43715 -158.003 -7.43715 7.43715 0.32 0.000792845 0.000735142 0.0216441 0.0200635 -1 -1 -1 -1 28 3024 19 6.55708e+06 433980 500653. 1732.36 0.69 0.116315 0.10146 21310 115450 -1 2710 15 1091 3540 165817 43066 6.44632 6.44632 -152.105 -6.44632 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0262288 0.023126 164 153 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 3.79 vpr 62.85 MiB -1 -1 0.37 18668 12 0.29 -1 -1 32728 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64356 32 32 300 332 1 220 108 17 17 289 -1 unnamed_device 23.6 MiB 0.12 1579 8332 1506 5759 1067 62.8 MiB 0.09 0.00 7.71424 -168.425 -7.71424 7.71424 0.32 0.000984937 0.000908813 0.03695 0.0341147 -1 -1 -1 -1 28 4218 50 6.55708e+06 530420 500653. 1732.36 1.13 0.206533 0.17992 21310 115450 -1 3288 18 1607 5239 263415 63153 6.82884 6.82884 -159.992 -6.82884 0 0 612192. 2118.31 0.03 0.10 0.10 -1 -1 0.03 0.0387323 0.0340254 215 206 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 3.23 vpr 62.67 MiB -1 -1 0.36 18500 12 0.29 -1 -1 32852 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64172 32 32 271 303 1 202 103 17 17 289 -1 unnamed_device 23.6 MiB 0.13 1485 6369 1097 5022 250 62.7 MiB 0.07 0.00 7.26298 -157.448 -7.26298 7.26298 0.32 0.000891283 0.000820157 0.0278483 0.0257783 -1 -1 -1 -1 30 3302 21 6.55708e+06 470145 526063. 1820.29 0.80 0.138453 0.120894 21886 126133 -1 2772 20 1091 3776 171570 41953 6.34038 6.34038 -148.383 -6.34038 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.0368277 0.0322888 187 177 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 3.80 vpr 63.73 MiB -1 -1 0.25 18816 14 0.50 -1 -1 32824 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65260 32 32 327 359 1 229 108 17 17 289 -1 unnamed_device 24.3 MiB 0.15 1714 10388 2256 7377 755 63.7 MiB 0.11 0.00 8.83168 -179.902 -8.83168 8.83168 0.32 0.00107611 0.000997674 0.047919 0.0443294 -1 -1 -1 -1 28 4587 29 6.55708e+06 530420 500653. 1732.36 1.10 0.198137 0.173877 21310 115450 -1 3744 17 1704 6163 309891 74071 7.94021 7.94021 -173.22 -7.94021 0 0 612192. 2118.31 0.03 0.11 0.10 -1 -1 0.03 0.0406528 0.035828 241 233 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 3.01 vpr 62.62 MiB -1 -1 0.36 18308 12 0.24 -1 -1 32816 -1 -1 35 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64124 30 32 246 278 1 176 97 17 17 289 -1 unnamed_device 23.5 MiB 0.10 1249 9421 2369 6234 818 62.6 MiB 0.09 0.00 7.45131 -141.531 -7.45131 7.45131 0.33 0.000825555 0.00076626 0.0382079 0.0354155 -1 -1 -1 -1 20 3643 36 6.55708e+06 421925 394039. 1363.46 0.58 0.0974113 0.0867365 19870 87366 -1 3066 28 1582 5670 417097 109213 6.74984 6.74984 -142.866 -6.74984 0 0 477104. 1650.88 0.02 0.14 0.08 -1 -1 0.02 0.0445774 0.0386726 167 158 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 2.86 vpr 62.39 MiB -1 -1 0.25 18136 11 0.18 -1 -1 32604 -1 -1 36 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63892 27 32 219 251 1 154 95 17 17 289 -1 unnamed_device 23.1 MiB 0.08 1050 6359 1311 4459 589 62.4 MiB 0.06 0.00 6.77412 -124.861 -6.77412 6.77412 0.32 0.000713459 0.000661731 0.0237722 0.0219985 -1 -1 -1 -1 26 2518 28 6.55708e+06 433980 477104. 1650.88 0.64 0.117944 0.102417 21022 109990 -1 2290 18 974 3227 154423 38178 5.86158 5.86158 -120.568 -5.86158 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0275303 0.0241178 147 140 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 4.52 vpr 63.91 MiB -1 -1 0.42 19032 13 0.44 -1 -1 32772 -1 -1 54 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65444 32 32 380 412 1 268 118 17 17 289 -1 unnamed_device 24.4 MiB 0.25 1943 11678 2402 8655 621 63.9 MiB 0.14 0.00 8.1984 -170.627 -8.1984 8.1984 0.32 0.00117839 0.00109125 0.0558448 0.0513993 -1 -1 -1 -1 30 4867 27 6.55708e+06 650970 526063. 1820.29 1.51 0.22026 0.193409 21886 126133 -1 4112 18 1783 6575 315089 74957 7.14764 7.14764 -163.505 -7.14764 0 0 666494. 2306.21 0.03 0.12 0.10 -1 -1 0.03 0.0471696 0.0416395 289 286 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 3.49 vpr 62.70 MiB -1 -1 0.41 18628 14 0.25 -1 -1 32816 -1 -1 42 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64200 31 32 277 309 1 200 105 17 17 289 -1 unnamed_device 23.6 MiB 0.09 1420 9491 2350 6318 823 62.7 MiB 0.09 0.00 8.35003 -166.536 -8.35003 8.35003 0.31 0.000891576 0.00082666 0.0389069 0.0359455 -1 -1 -1 -1 26 3491 31 6.55708e+06 506310 477104. 1650.88 0.83 0.163606 0.142687 21022 109990 -1 3025 58 2055 6416 553616 263647 7.6387 7.6387 -164.292 -7.6387 0 0 585099. 2024.56 0.03 0.30 0.09 -1 -1 0.03 0.08865 0.0762004 200 186 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 2.77 vpr 62.97 MiB -1 -1 0.35 18292 12 0.17 -1 -1 32396 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64484 32 32 229 261 1 169 98 17 17 289 -1 unnamed_device 23.2 MiB 0.11 1217 5723 975 4428 320 63.0 MiB 0.06 0.00 7.79524 -163.944 -7.79524 7.79524 0.32 0.000748847 0.000693534 0.0219094 0.0202888 -1 -1 -1 -1 26 2888 20 6.55708e+06 409870 477104. 1650.88 0.51 0.111548 0.0972834 21022 109990 -1 2530 15 855 2563 135872 33142 6.7601 6.7601 -153.649 -6.7601 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0260046 0.0230447 149 135 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 3.54 vpr 62.83 MiB -1 -1 0.37 18276 13 0.28 -1 -1 32744 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64340 32 32 263 295 1 192 103 17 17 289 -1 unnamed_device 23.5 MiB 0.11 1398 7574 1486 5664 424 62.8 MiB 0.08 0.00 7.84921 -159.054 -7.84921 7.84921 0.34 0.000863937 0.000799732 0.0311336 0.0288415 -1 -1 -1 -1 26 3835 35 6.55708e+06 470145 477104. 1650.88 0.95 0.163426 0.142767 21022 109990 -1 3026 31 1553 5661 358728 122312 7.0005 7.0005 -155.662 -7.0005 0 0 585099. 2024.56 0.03 0.16 0.09 -1 -1 0.03 0.0515008 0.0447525 179 169 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 3.50 vpr 63.55 MiB -1 -1 0.23 18892 13 0.31 -1 -1 32792 -1 -1 47 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65080 31 32 321 353 1 239 110 17 17 289 -1 unnamed_device 23.9 MiB 0.13 1645 8526 1725 6272 529 63.6 MiB 0.09 0.00 7.39654 -148.506 -7.39654 7.39654 0.32 0.00102679 0.000948865 0.0377391 0.0349457 -1 -1 -1 -1 30 4043 31 6.55708e+06 566585 526063. 1820.29 0.96 0.183972 0.160874 21886 126133 -1 3334 18 1556 5059 223093 54232 6.19064 6.19064 -138.614 -6.19064 0 0 666494. 2306.21 0.03 0.10 0.10 -1 -1 0.03 0.0406234 0.0357545 240 230 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 3.36 vpr 63.40 MiB -1 -1 0.36 18360 11 0.24 -1 -1 32640 -1 -1 43 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64924 30 32 287 319 1 198 105 17 17 289 -1 unnamed_device 23.7 MiB 0.11 1436 5786 1060 4191 535 63.4 MiB 0.06 0.00 7.16441 -139.126 -7.16441 7.16441 0.32 0.000918617 0.000851244 0.025198 0.0233554 -1 -1 -1 -1 30 3210 22 6.55708e+06 518365 526063. 1820.29 0.91 0.141305 0.123076 21886 126133 -1 2834 14 1057 4037 181215 43161 6.26904 6.26904 -133.283 -6.26904 0 0 666494. 2306.21 0.04 0.06 0.11 -1 -1 0.04 0.0206423 0.0188246 207 199 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 3.55 vpr 63.62 MiB -1 -1 0.39 18704 15 0.34 -1 -1 32736 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65148 32 32 296 328 1 211 103 17 17 289 -1 unnamed_device 24.0 MiB 0.19 1400 9261 1992 6376 893 63.6 MiB 0.10 0.00 8.81686 -177.387 -8.81686 8.81686 0.32 0.000967832 0.000896476 0.0410691 0.0379548 -1 -1 -1 -1 26 3902 29 6.55708e+06 470145 477104. 1650.88 0.88 0.174578 0.152503 21022 109990 -1 3079 17 1290 4296 205801 51401 7.84956 7.84956 -174.659 -7.84956 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0365643 0.0322888 208 202 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 3.75 vpr 62.99 MiB -1 -1 0.39 18792 13 0.31 -1 -1 32872 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64500 32 32 285 317 1 205 102 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1465 7242 1413 5321 508 63.0 MiB 0.08 0.00 8.10703 -171.498 -8.10703 8.10703 0.31 0.000935859 0.00086713 0.0324183 0.0300257 -1 -1 -1 -1 24 4124 28 6.55708e+06 458090 448715. 1552.65 1.12 0.163614 0.142803 20734 103517 -1 3446 21 1284 4498 271507 69431 7.2383 7.2383 -166.809 -7.2383 0 0 554710. 1919.41 0.02 0.11 0.09 -1 -1 0.02 0.0412333 0.0360965 202 191 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 3.02 vpr 62.57 MiB -1 -1 0.25 18076 12 0.20 -1 -1 32752 -1 -1 42 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64068 29 32 239 271 1 178 103 17 17 289 -1 unnamed_device 23.5 MiB 0.09 1220 7092 1491 5064 537 62.6 MiB 0.07 0.00 7.94017 -158.473 -7.94017 7.94017 0.32 0.000768314 0.000712143 0.0256356 0.0237462 -1 -1 -1 -1 26 3046 41 6.55708e+06 506310 477104. 1650.88 0.77 0.14384 0.12503 21022 109990 -1 2518 16 913 2770 128335 32881 7.1573 7.1573 -152.441 -7.1573 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0274147 0.024171 167 154 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 2.96 vpr 62.39 MiB -1 -1 0.32 18168 11 0.16 -1 -1 32696 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63888 32 32 235 267 1 175 100 17 17 289 -1 unnamed_device 23.1 MiB 0.09 1209 8452 1924 5669 859 62.4 MiB 0.08 0.00 7.00455 -144.749 -7.00455 7.00455 0.31 0.000733587 0.000678251 0.02952 0.0272855 -1 -1 -1 -1 30 2684 30 6.55708e+06 433980 526063. 1820.29 0.61 0.127043 0.110742 21886 126133 -1 2234 16 921 2754 114752 30025 6.07044 6.07044 -136.126 -6.07044 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0260629 0.022921 156 141 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 3.36 vpr 62.86 MiB -1 -1 0.35 18372 13 0.31 -1 -1 32832 -1 -1 43 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64368 31 32 294 326 1 209 106 17 17 289 -1 unnamed_device 23.7 MiB 0.13 1483 8106 1671 5747 688 62.9 MiB 0.08 0.00 7.93251 -162.97 -7.93251 7.93251 0.32 0.000936563 0.000867003 0.0341907 0.0316389 -1 -1 -1 -1 28 3774 24 6.55708e+06 518365 500653. 1732.36 0.83 0.157127 0.137205 21310 115450 -1 3076 16 1293 4466 214886 52808 7.0371 7.0371 -156.899 -7.0371 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0347474 0.0306354 209 203 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 2.71 vpr 63.01 MiB -1 -1 0.32 18088 10 0.17 -1 -1 33092 -1 -1 31 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64520 29 32 219 251 1 150 92 17 17 289 -1 unnamed_device 23.2 MiB 0.09 1077 6716 1557 4320 839 63.0 MiB 0.06 0.00 6.14523 -119.802 -6.14523 6.14523 0.32 0.000711135 0.000659064 0.0258237 0.0239279 -1 -1 -1 -1 28 2453 19 6.55708e+06 373705 500653. 1732.36 0.58 0.109727 0.0957932 21310 115450 -1 2076 14 703 2228 112569 27589 5.50498 5.50498 -116.681 -5.50498 0 0 612192. 2118.31 0.03 0.06 0.07 -1 -1 0.03 0.0231132 0.0203141 145 134 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 3.07 vpr 62.99 MiB -1 -1 0.30 18004 14 0.19 -1 -1 32608 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64500 32 32 239 271 1 174 99 17 17 289 -1 unnamed_device 23.4 MiB 0.10 1179 10359 2444 7017 898 63.0 MiB 0.09 0.00 8.11944 -167.718 -8.11944 8.11944 0.31 0.000769426 0.000713074 0.0378348 0.0349924 -1 -1 -1 -1 26 3026 22 6.55708e+06 421925 477104. 1650.88 0.76 0.136638 0.120059 21022 109990 -1 2555 18 945 2875 145602 36168 7.08916 7.08916 -154.33 -7.08916 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0299467 0.0262999 155 145 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 3.07 vpr 63.29 MiB -1 -1 0.38 18736 13 0.31 -1 -1 32808 -1 -1 39 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64804 31 32 266 298 1 193 102 17 17 289 -1 unnamed_device 23.7 MiB 0.15 1348 7004 1240 5311 453 63.3 MiB 0.07 0.00 7.96615 -163.64 -7.96615 7.96615 0.32 0.000870587 0.000808026 0.0288622 0.0267639 -1 -1 -1 -1 30 3005 27 6.55708e+06 470145 526063. 1820.29 0.58 0.140171 0.122059 21886 126133 -1 2550 14 975 3106 127096 32609 6.8391 6.8391 -151.144 -6.8391 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0282643 0.0250154 185 175 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 2.84 vpr 62.89 MiB -1 -1 0.31 18108 12 0.16 -1 -1 32724 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64396 31 32 225 257 1 161 101 17 17 289 -1 unnamed_device 23.1 MiB 0.09 1212 6916 1486 4812 618 62.9 MiB 0.06 0.00 7.22863 -147.66 -7.22863 7.22863 0.32 0.00069335 0.000652807 0.0238351 0.022098 -1 -1 -1 -1 28 2636 14 6.55708e+06 458090 500653. 1732.36 0.62 0.103974 0.0910087 21310 115450 -1 2360 14 823 2439 123719 30628 6.45858 6.45858 -142.003 -6.45858 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0230327 0.0203696 147 134 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 3.33 vpr 63.38 MiB -1 -1 0.35 18436 12 0.20 -1 -1 32828 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64904 32 32 288 320 1 207 102 17 17 289 -1 unnamed_device 23.7 MiB 0.13 1453 7242 1384 5338 520 63.4 MiB 0.08 0.00 7.59394 -155.783 -7.59394 7.59394 0.29 0.000912161 0.000844874 0.0323359 0.0298935 -1 -1 -1 -1 26 3629 20 6.55708e+06 458090 477104. 1650.88 0.92 0.149908 0.131327 21022 109990 -1 3193 16 1125 4133 211646 50831 6.78904 6.78904 -148.172 -6.78904 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0325848 0.0286863 203 194 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 3.18 vpr 63.54 MiB -1 -1 0.41 18664 13 0.28 -1 -1 32844 -1 -1 44 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65068 31 32 282 314 1 195 107 17 17 289 -1 unnamed_device 23.8 MiB 0.14 1373 8203 1549 6055 599 63.5 MiB 0.10 0.00 7.95704 -161.742 -7.95704 7.95704 0.35 0.00101587 0.000934312 0.0365104 0.0335604 -1 -1 -1 -1 30 2934 26 6.55708e+06 530420 526063. 1820.29 0.62 0.128924 0.114011 21886 126133 -1 2536 12 916 3177 137192 34835 6.88996 6.88996 -152.482 -6.88996 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0267839 0.0238242 204 191 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 2.96 vpr 62.66 MiB -1 -1 0.34 18436 11 0.17 -1 -1 32736 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64160 32 32 233 265 1 173 96 17 17 289 -1 unnamed_device 23.3 MiB 0.07 1191 7980 1865 5132 983 62.7 MiB 0.08 0.00 6.73532 -143.345 -6.73532 6.73532 0.32 0.000753145 0.000690659 0.0301725 0.0278782 -1 -1 -1 -1 26 3220 38 6.55708e+06 385760 477104. 1650.88 0.78 0.143984 0.125455 21022 109990 -1 2656 15 1042 3092 162261 40021 6.01898 6.01898 -143.129 -6.01898 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0254418 0.0224732 150 139 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 3.14 vpr 62.59 MiB -1 -1 0.33 18332 13 0.21 -1 -1 32656 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64092 32 32 254 286 1 182 99 17 17 289 -1 unnamed_device 23.4 MiB 0.11 1306 8763 1902 6113 748 62.6 MiB 0.10 0.00 7.7612 -164.464 -7.7612 7.7612 0.32 0.000836191 0.00077627 0.0388615 0.0357925 -1 -1 -1 -1 26 3375 19 6.55708e+06 421925 477104. 1650.88 0.69 0.13698 0.119829 21022 109990 -1 2907 32 1514 5323 363358 126000 7.02804 7.02804 -160.996 -7.02804 0 0 585099. 2024.56 0.03 0.16 0.09 -1 -1 0.03 0.0492168 0.0425122 167 160 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 3.56 vpr 62.69 MiB -1 -1 0.34 18332 13 0.25 -1 -1 32860 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64192 32 32 285 317 1 211 104 17 17 289 -1 unnamed_device 23.6 MiB 0.14 1523 12304 3016 8156 1132 62.7 MiB 0.12 0.00 8.0432 -171.219 -8.0432 8.0432 0.31 0.000924381 0.000858307 0.0502871 0.0466214 -1 -1 -1 -1 26 4101 25 6.55708e+06 482200 477104. 1650.88 1.03 0.169712 0.149072 21022 109990 -1 3342 18 1458 4644 236912 56442 6.94704 6.94704 -162.816 -6.94704 0 0 585099. 2024.56 0.03 0.09 0.11 -1 -1 0.03 0.0358245 0.0314794 203 191 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 3.23 vpr 62.64 MiB -1 -1 0.34 18344 11 0.19 -1 -1 33160 -1 -1 36 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64140 29 32 243 275 1 177 97 17 17 289 -1 unnamed_device 23.4 MiB 0.10 1302 7201 1461 5220 520 62.6 MiB 0.07 0.00 6.81031 -130.786 -6.81031 6.81031 0.32 0.000806191 0.000747971 0.0293749 0.0272312 -1 -1 -1 -1 26 3401 38 6.55708e+06 433980 477104. 1650.88 0.87 0.152875 0.133138 21022 109990 -1 2733 17 915 3307 184871 43097 5.96752 5.96752 -124.546 -5.96752 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0302934 0.026608 162 158 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 4.12 vpr 63.71 MiB -1 -1 0.40 19024 14 0.32 -1 -1 33340 -1 -1 52 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65236 32 32 318 350 1 236 116 17 17 289 -1 unnamed_device 24.0 MiB 0.14 1653 13370 3078 9038 1254 63.7 MiB 0.13 0.00 8.77446 -185.895 -8.77446 8.77446 0.32 0.00103192 0.000944993 0.0538548 0.0496828 -1 -1 -1 -1 28 4444 47 6.55708e+06 626860 500653. 1732.36 1.33 0.228757 0.201319 21310 115450 -1 3632 17 1592 5172 254546 66761 7.36876 7.36876 -175.605 -7.36876 0 0 612192. 2118.31 0.03 0.10 0.10 -1 -1 0.03 0.0397971 0.0351532 236 224 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 3.26 vpr 62.42 MiB -1 -1 0.30 18104 12 0.18 -1 -1 32560 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63920 31 32 222 254 1 170 101 17 17 289 -1 unnamed_device 23.2 MiB 0.10 1191 9266 1956 6385 925 62.4 MiB 0.08 0.00 7.05697 -151.912 -7.05697 7.05697 0.32 0.000710781 0.000658363 0.0308143 0.0285398 -1 -1 -1 -1 22 3412 47 6.55708e+06 458090 420624. 1455.45 0.91 0.147254 0.128127 20158 92377 -1 2849 43 1632 5665 432075 165903 6.30118 6.30118 -148.835 -6.30118 0 0 500653. 1732.36 0.02 0.20 0.08 -1 -1 0.02 0.0557516 0.0480185 146 131 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 3.47 vpr 62.93 MiB -1 -1 0.39 18868 13 0.29 -1 -1 32688 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64440 32 32 282 314 1 205 105 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1447 10726 2638 7196 892 62.9 MiB 0.10 0.00 8.06077 -162.393 -8.06077 8.06077 0.31 0.000912782 0.000845466 0.0433016 0.0400574 -1 -1 -1 -1 26 3919 48 6.55708e+06 494255 477104. 1650.88 1.04 0.196014 0.171082 21022 109990 -1 3083 16 1150 3867 193507 48398 7.3193 7.3193 -159.176 -7.3193 0 0 585099. 2024.56 0.02 0.05 0.06 -1 -1 0.02 0.0189857 0.017172 200 188 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 3.04 vpr 62.52 MiB -1 -1 0.37 18348 13 0.18 -1 -1 32448 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64020 32 32 238 270 1 177 101 17 17 289 -1 unnamed_device 23.5 MiB 0.09 1218 9501 2083 6458 960 62.5 MiB 0.08 0.00 7.91043 -170.443 -7.91043 7.91043 0.32 0.000758064 0.000703417 0.0336526 0.0311554 -1 -1 -1 -1 26 2922 26 6.55708e+06 446035 477104. 1650.88 0.67 0.133295 0.11664 21022 109990 -1 2523 22 1300 4049 241032 73323 6.78444 6.78444 -160.626 -6.78444 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0332941 0.0290198 163 144 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 3.25 vpr 62.82 MiB -1 -1 0.38 18620 12 0.22 -1 -1 32676 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64328 32 32 269 301 1 187 99 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1308 9675 2304 6571 800 62.8 MiB 0.10 0.00 7.16681 -150.444 -7.16681 7.16681 0.32 0.000891049 0.000822082 0.0413955 0.0382011 -1 -1 -1 -1 26 3305 18 6.55708e+06 421925 477104. 1650.88 0.64 0.148462 0.129893 21022 109990 -1 2671 15 1016 3480 173853 42728 6.13918 6.13918 -143.197 -6.13918 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0306761 0.0270637 180 175 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 5.04 vpr 63.97 MiB -1 -1 0.41 19232 15 0.48 -1 -1 33152 -1 -1 51 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65508 32 32 350 382 1 247 115 17 17 289 -1 unnamed_device 24.3 MiB 0.16 1735 9880 1858 7500 522 64.0 MiB 0.11 0.00 9.58402 -185.921 -9.58402 9.58402 0.32 0.0011435 0.00105793 0.0462967 0.0427198 -1 -1 -1 -1 28 5089 46 6.55708e+06 614805 500653. 1732.36 2.00 0.240213 0.209743 21310 115450 -1 4034 21 1780 6550 386284 103835 8.10727 8.10727 -175.409 -8.10727 0 0 612192. 2118.31 0.03 0.15 0.10 -1 -1 0.03 0.052929 0.0468551 266 256 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 2.27 vpr 62.64 MiB -1 -1 0.16 18040 10 0.10 -1 -1 32412 -1 -1 25 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64140 30 32 174 206 1 130 87 17 17 289 -1 unnamed_device 23.0 MiB 0.06 891 6423 1479 4375 569 62.6 MiB 0.05 0.00 5.13773 -113.721 -5.13773 5.13773 0.31 0.000565129 0.000525223 0.0207921 0.0193123 -1 -1 -1 -1 26 1803 17 6.55708e+06 301375 477104. 1650.88 0.44 0.0836598 0.0729739 21022 109990 -1 1649 16 561 1457 71593 18003 4.549 4.549 -110.541 -4.549 0 0 585099. 2024.56 0.03 0.05 0.09 -1 -1 0.03 0.0195532 0.0171397 101 86 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 2.71 vpr 62.57 MiB -1 -1 0.31 18176 13 0.19 -1 -1 32672 -1 -1 35 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64076 30 32 228 260 1 167 97 17 17 289 -1 unnamed_device 23.3 MiB 0.06 1109 7201 1490 5110 601 62.6 MiB 0.07 0.00 7.23615 -144.291 -7.23615 7.23615 0.31 0.00074463 0.000691069 0.0277798 0.0257365 -1 -1 -1 -1 26 2697 30 6.55708e+06 421925 477104. 1650.88 0.58 0.126646 0.110377 21022 109990 -1 2354 34 1614 5301 286278 94459 6.33838 6.33838 -135.827 -6.33838 0 0 585099. 2024.56 0.03 0.13 0.09 -1 -1 0.03 0.045971 0.039749 158 140 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 2.82 vpr 62.85 MiB -1 -1 0.25 18372 12 0.22 -1 -1 32544 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64356 32 32 264 296 1 189 102 17 17 289 -1 unnamed_device 23.6 MiB 0.09 1292 11050 2366 7675 1009 62.8 MiB 0.10 0.00 7.4882 -160.311 -7.4882 7.4882 0.32 0.000852834 0.000791169 0.0431371 0.0399693 -1 -1 -1 -1 32 2688 19 6.55708e+06 458090 554710. 1919.41 0.53 0.143565 0.126182 22174 131602 -1 2548 15 967 2908 141203 35435 6.62964 6.62964 -153.549 -6.62964 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0282648 0.0249116 183 170 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 2.43 vpr 62.57 MiB -1 -1 0.27 17920 9 0.15 -1 -1 32444 -1 -1 32 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64068 25 32 183 215 1 133 89 17 17 289 -1 unnamed_device 22.9 MiB 0.07 884 9791 2362 5778 1651 62.6 MiB 0.07 0.00 5.75805 -100.808 -5.75805 5.75805 0.32 0.000611445 0.000567323 0.0322947 0.0299355 -1 -1 -1 -1 24 2013 19 6.55708e+06 385760 448715. 1552.65 0.49 0.102962 0.090186 20734 103517 -1 1761 18 600 1915 90275 23115 5.08326 5.08326 -98.1946 -5.08326 0 0 554710. 1919.41 0.02 0.03 0.06 -1 -1 0.02 0.0141287 0.0126477 118 110 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 3.59 vpr 63.02 MiB -1 -1 0.31 18608 12 0.28 -1 -1 32768 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64528 32 32 300 332 1 216 104 17 17 289 -1 unnamed_device 23.9 MiB 0.13 1605 6936 1239 5241 456 63.0 MiB 0.08 0.00 7.69496 -166.719 -7.69496 7.69496 0.31 0.000958724 0.000888992 0.0307105 0.0284931 -1 -1 -1 -1 26 4186 35 6.55708e+06 482200 477104. 1650.88 1.04 0.170469 0.148547 21022 109990 -1 3459 25 1445 4477 264666 74433 6.75244 6.75244 -160.935 -6.75244 0 0 585099. 2024.56 0.03 0.12 0.09 -1 -1 0.03 0.0470212 0.0410556 213 206 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 4.23 vpr 63.35 MiB -1 -1 0.43 18768 13 0.33 -1 -1 32756 -1 -1 39 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64868 31 32 290 322 1 209 102 17 17 289 -1 unnamed_device 23.6 MiB 0.13 1489 11050 2752 7035 1263 63.3 MiB 0.11 0.00 8.23989 -170.094 -8.23989 8.23989 0.32 0.000950408 0.000879111 0.0481654 0.0445422 -1 -1 -1 -1 26 4169 46 6.55708e+06 470145 477104. 1650.88 1.18 0.201818 0.17657 21022 109990 -1 3424 19 1339 4715 257988 66700 7.09116 7.09116 -160.785 -7.09116 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0385629 0.0338998 209 199 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 2.81 vpr 62.87 MiB -1 -1 0.26 18408 1 0.03 -1 -1 30004 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64380 32 32 354 285 1 202 99 17 17 289 -1 unnamed_device 23.8 MiB 0.21 1187 13323 3706 8127 1490 62.9 MiB 0.14 0.00 5.77046 -168.081 -5.77046 5.77046 0.31 0.00072098 0.000670588 0.0441026 0.0409535 -1 -1 -1 -1 32 2517 18 6.64007e+06 439530 554710. 1919.41 0.55 0.124983 0.11039 22834 132086 -1 2182 19 1053 1695 95039 23213 4.59448 4.59448 -149.256 -4.59448 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0275805 0.0241213 153 50 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 2.83 vpr 62.99 MiB -1 -1 0.25 18464 1 0.03 -1 -1 30436 -1 -1 30 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64504 30 32 363 293 1 196 92 17 17 289 -1 unnamed_device 23.9 MiB 0.21 1149 17066 4987 10364 1715 63.0 MiB 0.18 0.00 4.95721 -143.504 -4.95721 4.95721 0.32 0.000710438 0.000660775 0.0614398 0.0570745 -1 -1 -1 -1 32 2427 21 6.64007e+06 376740 554710. 1919.41 0.57 0.145575 0.129108 22834 132086 -1 2154 19 1350 2068 152921 33916 3.93949 3.93949 -135.593 -3.93949 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0272536 0.0238064 147 63 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 2.68 vpr 62.80 MiB -1 -1 0.23 18488 1 0.03 -1 -1 30312 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64304 32 32 299 247 1 188 95 17 17 289 -1 unnamed_device 23.6 MiB 0.21 995 8519 1888 6283 348 62.8 MiB 0.09 0.00 4.69952 -119.793 -4.69952 4.69952 0.32 0.000629047 0.000585652 0.0270416 0.0251595 -1 -1 -1 -1 26 2703 22 6.64007e+06 389298 477104. 1650.88 0.59 0.103803 0.0908653 21682 110474 -1 2071 19 1134 1713 100741 25334 3.82002 3.82002 -121.448 -3.82002 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0245892 0.021454 129 29 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 2.64 vpr 62.60 MiB -1 -1 0.24 18320 1 0.03 -1 -1 30340 -1 -1 31 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64104 29 32 308 248 1 169 92 17 17 289 -1 unnamed_device 23.5 MiB 0.07 1008 16445 5394 8747 2304 62.6 MiB 0.16 0.00 4.53207 -121.894 -4.53207 4.53207 0.31 0.000634108 0.000588823 0.0522809 0.04853 -1 -1 -1 -1 32 2067 22 6.64007e+06 389298 554710. 1919.41 0.54 0.127898 0.113171 22834 132086 -1 1877 23 1086 2225 141232 33078 3.54423 3.54423 -113.215 -3.54423 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0281235 0.0244222 132 31 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 2.70 vpr 62.80 MiB -1 -1 0.23 18252 1 0.03 -1 -1 30416 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64312 32 32 336 268 1 174 92 17 17 289 -1 unnamed_device 23.6 MiB 0.09 1067 12098 3452 7701 945 62.8 MiB 0.13 0.00 4.58601 -133.697 -4.58601 4.58601 0.34 0.000694104 0.000645394 0.0423962 0.0393356 -1 -1 -1 -1 26 2525 21 6.64007e+06 351624 477104. 1650.88 0.59 0.126431 0.111464 21682 110474 -1 2099 20 1297 2625 147352 35273 3.66143 3.66143 -130.161 -3.66143 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0306004 0.0266689 134 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 2.73 vpr 62.80 MiB -1 -1 0.25 18556 1 0.03 -1 -1 30344 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64308 32 32 366 295 1 189 103 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1006 15768 4339 8895 2534 62.8 MiB 0.15 0.00 3.38256 -114.774 -3.38256 3.38256 0.32 0.000724938 0.000673003 0.0497796 0.04616 -1 -1 -1 -1 32 2303 19 6.64007e+06 489762 554710. 1919.41 0.56 0.133213 0.117724 22834 132086 -1 1827 17 1074 1725 91804 23651 3.05137 3.05137 -112.229 -3.05137 0 0 701300. 2426.64 0.03 0.06 0.10 -1 -1 0.03 0.0301609 0.0264063 145 58 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 2.43 vpr 63.12 MiB -1 -1 0.23 18080 1 0.03 -1 -1 30572 -1 -1 21 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64636 27 32 259 221 1 130 80 17 17 289 -1 unnamed_device 23.5 MiB 0.08 751 11948 4103 6020 1825 63.1 MiB 0.10 0.00 3.76738 -102.601 -3.76738 3.76738 0.32 0.000553592 0.000515184 0.0404029 0.0376175 -1 -1 -1 -1 28 1527 20 6.64007e+06 263718 500653. 1732.36 0.48 0.10499 0.0926546 21970 115934 -1 1471 20 917 1568 101266 24667 2.74477 2.74477 -95.077 -2.74477 0 0 612192. 2118.31 0.03 0.05 0.10 -1 -1 0.03 0.0224191 0.0194903 97 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 2.59 vpr 62.68 MiB -1 -1 0.24 17844 1 0.03 -1 -1 30220 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64188 31 32 271 219 1 162 98 17 17 289 -1 unnamed_device 23.6 MiB 0.06 965 15848 4431 8849 2568 62.7 MiB 0.14 0.00 3.47227 -101.06 -3.47227 3.47227 0.35 0.000595573 0.000554221 0.0440162 0.0408999 -1 -1 -1 -1 32 1850 22 6.64007e+06 439530 554710. 1919.41 0.50 0.116127 0.102699 22834 132086 -1 1654 15 788 1468 75522 19037 2.60777 2.60777 -91.3107 -2.60777 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.019078 0.0167327 123 4 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 2.86 vpr 62.68 MiB -1 -1 0.24 18476 1 0.03 -1 -1 30096 -1 -1 24 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64184 31 32 317 271 1 168 87 17 17 289 -1 unnamed_device 23.5 MiB 0.16 906 5655 1194 4208 253 62.7 MiB 0.07 0.00 3.60222 -117.559 -3.60222 3.60222 0.32 0.000639636 0.000594878 0.0209485 0.0195041 -1 -1 -1 -1 28 2705 33 6.64007e+06 301392 500653. 1732.36 0.81 0.108644 0.0943614 21970 115934 -1 2030 20 1272 1882 135466 32452 3.48643 3.48643 -121.992 -3.48643 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0250351 0.0217808 117 64 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 2.55 vpr 62.54 MiB -1 -1 0.23 18256 1 0.03 -1 -1 30068 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64036 32 32 298 248 1 156 83 17 17 289 -1 unnamed_device 23.5 MiB 0.13 936 12323 3600 6804 1919 62.5 MiB 0.12 0.00 3.85841 -126.873 -3.85841 3.85841 0.32 0.000619093 0.000576413 0.0446968 0.0416188 -1 -1 -1 -1 32 1786 19 6.64007e+06 238602 554710. 1919.41 0.52 0.11678 0.103252 22834 132086 -1 1612 19 841 1348 82679 19678 2.65457 2.65457 -110.251 -2.65457 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0229212 0.0201044 115 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 2.54 vpr 62.74 MiB -1 -1 0.25 18388 1 0.03 -1 -1 30384 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64248 30 32 303 262 1 139 81 17 17 289 -1 unnamed_device 23.6 MiB 0.12 895 11106 3427 6381 1298 62.7 MiB 0.11 0.00 3.83641 -113.668 -3.83641 3.83641 0.32 0.00061658 0.000573067 0.0411971 0.0383264 -1 -1 -1 -1 32 1647 21 6.64007e+06 238602 554710. 1919.41 0.50 0.113563 0.100095 22834 132086 -1 1460 17 663 1021 62497 14760 2.80297 2.80297 -100.224 -2.80297 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0216856 0.0189302 101 63 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 2.69 vpr 62.66 MiB -1 -1 0.23 18084 1 0.03 -1 -1 30100 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64164 32 32 276 237 1 167 87 17 17 289 -1 unnamed_device 23.6 MiB 0.23 914 15063 5013 7393 2657 62.7 MiB 0.14 0.00 3.80941 -119.793 -3.80941 3.80941 0.32 0.000593224 0.000551677 0.0487755 0.0453526 -1 -1 -1 -1 30 2022 22 6.64007e+06 288834 526063. 1820.29 0.52 0.12086 0.107095 22546 126617 -1 1661 17 830 1209 74992 17561 2.80297 2.80297 -107.181 -2.80297 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0208561 0.0182301 111 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 2.65 vpr 62.78 MiB -1 -1 0.13 18376 1 0.03 -1 -1 30344 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64284 32 32 344 272 1 202 93 17 17 289 -1 unnamed_device 23.8 MiB 0.21 1145 10803 2724 7364 715 62.8 MiB 0.12 0.00 4.40284 -140.386 -4.40284 4.40284 0.32 0.000709355 0.000659531 0.0383752 0.035603 -1 -1 -1 -1 30 2401 22 6.64007e+06 364182 526063. 1820.29 0.58 0.122776 0.107981 22546 126617 -1 2127 21 1359 2094 110426 27165 3.25703 3.25703 -124.202 -3.25703 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0291253 0.0254331 147 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 2.80 vpr 63.43 MiB -1 -1 0.16 18368 1 0.03 -1 -1 30268 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64948 32 32 363 295 1 181 98 17 17 289 -1 unnamed_device 23.8 MiB 0.13 989 17873 5923 8850 3100 63.4 MiB 0.17 0.00 4.77444 -137.586 -4.77444 4.77444 0.32 0.000712912 0.000662078 0.0594743 0.0552107 -1 -1 -1 -1 28 2617 24 6.64007e+06 426972 500653. 1732.36 0.75 0.150152 0.13295 21970 115934 -1 2048 20 1394 2351 145782 36264 4.26142 4.26142 -132.668 -4.26142 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.028755 0.0251025 139 61 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 2.41 vpr 62.78 MiB -1 -1 0.22 18068 1 0.03 -1 -1 30400 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64288 29 32 248 215 1 137 84 17 17 289 -1 unnamed_device 23.2 MiB 0.08 694 9234 2301 6256 677 62.8 MiB 0.08 0.00 3.09179 -89.0655 -3.09179 3.09179 0.32 0.000541072 0.000503614 0.0294454 0.0273744 -1 -1 -1 -1 28 1661 20 6.64007e+06 288834 500653. 1732.36 0.48 0.0926557 0.0812293 21970 115934 -1 1471 17 793 1316 76428 19287 2.75777 2.75777 -91.0915 -2.75777 0 0 612192. 2118.31 0.03 0.05 0.10 -1 -1 0.03 0.0192396 0.0167673 103 27 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 2.66 vpr 62.93 MiB -1 -1 0.26 18448 1 0.03 -1 -1 30352 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64440 32 32 370 297 1 183 91 17 17 289 -1 unnamed_device 23.9 MiB 0.13 1107 16003 5012 8992 1999 62.9 MiB 0.17 0.00 4.0781 -126.952 -4.0781 4.0781 0.32 0.000726709 0.000675037 0.05991 0.0556514 -1 -1 -1 -1 32 2297 19 6.64007e+06 339066 554710. 1919.41 0.57 0.144057 0.127765 22834 132086 -1 2105 20 1422 2537 151704 36359 3.12737 3.12737 -118.814 -3.12737 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0289797 0.0252666 138 58 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 2.89 vpr 62.89 MiB -1 -1 0.26 18396 1 0.03 -1 -1 30152 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64400 32 32 338 269 1 196 92 17 17 289 -1 unnamed_device 23.8 MiB 0.23 1061 17066 4730 9821 2515 62.9 MiB 0.17 0.00 4.48406 -140.639 -4.48406 4.48406 0.32 0.000693042 0.000644226 0.059948 0.0557361 -1 -1 -1 -1 32 2314 40 6.64007e+06 351624 554710. 1919.41 0.63 0.161734 0.142937 22834 132086 -1 1983 22 1194 1762 113331 28176 3.19063 3.19063 -122.439 -3.19063 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0298854 0.0260581 144 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 2.55 vpr 62.78 MiB -1 -1 0.20 18336 1 0.03 -1 -1 30200 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64288 32 32 323 276 1 153 98 17 17 289 -1 unnamed_device 23.6 MiB 0.09 912 16523 5279 8659 2585 62.8 MiB 0.15 0.00 2.85064 -102.219 -2.85064 2.85064 0.31 0.000652995 0.000606818 0.0503687 0.0467251 -1 -1 -1 -1 32 1754 21 6.64007e+06 426972 554710. 1919.41 0.54 0.125923 0.111248 22834 132086 -1 1560 18 1067 1888 103791 25844 1.91191 1.91191 -89.0293 -1.91191 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0239531 0.0208594 115 65 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 2.31 vpr 62.77 MiB -1 -1 0.21 18116 1 0.03 -1 -1 30064 -1 -1 17 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64280 30 32 222 206 1 117 79 17 17 289 -1 unnamed_device 23.6 MiB 0.06 532 9543 2250 6629 664 62.8 MiB 0.07 0.00 2.4343 -77.8363 -2.4343 2.4343 0.31 0.000498159 0.00046299 0.0295526 0.0274642 -1 -1 -1 -1 28 1401 21 6.64007e+06 213486 500653. 1732.36 0.51 0.087921 0.0771578 21970 115934 -1 1137 19 688 980 63827 17614 1.86811 1.86811 -77.6028 -1.86811 0 0 612192. 2118.31 0.03 0.05 0.10 -1 -1 0.03 0.0187935 0.0163088 85 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 2.70 vpr 62.58 MiB -1 -1 0.24 18244 1 0.03 -1 -1 30440 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64084 31 32 291 243 1 171 88 17 17 289 -1 unnamed_device 23.5 MiB 0.24 847 10423 2562 6326 1535 62.6 MiB 0.10 0.00 5.02597 -142.893 -5.02597 5.02597 0.32 0.000610921 0.000568644 0.0349955 0.0325792 -1 -1 -1 -1 30 1856 17 6.64007e+06 313950 526063. 1820.29 0.53 0.103641 0.09137 22546 126617 -1 1527 13 585 825 49033 12151 3.62042 3.62042 -128.198 -3.62042 0 0 666494. 2306.21 0.03 0.04 0.10 -1 -1 0.03 0.0183956 0.0162745 127 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 2.66 vpr 62.75 MiB -1 -1 0.24 18256 1 0.03 -1 -1 30520 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64252 32 32 342 271 1 179 101 17 17 289 -1 unnamed_device 23.8 MiB 0.07 1134 18196 5105 11197 1894 62.7 MiB 0.17 0.00 4.17176 -133.816 -4.17176 4.17176 0.32 0.000696533 0.000643934 0.0564419 0.0523516 -1 -1 -1 -1 30 2120 18 6.64007e+06 464646 526063. 1820.29 0.54 0.13621 0.120632 22546 126617 -1 1938 22 1156 1962 119310 27378 3.52443 3.52443 -126.017 -3.52443 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.03006 0.0262474 140 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 2.91 vpr 62.91 MiB -1 -1 0.26 18548 1 0.03 -1 -1 30292 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64416 32 32 372 300 1 207 95 17 17 289 -1 unnamed_device 23.8 MiB 0.23 1260 17591 5360 9925 2306 62.9 MiB 0.19 0.00 4.72719 -143.457 -4.72719 4.72719 0.35 0.000740177 0.000688771 0.0632155 0.0586458 -1 -1 -1 -1 32 2623 20 6.64007e+06 389298 554710. 1919.41 0.56 0.148536 0.131775 22834 132086 -1 2332 17 1286 2056 118473 28953 3.90649 3.90649 -129.764 -3.90649 0 0 701300. 2426.64 0.03 0.06 0.09 -1 -1 0.03 0.0257533 0.0225868 151 62 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 2.44 vpr 62.62 MiB -1 -1 0.21 18080 1 0.03 -1 -1 30528 -1 -1 20 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64124 26 32 190 182 1 108 78 17 17 289 -1 unnamed_device 23.2 MiB 0.09 426 10536 3993 4440 2103 62.6 MiB 0.07 0.00 2.50053 -67.6186 -2.50053 2.50053 0.32 0.000436929 0.000400303 0.0290515 0.0268679 -1 -1 -1 -1 32 1026 22 6.64007e+06 251160 554710. 1919.41 0.51 0.0817182 0.0716779 22834 132086 -1 874 18 548 811 45228 13433 1.97731 1.97731 -65.3841 -1.97731 0 0 701300. 2426.64 0.03 0.04 0.11 -1 -1 0.03 0.0152356 0.0132622 81 30 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 2.53 vpr 62.68 MiB -1 -1 0.22 17864 1 0.03 -1 -1 30276 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64184 32 32 285 227 1 165 89 17 17 289 -1 unnamed_device 23.6 MiB 0.07 962 6821 1380 5168 273 62.7 MiB 0.08 0.00 4.45587 -122.025 -4.45587 4.45587 0.32 0.000632837 0.000579639 0.0236586 0.0219696 -1 -1 -1 -1 32 2155 19 6.64007e+06 313950 554710. 1919.41 0.55 0.0955033 0.0835082 22834 132086 -1 1903 22 1221 2303 145599 33656 3.56023 3.56023 -116.407 -3.56023 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0269469 0.0234776 125 3 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 2.40 vpr 62.68 MiB -1 -1 0.20 17616 1 0.02 -1 -1 30032 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64184 32 32 173 169 1 116 81 17 17 289 -1 unnamed_device 23.4 MiB 0.05 506 11281 3424 5287 2570 62.7 MiB 0.07 0.00 2.72793 -76.1863 -2.72793 2.72793 0.31 0.000420089 0.000389775 0.0287434 0.0267156 -1 -1 -1 -1 26 1285 37 6.64007e+06 213486 477104. 1650.88 0.54 0.0765327 0.06757 21682 110474 -1 1002 13 438 511 40350 12151 2.30171 2.30171 -75.5155 -2.30171 0 0 585099. 2024.56 0.03 0.03 0.09 -1 -1 0.03 0.0124532 0.0109542 82 3 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 2.69 vpr 62.73 MiB -1 -1 0.23 18088 1 0.03 -1 -1 30024 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64240 32 32 300 245 1 165 95 17 17 289 -1 unnamed_device 23.6 MiB 0.07 883 10031 2317 7175 539 62.7 MiB 0.10 0.00 4.605 -123.934 -4.605 4.605 0.32 0.000638244 0.000593242 0.0318232 0.0295403 -1 -1 -1 -1 26 2558 30 6.64007e+06 389298 477104. 1650.88 0.70 0.116775 0.102232 21682 110474 -1 1879 19 1105 1890 131855 36211 3.61243 3.61243 -115.665 -3.61243 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.024099 0.0210298 126 24 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 2.58 vpr 62.74 MiB -1 -1 0.23 17940 1 0.03 -1 -1 30444 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64244 32 32 297 233 1 177 103 17 17 289 -1 unnamed_device 23.5 MiB 0.06 983 19624 5667 10714 3243 62.7 MiB 0.17 0.00 3.74367 -107.154 -3.74367 3.74367 0.31 0.000643124 0.000596512 0.0541517 0.0501652 -1 -1 -1 -1 30 2029 21 6.64007e+06 489762 526063. 1820.29 0.55 0.129395 0.114647 22546 126617 -1 1826 21 1025 1901 115566 26955 2.81677 2.81677 -97.3789 -2.81677 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0265257 0.0230983 136 3 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 2.66 vpr 62.79 MiB -1 -1 0.24 18376 1 0.03 -1 -1 30248 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64296 32 32 338 277 1 179 99 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1102 15147 4066 9723 1358 62.8 MiB 0.15 0.00 4.9076 -137.928 -4.9076 4.9076 0.32 0.000693713 0.000642192 0.0478832 0.0443651 -1 -1 -1 -1 30 2217 21 6.64007e+06 439530 526063. 1820.29 0.53 0.127985 0.112974 22546 126617 -1 1976 19 975 1710 85252 20817 3.73962 3.73962 -125.26 -3.73962 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0265032 0.0231786 133 50 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 2.44 vpr 63.14 MiB -1 -1 0.22 18024 1 0.03 -1 -1 30044 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64656 32 32 284 241 1 145 85 17 17 289 -1 unnamed_device 23.3 MiB 0.07 891 9943 2356 6701 886 63.1 MiB 0.10 0.00 3.06979 -104.718 -3.06979 3.06979 0.31 0.000603833 0.000560397 0.0349301 0.0324289 -1 -1 -1 -1 32 1751 17 6.64007e+06 263718 554710. 1919.41 0.49 0.1021 0.0898442 22834 132086 -1 1528 20 825 1366 74902 18215 2.59557 2.59557 -98.7069 -2.59557 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0250366 0.0217718 107 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 2.20 vpr 62.54 MiB -1 -1 0.22 18104 1 0.03 -1 -1 30208 -1 -1 28 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64044 30 32 262 227 1 135 90 17 17 289 -1 unnamed_device 23.2 MiB 0.04 680 8733 1889 6137 707 62.5 MiB 0.08 0.00 3.24119 -95.6654 -3.24119 3.24119 0.24 0.000566872 0.000527984 0.0264591 0.0246154 -1 -1 -1 -1 32 1467 24 6.64007e+06 351624 554710. 1919.41 0.50 0.0951258 0.0831051 22834 132086 -1 1268 15 541 823 48367 12287 2.78097 2.78097 -89.3799 -2.78097 0 0 701300. 2426.64 0.03 0.04 0.11 -1 -1 0.03 0.0180998 0.0158592 100 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 2.42 vpr 62.76 MiB -1 -1 0.23 18080 1 0.03 -1 -1 30176 -1 -1 27 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64264 28 32 260 223 1 140 87 17 17 289 -1 unnamed_device 23.4 MiB 0.07 639 10263 2494 7193 576 62.8 MiB 0.09 0.00 3.42827 -93.8875 -3.42827 3.42827 0.32 0.00043274 0.000391438 0.0289215 0.0266058 -1 -1 -1 -1 32 1562 21 6.64007e+06 339066 554710. 1919.41 0.47 0.0861484 0.0753885 22834 132086 -1 1318 18 753 1299 69501 18303 2.71057 2.71057 -90.431 -2.71057 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0204961 0.0178339 104 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 2.53 vpr 62.58 MiB -1 -1 0.20 17912 1 0.03 -1 -1 30252 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64084 32 32 253 210 1 154 85 17 17 289 -1 unnamed_device 23.2 MiB 0.07 756 14035 4673 6434 2928 62.6 MiB 0.13 0.00 3.79135 -111.266 -3.79135 3.79135 0.32 0.000574842 0.000528617 0.0450144 0.0417314 -1 -1 -1 -1 32 1986 24 6.64007e+06 263718 554710. 1919.41 0.55 0.115014 0.101518 22834 132086 -1 1511 22 958 1607 107000 26431 2.69557 2.69557 -103.802 -2.69557 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0245672 0.0213105 116 3 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 2.40 vpr 62.91 MiB -1 -1 0.18 17992 1 0.03 -1 -1 30284 -1 -1 33 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64420 31 32 271 231 1 148 96 17 17 289 -1 unnamed_device 23.9 MiB 0.07 762 8199 1685 6175 339 62.9 MiB 0.08 0.00 3.50227 -101.986 -3.50227 3.50227 0.32 0.000585689 0.000544952 0.0239081 0.0222302 -1 -1 -1 -1 26 1895 22 6.64007e+06 414414 477104. 1650.88 0.55 0.0985801 0.0861158 21682 110474 -1 1634 19 992 1839 102453 25790 2.84297 2.84297 -101.016 -2.84297 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0222234 0.0193272 111 30 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 2.55 vpr 63.14 MiB -1 -1 0.23 18392 1 0.03 -1 -1 30376 -1 -1 31 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64652 29 32 291 250 1 153 92 17 17 289 -1 unnamed_device 23.6 MiB 0.13 926 8579 2243 5615 721 63.1 MiB 0.09 0.00 3.38029 -105.574 -3.38029 3.38029 0.32 0.000606378 0.000563299 0.0269435 0.0250583 -1 -1 -1 -1 32 1817 16 6.64007e+06 389298 554710. 1919.41 0.52 0.0856407 0.0752452 22834 132086 -1 1610 20 846 1287 80837 19336 2.46117 2.46117 -95.63 -2.46117 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0238605 0.0207606 112 54 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 2.62 vpr 63.50 MiB -1 -1 0.18 18424 1 0.03 -1 -1 30488 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65024 32 32 367 282 1 201 106 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1231 12606 3242 8430 934 63.5 MiB 0.13 0.00 4.47716 -125.986 -4.47716 4.47716 0.34 0.000742465 0.000690503 0.040033 0.0371622 -1 -1 -1 -1 30 2392 22 6.64007e+06 527436 526063. 1820.29 0.57 0.121338 0.107295 22546 126617 -1 2206 21 1094 2094 127085 27888 3.60143 3.60143 -119.17 -3.60143 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0305183 0.026678 158 29 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 2.79 vpr 63.49 MiB -1 -1 0.25 18340 1 0.04 -1 -1 30180 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65012 32 32 391 311 1 192 105 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1118 12208 2919 8273 1016 63.5 MiB 0.17 0.00 3.91238 -131.369 -3.91238 3.91238 0.32 0.000761885 0.000699352 0.055694 0.0515452 -1 -1 -1 -1 28 2461 21 6.64007e+06 514878 500653. 1732.36 0.57 0.144934 0.12814 21970 115934 -1 2208 19 1586 2620 148161 36739 2.95517 2.95517 -121.08 -2.95517 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0289413 0.0253146 150 65 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 2.72 vpr 62.75 MiB -1 -1 0.22 18372 1 0.03 -1 -1 30040 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64252 31 32 279 237 1 161 86 17 17 289 -1 unnamed_device 23.6 MiB 0.18 947 12938 4038 6585 2315 62.7 MiB 0.13 0.00 4.39563 -129.442 -4.39563 4.39563 0.31 0.000592796 0.000550729 0.0448114 0.0415629 -1 -1 -1 -1 28 2146 18 6.64007e+06 288834 500653. 1732.36 0.64 0.112874 0.0997387 21970 115934 -1 1870 18 1048 1494 96179 23713 3.25683 3.25683 -117.68 -3.25683 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0218602 0.0190643 114 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 2.86 vpr 63.04 MiB -1 -1 0.28 18504 1 0.03 -1 -1 30476 -1 -1 29 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64552 31 32 370 297 1 186 92 17 17 289 -1 unnamed_device 24.0 MiB 0.12 956 16859 5123 8841 2895 63.0 MiB 0.17 0.00 4.07349 -118.008 -4.07349 4.07349 0.32 0.000717713 0.000666741 0.0611443 0.0567749 -1 -1 -1 -1 32 1986 19 6.64007e+06 364182 554710. 1919.41 0.56 0.143997 0.127744 22834 132086 -1 1747 21 1079 1902 101763 25914 2.96196 2.96196 -104.515 -2.96196 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0301989 0.0263681 145 61 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 3.01 vpr 63.07 MiB -1 -1 0.27 18336 1 0.03 -1 -1 30264 -1 -1 36 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64588 31 32 377 302 1 234 99 17 17 289 -1 unnamed_device 24.2 MiB 0.36 1443 12183 2916 8073 1194 63.1 MiB 0.15 0.00 5.78896 -175.168 -5.78896 5.78896 0.34 0.000730371 0.000678859 0.042079 0.0390942 -1 -1 -1 -1 28 3186 22 6.64007e+06 452088 500653. 1732.36 0.59 0.124257 0.109624 21970 115934 -1 2704 21 1544 2373 159211 37709 4.84135 4.84135 -166.082 -4.84135 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0305437 0.0267185 178 64 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 2.98 vpr 62.88 MiB -1 -1 0.25 18228 1 0.03 -1 -1 30372 -1 -1 32 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64388 31 32 383 305 1 209 95 17 17 289 -1 unnamed_device 23.8 MiB 0.31 1234 12191 3319 7524 1348 62.9 MiB 0.14 0.00 5.10379 -154.62 -5.10379 5.10379 0.32 0.000745353 0.000692519 0.0442067 0.0410231 -1 -1 -1 -1 30 2528 23 6.64007e+06 401856 526063. 1820.29 0.56 0.134313 0.118402 22546 126617 -1 2128 20 1112 1738 94826 23161 4.27288 4.27288 -144.151 -4.27288 0 0 666494. 2306.21 0.04 0.08 0.11 -1 -1 0.04 0.0377662 0.0337785 167 64 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 2.73 vpr 62.80 MiB -1 -1 0.26 18420 1 0.03 -1 -1 30316 -1 -1 37 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64312 31 32 352 285 1 184 100 17 17 289 -1 unnamed_device 23.8 MiB 0.14 1115 12860 3466 8442 952 62.8 MiB 0.13 0.00 4.70003 -136.748 -4.70003 4.70003 0.32 0.000715122 0.000665654 0.0417528 0.038773 -1 -1 -1 -1 26 2605 23 6.64007e+06 464646 477104. 1650.88 0.58 0.127608 0.112186 21682 110474 -1 2250 19 1232 2113 125509 30102 3.32883 3.32883 -120.962 -3.32883 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0270422 0.0236199 140 55 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 2.71 vpr 62.82 MiB -1 -1 0.24 18288 1 0.03 -1 -1 30260 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64332 32 32 291 242 1 179 93 17 17 289 -1 unnamed_device 23.7 MiB 0.17 1061 8073 1866 5827 380 62.8 MiB 0.09 0.00 4.40233 -117.896 -4.40233 4.40233 0.32 0.000615341 0.000573051 0.0259548 0.0241356 -1 -1 -1 -1 26 2518 23 6.64007e+06 364182 477104. 1650.88 0.66 0.102146 0.0893417 21682 110474 -1 2137 18 962 1522 106494 23971 3.50942 3.50942 -116.943 -3.50942 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0231839 0.0203345 125 27 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 3.03 vpr 63.46 MiB -1 -1 0.27 18732 1 0.03 -1 -1 30432 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64984 32 32 457 356 1 223 107 17 17 289 -1 unnamed_device 24.6 MiB 0.16 1312 16552 4366 10580 1606 63.5 MiB 0.18 0.00 5.1085 -163.706 -5.1085 5.1085 0.32 0.000866276 0.000805627 0.0599784 0.0556569 -1 -1 -1 -1 26 3108 25 6.64007e+06 539994 477104. 1650.88 0.74 0.169209 0.149122 21682 110474 -1 2610 21 1586 2418 149034 35187 4.18489 4.18489 -152.922 -4.18489 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0364613 0.0317981 176 87 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 2.51 vpr 62.67 MiB -1 -1 0.23 18088 1 0.03 -1 -1 30204 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64176 31 32 261 225 1 142 86 17 17 289 -1 unnamed_device 23.4 MiB 0.08 705 8213 2081 5243 889 62.7 MiB 0.08 0.00 3.75024 -98.8591 -3.75024 3.75024 0.32 0.0005679 0.000526595 0.0263248 0.0244408 -1 -1 -1 -1 32 1549 22 6.64007e+06 288834 554710. 1919.41 0.53 0.100546 0.0878178 22834 132086 -1 1406 19 899 1604 92734 23078 2.74057 2.74057 -95.4429 -2.74057 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0216482 0.0188058 104 28 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 2.78 vpr 62.98 MiB -1 -1 0.25 18292 1 0.03 -1 -1 30248 -1 -1 34 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64492 31 32 337 267 1 204 97 17 17 289 -1 unnamed_device 24.0 MiB 0.22 1232 11863 3185 7621 1057 63.0 MiB 0.13 0.00 5.0773 -152.378 -5.0773 5.0773 0.32 0.000685702 0.000638075 0.0388617 0.0361442 -1 -1 -1 -1 30 2607 19 6.64007e+06 426972 526063. 1820.29 0.54 0.117632 0.103629 22546 126617 -1 2248 21 1248 1852 100468 23985 3.96729 3.96729 -135.475 -3.96729 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0289625 0.0253341 149 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 2.99 vpr 62.95 MiB -1 -1 0.17 18472 1 0.03 -1 -1 30352 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64456 32 32 349 284 1 183 102 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1221 11764 2967 7709 1088 62.9 MiB 0.12 0.00 3.95307 -117.525 -3.95307 3.95307 0.32 0.000696024 0.000644408 0.0366916 0.0340243 -1 -1 -1 -1 22 3240 30 6.64007e+06 477204 420624. 1455.45 0.98 0.131608 0.11527 20818 92861 -1 2548 19 1326 2488 176484 42475 3.19957 3.19957 -118.379 -3.19957 0 0 500653. 1732.36 0.02 0.07 0.08 -1 -1 0.02 0.0262585 0.0229142 137 53 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 2.58 vpr 62.59 MiB -1 -1 0.23 17800 1 0.03 -1 -1 30212 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64096 32 32 291 230 1 168 91 17 17 289 -1 unnamed_device 23.5 MiB 0.07 900 13147 4476 6141 2530 62.6 MiB 0.13 0.00 4.20356 -122.292 -4.20356 4.20356 0.31 0.000620913 0.00057678 0.0422596 0.0392478 -1 -1 -1 -1 32 2124 24 6.64007e+06 339066 554710. 1919.41 0.57 0.118883 0.104795 22834 132086 -1 1774 20 1016 2010 121136 29266 3.34003 3.34003 -110.91 -3.34003 0 0 701300. 2426.64 0.03 0.06 0.12 -1 -1 0.03 0.0252076 0.0219955 127 3 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 2.84 vpr 63.38 MiB -1 -1 0.23 18376 1 0.03 -1 -1 30420 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64904 32 32 353 287 1 198 94 17 17 289 -1 unnamed_device 23.8 MiB 0.24 1217 9679 2220 6521 938 63.4 MiB 0.12 0.00 4.87535 -142.566 -4.87535 4.87535 0.32 0.00070198 0.000652914 0.0343575 0.0319558 -1 -1 -1 -1 28 2610 19 6.64007e+06 376740 500653. 1732.36 0.55 0.115739 0.101618 21970 115934 -1 2275 19 1225 1679 117927 28569 3.29983 3.29983 -124.736 -3.29983 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0264037 0.0231204 142 55 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 2.88 vpr 62.88 MiB -1 -1 0.24 18384 1 0.03 -1 -1 30292 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64388 32 32 361 291 1 185 103 17 17 289 -1 unnamed_device 23.8 MiB 0.14 1032 10466 2519 7358 589 62.9 MiB 0.11 0.00 3.87166 -121.484 -3.87166 3.87166 0.33 0.000728678 0.00067732 0.03387 0.0314019 -1 -1 -1 -1 26 2492 21 6.64007e+06 489762 477104. 1650.88 0.70 0.123703 0.108661 21682 110474 -1 2122 22 1168 2158 142549 33751 3.01017 3.01017 -112.725 -3.01017 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0306578 0.0267168 139 55 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 2.92 vpr 63.10 MiB -1 -1 0.26 18372 1 0.03 -1 -1 30420 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64612 32 32 382 305 1 192 104 17 17 289 -1 unnamed_device 23.9 MiB 0.13 1185 14744 3959 8963 1822 63.1 MiB 0.15 0.00 4.29207 -132.639 -4.29207 4.29207 0.32 0.000732956 0.000680596 0.0468908 0.0434674 -1 -1 -1 -1 28 2570 18 6.64007e+06 502320 500653. 1732.36 0.59 0.13304 0.117512 21970 115934 -1 2290 20 1435 2358 148680 35355 3.36377 3.36377 -121.085 -3.36377 0 0 612192. 2118.31 0.03 0.10 0.14 -1 -1 0.03 0.0322143 0.0281537 149 62 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 2.45 vpr 62.71 MiB -1 -1 0.14 18284 1 0.03 -1 -1 30308 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64216 32 32 306 248 1 166 100 17 17 289 -1 unnamed_device 23.6 MiB 0.07 1023 10540 2564 7150 826 62.7 MiB 0.10 0.00 4.27093 -125.084 -4.27093 4.27093 0.30 0.000635854 0.000590624 0.0312502 0.0290072 -1 -1 -1 -1 32 2034 20 6.64007e+06 452088 554710. 1919.41 0.53 0.105906 0.0929137 22834 132086 -1 1819 20 1043 1996 118484 27523 3.47223 3.47223 -116.792 -3.47223 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0255077 0.0222584 127 24 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 2.67 vpr 62.80 MiB -1 -1 0.14 18480 1 0.03 -1 -1 30144 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64304 32 32 319 257 1 198 93 17 17 289 -1 unnamed_device 23.8 MiB 0.21 1094 10173 2429 6748 996 62.8 MiB 0.11 0.00 5.10621 -136.906 -5.10621 5.10621 0.32 0.000655901 0.000609938 0.0340695 0.0316782 -1 -1 -1 -1 32 2080 22 6.64007e+06 364182 554710. 1919.41 0.54 0.113192 0.0993888 22834 132086 -1 1862 19 1043 1542 79123 20468 3.86682 3.86682 -126.192 -3.86682 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0252548 0.0221089 138 29 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 3.08 vpr 62.86 MiB -1 -1 0.27 18376 1 0.03 -1 -1 30320 -1 -1 30 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64372 31 32 373 299 1 205 93 17 17 289 -1 unnamed_device 23.8 MiB 0.20 1155 14373 4323 8054 1996 62.9 MiB 0.17 0.00 5.05909 -147.227 -5.05909 5.05909 0.37 0.000731719 0.000678738 0.0525936 0.0488573 -1 -1 -1 -1 28 3203 22 6.64007e+06 376740 500653. 1732.36 0.81 0.144202 0.127554 21970 115934 -1 2573 21 1432 2292 195574 43376 4.02669 4.02669 -136.225 -4.02669 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0299494 0.0260903 152 62 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 2.73 vpr 62.93 MiB -1 -1 0.19 18476 1 0.03 -1 -1 30188 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64444 32 32 387 315 1 189 89 17 17 289 -1 unnamed_device 23.8 MiB 0.15 1136 14147 4526 7649 1972 62.9 MiB 0.16 0.00 4.38816 -135.074 -4.38816 4.38816 0.32 0.000743004 0.000687682 0.0564022 0.052172 -1 -1 -1 -1 30 2565 21 6.64007e+06 313950 526063. 1820.29 0.59 0.145234 0.128316 22546 126617 -1 2247 16 1212 2170 142450 32357 3.63163 3.63163 -128.953 -3.63163 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0246587 0.0216156 141 77 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 2.63 vpr 63.07 MiB -1 -1 0.22 18120 1 0.03 -1 -1 30300 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64584 32 32 251 219 1 140 94 17 17 289 -1 unnamed_device 23.3 MiB 0.08 923 15643 4702 9042 1899 63.1 MiB 0.13 0.00 3.5543 -104.7 -3.5543 3.5543 0.32 0.00055848 0.000519887 0.0433436 0.0403444 -1 -1 -1 -1 28 1834 21 6.64007e+06 376740 500653. 1732.36 0.56 0.108027 0.0957526 21970 115934 -1 1668 22 937 1393 89639 21079 2.65657 2.65657 -97.5617 -2.65657 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0239942 0.0208508 101 23 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 2.67 vpr 63.50 MiB -1 -1 0.16 18272 1 0.03 -1 -1 30040 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65020 32 32 341 285 1 189 91 17 17 289 -1 unnamed_device 23.9 MiB 0.19 1003 17023 5521 9148 2354 63.5 MiB 0.17 0.00 4.05053 -136.563 -4.05053 4.05053 0.32 0.000667134 0.000619094 0.0589093 0.0547082 -1 -1 -1 -1 32 2363 20 6.64007e+06 339066 554710. 1919.41 0.56 0.140709 0.125069 22834 132086 -1 2016 20 1250 1804 125844 29633 3.39003 3.39003 -130.188 -3.39003 0 0 701300. 2426.64 0.03 0.07 0.09 -1 -1 0.03 0.02694 0.0234839 133 65 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 2.84 vpr 62.91 MiB -1 -1 0.26 18464 1 0.03 -1 -1 30344 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64416 32 32 387 293 1 234 99 17 17 289 -1 unnamed_device 24.0 MiB 0.21 1435 16059 4481 10134 1444 62.9 MiB 0.17 0.00 5.58406 -162.308 -5.58406 5.58406 0.31 0.000764097 0.000710561 0.0566155 0.0526078 -1 -1 -1 -1 32 3124 21 6.64007e+06 439530 554710. 1919.41 0.58 0.147297 0.130581 22834 132086 -1 2675 22 1593 2519 150795 35527 4.87408 4.87408 -154.358 -4.87408 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0330463 0.028895 174 31 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 2.56 vpr 63.39 MiB -1 -1 0.17 18576 1 0.03 -1 -1 30528 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64908 32 32 340 270 1 181 102 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1083 12240 2973 8199 1068 63.4 MiB 0.12 0.00 4.33282 -136.421 -4.33282 4.33282 0.32 0.000691046 0.000642837 0.0380761 0.0353909 -1 -1 -1 -1 32 2036 19 6.64007e+06 477204 554710. 1919.41 0.54 0.117898 0.103855 22834 132086 -1 1889 22 1182 1950 109230 27017 2.85617 2.85617 -116.634 -2.85617 0 0 701300. 2426.64 0.03 0.07 0.10 -1 -1 0.03 0.0294348 0.0256088 141 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 2.47 vpr 62.55 MiB -1 -1 0.24 18040 1 0.03 -1 -1 30292 -1 -1 33 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64052 30 32 278 235 1 148 95 17 17 289 -1 unnamed_device 23.5 MiB 0.05 787 15647 4513 8348 2786 62.6 MiB 0.13 0.00 3.51327 -106.246 -3.51327 3.51327 0.32 0.000603837 0.000561213 0.0453604 0.0421794 -1 -1 -1 -1 32 1767 19 6.64007e+06 414414 554710. 1919.41 0.51 0.113315 0.100197 22834 132086 -1 1434 19 732 1177 64731 16326 2.73257 2.73257 -98.3112 -2.73257 0 0 701300. 2426.64 0.03 0.04 0.12 -1 -1 0.03 0.0169393 0.0148555 111 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 3.53 vpr 63.23 MiB -1 -1 0.26 18632 1 0.03 -1 -1 30244 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64744 32 32 431 332 1 235 97 17 17 289 -1 unnamed_device 24.4 MiB 0.31 1370 17635 5043 10114 2478 63.2 MiB 0.21 0.00 6.37067 -183.955 -6.37067 6.37067 0.32 0.000827281 0.000769095 0.0686146 0.0637394 -1 -1 -1 -1 26 3739 28 6.64007e+06 414414 477104. 1650.88 1.06 0.183963 0.163024 21682 110474 -1 2914 23 2106 3156 231468 52338 5.05174 5.05174 -171.67 -5.05174 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0364787 0.031749 177 65 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 2.78 vpr 62.80 MiB -1 -1 0.24 18536 1 0.03 -1 -1 30456 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64312 32 32 336 268 1 174 102 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1059 19142 5710 10959 2473 62.8 MiB 0.17 0.00 4.53287 -137.071 -4.53287 4.53287 0.35 0.000694142 0.000645825 0.0585836 0.0543714 -1 -1 -1 -1 32 2159 21 6.64007e+06 477204 554710. 1919.41 0.56 0.141425 0.1254 22834 132086 -1 1899 16 1017 1621 90851 21605 3.65443 3.65443 -127.571 -3.65443 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0232201 0.0203952 136 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 2.57 vpr 62.96 MiB -1 -1 0.21 17880 1 0.05 -1 -1 30276 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64468 32 32 231 199 1 140 93 17 17 289 -1 unnamed_device 23.4 MiB 0.06 697 14793 4064 8312 2417 63.0 MiB 0.12 0.00 3.58247 -96.388 -3.58247 3.58247 0.34 0.000536007 0.000498696 0.0399856 0.0372164 -1 -1 -1 -1 30 1597 22 6.64007e+06 364182 526063. 1820.29 0.51 0.104438 0.0921129 22546 126617 -1 1300 17 603 1018 57376 14793 2.68557 2.68557 -89.2135 -2.68557 0 0 666494. 2306.21 0.03 0.05 0.09 -1 -1 0.03 0.0188683 0.0164977 103 3 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 2.72 vpr 63.45 MiB -1 -1 0.13 18472 1 0.03 -1 -1 30204 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64968 32 32 349 273 1 191 104 17 17 289 -1 unnamed_device 23.8 MiB 0.10 1247 19624 5520 11864 2240 63.4 MiB 0.19 0.00 5.68826 -140.03 -5.68826 5.68826 0.39 0.000705253 0.000655769 0.0593179 0.0551102 -1 -1 -1 -1 32 2366 18 6.64007e+06 502320 554710. 1919.41 0.54 0.139797 0.12415 22834 132086 -1 2129 14 824 1693 109078 25009 4.42708 4.42708 -129.323 -4.42708 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.021665 0.0190537 147 29 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 2.60 vpr 62.49 MiB -1 -1 0.22 17892 1 0.03 -1 -1 30152 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63992 32 32 247 207 1 147 87 17 17 289 -1 unnamed_device 23.2 MiB 0.07 838 15831 5106 8362 2363 62.5 MiB 0.13 0.00 3.5273 -107.609 -3.5273 3.5273 0.34 0.000557504 0.000519197 0.0480485 0.0446917 -1 -1 -1 -1 28 1874 20 6.64007e+06 288834 500653. 1732.36 0.55 0.114684 0.101665 21970 115934 -1 1689 20 1084 1860 116033 27873 2.77177 2.77177 -103.603 -2.77177 0 0 612192. 2118.31 0.03 0.06 0.11 -1 -1 0.03 0.0221893 0.0192968 107 3 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 2.88 vpr 62.60 MiB -1 -1 0.26 18212 1 0.02 -1 -1 30088 -1 -1 38 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64100 30 32 278 235 1 147 100 17 17 289 -1 unnamed_device 23.5 MiB 0.11 893 14252 4195 7695 2362 62.6 MiB 0.12 0.00 4.06561 -110.624 -4.06561 4.06561 0.32 0.000598189 0.000554889 0.0387015 0.0359501 -1 -1 -1 -1 28 2003 16 6.64007e+06 477204 500653. 1732.36 0.52 0.105135 0.0927138 21970 115934 -1 1666 21 1086 2090 130293 30391 2.82057 2.82057 -103.094 -2.82057 0 0 612192. 2118.31 0.03 0.07 0.13 -1 -1 0.03 0.0242583 0.0209963 110 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 2.80 vpr 62.91 MiB -1 -1 0.23 18388 1 0.03 -1 -1 30260 -1 -1 32 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64424 29 32 355 287 1 198 93 17 17 289 -1 unnamed_device 23.9 MiB 0.21 964 10173 2395 7060 718 62.9 MiB 0.11 0.00 4.65946 -131.109 -4.65946 4.65946 0.31 0.000693587 0.000645337 0.0360007 0.0334599 -1 -1 -1 -1 28 2771 28 6.64007e+06 401856 500653. 1732.36 0.68 0.125412 0.10974 21970 115934 -1 2174 20 1456 2237 139279 35165 3.62642 3.62642 -121.356 -3.62642 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0276567 0.0241107 146 62 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 2.60 vpr 62.84 MiB -1 -1 0.17 18284 1 0.03 -1 -1 30432 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64348 32 32 358 289 1 175 91 17 17 289 -1 unnamed_device 23.8 MiB 0.11 925 11719 2758 8119 842 62.8 MiB 0.12 0.00 4.42033 -138.276 -4.42033 4.42033 0.32 0.00071589 0.000664168 0.0433359 0.0402562 -1 -1 -1 -1 32 2161 23 6.64007e+06 339066 554710. 1919.41 0.57 0.129395 0.113987 22834 132086 -1 1727 20 1284 1944 114196 28932 3.78702 3.78702 -131.455 -3.78702 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0279344 0.02439 135 54 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 2.92 vpr 62.80 MiB -1 -1 0.21 18364 1 0.03 -1 -1 30232 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64304 32 32 353 285 1 181 98 17 17 289 -1 unnamed_device 23.8 MiB 0.14 1091 11798 3080 7487 1231 62.8 MiB 0.13 0.00 4.78258 -142.686 -4.78258 4.78258 0.32 0.000714923 0.00066408 0.0396764 0.0368336 -1 -1 -1 -1 32 2192 17 6.64007e+06 426972 554710. 1919.41 0.80 0.12021 0.105957 22834 132086 -1 2011 16 897 1626 93283 22441 3.62362 3.62362 -128.218 -3.62362 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0237017 0.020821 136 51 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 2.70 vpr 62.63 MiB -1 -1 0.23 18024 1 0.03 -1 -1 30240 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64136 32 32 276 237 1 160 86 17 17 289 -1 unnamed_device 23.5 MiB 0.22 888 6701 1459 4931 311 62.6 MiB 0.08 0.00 4.75515 -130.083 -4.75515 4.75515 0.32 0.00061059 0.000568906 0.023214 0.021603 -1 -1 -1 -1 32 1842 19 6.64007e+06 276276 554710. 1919.41 0.51 0.0918828 0.0803618 22834 132086 -1 1618 15 639 896 58501 14060 3.29883 3.29883 -115.297 -3.29883 0 0 701300. 2426.64 0.03 0.04 0.11 -1 -1 0.03 0.0190421 0.0167372 107 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 3.10 vpr 63.02 MiB -1 -1 0.24 18248 1 0.03 -1 -1 30368 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64532 31 32 319 272 1 169 88 17 17 289 -1 unnamed_device 23.9 MiB 0.18 793 16078 4554 9333 2191 63.0 MiB 0.15 0.00 4.00036 -122.569 -4.00036 4.00036 0.35 0.000662037 0.000616691 0.0553256 0.0514457 -1 -1 -1 -1 26 2534 43 6.64007e+06 313950 477104. 1650.88 0.88 0.155968 0.137486 21682 110474 -1 1828 21 1216 1787 118458 32053 3.24903 3.24903 -118.128 -3.24903 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0261712 0.0227742 117 64 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 2.80 vpr 63.27 MiB -1 -1 0.23 18488 1 0.03 -1 -1 30384 -1 -1 36 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64788 30 32 329 273 1 166 98 17 17 289 -1 unnamed_device 23.5 MiB 0.10 943 16973 4825 9365 2783 63.3 MiB 0.16 0.00 3.65867 -98.2101 -3.65867 3.65867 0.36 0.000510479 0.000470115 0.0491293 0.0452299 -1 -1 -1 -1 26 2242 21 6.64007e+06 452088 477104. 1650.88 0.67 0.122474 0.109111 21682 110474 -1 1867 18 917 1784 103434 25819 2.77377 2.77377 -96.2306 -2.77377 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0239279 0.0208916 128 57 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 2.56 vpr 62.76 MiB -1 -1 0.22 18036 1 0.03 -1 -1 30368 -1 -1 39 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64268 28 32 277 229 1 155 99 17 17 289 -1 unnamed_device 23.6 MiB 0.07 826 16971 4935 9183 2853 62.8 MiB 0.13 0.00 4.21293 -101.023 -4.21293 4.21293 0.33 0.000596135 0.000555002 0.0460918 0.0427488 -1 -1 -1 -1 28 1907 22 6.64007e+06 489762 500653. 1732.36 0.56 0.117192 0.10326 21970 115934 -1 1601 16 895 1627 90491 22777 3.47223 3.47223 -98.8073 -3.47223 0 0 612192. 2118.31 0.02 0.05 0.07 -1 -1 0.02 0.0195628 0.0171324 122 27 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 2.69 vpr 62.73 MiB -1 -1 0.24 18280 1 0.03 -1 -1 30360 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64232 30 32 317 269 1 152 84 17 17 289 -1 unnamed_device 23.6 MiB 0.13 892 13809 4602 7006 2201 62.7 MiB 0.14 0.00 3.90078 -115.622 -3.90078 3.90078 0.33 0.000645832 0.000599449 0.050946 0.0472279 -1 -1 -1 -1 32 1908 21 6.64007e+06 276276 554710. 1919.41 0.55 0.126734 0.111982 22834 132086 -1 1778 20 1210 2120 133437 31075 2.88777 2.88777 -110.499 -2.88777 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0256155 0.0222677 115 63 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 2.70 vpr 63.13 MiB -1 -1 0.23 18464 1 0.03 -1 -1 30084 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64644 32 32 335 282 1 184 90 17 17 289 -1 unnamed_device 24.2 MiB 0.20 1023 8934 2025 6373 536 63.1 MiB 0.10 0.00 4.0127 -132.01 -4.0127 4.0127 0.32 0.000666492 0.000619785 0.0319045 0.0296427 -1 -1 -1 -1 32 2101 18 6.64007e+06 326508 554710. 1919.41 0.51 0.108114 0.0949131 22834 132086 -1 1856 21 999 1501 89591 21554 3.43523 3.43523 -127.963 -3.43523 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0271682 0.0236631 127 65 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 2.58 vpr 62.80 MiB -1 -1 0.24 17836 1 0.03 -1 -1 30480 -1 -1 37 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64312 31 32 293 230 1 175 100 17 17 289 -1 unnamed_device 23.7 MiB 0.07 1062 14252 3702 8136 2414 62.8 MiB 0.13 0.00 4.61901 -130.215 -4.61901 4.61901 0.32 0.00064055 0.000596427 0.041619 0.0387028 -1 -1 -1 -1 32 2215 21 6.64007e+06 464646 554710. 1919.41 0.54 0.116815 0.103213 22834 132086 -1 1898 17 890 1636 102846 23210 3.48123 3.48123 -116.227 -3.48123 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0230624 0.0202398 134 4 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 2.84 vpr 62.77 MiB -1 -1 0.24 18520 1 0.03 -1 -1 30404 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64272 32 32 350 275 1 209 94 17 17 289 -1 unnamed_device 23.7 MiB 0.24 1264 11809 2906 7681 1222 62.8 MiB 0.15 0.00 5.33287 -167.061 -5.33287 5.33287 0.32 0.000711346 0.000661641 0.0419297 0.0389822 -1 -1 -1 -1 32 2723 21 6.64007e+06 376740 554710. 1919.41 0.55 0.127635 0.112686 22834 132086 -1 2415 23 1397 2174 128896 30488 4.21368 4.21368 -151.829 -4.21368 0 0 701300. 2426.64 0.03 0.08 0.13 -1 -1 0.03 0.0317654 0.0277194 151 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 2.72 vpr 62.87 MiB -1 -1 0.26 18388 1 0.03 -1 -1 30268 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64380 32 32 385 308 1 182 101 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1057 12556 3111 8883 562 62.9 MiB 0.13 0.00 4.57304 -141.272 -4.57304 4.57304 0.31 0.000744694 0.000690509 0.0434462 0.0401677 -1 -1 -1 -1 32 2404 16 6.64007e+06 464646 554710. 1919.41 0.58 0.125349 0.110487 22834 132086 -1 2052 22 1123 2086 137496 32021 3.42483 3.42483 -130.344 -3.42483 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.029294 0.0260026 143 65 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 3.17 vpr 63.51 MiB -1 -1 0.26 18504 1 0.03 -1 -1 30284 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65036 32 32 387 309 1 190 107 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1171 22877 7507 12807 2563 63.5 MiB 0.23 0.00 4.50063 -140.698 -4.50063 4.50063 0.32 0.000749823 0.000696543 0.0707641 0.0655291 -1 -1 -1 -1 28 2865 24 6.64007e+06 539994 500653. 1732.36 0.90 0.167847 0.148857 21970 115934 -1 2354 20 1509 2767 177950 42009 3.57023 3.57023 -133.916 -3.57023 0 0 612192. 2118.31 0.03 0.08 0.12 -1 -1 0.03 0.0272378 0.0238892 147 65 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 2.60 vpr 62.66 MiB -1 -1 0.23 18124 1 0.03 -1 -1 30212 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64160 30 32 272 232 1 147 83 17 17 289 -1 unnamed_device 23.3 MiB 0.11 713 13403 2936 9754 713 62.7 MiB 0.13 0.00 3.93272 -112.862 -3.93272 3.93272 0.31 0.000588042 0.00054711 0.0455557 0.0424041 -1 -1 -1 -1 32 1671 23 6.64007e+06 263718 554710. 1919.41 0.52 0.115722 0.102349 22834 132086 -1 1388 20 927 1637 89572 23518 2.75957 2.75957 -98.8479 -2.75957 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0231273 0.0201238 109 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 3.00 vpr 62.96 MiB -1 -1 0.15 18372 1 0.03 -1 -1 30400 -1 -1 27 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64476 30 32 375 299 1 187 89 17 17 289 -1 unnamed_device 23.9 MiB 0.14 908 7613 1681 5486 446 63.0 MiB 0.10 0.00 4.75724 -137.047 -4.75724 4.75724 0.33 0.000703115 0.000651164 0.0304087 0.0282733 -1 -1 -1 -1 30 2039 24 6.64007e+06 339066 526063. 1820.29 0.56 0.119796 0.104771 22546 126617 -1 1732 22 1329 2144 119677 29049 3.74463 3.74463 -129.51 -3.74463 0 0 666494. 2306.21 0.03 0.07 0.11 -1 -1 0.03 0.0308961 0.0269019 147 63 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 3.26 vpr 62.89 MiB -1 -1 0.25 18264 1 0.03 -1 -1 30268 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64404 32 32 340 270 1 200 94 17 17 289 -1 unnamed_device 23.9 MiB 0.15 1241 16708 5056 9561 2091 62.9 MiB 0.17 0.00 5.42161 -159.498 -5.42161 5.42161 0.32 0.000693499 0.000644788 0.0566391 0.0526498 -1 -1 -1 -1 26 3049 24 6.64007e+06 376740 477104. 1650.88 0.83 0.146388 0.129818 21682 110474 -1 2440 19 1446 2309 162344 36787 4.11869 4.11869 -142.164 -4.11869 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0265234 0.0231856 145 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 3.11 vpr 62.77 MiB -1 -1 0.22 18420 1 0.03 -1 -1 30276 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64272 31 32 340 275 1 196 98 17 17 289 -1 unnamed_device 23.8 MiB 0.19 1123 13148 3656 8360 1132 62.8 MiB 0.13 0.00 5.23915 -149.423 -5.23915 5.23915 0.31 0.000682659 0.00063482 0.0427424 0.0397167 -1 -1 -1 -1 32 2371 21 6.64007e+06 439530 554710. 1919.41 0.54 0.123323 0.108794 22834 132086 -1 2007 20 1118 1785 91779 24235 4.30908 4.30908 -140.1 -4.30908 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0272733 0.0238268 151 47 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 2.71 vpr 62.93 MiB -1 -1 0.16 18440 1 0.03 -1 -1 30492 -1 -1 38 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64440 30 32 377 310 1 177 100 17 17 289 -1 unnamed_device 23.9 MiB 0.22 1096 17964 4891 10960 2113 62.9 MiB 0.18 0.00 4.57324 -135.589 -4.57324 4.57324 0.32 0.000715024 0.000664031 0.0584301 0.0540634 -1 -1 -1 -1 32 2160 21 6.64007e+06 477204 554710. 1919.41 0.53 0.142222 0.125744 22834 132086 -1 1911 16 768 1346 77420 19006 3.06843 3.06843 -112.699 -3.06843 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0244076 0.0214461 144 83 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 3.14 vpr 62.87 MiB -1 -1 0.23 18512 1 0.03 -1 -1 30348 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64376 32 32 365 294 1 185 89 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1118 14939 4252 9072 1615 62.9 MiB 0.17 0.00 5.0668 -145.309 -5.0668 5.0668 0.32 0.000833667 0.000783031 0.0571683 0.0531632 -1 -1 -1 -1 26 2741 21 6.64007e+06 313950 477104. 1650.88 0.64 0.143122 0.126843 21682 110474 -1 2256 19 1322 2385 155087 35915 4.12322 4.12322 -139.521 -4.12322 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0275269 0.0240294 141 57 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 2.60 vpr 63.55 MiB -1 -1 0.22 18320 1 0.02 -1 -1 30212 -1 -1 39 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65072 29 32 378 310 1 177 100 17 17 289 -1 unnamed_device 23.9 MiB 0.12 968 16340 4628 9035 2677 63.5 MiB 0.15 0.00 4.31346 -118.41 -4.31346 4.31346 0.31 0.000717563 0.00066644 0.0530808 0.0492922 -1 -1 -1 -1 32 1959 22 6.64007e+06 489762 554710. 1919.41 0.57 0.138299 0.122133 22834 132086 -1 1772 19 1170 1904 102752 26149 2.95097 2.95097 -104.469 -2.95097 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0278536 0.0243207 137 85 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 2.35 vpr 62.90 MiB -1 -1 0.10 17788 1 0.03 -1 -1 30348 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64408 32 32 243 205 1 139 83 17 17 289 -1 unnamed_device 23.3 MiB 0.06 738 6563 1553 4423 587 62.9 MiB 0.07 0.00 3.86158 -110.86 -3.86158 3.86158 0.32 0.000556995 0.000519146 0.022238 0.0207307 -1 -1 -1 -1 28 1730 21 6.64007e+06 238602 500653. 1732.36 0.48 0.0882531 0.0772055 21970 115934 -1 1495 19 780 1190 73911 18771 2.91797 2.91797 -103.429 -2.91797 0 0 612192. 2118.31 0.03 0.05 0.10 -1 -1 0.03 0.0189262 0.0167874 99 3 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 2.89 vpr 62.98 MiB -1 -1 0.25 18504 1 0.03 -1 -1 30276 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64492 32 32 373 302 1 176 99 17 17 289 -1 unnamed_device 23.9 MiB 0.20 1044 14235 4068 7633 2534 63.0 MiB 0.16 0.00 4.71503 -140.381 -4.71503 4.71503 0.32 0.000736638 0.000684303 0.0542958 0.0503407 -1 -1 -1 -1 32 2187 20 6.64007e+06 439530 554710. 1919.41 0.64 0.16213 0.14341 22834 132086 -1 1906 20 1054 1793 106697 26383 3.63163 3.63163 -128.238 -3.63163 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0285345 0.0249014 135 65 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 2.91 vpr 62.84 MiB -1 -1 0.16 18280 1 0.03 -1 -1 30280 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64344 32 32 397 314 1 196 89 17 17 289 -1 unnamed_device 23.8 MiB 0.15 1159 10979 2643 7096 1240 62.8 MiB 0.13 0.00 4.8332 -152.333 -4.8332 4.8332 0.31 0.000764668 0.000709401 0.0465756 0.0431972 -1 -1 -1 -1 32 2514 24 6.64007e+06 313950 554710. 1919.41 0.63 0.140961 0.124202 22834 132086 -1 2265 22 1721 2851 169988 41091 3.69343 3.69343 -141.542 -3.69343 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0324477 0.0282823 155 65 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 2.86 vpr 62.62 MiB -1 -1 0.23 18156 1 0.03 -1 -1 30408 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64128 32 32 269 231 1 170 89 17 17 289 -1 unnamed_device 23.6 MiB 0.19 1035 13157 3525 7788 1844 62.6 MiB 0.12 0.00 4.01361 -116.472 -4.01361 4.01361 0.32 0.000582519 0.00054112 0.0410765 0.0382132 -1 -1 -1 -1 26 2306 22 6.64007e+06 313950 477104. 1650.88 0.58 0.111377 0.0982278 21682 110474 -1 1974 17 851 1156 77563 18531 3.24903 3.24903 -111.931 -3.24903 0 0 585099. 2024.56 0.03 0.05 0.09 -1 -1 0.03 0.0206446 0.0180703 117 29 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 2.45 vpr 62.66 MiB -1 -1 0.23 17704 1 0.03 -1 -1 30328 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64168 31 32 245 205 1 150 86 17 17 289 -1 unnamed_device 23.4 MiB 0.06 846 9725 2485 6513 727 62.7 MiB 0.09 0.00 3.92438 -112.401 -3.92438 3.92438 0.32 0.000560266 0.000521845 0.0308085 0.0286528 -1 -1 -1 -1 26 1894 21 6.64007e+06 288834 477104. 1650.88 0.49 0.0963775 0.0845534 21682 110474 -1 1562 19 974 1584 90066 21476 3.02517 3.02517 -107.603 -3.02517 0 0 585099. 2024.56 0.03 0.05 0.09 -1 -1 0.03 0.0214189 0.018672 110 4 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 2.92 vpr 63.04 MiB -1 -1 0.25 18416 1 0.03 -1 -1 30548 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64548 32 32 348 274 1 211 95 17 17 289 -1 unnamed_device 23.9 MiB 0.21 1202 16295 5063 8969 2263 63.0 MiB 0.17 0.00 4.9923 -151.371 -4.9923 4.9923 0.32 0.000724101 0.000665988 0.0564527 0.0523689 -1 -1 -1 -1 28 2863 20 6.64007e+06 389298 500653. 1732.36 0.61 0.14137 0.125434 21970 115934 -1 2461 21 1706 2305 171976 40971 4.39829 4.39829 -154.305 -4.39829 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0290397 0.0253404 151 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 3.39 vpr 62.80 MiB -1 -1 0.26 18292 1 0.03 -1 -1 30352 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64308 32 32 356 289 1 202 101 17 17 289 -1 unnamed_device 23.8 MiB 0.57 1281 17021 5038 9582 2401 62.8 MiB 0.17 0.00 5.34218 -153.803 -5.34218 5.34218 0.31 0.000703995 0.000654128 0.0536258 0.0497985 -1 -1 -1 -1 26 2998 23 6.64007e+06 464646 477104. 1650.88 0.69 0.143557 0.127182 21682 110474 -1 2507 20 1387 2164 152866 35335 4.42928 4.42928 -150.345 -4.42928 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0279346 0.0244075 157 56 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 2.83 vpr 63.50 MiB -1 -1 0.22 18104 1 0.03 -1 -1 30128 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65028 32 32 349 260 1 204 107 17 17 289 -1 unnamed_device 23.8 MiB 0.08 1267 17817 5114 10804 1899 63.5 MiB 0.17 0.00 5.50127 -148.27 -5.50127 5.50127 0.32 0.000728707 0.000677247 0.0534885 0.0496414 -1 -1 -1 -1 26 3165 21 6.64007e+06 539994 477104. 1650.88 0.75 0.150128 0.133373 21682 110474 -1 2620 21 1637 3007 196047 46131 4.58248 4.58248 -146.607 -4.58248 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0303632 0.0265432 162 3 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 2.47 vpr 63.33 MiB -1 -1 0.16 18544 1 0.03 -1 -1 30320 -1 -1 35 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64852 30 32 316 264 1 162 97 17 17 289 -1 unnamed_device 23.6 MiB 0.11 960 10531 2688 6948 895 63.3 MiB 0.10 0.00 3.53527 -104.629 -3.53527 3.53527 0.31 0.000642209 0.000597715 0.0327903 0.0304946 -1 -1 -1 -1 32 2029 21 6.64007e+06 439530 554710. 1919.41 0.53 0.108188 0.0949357 22834 132086 -1 1762 19 983 1688 92821 22824 2.84297 2.84297 -99.6625 -2.84297 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0302453 0.0267024 124 52 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 2.41 vpr 62.96 MiB -1 -1 0.21 18040 1 0.04 -1 -1 30284 -1 -1 25 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64472 27 32 255 219 1 132 84 17 17 289 -1 unnamed_device 23.4 MiB 0.06 787 11430 3535 6029 1866 63.0 MiB 0.09 0.00 3.4653 -96.8105 -3.4653 3.4653 0.31 0.000547949 0.000510433 0.0361061 0.0336224 -1 -1 -1 -1 26 1657 21 6.64007e+06 313950 477104. 1650.88 0.51 0.100455 0.088336 21682 110474 -1 1422 19 813 1258 87749 20598 2.78277 2.78277 -93.1625 -2.78277 0 0 585099. 2024.56 0.03 0.05 0.09 -1 -1 0.03 0.0211257 0.0183388 100 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 3.07 vpr 63.09 MiB -1 -1 0.27 18656 1 0.03 -1 -1 30364 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64600 32 32 421 327 1 232 98 17 17 289 -1 unnamed_device 24.1 MiB 0.23 1365 11573 2824 7922 827 63.1 MiB 0.14 0.00 4.52455 -140.933 -4.52455 4.52455 0.32 0.000801412 0.000743847 0.044303 0.0411451 -1 -1 -1 -1 28 3529 23 6.64007e+06 426972 500653. 1732.36 0.77 0.146905 0.129572 21970 115934 -1 2746 20 1678 2838 184848 42937 3.85503 3.85503 -135.927 -3.85503 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0325576 0.02856 176 65 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 2.99 vpr 62.80 MiB -1 -1 0.26 18276 1 0.04 -1 -1 30228 -1 -1 27 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64304 31 32 365 296 1 193 90 17 17 289 -1 unnamed_device 23.8 MiB 0.39 1024 16371 4802 8876 2693 62.8 MiB 0.16 0.00 5.51727 -159.864 -5.51727 5.51727 0.34 0.000713016 0.000661963 0.0620014 0.0576154 -1 -1 -1 -1 32 2133 17 6.64007e+06 339066 554710. 1919.41 0.54 0.143857 0.128081 22834 132086 -1 1963 20 1100 1863 103525 26373 4.38608 4.38608 -146.744 -4.38608 0 0 701300. 2426.64 0.03 0.08 0.12 -1 -1 0.03 0.0371557 0.0323725 151 64 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 2.77 vpr 62.68 MiB -1 -1 0.25 18380 1 0.04 -1 -1 30364 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64180 32 32 331 280 1 174 87 17 17 289 -1 unnamed_device 23.5 MiB 0.29 989 13335 4742 6776 1817 62.7 MiB 0.13 0.00 4.37915 -137.641 -4.37915 4.37915 0.32 0.000658109 0.000611873 0.048245 0.0448417 -1 -1 -1 -1 32 1903 19 6.64007e+06 288834 554710. 1919.41 0.52 0.124693 0.110299 22834 132086 -1 1693 13 628 883 56758 13715 3.17522 3.17522 -121.439 -3.17522 0 0 701300. 2426.64 0.03 0.04 0.11 -1 -1 0.03 0.0196211 0.017333 130 65 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 2.90 vpr 63.40 MiB -1 -1 0.24 18384 1 0.03 -1 -1 30344 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64924 32 32 326 263 1 176 100 17 17 289 -1 unnamed_device 23.6 MiB 0.09 1105 13092 3416 8740 936 63.4 MiB 0.13 0.00 5.28888 -136.917 -5.28888 5.28888 0.32 0.000682744 0.000636151 0.0406021 0.0377399 -1 -1 -1 -1 26 2483 21 6.64007e+06 452088 477104. 1650.88 0.57 0.121401 0.10708 21682 110474 -1 2105 18 1009 1726 98465 23986 3.85982 3.85982 -125.513 -3.85982 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0247052 0.0216352 133 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 3.03 vpr 63.57 MiB -1 -1 0.24 18388 1 0.03 -1 -1 30372 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65096 31 32 373 294 1 196 101 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1122 12321 3316 8274 731 63.6 MiB 0.13 0.00 4.92332 -128.094 -4.92332 4.92332 0.34 0.00073579 0.000681292 0.0411424 0.0381693 -1 -1 -1 -1 26 2511 21 6.64007e+06 477204 477104. 1650.88 0.52 0.127987 0.112686 21682 110474 -1 2210 19 1199 1943 114142 28132 3.83382 3.83382 -125.259 -3.83382 0 0 585099. 2024.56 0.03 0.07 0.08 -1 -1 0.03 0.0283169 0.0247367 151 50 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 2.79 vpr 63.06 MiB -1 -1 0.21 18440 1 0.03 -1 -1 30380 -1 -1 36 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64576 30 32 325 268 1 171 98 17 17 289 -1 unnamed_device 23.9 MiB 0.12 937 16973 5746 8109 3118 63.1 MiB 0.15 0.00 3.65167 -103.348 -3.65167 3.65167 0.31 0.000656815 0.000608992 0.0517459 0.048035 -1 -1 -1 -1 30 2391 26 6.64007e+06 452088 526063. 1820.29 0.71 0.136006 0.120034 22546 126617 -1 1842 21 1098 2007 113299 28167 2.97317 2.97317 -100.808 -2.97317 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0272394 0.0237126 130 51 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 2.84 vpr 62.82 MiB -1 -1 0.16 18264 1 0.03 -1 -1 30432 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64328 32 32 350 275 1 215 95 17 17 289 -1 unnamed_device 23.8 MiB 0.20 1238 11759 3130 7729 900 62.8 MiB 0.14 0.00 5.12264 -157.121 -5.12264 5.12264 0.31 0.000705322 0.000655159 0.0413169 0.0383528 -1 -1 -1 -1 30 2872 20 6.64007e+06 389298 526063. 1820.29 0.68 0.124517 0.109659 22546 126617 -1 2332 20 1512 2420 128301 30561 4.07588 4.07588 -141.785 -4.07588 0 0 666494. 2306.21 0.03 0.07 0.12 -1 -1 0.03 0.0280318 0.024467 157 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 2.75 vpr 63.51 MiB -1 -1 0.16 18348 1 0.03 -1 -1 30076 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65036 32 32 386 307 1 195 106 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1118 16856 4639 9867 2350 63.5 MiB 0.19 0.00 4.29207 -131.028 -4.29207 4.29207 0.31 0.000745147 0.000691805 0.0590137 0.0547729 -1 -1 -1 -1 28 2771 25 6.64007e+06 527436 500653. 1732.36 0.64 0.152811 0.135193 21970 115934 -1 2232 22 1473 2458 171773 41912 3.24756 3.24756 -122.119 -3.24756 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0326766 0.0284409 151 62 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 2.35 vpr 62.91 MiB -1 -1 0.23 18128 1 0.02 -1 -1 30284 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64416 29 32 269 229 1 129 80 17 17 289 -1 unnamed_device 23.4 MiB 0.06 778 14184 4385 8482 1317 62.9 MiB 0.12 0.00 4.07075 -112.667 -4.07075 4.07075 0.32 0.000580699 0.000540923 0.0493007 0.0459016 -1 -1 -1 -1 28 1504 20 6.64007e+06 238602 500653. 1732.36 0.47 0.116766 0.103529 21970 115934 -1 1377 18 774 1155 75021 18193 2.75077 2.75077 -97.232 -2.75077 0 0 612192. 2118.31 0.03 0.05 0.07 -1 -1 0.03 0.020975 0.0182859 93 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 2.71 vpr 62.80 MiB -1 -1 0.24 18332 1 0.03 -1 -1 30448 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64312 32 32 310 266 1 176 89 17 17 289 -1 unnamed_device 23.7 MiB 0.18 1008 13949 3776 8315 1858 62.8 MiB 0.13 0.00 4.57978 -129.405 -4.57978 4.57978 0.32 0.00063151 0.000586933 0.0463927 0.0431298 -1 -1 -1 -1 26 2049 24 6.64007e+06 313950 477104. 1650.88 0.57 0.124264 0.109558 21682 110474 -1 1787 23 1119 1542 99717 24464 3.33023 3.33023 -121.141 -3.33023 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0277593 0.0240193 122 58 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 2.76 vpr 62.94 MiB -1 -1 0.23 18416 1 0.03 -1 -1 30536 -1 -1 42 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64452 31 32 326 261 1 177 105 17 17 289 -1 unnamed_device 23.9 MiB 0.07 1024 15666 4440 8933 2293 62.9 MiB 0.14 0.00 4.80044 -126.61 -4.80044 4.80044 0.32 0.000665995 0.000619056 0.0448001 0.0415297 -1 -1 -1 -1 26 2648 22 6.64007e+06 527436 477104. 1650.88 0.79 0.129778 0.11446 21682 110474 -1 2117 22 1383 2618 174651 40558 3.69763 3.69763 -123.591 -3.69763 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.028875 0.0250672 137 33 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 2.68 vpr 62.62 MiB -1 -1 0.20 18064 1 0.03 -1 -1 30432 -1 -1 27 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64124 29 32 262 224 1 168 88 17 17 289 -1 unnamed_device 23.5 MiB 0.20 847 8863 2227 5955 681 62.6 MiB 0.09 0.00 4.31301 -113.107 -4.31301 4.31301 0.32 0.000579564 0.000534241 0.0276143 0.025686 -1 -1 -1 -1 26 2115 21 6.64007e+06 339066 477104. 1650.88 0.58 0.0947231 0.0829346 21682 110474 -1 1780 21 1043 1394 90173 22397 3.32203 3.32203 -108.26 -3.32203 0 0 585099. 2024.56 0.02 0.03 0.06 -1 -1 0.02 0.0130991 0.0115568 116 31 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 2.97 vpr 62.67 MiB -1 -1 0.21 18200 1 0.03 -1 -1 30128 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64176 32 32 278 238 1 148 83 17 17 289 -1 unnamed_device 23.6 MiB 0.16 785 12683 4483 5881 2319 62.7 MiB 0.12 0.00 3.88358 -117.678 -3.88358 3.88358 0.32 0.00060376 0.000561743 0.0445389 0.0414623 -1 -1 -1 -1 26 2436 37 6.64007e+06 238602 477104. 1650.88 0.89 0.131629 0.116039 21682 110474 -1 1800 19 1200 1979 139267 34801 3.02517 3.02517 -113.385 -3.02517 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0227137 0.0197715 111 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 2.66 vpr 63.21 MiB -1 -1 0.26 18260 1 0.03 -1 -1 30432 -1 -1 40 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64732 31 32 373 300 1 181 103 17 17 289 -1 unnamed_device 24.2 MiB 0.12 994 11671 2882 8064 725 63.2 MiB 0.12 0.00 4.09378 -121.668 -4.09378 4.09378 0.32 0.000726427 0.000674778 0.0377137 0.034953 -1 -1 -1 -1 26 2376 23 6.64007e+06 502320 477104. 1650.88 0.55 0.125439 0.109976 21682 110474 -1 1958 22 1532 2565 145610 36094 3.06217 3.06217 -117.244 -3.06217 0 0 585099. 2024.56 0.05 0.08 0.10 -1 -1 0.05 0.0315397 0.0275332 141 64 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 2.73 vpr 62.66 MiB -1 -1 0.23 18040 1 0.03 -1 -1 30328 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64164 31 32 265 230 1 163 88 17 17 289 -1 unnamed_device 23.7 MiB 0.16 891 10033 2595 6630 808 62.7 MiB 0.10 0.00 4.05756 -122.47 -4.05756 4.05756 0.32 0.000576971 0.000536748 0.0317137 0.029489 -1 -1 -1 -1 26 2157 24 6.64007e+06 313950 477104. 1650.88 0.58 0.107628 0.0941218 21682 110474 -1 1791 17 1028 1508 93693 23016 3.14183 3.14183 -112.031 -3.14183 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0322542 0.0280416 115 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 2.61 vpr 62.85 MiB -1 -1 0.23 18464 1 0.03 -1 -1 30032 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64356 32 32 349 286 1 171 101 17 17 289 -1 unnamed_device 23.9 MiB 0.12 928 11851 2930 8165 756 62.8 MiB 0.12 0.00 3.6645 -107.626 -3.6645 3.6645 0.32 0.000692969 0.000644427 0.0379377 0.035255 -1 -1 -1 -1 32 2115 19 6.64007e+06 464646 554710. 1919.41 0.53 0.122566 0.10828 22834 132086 -1 1665 19 935 1662 86651 22815 2.90897 2.90897 -104.818 -2.90897 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0268623 0.0234903 131 57 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 2.93 vpr 63.59 MiB -1 -1 0.27 18448 1 0.03 -1 -1 30300 -1 -1 36 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65116 31 32 396 325 1 183 99 17 17 289 -1 unnamed_device 23.9 MiB 0.22 976 13095 3017 8881 1197 63.6 MiB 0.16 0.00 4.0221 -123.818 -4.0221 4.0221 0.32 0.000756213 0.000702112 0.0516439 0.047975 -1 -1 -1 -1 30 2145 22 6.64007e+06 452088 526063. 1820.29 0.63 0.143583 0.126812 22546 126617 -1 1877 17 1096 1732 88550 22588 3.14957 3.14957 -120.621 -3.14957 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0260509 0.0228149 145 91 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 2.56 vpr 62.58 MiB -1 -1 0.23 18156 1 0.03 -1 -1 30280 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64084 32 32 303 262 1 150 84 17 17 289 -1 unnamed_device 23.5 MiB 0.10 960 12162 3264 7675 1223 62.6 MiB 0.11 0.00 3.3869 -105.779 -3.3869 3.3869 0.32 0.000614672 0.000570831 0.0427904 0.0397298 -1 -1 -1 -1 30 1894 19 6.64007e+06 251160 526063. 1820.29 0.50 0.116751 0.103215 22546 126617 -1 1629 18 769 1207 61799 15365 2.74977 2.74977 -103.907 -2.74977 0 0 666494. 2306.21 0.03 0.05 0.12 -1 -1 0.03 0.0225046 0.0195903 111 57 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 2.56 vpr 62.65 MiB -1 -1 0.23 18312 1 0.02 -1 -1 30292 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64152 32 32 290 244 1 177 90 17 17 289 -1 unnamed_device 23.5 MiB 0.19 925 8532 1785 6264 483 62.6 MiB 0.09 0.00 4.36984 -131.165 -4.36984 4.36984 0.31 0.00061324 0.000570288 0.0280339 0.026075 -1 -1 -1 -1 28 2708 24 6.64007e+06 326508 500653. 1732.36 0.64 0.104096 0.0910882 21970 115934 -1 1954 20 1359 2003 122646 30886 3.38923 3.38923 -122.625 -3.38923 0 0 612192. 2118.31 0.02 0.04 0.07 -1 -1 0.02 0.0135228 0.0119375 124 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 2.77 vpr 62.77 MiB -1 -1 0.23 18380 1 0.03 -1 -1 30208 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64276 32 32 318 257 1 194 92 17 17 289 -1 unnamed_device 23.8 MiB 0.22 1138 15617 4303 9234 2080 62.8 MiB 0.15 0.00 4.77964 -132.452 -4.77964 4.77964 0.34 0.000658273 0.000609711 0.0519544 0.0481265 -1 -1 -1 -1 32 2299 20 6.64007e+06 351624 554710. 1919.41 0.55 0.12943 0.114511 22834 132086 -1 2021 21 1045 1511 98148 22991 3.72382 3.72382 -126.429 -3.72382 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0269217 0.0234482 138 30 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 2.61 vpr 63.38 MiB -1 -1 0.25 18340 1 0.03 -1 -1 30112 -1 -1 36 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64896 29 32 324 268 1 168 97 17 17 289 -1 unnamed_device 23.9 MiB 0.14 1027 8089 1720 5536 833 63.4 MiB 0.08 0.00 4.38084 -119.914 -4.38084 4.38084 0.31 0.00065193 0.000606249 0.0259897 0.0241864 -1 -1 -1 -1 28 2224 20 6.64007e+06 452088 500653. 1732.36 0.49 0.101951 0.0892092 21970 115934 -1 1875 18 849 1396 79670 19823 3.16663 3.16663 -107.118 -3.16663 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0240837 0.0210767 129 55 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 3.04 vpr 63.07 MiB -1 -1 0.24 18336 1 0.03 -1 -1 30404 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64588 32 32 393 312 1 213 94 17 17 289 -1 unnamed_device 23.9 MiB 0.24 1101 8401 1796 6209 396 63.1 MiB 0.11 0.00 5.62095 -173.541 -5.62095 5.62095 0.31 0.00075665 0.000703659 0.0326673 0.0303426 -1 -1 -1 -1 28 2936 26 6.64007e+06 376740 500653. 1732.36 0.68 0.129571 0.11365 21970 115934 -1 2403 22 1682 2416 167600 40775 4.26009 4.26009 -154.42 -4.26009 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.032093 0.0279958 159 65 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 2.27 vpr 62.84 MiB -1 -1 0.15 17952 1 0.02 -1 -1 30144 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64348 31 32 229 197 1 138 84 17 17 289 -1 unnamed_device 23.3 MiB 0.06 878 12345 3107 8061 1177 62.8 MiB 0.10 0.00 3.46127 -102.488 -3.46127 3.46127 0.32 0.000540828 0.000503904 0.0378018 0.0352175 -1 -1 -1 -1 26 1836 20 6.64007e+06 263718 477104. 1650.88 0.46 0.0997432 0.0881038 21682 110474 -1 1648 19 828 1379 92032 22149 2.88697 2.88697 -102.774 -2.88697 0 0 585099. 2024.56 0.03 0.05 0.09 -1 -1 0.03 0.0204358 0.0177958 100 4 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 2.71 vpr 63.67 MiB -1 -1 0.30 18344 1 0.03 -1 -1 30260 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65200 32 32 412 334 1 190 101 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1132 12791 3491 8287 1013 63.7 MiB 0.15 0.00 4.42516 -144.482 -4.42516 4.42516 0.32 0.000777421 0.000722358 0.0449905 0.0417837 -1 -1 -1 -1 32 2175 23 6.64007e+06 464646 554710. 1919.41 0.56 0.138643 0.121972 22834 132086 -1 1928 20 1161 1813 106830 26306 3.76183 3.76183 -135.978 -3.76183 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0308308 0.0269198 146 90 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 2.52 vpr 62.92 MiB -1 -1 0.16 18316 1 0.03 -1 -1 30184 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64428 32 32 376 318 1 155 82 17 17 289 -1 unnamed_device 23.7 MiB 0.14 961 14678 5153 7870 1655 62.9 MiB 0.15 0.00 3.5251 -126.262 -3.5251 3.5251 0.32 0.000716805 0.000665017 0.061699 0.0573065 -1 -1 -1 -1 32 1789 17 6.64007e+06 226044 554710. 1919.41 0.53 0.141368 0.125423 22834 132086 -1 1636 19 1061 1511 101615 23343 2.65957 2.65957 -115.251 -2.65957 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0272319 0.0237407 116 96 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 2.68 vpr 63.50 MiB -1 -1 0.15 18392 1 0.03 -1 -1 30252 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65024 32 32 360 293 1 179 99 17 17 289 -1 unnamed_device 23.8 MiB 0.14 1040 16059 4498 9094 2467 63.5 MiB 0.16 0.00 4.08563 -122.248 -4.08563 4.08563 0.32 0.000711584 0.000660221 0.0536532 0.0496695 -1 -1 -1 -1 32 2147 19 6.64007e+06 439530 554710. 1919.41 0.54 0.135243 0.119692 22834 132086 -1 1808 17 868 1394 77233 19535 2.86797 2.86797 -103.409 -2.86797 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0262874 0.0231714 134 60 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 3.76 vpr 63.12 MiB -1 -1 0.24 18356 1 0.05 -1 -1 30316 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64640 32 32 396 299 1 236 98 17 17 289 -1 unnamed_device 24.1 MiB 0.28 1248 18773 5780 9423 3570 63.1 MiB 0.20 0.00 6.37984 -185.995 -6.37984 6.37984 0.31 0.000780551 0.00072581 0.0680795 0.0632119 -1 -1 -1 -1 36 2761 19 6.64007e+06 426972 612192. 2118.31 1.34 0.222467 0.195692 23410 145293 -1 2234 16 1457 2063 137885 35563 4.99954 4.99954 -163.566 -4.99954 0 0 782063. 2706.10 0.03 0.07 0.12 -1 -1 0.03 0.0263971 0.0232455 177 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 2.60 vpr 62.75 MiB -1 -1 0.13 18124 1 0.03 -1 -1 30072 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64252 30 32 224 207 1 138 84 17 17 289 -1 unnamed_device 23.3 MiB 0.14 875 9783 2760 6082 941 62.7 MiB 0.08 0.00 3.31687 -101.206 -3.31687 3.31687 0.32 0.000501429 0.000467021 0.028543 0.0265577 -1 -1 -1 -1 26 1635 15 6.64007e+06 276276 477104. 1650.88 0.53 0.0837799 0.0736315 21682 110474 -1 1407 13 573 768 44589 11004 2.27497 2.27497 -90.5889 -2.27497 0 0 585099. 2024.56 0.03 0.04 0.09 -1 -1 0.03 0.0147089 0.0129252 92 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 2.45 vpr 62.72 MiB -1 -1 0.23 18136 1 0.03 -1 -1 30324 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64228 30 32 286 239 1 134 81 17 17 289 -1 unnamed_device 23.3 MiB 0.06 689 6731 1618 4756 357 62.7 MiB 0.08 0.00 4.09512 -115.35 -4.09512 4.09512 0.32 0.000599086 0.000557828 0.0252094 0.023468 -1 -1 -1 -1 30 1441 21 6.64007e+06 238602 526063. 1820.29 0.50 0.0996073 0.0873472 22546 126617 -1 1294 18 678 1174 70897 17025 2.86577 2.86577 -104.274 -2.86577 0 0 666494. 2306.21 0.03 0.05 0.11 -1 -1 0.03 0.0219962 0.0192113 95 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 2.63 vpr 62.67 MiB -1 -1 0.22 18180 1 0.03 -1 -1 30216 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64172 32 32 296 247 1 157 90 17 17 289 -1 unnamed_device 23.6 MiB 0.08 942 12552 3467 7974 1111 62.7 MiB 0.12 0.00 3.49427 -115.718 -3.49427 3.49427 0.32 0.000632151 0.000578248 0.041451 0.0384616 -1 -1 -1 -1 32 2080 20 6.64007e+06 326508 554710. 1919.41 0.62 0.114609 0.101229 22834 132086 -1 1868 22 1056 2009 127408 29897 2.69957 2.69957 -109.166 -2.69957 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0268929 0.0233414 119 34 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 2.61 vpr 62.79 MiB -1 -1 0.22 18028 1 0.03 -1 -1 30192 -1 -1 31 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64296 25 32 216 194 1 122 88 17 17 289 -1 unnamed_device 23.3 MiB 0.06 601 13543 4375 6504 2664 62.8 MiB 0.10 0.00 3.43127 -79.9 -3.43127 3.43127 0.32 0.000479119 0.000444893 0.0348322 0.0323139 -1 -1 -1 -1 32 1432 18 6.64007e+06 389298 554710. 1919.41 0.70 0.0896739 0.0790814 22834 132086 -1 1208 16 588 1034 67545 16020 2.66257 2.66257 -76.6177 -2.66257 0 0 701300. 2426.64 0.03 0.04 0.11 -1 -1 0.03 0.0163147 0.0142554 93 29 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 2.82 vpr 63.04 MiB -1 -1 0.25 18376 1 0.03 -1 -1 30224 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64548 32 32 376 307 1 185 88 17 17 289 -1 unnamed_device 24.0 MiB 0.16 989 17053 6066 7937 3050 63.0 MiB 0.18 0.00 4.31092 -130.251 -4.31092 4.31092 0.31 0.000731429 0.000679409 0.0669288 0.0621536 -1 -1 -1 -1 32 2483 22 6.64007e+06 301392 554710. 1919.41 0.58 0.153804 0.136564 22834 132086 -1 2014 19 1216 2250 133235 32112 3.97023 3.97023 -123.415 -3.97023 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0284099 0.0246596 137 72 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 2.87 vpr 62.98 MiB -1 -1 0.26 18340 1 0.03 -1 -1 30340 -1 -1 42 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64496 31 32 409 331 1 191 105 17 17 289 -1 unnamed_device 23.8 MiB 0.14 990 19124 5738 10202 3184 63.0 MiB 0.18 0.00 4.03784 -128.727 -4.03784 4.03784 0.32 0.000592896 0.000545424 0.0601703 0.0557054 -1 -1 -1 -1 30 2237 23 6.64007e+06 527436 526063. 1820.29 0.61 0.143789 0.127519 22546 126617 -1 1757 16 1113 1717 94449 22971 2.92383 2.92383 -113.587 -2.92383 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0263136 0.0230906 148 90 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 2.83 vpr 63.59 MiB -1 -1 0.25 18348 1 0.03 -1 -1 30064 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65120 32 32 354 285 1 202 99 17 17 289 -1 unnamed_device 24.2 MiB 0.17 1293 16743 4634 10568 1541 63.6 MiB 0.10 0.00 5.566 -161.813 -5.566 5.566 0.34 0.000331358 0.000304875 0.025734 0.0237042 -1 -1 -1 -1 32 2763 22 6.65987e+06 443730 554710. 1919.41 0.57 0.112732 0.0983887 22834 132086 -1 2449 19 1084 1699 139737 30053 4.09762 4.09762 -142.938 -4.09762 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0279861 0.0245724 153 50 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 2.75 vpr 63.43 MiB -1 -1 0.26 18392 1 0.03 -1 -1 30440 -1 -1 30 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64956 30 32 363 293 1 196 92 17 17 289 -1 unnamed_device 23.7 MiB 0.09 1161 17066 4950 10267 1849 63.4 MiB 0.18 0.00 4.92316 -142.534 -4.92316 4.92316 0.32 0.000711495 0.000661377 0.0607483 0.05633 -1 -1 -1 -1 32 2317 19 6.65987e+06 380340 554710. 1919.41 0.56 0.14224 0.126171 22834 132086 -1 2201 20 1285 1969 141789 31778 3.96643 3.96643 -135.327 -3.96643 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0286017 0.0250073 147 63 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 2.92 vpr 62.58 MiB -1 -1 0.23 18252 1 0.03 -1 -1 30424 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64084 32 32 299 247 1 188 95 17 17 289 -1 unnamed_device 23.4 MiB 0.10 1005 7223 1515 5389 319 62.6 MiB 0.08 0.00 4.5072 -115.093 -4.5072 4.5072 0.32 0.000638459 0.000593954 0.023343 0.021723 -1 -1 -1 -1 26 2482 19 6.65987e+06 393018 477104. 1650.88 0.57 0.096452 0.084355 21682 110474 -1 2175 22 1225 1807 128173 38231 3.65077 3.65077 -115.591 -3.65077 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0283391 0.0248207 129 29 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 2.43 vpr 63.12 MiB -1 -1 0.17 18364 1 0.04 -1 -1 30272 -1 -1 31 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64640 29 32 308 248 1 169 92 17 17 289 -1 unnamed_device 23.3 MiB 0.04 1008 16445 5063 9076 2306 63.1 MiB 0.16 0.00 4.28955 -115.789 -4.28955 4.28955 0.31 0.000630792 0.000586242 0.0527628 0.0490015 -1 -1 -1 -1 32 2107 21 6.65987e+06 393018 554710. 1919.41 0.54 0.127475 0.112807 22834 132086 -1 1923 24 1162 2468 162514 37920 3.42191 3.42191 -111.417 -3.42191 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0300343 0.0260368 132 31 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 2.88 vpr 62.64 MiB -1 -1 0.23 18404 1 0.03 -1 -1 30412 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64144 32 32 336 268 1 174 92 17 17 289 -1 unnamed_device 23.6 MiB 0.06 926 17066 4722 10092 2252 62.6 MiB 0.18 0.00 4.32246 -124.084 -4.32246 4.32246 0.31 0.000682381 0.000633432 0.0584903 0.0542653 -1 -1 -1 -1 28 2659 35 6.65987e+06 354984 500653. 1732.36 0.85 0.156528 0.138194 21970 115934 -1 1952 22 1359 2592 155691 39529 3.65631 3.65631 -123.935 -3.65631 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0292856 0.0255564 134 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 2.51 vpr 62.75 MiB -1 -1 0.15 18452 1 0.03 -1 -1 30268 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64252 32 32 366 295 1 189 103 17 17 289 -1 unnamed_device 23.6 MiB 0.08 1006 10707 2558 7056 1093 62.7 MiB 0.11 0.00 3.2981 -110.874 -3.2981 3.2981 0.32 0.000715102 0.000664192 0.0344872 0.0320276 -1 -1 -1 -1 32 2059 17 6.65987e+06 494442 554710. 1919.41 0.52 0.114776 0.10094 22834 132086 -1 1766 16 1009 1653 81293 21469 2.93011 2.93011 -107.664 -2.93011 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0244838 0.0214969 145 58 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 2.33 vpr 62.35 MiB -1 -1 0.16 18212 1 0.03 -1 -1 30572 -1 -1 21 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63844 27 32 259 221 1 130 80 17 17 289 -1 unnamed_device 23.0 MiB 0.05 662 8508 2183 5532 793 62.3 MiB 0.08 0.00 3.64612 -97.2036 -3.64612 3.64612 0.32 0.000556352 0.000518731 0.02948 0.0274761 -1 -1 -1 -1 26 1488 20 6.65987e+06 266238 477104. 1650.88 0.46 0.0948889 0.0832974 21682 110474 -1 1285 19 839 1443 84061 21350 2.55211 2.55211 -89.8292 -2.55211 0 0 585099. 2024.56 0.03 0.05 0.09 -1 -1 0.03 0.0217986 0.0189775 97 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 2.55 vpr 62.41 MiB -1 -1 0.22 17868 1 0.03 -1 -1 30072 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63904 31 32 271 219 1 162 98 17 17 289 -1 unnamed_device 23.4 MiB 0.05 879 17198 5694 8745 2759 62.4 MiB 0.14 0.00 3.28184 -95.5565 -3.28184 3.28184 0.36 0.000595736 0.000552841 0.0478346 0.044364 -1 -1 -1 -1 32 2096 17 6.65987e+06 443730 554710. 1919.41 0.52 0.110623 0.0981322 22834 132086 -1 1775 20 943 1802 114464 28225 2.55445 2.55445 -90.648 -2.55445 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0241442 0.0210605 123 4 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 2.40 vpr 62.57 MiB -1 -1 0.15 18384 1 0.03 -1 -1 30052 -1 -1 24 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64068 31 32 317 271 1 168 87 17 17 289 -1 unnamed_device 23.4 MiB 0.09 925 6807 1453 4944 410 62.6 MiB 0.08 0.00 3.3699 -114.313 -3.3699 3.3699 0.32 0.000493922 0.000454414 0.024486 0.0227415 -1 -1 -1 -1 28 2084 19 6.65987e+06 304272 500653. 1732.36 0.51 0.0981972 0.0857413 21970 115934 -1 1840 15 921 1353 95741 23607 2.83031 2.83031 -109.983 -2.83031 0 0 612192. 2118.31 0.03 0.05 0.10 -1 -1 0.03 0.0207326 0.0181803 117 64 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 2.56 vpr 62.51 MiB -1 -1 0.22 18156 1 0.03 -1 -1 30016 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64008 32 32 298 248 1 156 83 17 17 289 -1 unnamed_device 23.4 MiB 0.09 817 6383 1432 4490 461 62.5 MiB 0.08 0.00 3.76232 -120.722 -3.76232 3.76232 0.32 0.000636848 0.000593635 0.024309 0.0226498 -1 -1 -1 -1 26 2054 21 6.65987e+06 240882 477104. 1650.88 0.54 0.0984567 0.0861078 21682 110474 -1 1799 19 1068 1672 105244 26336 2.74751 2.74751 -112.355 -2.74751 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.024046 0.0210051 115 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 2.55 vpr 62.52 MiB -1 -1 0.20 18352 1 0.03 -1 -1 30424 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64024 30 32 303 262 1 139 81 17 17 289 -1 unnamed_device 23.4 MiB 0.12 855 11106 3144 6574 1388 62.5 MiB 0.10 0.00 3.77152 -110.328 -3.77152 3.77152 0.34 0.000678385 0.000627002 0.0360956 0.0334026 -1 -1 -1 -1 32 1610 20 6.65987e+06 240882 554710. 1919.41 0.50 0.106565 0.093619 22834 132086 -1 1486 18 705 1168 66043 16527 2.64251 2.64251 -96.0214 -2.64251 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0227846 0.019903 101 63 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 2.74 vpr 62.46 MiB -1 -1 0.23 18072 1 0.03 -1 -1 30144 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63964 32 32 276 237 1 167 87 17 17 289 -1 unnamed_device 23.4 MiB 0.09 923 15063 4954 7940 2169 62.5 MiB 0.14 0.00 3.60095 -114.988 -3.60095 3.60095 0.32 0.000589529 0.000548359 0.048608 0.0452215 -1 -1 -1 -1 28 2245 30 6.65987e+06 291594 500653. 1732.36 0.75 0.136128 0.120028 21970 115934 -1 1857 21 1021 1396 110915 26979 3.05825 3.05825 -111.367 -3.05825 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.024645 0.0214555 111 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 2.79 vpr 62.86 MiB -1 -1 0.24 18380 1 0.08 -1 -1 30308 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64372 32 32 344 272 1 202 93 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1172 12063 3279 7731 1053 62.9 MiB 0.16 0.00 4.32078 -139.492 -4.32078 4.32078 0.33 0.000703373 0.000645846 0.0505267 0.04694 -1 -1 -1 -1 32 2466 23 6.65987e+06 367662 554710. 1919.41 0.56 0.135329 0.119646 22834 132086 -1 2158 23 1534 2364 144012 35379 3.01857 3.01857 -118.122 -3.01857 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0312134 0.027204 147 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 2.74 vpr 62.80 MiB -1 -1 0.24 18376 1 0.03 -1 -1 30196 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64308 32 32 363 295 1 181 98 17 17 289 -1 unnamed_device 23.8 MiB 0.10 971 17873 5392 9032 3449 62.8 MiB 0.19 0.00 4.50383 -130.941 -4.50383 4.50383 0.33 0.000717123 0.000666062 0.0669834 0.0620637 -1 -1 -1 -1 32 2088 18 6.65987e+06 431052 554710. 1919.41 0.57 0.148521 0.131949 22834 132086 -1 1825 20 1420 2352 139941 35041 3.57251 3.57251 -121.811 -3.57251 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0286098 0.0250144 139 61 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 2.47 vpr 62.38 MiB -1 -1 0.22 18052 1 0.03 -1 -1 30508 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63872 29 32 248 215 1 137 84 17 17 289 -1 unnamed_device 23.3 MiB 0.10 694 9600 2464 6430 706 62.4 MiB 0.08 0.00 2.92253 -85.631 -2.92253 2.92253 0.32 0.000543549 0.000506216 0.0305384 0.0284177 -1 -1 -1 -1 26 1711 20 6.65987e+06 291594 477104. 1650.88 0.48 0.0942953 0.0827929 21682 110474 -1 1601 22 1045 1797 119370 29179 2.51431 2.51431 -87.2887 -2.51431 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0234018 0.0202863 103 27 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 2.88 vpr 63.29 MiB -1 -1 0.27 18480 1 0.03 -1 -1 30296 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64804 32 32 370 297 1 183 91 17 17 289 -1 unnamed_device 23.7 MiB 0.10 1152 16003 4822 9403 1778 63.3 MiB 0.16 0.00 4.09572 -126.446 -4.09572 4.09572 0.32 0.000716587 0.000665383 0.0595422 0.055318 -1 -1 -1 -1 32 2400 19 6.65987e+06 342306 554710. 1919.41 0.55 0.143212 0.12709 22834 132086 -1 2078 18 1135 2087 142666 37845 3.04917 3.04917 -116.306 -3.04917 0 0 701300. 2426.64 0.03 0.09 0.11 -1 -1 0.03 0.0348576 0.0302735 138 58 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 2.65 vpr 62.60 MiB -1 -1 0.24 18544 1 0.03 -1 -1 30216 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64100 32 32 338 269 1 196 92 17 17 289 -1 unnamed_device 23.6 MiB 0.11 1125 12926 3639 7674 1613 62.6 MiB 0.14 0.00 4.394 -141.354 -4.394 4.394 0.32 0.000683149 0.000635418 0.0456382 0.0424188 -1 -1 -1 -1 32 2214 18 6.65987e+06 354984 554710. 1919.41 0.52 0.124369 0.109993 22834 132086 -1 1990 21 1041 1502 96457 23182 2.90037 2.90037 -115.361 -2.90037 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0301769 0.026598 144 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 2.60 vpr 62.45 MiB -1 -1 0.23 18432 1 0.03 -1 -1 30280 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63944 32 32 323 276 1 153 98 17 17 289 -1 unnamed_device 23.4 MiB 0.10 916 7073 1434 5276 363 62.4 MiB 0.08 0.00 2.87664 -103.934 -2.87664 2.87664 0.32 0.000651927 0.000606239 0.0228193 0.0212013 -1 -1 -1 -1 30 1938 19 6.65987e+06 431052 526063. 1820.29 0.52 0.0977233 0.0852682 22546 126617 -1 1660 15 834 1444 83127 19598 2.00611 2.00611 -93.9149 -2.00611 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0208125 0.0182876 115 65 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 2.38 vpr 62.62 MiB -1 -1 0.21 18120 1 0.03 -1 -1 30240 -1 -1 17 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64124 30 32 222 206 1 117 79 17 17 289 -1 unnamed_device 23.1 MiB 0.05 693 9543 2321 6568 654 62.6 MiB 0.08 0.00 2.23087 -76.8517 -2.23087 2.23087 0.32 0.000503255 0.000468022 0.0298973 0.0278301 -1 -1 -1 -1 28 1420 15 6.65987e+06 215526 500653. 1732.36 0.50 0.0841738 0.0740953 21970 115934 -1 1307 22 674 1015 82701 19377 1.74665 1.74665 -75.5759 -1.74665 0 0 612192. 2118.31 0.03 0.05 0.10 -1 -1 0.03 0.0211611 0.0183274 85 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 2.98 vpr 62.58 MiB -1 -1 0.24 18392 1 0.03 -1 -1 30432 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64080 31 32 291 243 1 171 88 17 17 289 -1 unnamed_device 23.4 MiB 0.20 786 13738 3441 7180 3117 62.6 MiB 0.12 0.00 4.80308 -136.113 -4.80308 4.80308 0.31 0.000610132 0.000568245 0.0452547 0.0421109 -1 -1 -1 -1 32 2211 46 6.65987e+06 316950 554710. 1919.41 0.80 0.142447 0.124997 22834 132086 -1 1576 21 899 1285 88683 23465 3.84671 3.84671 -129.866 -3.84671 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0258407 0.0225526 127 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 3.39 vpr 63.26 MiB -1 -1 0.23 18456 1 0.03 -1 -1 30396 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64776 32 32 342 271 1 179 101 17 17 289 -1 unnamed_device 23.6 MiB 0.07 1092 18196 6057 9791 2348 63.3 MiB 0.17 0.00 4.25196 -133.154 -4.25196 4.25196 0.31 0.000696776 0.000647631 0.0565156 0.0524726 -1 -1 -1 -1 28 2596 21 6.65987e+06 469086 500653. 1732.36 0.78 0.140877 0.125047 21970 115934 -1 2180 20 1359 2318 167321 39385 3.69683 3.69683 -128.953 -3.69683 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0280309 0.0245652 140 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 3.33 vpr 62.74 MiB -1 -1 0.25 18336 1 0.03 -1 -1 30284 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64248 32 32 372 300 1 207 95 17 17 289 -1 unnamed_device 23.9 MiB 0.10 1229 17591 4978 10084 2529 62.7 MiB 0.19 0.00 4.43635 -136.819 -4.43635 4.43635 0.31 0.000723595 0.000672722 0.061937 0.0574339 -1 -1 -1 -1 32 2470 18 6.65987e+06 393018 554710. 1919.41 0.54 0.143942 0.127773 22834 132086 -1 2229 19 1285 1974 116123 28589 3.51771 3.51771 -123.874 -3.51771 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0285167 0.0249981 151 62 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 2.43 vpr 62.46 MiB -1 -1 0.14 18020 1 0.02 -1 -1 30524 -1 -1 20 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63960 26 32 190 182 1 108 78 17 17 289 -1 unnamed_device 23.1 MiB 0.07 431 10536 3837 3941 2758 62.5 MiB 0.07 0.00 2.35224 -64.6209 -2.35224 2.35224 0.32 0.000426133 0.000395077 0.0283186 0.0262801 -1 -1 -1 -1 28 1419 31 6.65987e+06 253560 500653. 1732.36 0.63 0.086015 0.075317 21970 115934 -1 1051 21 675 978 69553 19630 2.18965 2.18965 -68.0366 -2.18965 0 0 612192. 2118.31 0.03 0.05 0.10 -1 -1 0.03 0.0179993 0.0156342 81 30 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 2.46 vpr 63.06 MiB -1 -1 0.19 17944 1 0.03 -1 -1 30196 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64576 32 32 285 227 1 165 89 17 17 289 -1 unnamed_device 23.4 MiB 0.07 931 6623 1375 5033 215 63.1 MiB 0.07 0.00 4.36895 -119.052 -4.36895 4.36895 0.31 0.000615417 0.000572427 0.0229543 0.0213581 -1 -1 -1 -1 32 2111 24 6.65987e+06 316950 554710. 1919.41 0.56 0.0927906 0.081303 22834 132086 -1 1798 22 1076 2092 127185 31396 3.57251 3.57251 -117.08 -3.57251 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0267629 0.0233198 125 3 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 2.41 vpr 62.42 MiB -1 -1 0.16 17732 1 0.02 -1 -1 29972 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63916 32 32 173 169 1 116 81 17 17 289 -1 unnamed_device 23.0 MiB 0.04 493 11281 3421 5023 2837 62.4 MiB 0.09 0.00 2.48647 -71.166 -2.48647 2.48647 0.32 0.000878455 0.000815259 0.0342384 0.0317749 -1 -1 -1 -1 26 1361 33 6.65987e+06 215526 477104. 1650.88 0.65 0.0940299 0.082807 21682 110474 -1 922 14 531 645 59854 21541 1.95531 1.95531 -69.4327 -1.95531 0 0 585099. 2024.56 0.03 0.04 0.09 -1 -1 0.03 0.0133639 0.0117264 82 3 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 2.59 vpr 62.60 MiB -1 -1 0.16 18212 1 0.03 -1 -1 30044 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64104 32 32 300 245 1 165 95 17 17 289 -1 unnamed_device 23.5 MiB 0.09 898 10247 2366 7380 501 62.6 MiB 0.10 0.00 4.32789 -118.536 -4.32789 4.32789 0.32 0.000639255 0.000594623 0.0326551 0.0303542 -1 -1 -1 -1 26 2424 21 6.65987e+06 393018 477104. 1650.88 0.66 0.109099 0.0958696 21682 110474 -1 1959 20 1096 1916 134943 33891 3.50931 3.50931 -114.521 -3.50931 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0257508 0.0224904 126 24 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 2.57 vpr 62.66 MiB -1 -1 0.18 17776 1 0.03 -1 -1 30360 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64164 32 32 297 233 1 177 103 17 17 289 -1 unnamed_device 23.7 MiB 0.06 986 15527 4364 9095 2068 62.7 MiB 0.15 0.00 3.58941 -102.662 -3.58941 3.58941 0.32 0.00064423 0.000597693 0.0438764 0.0407061 -1 -1 -1 -1 32 2052 20 6.65987e+06 494442 554710. 1919.41 0.53 0.118788 0.104869 22834 132086 -1 1757 21 995 1984 121842 28850 2.78377 2.78377 -93.9889 -2.78377 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0253086 0.0224502 136 3 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 3.09 vpr 63.31 MiB -1 -1 0.15 18280 1 0.03 -1 -1 30412 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64828 32 32 338 277 1 179 99 17 17 289 -1 unnamed_device 23.7 MiB 0.08 1132 18339 5277 10722 2340 63.3 MiB 0.17 0.00 4.42603 -127.033 -4.42603 4.42603 0.32 0.000658681 0.000604043 0.0579563 0.0536309 -1 -1 -1 -1 26 2599 48 6.65987e+06 443730 477104. 1650.88 0.99 0.178629 0.157321 21682 110474 -1 2284 20 1343 2439 160763 40074 3.65545 3.65545 -127.111 -3.65545 0 0 585099. 2024.56 0.03 0.08 0.12 -1 -1 0.03 0.0273421 0.0238611 133 50 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 2.53 vpr 62.61 MiB -1 -1 0.21 17996 1 0.03 -1 -1 30100 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64108 32 32 284 241 1 145 85 17 17 289 -1 unnamed_device 23.5 MiB 0.06 916 11803 2959 7646 1198 62.6 MiB 0.11 0.00 3.02073 -105.462 -3.02073 3.02073 0.32 0.000596715 0.000554699 0.0399084 0.0371012 -1 -1 -1 -1 32 1826 19 6.65987e+06 266238 554710. 1919.41 0.51 0.109723 0.0968338 22834 132086 -1 1610 19 733 1113 70945 17055 2.33411 2.33411 -97.2001 -2.33411 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0233963 0.0204376 107 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 2.53 vpr 62.37 MiB -1 -1 0.23 18032 1 0.03 -1 -1 30212 -1 -1 28 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63864 30 32 262 227 1 135 90 17 17 289 -1 unnamed_device 23.1 MiB 0.07 668 7728 1645 5488 595 62.4 MiB 0.07 0.00 3.03787 -91.3278 -3.03787 3.03787 0.32 0.000583853 0.000535279 0.0239436 0.0222426 -1 -1 -1 -1 32 1487 23 6.65987e+06 354984 554710. 1919.41 0.52 0.092815 0.0810119 22834 132086 -1 1290 21 811 1389 92421 23927 2.68165 2.68165 -88.0109 -2.68165 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0236845 0.0205772 100 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 2.47 vpr 62.33 MiB -1 -1 0.22 18144 1 0.03 -1 -1 30148 -1 -1 27 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63824 28 32 260 223 1 140 87 17 17 289 -1 unnamed_device 23.3 MiB 0.06 623 10647 2615 7437 595 62.3 MiB 0.07 0.00 3.37407 -92.2897 -3.37407 3.37407 0.27 0.000565958 0.000526854 0.0191172 0.0175962 -1 -1 -1 -1 32 1558 18 6.65987e+06 342306 554710. 1919.41 0.52 0.0829422 0.0719943 22834 132086 -1 1314 21 834 1522 86562 22322 2.71857 2.71857 -89.5843 -2.71857 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0232677 0.0202501 104 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 2.70 vpr 62.44 MiB -1 -1 0.22 17840 1 0.03 -1 -1 30232 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63936 32 32 253 210 1 154 85 17 17 289 -1 unnamed_device 23.4 MiB 0.07 733 14035 5318 6638 2079 62.4 MiB 0.12 0.00 3.67009 -108.082 -3.67009 3.67009 0.32 0.000572926 0.000532536 0.0451769 0.0419649 -1 -1 -1 -1 32 1862 31 6.65987e+06 266238 554710. 1919.41 0.57 0.122063 0.107575 22834 132086 -1 1508 20 909 1486 90599 23830 2.64951 2.64951 -100.091 -2.64951 0 0 701300. 2426.64 0.04 0.06 0.10 -1 -1 0.04 0.0273808 0.0243873 116 3 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 2.57 vpr 62.43 MiB -1 -1 0.24 18192 1 0.03 -1 -1 30544 -1 -1 33 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63928 31 32 271 231 1 148 96 17 17 289 -1 unnamed_device 23.3 MiB 0.06 765 8199 1674 6237 288 62.4 MiB 0.08 0.00 3.38101 -98.7431 -3.38101 3.38101 0.32 0.000579578 0.000540067 0.0238147 0.0221697 -1 -1 -1 -1 26 1967 21 6.65987e+06 418374 477104. 1650.88 0.55 0.0977505 0.0853359 21682 110474 -1 1633 20 906 1519 84512 22222 2.82071 2.82071 -102.673 -2.82071 0 0 585099. 2024.56 0.04 0.06 0.10 -1 -1 0.04 0.0264682 0.0233523 111 30 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 2.70 vpr 62.84 MiB -1 -1 0.23 18384 1 0.03 -1 -1 30436 -1 -1 31 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64352 29 32 291 250 1 153 92 17 17 289 -1 unnamed_device 23.7 MiB 0.10 919 13961 3585 8809 1567 62.8 MiB 0.12 0.00 3.21564 -100.645 -3.21564 3.21564 0.32 0.000613384 0.000576201 0.0431614 0.0401718 -1 -1 -1 -1 32 1766 19 6.65987e+06 393018 554710. 1919.41 0.53 0.118447 0.104519 22834 132086 -1 1642 21 969 1515 100684 24375 2.21971 2.21971 -90.7495 -2.21971 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0246998 0.0214307 112 54 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 3.00 vpr 63.46 MiB -1 -1 0.24 18424 1 0.03 -1 -1 30404 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64988 32 32 367 282 1 201 106 17 17 289 -1 unnamed_device 23.7 MiB 0.12 1278 14856 3856 9597 1403 63.5 MiB 0.14 0.00 4.04849 -118.625 -4.04849 4.04849 0.32 0.000738507 0.000685273 0.0468637 0.0434722 -1 -1 -1 -1 32 2520 23 6.65987e+06 532476 554710. 1919.41 0.66 0.128972 0.114192 22834 132086 -1 2343 20 1119 2110 130139 30403 3.27779 3.27779 -111.806 -3.27779 0 0 701300. 2426.64 0.04 0.07 0.11 -1 -1 0.04 0.0316226 0.0278407 158 29 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 2.84 vpr 63.34 MiB -1 -1 0.25 18416 1 0.03 -1 -1 30184 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64856 32 32 391 311 1 192 105 17 17 289 -1 unnamed_device 23.6 MiB 0.12 1103 11467 2726 7797 944 63.3 MiB 0.13 0.00 3.86972 -129.413 -3.86972 3.86972 0.32 0.000757179 0.000703981 0.0381411 0.0354271 -1 -1 -1 -1 28 2395 30 6.65987e+06 519798 500653. 1732.36 0.64 0.13815 0.121188 21970 115934 -1 2157 18 1571 2541 147293 36924 2.81751 2.81751 -115.433 -2.81751 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0280166 0.0245656 150 65 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 2.53 vpr 62.52 MiB -1 -1 0.22 18272 1 0.03 -1 -1 30232 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64024 31 32 279 237 1 161 86 17 17 289 -1 unnamed_device 23.4 MiB 0.09 916 12938 4123 6955 1860 62.5 MiB 0.12 0.00 4.11632 -122.804 -4.11632 4.11632 0.32 0.000592092 0.000550918 0.0429113 0.0399086 -1 -1 -1 -1 32 1802 19 6.65987e+06 291594 554710. 1919.41 0.50 0.112424 0.099407 22834 132086 -1 1621 21 899 1293 89858 21635 2.75411 2.75411 -103.631 -2.75411 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0251542 0.0219007 114 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 2.66 vpr 62.81 MiB -1 -1 0.25 18388 1 0.03 -1 -1 30428 -1 -1 29 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64316 31 32 370 297 1 186 92 17 17 289 -1 unnamed_device 23.7 MiB 0.10 962 14375 4628 7389 2358 62.8 MiB 0.15 0.00 4.01529 -116.343 -4.01529 4.01529 0.31 0.000715968 0.000664875 0.0525152 0.0487842 -1 -1 -1 -1 32 2097 20 6.65987e+06 367662 554710. 1919.41 0.55 0.136711 0.120978 22834 132086 -1 1740 19 953 1608 91219 23548 2.83077 2.83077 -103.661 -2.83077 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0283605 0.0250845 145 61 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 2.65 vpr 62.97 MiB -1 -1 0.26 18260 1 0.03 -1 -1 30408 -1 -1 36 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64480 31 32 377 302 1 234 99 17 17 289 -1 unnamed_device 24.1 MiB 0.07 1386 11043 2787 7293 963 63.0 MiB 0.13 0.00 5.91489 -170.972 -5.91489 5.91489 0.32 0.000736886 0.000685819 0.0385686 0.0358586 -1 -1 -1 -1 32 2873 20 6.65987e+06 456408 554710. 1919.41 0.57 0.124678 0.109747 22834 132086 -1 2486 19 1319 1924 126550 30073 4.39548 4.39548 -152.161 -4.39548 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0287112 0.0251583 178 64 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 3.22 vpr 63.42 MiB -1 -1 0.26 18348 1 0.03 -1 -1 30388 -1 -1 32 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64944 31 32 383 305 1 209 95 17 17 289 -1 unnamed_device 23.9 MiB 0.62 1238 16511 4791 9794 1926 63.4 MiB 0.18 0.00 4.89912 -151.132 -4.89912 4.89912 0.31 0.000738637 0.000685826 0.0591119 0.0549134 -1 -1 -1 -1 30 2429 21 6.65987e+06 405696 526063. 1820.29 0.55 0.146965 0.130343 22546 126617 -1 2186 20 1080 1696 96861 24644 4.08163 4.08163 -142.785 -4.08163 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0299256 0.026234 167 64 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 2.87 vpr 62.71 MiB -1 -1 0.19 18260 1 0.03 -1 -1 30456 -1 -1 37 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64216 31 32 352 285 1 184 100 17 17 289 -1 unnamed_device 23.6 MiB 0.11 1124 12628 3322 8289 1017 62.7 MiB 0.13 0.00 4.44275 -130.243 -4.44275 4.44275 0.32 0.000707565 0.000658454 0.0406386 0.0377729 -1 -1 -1 -1 26 2643 23 6.65987e+06 469086 477104. 1650.88 0.59 0.126404 0.111239 21682 110474 -1 2328 21 1374 2327 147048 36129 3.16471 3.16471 -119.273 -3.16471 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0291973 0.0254676 140 55 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 2.83 vpr 62.69 MiB -1 -1 0.19 18456 1 0.03 -1 -1 30480 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64192 32 32 291 242 1 179 93 17 17 289 -1 unnamed_device 23.5 MiB 0.09 1082 11013 2473 7884 656 62.7 MiB 0.12 0.00 4.18181 -113.104 -4.18181 4.18181 0.32 0.000616948 0.000573587 0.03467 0.0322542 -1 -1 -1 -1 26 2738 25 6.65987e+06 367662 477104. 1650.88 0.84 0.114082 0.100194 21682 110474 -1 2132 19 1206 1813 126827 31200 3.29585 3.29585 -115.875 -3.29585 0 0 585099. 2024.56 0.03 0.06 0.11 -1 -1 0.03 0.024271 0.0212067 125 27 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 3.21 vpr 63.68 MiB -1 -1 0.27 18528 1 0.05 -1 -1 30400 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65204 32 32 457 356 1 223 107 17 17 289 -1 unnamed_device 24.1 MiB 0.22 1325 20094 5499 11953 2642 63.7 MiB 0.21 0.00 4.90518 -159.197 -4.90518 4.90518 0.32 0.000863265 0.00080313 0.0721534 0.0670195 -1 -1 -1 -1 26 3343 24 6.65987e+06 545154 477104. 1650.88 0.81 0.185067 0.163997 21682 110474 -1 2628 21 1646 2583 167664 39327 3.86217 3.86217 -144.748 -3.86217 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0362174 0.0316235 176 87 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 2.49 vpr 62.46 MiB -1 -1 0.23 18112 1 0.03 -1 -1 30172 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63956 31 32 261 225 1 142 86 17 17 289 -1 unnamed_device 23.4 MiB 0.09 737 9536 2387 5857 1292 62.5 MiB 0.09 0.00 3.48098 -96.6191 -3.48098 3.48098 0.32 0.000575726 0.000535589 0.0306291 0.0284653 -1 -1 -1 -1 30 1612 20 6.65987e+06 291594 526063. 1820.29 0.52 0.0958834 0.0841792 22546 126617 -1 1405 22 877 1491 83669 20744 2.47931 2.47931 -90.4761 -2.47931 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0247778 0.0215726 104 28 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 3.00 vpr 62.80 MiB -1 -1 0.26 18284 1 0.03 -1 -1 30132 -1 -1 34 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64304 31 32 337 267 1 204 97 17 17 289 -1 unnamed_device 23.8 MiB 0.08 1249 13195 3658 8262 1275 62.8 MiB 0.14 0.00 4.79192 -144.824 -4.79192 4.79192 0.34 0.000683955 0.000635929 0.0433617 0.0402853 -1 -1 -1 -1 24 3134 28 6.65987e+06 431052 448715. 1552.65 0.88 0.138378 0.12188 21394 104001 -1 2453 22 1503 2172 141924 34169 4.03451 4.03451 -135.006 -4.03451 0 0 554710. 1919.41 0.02 0.07 0.11 -1 -1 0.02 0.0296631 0.0258945 149 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 2.75 vpr 62.68 MiB -1 -1 0.24 18408 1 0.03 -1 -1 30420 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64180 32 32 349 284 1 183 102 17 17 289 -1 unnamed_device 23.6 MiB 0.09 1155 13906 3407 9168 1331 62.7 MiB 0.13 0.00 3.8576 -113.911 -3.8576 3.8576 0.32 0.00069067 0.000641422 0.0431406 0.0400046 -1 -1 -1 -1 26 2941 21 6.65987e+06 481764 477104. 1650.88 0.66 0.128273 0.112978 21682 110474 -1 2377 20 1326 2410 166916 39546 3.17931 3.17931 -112.137 -3.17931 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0274615 0.0239703 137 53 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 2.85 vpr 62.54 MiB -1 -1 0.22 17876 1 0.03 -1 -1 30064 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64040 32 32 291 230 1 168 91 17 17 289 -1 unnamed_device 23.4 MiB 0.26 876 13147 4503 6169 2475 62.5 MiB 0.13 0.00 3.99841 -117.898 -3.99841 3.99841 0.32 0.000626788 0.000582687 0.043224 0.0401879 -1 -1 -1 -1 32 2071 32 6.65987e+06 342306 554710. 1919.41 0.59 0.127699 0.11235 22834 132086 -1 1695 23 1165 2183 127461 31331 3.47345 3.47345 -112.519 -3.47345 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0280871 0.0244558 127 3 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 2.78 vpr 62.70 MiB -1 -1 0.25 18404 1 0.03 -1 -1 30280 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64204 32 32 353 287 1 198 94 17 17 289 -1 unnamed_device 23.6 MiB 0.17 1224 8827 1935 6242 650 62.7 MiB 0.11 0.00 4.61566 -135.209 -4.61566 4.61566 0.31 0.000712229 0.000661663 0.0318336 0.0295804 -1 -1 -1 -1 30 2511 23 6.65987e+06 380340 526063. 1820.29 0.53 0.117116 0.102706 22546 126617 -1 2136 19 928 1310 71774 17944 3.20951 3.20951 -118.608 -3.20951 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0274664 0.0240917 142 55 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 2.77 vpr 63.24 MiB -1 -1 0.24 18424 1 0.03 -1 -1 30248 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64760 32 32 361 291 1 185 103 17 17 289 -1 unnamed_device 23.6 MiB 0.11 1054 11189 2726 7692 771 63.2 MiB 0.11 0.00 3.80886 -119.733 -3.80886 3.80886 0.32 0.000712435 0.000662528 0.0358288 0.03325 -1 -1 -1 -1 26 2598 27 6.65987e+06 494442 477104. 1650.88 0.67 0.129506 0.113587 21682 110474 -1 2114 19 1188 2061 125664 30609 3.03311 3.03311 -114.46 -3.03311 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0230767 0.020264 139 55 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 2.81 vpr 62.77 MiB -1 -1 0.25 18280 1 0.03 -1 -1 30352 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64280 32 32 382 305 1 192 104 17 17 289 -1 unnamed_device 23.7 MiB 0.12 1186 14744 3810 9393 1541 62.8 MiB 0.15 0.00 4.08875 -126.488 -4.08875 4.08875 0.32 0.000742546 0.000689999 0.0475827 0.0441969 -1 -1 -1 -1 26 2813 24 6.65987e+06 507120 477104. 1650.88 0.66 0.141553 0.124844 21682 110474 -1 2375 21 1537 2519 161666 39095 3.04491 3.04491 -117.668 -3.04491 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0308635 0.0269302 149 62 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 2.60 vpr 62.52 MiB -1 -1 0.22 17992 1 0.03 -1 -1 30320 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64024 32 32 306 248 1 166 100 17 17 289 -1 unnamed_device 23.4 MiB 0.09 1036 11932 2960 7977 995 62.5 MiB 0.11 0.00 3.95041 -117.901 -3.95041 3.95041 0.32 0.000629355 0.000583689 0.0352423 0.0326696 -1 -1 -1 -1 32 2001 21 6.65987e+06 456408 554710. 1919.41 0.54 0.112167 0.0985244 22834 132086 -1 1850 20 1003 1814 115866 27374 3.08765 3.08765 -108.602 -3.08765 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0250013 0.0219994 127 24 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 2.52 vpr 63.28 MiB -1 -1 0.24 18388 1 0.03 -1 -1 30132 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64800 32 32 319 257 1 198 93 17 17 289 -1 unnamed_device 23.7 MiB 0.10 1087 10383 2461 6851 1071 63.3 MiB 0.12 0.00 4.72526 -131.624 -4.72526 4.72526 0.32 0.000654215 0.000608358 0.0348778 0.0324333 -1 -1 -1 -1 32 2215 22 6.65987e+06 367662 554710. 1919.41 0.53 0.113498 0.0997649 22834 132086 -1 1949 19 1182 1681 99807 25080 3.68471 3.68471 -122.409 -3.68471 0 0 701300. 2426.64 0.03 0.04 0.08 -1 -1 0.03 0.020965 0.0184721 138 29 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 2.78 vpr 62.82 MiB -1 -1 0.26 18340 1 0.03 -1 -1 30256 -1 -1 30 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64324 31 32 373 299 1 205 93 17 17 289 -1 unnamed_device 24.0 MiB 0.10 1150 17733 5210 9615 2908 62.8 MiB 0.19 0.00 4.69532 -137.386 -4.69532 4.69532 0.32 0.000727343 0.000676045 0.0638798 0.0592796 -1 -1 -1 -1 32 2496 21 6.65987e+06 380340 554710. 1919.41 0.58 0.150245 0.133328 22834 132086 -1 2250 20 1300 2007 143463 32554 3.78891 3.78891 -127.851 -3.78891 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.029378 0.0257092 152 62 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 2.75 vpr 63.07 MiB -1 -1 0.26 18400 1 0.03 -1 -1 30340 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64584 32 32 387 315 1 189 89 17 17 289 -1 unnamed_device 24.0 MiB 0.10 1167 14147 4331 7961 1855 63.1 MiB 0.16 0.00 3.97858 -128.122 -3.97858 3.97858 0.31 0.000748689 0.000687482 0.05575 0.05163 -1 -1 -1 -1 32 2577 21 6.65987e+06 316950 554710. 1919.41 0.57 0.143557 0.126963 22834 132086 -1 2276 19 1356 2418 159825 36180 3.35705 3.35705 -121.283 -3.35705 0 0 701300. 2426.64 0.03 0.07 0.10 -1 -1 0.03 0.0287086 0.0251227 141 77 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 2.28 vpr 62.30 MiB -1 -1 0.14 17988 1 0.03 -1 -1 30336 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63796 32 32 251 219 1 140 94 17 17 289 -1 unnamed_device 23.0 MiB 0.06 913 15643 4415 9418 1810 62.3 MiB 0.12 0.00 3.35098 -100.668 -3.35098 3.35098 0.32 0.000559149 0.0005199 0.0431236 0.0401111 -1 -1 -1 -1 32 1760 21 6.65987e+06 380340 554710. 1919.41 0.50 0.109026 0.0963947 22834 132086 -1 1658 17 804 1267 80945 19430 2.45125 2.45125 -90.1739 -2.45125 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0198175 0.0173259 101 23 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 2.75 vpr 62.68 MiB -1 -1 0.25 18244 1 0.03 -1 -1 30092 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64188 32 32 341 285 1 189 91 17 17 289 -1 unnamed_device 23.7 MiB 0.08 996 17023 5758 8918 2347 62.7 MiB 0.17 0.00 3.96847 -134.773 -3.96847 3.96847 0.32 0.000673933 0.000625952 0.0586522 0.0544351 -1 -1 -1 -1 28 2722 23 6.65987e+06 342306 500653. 1732.36 0.70 0.142328 0.126048 21970 115934 -1 2070 19 1306 1855 127035 31021 3.38897 3.38897 -128.298 -3.38897 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0258283 0.0225418 133 65 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 2.77 vpr 62.88 MiB -1 -1 0.24 18520 1 0.03 -1 -1 30352 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64392 32 32 387 293 1 234 99 17 17 289 -1 unnamed_device 23.9 MiB 0.11 1433 14463 4059 9245 1159 62.9 MiB 0.16 0.00 5.18108 -151.87 -5.18108 5.18108 0.32 0.000764555 0.000710159 0.0512314 0.0475868 -1 -1 -1 -1 32 3076 23 6.65987e+06 443730 554710. 1919.41 0.58 0.144273 0.127505 22834 132086 -1 2769 21 1634 2613 161131 38979 4.04551 4.04551 -142.419 -4.04551 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.032354 0.0283413 174 31 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 2.52 vpr 62.75 MiB -1 -1 0.24 18392 1 0.03 -1 -1 30380 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64256 32 32 340 270 1 181 102 17 17 289 -1 unnamed_device 23.7 MiB 0.08 1064 9622 2158 6803 661 62.8 MiB 0.10 0.00 4.25077 -131.82 -4.25077 4.25077 0.32 0.000689443 0.000641753 0.030528 0.0283813 -1 -1 -1 -1 26 2445 19 6.65987e+06 481764 477104. 1650.88 0.54 0.111197 0.0976738 21682 110474 -1 2100 20 1180 2009 122888 30587 2.98991 2.98991 -119.17 -2.98991 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0282445 0.0247036 141 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 2.97 vpr 62.47 MiB -1 -1 0.22 18020 1 0.02 -1 -1 30388 -1 -1 33 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63972 30 32 278 235 1 148 95 17 17 289 -1 unnamed_device 23.4 MiB 0.06 873 7655 1741 5556 358 62.5 MiB 0.08 0.00 3.46801 -106.861 -3.46801 3.46801 0.29 0.000592529 0.000551119 0.0230086 0.0213939 -1 -1 -1 -1 26 1985 22 6.65987e+06 418374 477104. 1650.88 1.09 0.101588 0.0889863 21682 110474 -1 1566 19 872 1628 93100 23067 2.89891 2.89891 -101.232 -2.89891 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0227936 0.0198726 111 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 3.74 vpr 63.60 MiB -1 -1 0.27 18632 1 0.03 -1 -1 30348 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65124 32 32 431 332 1 235 97 17 17 289 -1 unnamed_device 24.1 MiB 0.16 1373 17857 5541 9729 2587 63.6 MiB 0.21 0.00 6.00689 -175.284 -6.00689 6.00689 0.32 0.000829838 0.000770463 0.0699701 0.065028 -1 -1 -1 -1 26 3848 37 6.65987e+06 418374 477104. 1650.88 1.45 0.197086 0.174141 21682 110474 -1 3002 21 1997 2930 217962 52054 5.28897 5.28897 -171.079 -5.28897 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0353896 0.0309506 177 65 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 2.72 vpr 62.68 MiB -1 -1 0.23 18428 1 0.03 -1 -1 30384 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64180 32 32 336 268 1 174 102 17 17 289 -1 unnamed_device 23.7 MiB 0.11 1017 19142 6169 10401 2572 62.7 MiB 0.18 0.00 4.49092 -134.922 -4.49092 4.49092 0.32 0.000688964 0.000639258 0.058669 0.0542224 -1 -1 -1 -1 32 2057 20 6.65987e+06 481764 554710. 1919.41 0.55 0.138961 0.123175 22834 132086 -1 1855 18 1006 1647 91104 22583 3.46031 3.46031 -122.488 -3.46031 0 0 701300. 2426.64 0.05 0.07 0.08 -1 -1 0.05 0.027125 0.0239429 136 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 2.42 vpr 62.38 MiB -1 -1 0.20 17912 1 0.05 -1 -1 30352 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63880 32 32 231 199 1 140 93 17 17 289 -1 unnamed_device 23.1 MiB 0.06 757 14793 4373 7837 2583 62.4 MiB 0.13 0.00 3.29469 -92.947 -3.29469 3.29469 0.32 0.000538264 0.000501659 0.0461663 0.0429533 -1 -1 -1 -1 30 1671 23 6.65987e+06 367662 526063. 1820.29 0.50 0.111364 0.098657 22546 126617 -1 1389 20 700 1220 66685 16954 2.41305 2.41305 -85.9205 -2.41305 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.021408 0.0186665 103 3 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 2.75 vpr 63.34 MiB -1 -1 0.23 18472 1 0.04 -1 -1 30316 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64860 32 32 349 273 1 191 104 17 17 289 -1 unnamed_device 23.7 MiB 0.10 1150 19624 5000 12637 1987 63.3 MiB 0.19 0.00 5.1064 -126.138 -5.1064 5.1064 0.32 0.000704936 0.000655185 0.059793 0.055533 -1 -1 -1 -1 28 2459 23 6.65987e+06 507120 500653. 1732.36 0.57 0.147213 0.130679 21970 115934 -1 2168 22 1287 2791 166610 39959 3.96919 3.96919 -118.971 -3.96919 0 0 612192. 2118.31 0.03 0.08 0.12 -1 -1 0.03 0.0303989 0.0264907 147 29 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 2.52 vpr 63.21 MiB -1 -1 0.22 17964 1 0.03 -1 -1 30112 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64728 32 32 247 207 1 147 87 17 17 289 -1 unnamed_device 23.4 MiB 0.06 872 15831 4931 8734 2166 63.2 MiB 0.14 0.00 3.5083 -107.383 -3.5083 3.5083 0.32 0.000561581 0.00052297 0.050766 0.0471046 -1 -1 -1 -1 32 1802 23 6.65987e+06 291594 554710. 1919.41 0.51 0.118787 0.105164 22834 132086 -1 1692 22 1001 1708 115538 28004 2.61951 2.61951 -100.831 -2.61951 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0241798 0.0210207 107 3 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 2.78 vpr 62.54 MiB -1 -1 0.24 18124 1 0.03 -1 -1 30336 -1 -1 38 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64040 30 32 278 235 1 147 100 17 17 289 -1 unnamed_device 23.4 MiB 0.11 879 14252 3836 8169 2247 62.5 MiB 0.12 0.00 4.01069 -108.355 -4.01069 4.01069 0.34 0.000599354 0.000550843 0.0387901 0.0359731 -1 -1 -1 -1 26 2025 24 6.65987e+06 481764 477104. 1650.88 0.69 0.11377 0.100057 21682 110474 -1 1739 19 906 1763 110431 27178 2.97191 2.97191 -105.651 -2.97191 0 0 585099. 2024.56 0.03 0.06 0.07 -1 -1 0.03 0.0225145 0.0196321 110 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 3.39 vpr 63.42 MiB -1 -1 0.25 18252 1 0.03 -1 -1 30480 -1 -1 32 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64944 29 32 355 287 1 198 93 17 17 289 -1 unnamed_device 23.7 MiB 0.10 935 10383 2371 7436 576 63.4 MiB 0.12 0.00 4.5774 -127.327 -4.5774 4.5774 0.32 0.000695523 0.000647079 0.0369925 0.0343684 -1 -1 -1 -1 26 3076 43 6.65987e+06 405696 477104. 1650.88 1.04 0.149016 0.130089 21682 110474 -1 2152 21 1391 2137 138462 37475 3.39717 3.39717 -116.441 -3.39717 0 0 585099. 2024.56 0.04 0.09 0.10 -1 -1 0.04 0.0365452 0.031807 146 62 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 2.56 vpr 62.78 MiB -1 -1 0.24 18248 1 0.03 -1 -1 30348 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64288 32 32 358 289 1 175 91 17 17 289 -1 unnamed_device 23.8 MiB 0.09 929 8251 1789 6085 377 62.8 MiB 0.10 0.00 4.29907 -134.356 -4.29907 4.29907 0.32 0.000692743 0.000642667 0.0308125 0.0285985 -1 -1 -1 -1 32 2220 22 6.65987e+06 342306 554710. 1919.41 0.56 0.116133 0.101656 22834 132086 -1 1882 22 1411 2117 134488 33129 3.89817 3.89817 -131.246 -3.89817 0 0 701300. 2426.64 0.03 0.07 0.08 -1 -1 0.03 0.0309356 0.0270608 135 54 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 2.62 vpr 63.35 MiB -1 -1 0.23 18572 1 0.04 -1 -1 30276 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64868 32 32 353 285 1 181 98 17 17 289 -1 unnamed_device 23.7 MiB 0.11 1075 15173 3870 9435 1868 63.3 MiB 0.15 0.00 4.58626 -136.867 -4.58626 4.58626 0.32 0.000705923 0.000655882 0.0503308 0.046744 -1 -1 -1 -1 32 2211 20 6.65987e+06 431052 554710. 1919.41 0.55 0.132979 0.11753 22834 132086 -1 1968 17 847 1531 91027 22240 3.35191 3.35191 -122.638 -3.35191 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0253636 0.0223258 136 51 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 2.47 vpr 63.35 MiB -1 -1 0.25 18168 1 0.04 -1 -1 30140 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64872 32 32 276 237 1 160 86 17 17 289 -1 unnamed_device 23.7 MiB 0.10 901 6701 1571 4713 417 63.4 MiB 0.08 0.00 4.569 -127.264 -4.569 4.569 0.32 0.000598546 0.000556821 0.0231644 0.0215639 -1 -1 -1 -1 26 2033 20 6.65987e+06 278916 477104. 1650.88 0.48 0.0923268 0.0807068 21682 110474 -1 1721 21 882 1189 70078 18621 3.26691 3.26691 -112.036 -3.26691 0 0 585099. 2024.56 0.02 0.03 0.06 -1 -1 0.02 0.0140382 0.0124423 107 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 2.64 vpr 62.48 MiB -1 -1 0.20 18340 1 0.03 -1 -1 30296 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63980 31 32 319 272 1 169 88 17 17 289 -1 unnamed_device 23.6 MiB 0.09 802 16273 4920 8724 2629 62.5 MiB 0.15 0.00 3.75784 -117.415 -3.75784 3.75784 0.32 0.000637111 0.000591828 0.0557216 0.0517767 -1 -1 -1 -1 32 1992 22 6.65987e+06 316950 554710. 1919.41 0.53 0.132251 0.11715 22834 132086 -1 1605 18 979 1470 76824 20417 2.97351 2.97351 -106.635 -2.97351 0 0 701300. 2426.64 0.03 0.06 0.08 -1 -1 0.03 0.0249297 0.0217531 117 64 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 2.60 vpr 63.22 MiB -1 -1 0.24 18344 1 0.03 -1 -1 30272 -1 -1 36 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64736 30 32 329 273 1 166 98 17 17 289 -1 unnamed_device 23.6 MiB 0.10 889 16973 4879 9089 3005 63.2 MiB 0.15 0.00 3.33409 -93.1785 -3.33409 3.33409 0.32 0.000660544 0.000613972 0.0523942 0.048655 -1 -1 -1 -1 28 2254 24 6.65987e+06 456408 500653. 1732.36 0.56 0.134436 0.118707 21970 115934 -1 1914 19 1124 2027 125753 32278 2.56839 2.56839 -91.7219 -2.56839 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0254975 0.0222664 128 57 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 2.70 vpr 63.16 MiB -1 -1 0.14 18152 1 0.03 -1 -1 30384 -1 -1 39 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64672 28 32 277 229 1 155 99 17 17 289 -1 unnamed_device 23.5 MiB 0.07 817 17427 4857 10073 2497 63.2 MiB 0.14 0.00 3.74992 -95.3542 -3.74992 3.74992 0.32 0.00058808 0.000547246 0.0470504 0.0437117 -1 -1 -1 -1 26 2053 33 6.65987e+06 494442 477104. 1650.88 0.77 0.128498 0.112971 21682 110474 -1 1725 24 1318 2503 168666 42857 3.34885 3.34885 -97.8664 -3.34885 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0326863 0.0282768 122 27 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 2.74 vpr 62.59 MiB -1 -1 0.24 18356 1 0.02 -1 -1 30172 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64096 30 32 317 269 1 152 84 17 17 289 -1 unnamed_device 23.4 MiB 0.11 893 13809 4432 6991 2386 62.6 MiB 0.13 0.00 3.80155 -112.624 -3.80155 3.80155 0.32 0.000639961 0.000594619 0.0503891 0.0468642 -1 -1 -1 -1 32 1901 19 6.65987e+06 278916 554710. 1919.41 0.54 0.128986 0.114538 22834 132086 -1 1725 17 1082 1865 121154 29026 2.80571 2.80571 -108.587 -2.80571 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.022801 0.0199622 115 63 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 3.24 vpr 62.56 MiB -1 -1 0.26 18272 1 0.03 -1 -1 30104 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64064 32 32 335 282 1 184 90 17 17 289 -1 unnamed_device 23.6 MiB 0.10 976 8532 1791 6388 353 62.6 MiB 0.05 0.00 3.80404 -125.955 -3.80404 3.80404 0.33 0.000297142 0.000274077 0.0143566 0.0132378 -1 -1 -1 -1 32 2168 21 6.65987e+06 329628 554710. 1919.41 0.48 0.0866732 0.0751553 22834 132086 -1 1736 19 958 1411 91359 22142 3.07251 3.07251 -120.561 -3.07251 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0252589 0.022049 127 65 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 3.11 vpr 62.89 MiB -1 -1 0.22 17928 1 0.03 -1 -1 30320 -1 -1 37 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64396 31 32 293 230 1 175 100 17 17 289 -1 unnamed_device 23.7 MiB 0.06 1091 10540 2548 6838 1154 62.9 MiB 0.11 0.00 4.26866 -122.654 -4.26866 4.26866 0.29 0.000634407 0.000589944 0.031125 0.0289309 -1 -1 -1 -1 28 2517 21 6.65987e+06 469086 500653. 1732.36 0.62 0.10807 0.0950411 21970 115934 -1 2180 23 1405 2540 172113 40649 3.40705 3.40705 -114.855 -3.40705 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0283837 0.0247165 134 4 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 3.53 vpr 62.97 MiB -1 -1 0.23 18332 1 0.07 -1 -1 30300 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64484 32 32 350 275 1 209 94 17 17 289 -1 unnamed_device 24.2 MiB 0.12 1246 10957 2695 7343 919 63.0 MiB 0.14 0.00 4.99112 -158.75 -4.99112 4.99112 0.32 0.000702283 0.000653206 0.0389072 0.0361679 -1 -1 -1 -1 32 2654 21 6.65987e+06 380340 554710. 1919.41 0.57 0.124134 0.10938 22834 132086 -1 2391 19 1400 2095 119781 30173 3.76891 3.76891 -138.842 -3.76891 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0273598 0.0240069 151 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 3.66 vpr 62.86 MiB -1 -1 0.15 18304 1 0.03 -1 -1 30268 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64372 32 32 385 308 1 182 101 17 17 289 -1 unnamed_device 23.7 MiB 0.10 1079 12086 3142 8350 594 62.9 MiB 0.13 0.00 4.38712 -137.823 -4.38712 4.38712 0.34 0.000739796 0.000684245 0.0423435 0.0392 -1 -1 -1 -1 26 3085 30 6.65987e+06 469086 477104. 1650.88 0.90 0.14435 0.126948 21682 110474 -1 2287 20 1476 2654 180213 42690 3.41471 3.41471 -132.144 -3.41471 0 0 585099. 2024.56 0.02 0.05 0.06 -1 -1 0.02 0.0171805 0.0153037 143 65 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 3.43 vpr 63.40 MiB -1 -1 0.25 18444 1 0.03 -1 -1 30348 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64924 32 32 387 309 1 190 107 17 17 289 -1 unnamed_device 23.6 MiB 0.12 1123 22877 7503 11320 4054 63.4 MiB 0.18 0.00 4.30832 -134.467 -4.30832 4.30832 0.31 0.000750956 0.000697579 0.0704916 0.0653897 -1 -1 -1 -1 30 3178 41 6.65987e+06 545154 526063. 1820.29 1.23 0.194275 0.172022 22546 126617 -1 2210 20 1341 2401 169392 39910 3.57111 3.57111 -125.638 -3.57111 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.0298434 0.0261358 147 65 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 2.59 vpr 63.26 MiB -1 -1 0.23 18128 1 0.03 -1 -1 30188 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64776 30 32 272 232 1 147 83 17 17 289 -1 unnamed_device 23.7 MiB 0.11 704 8363 1755 6223 385 63.3 MiB 0.09 0.00 3.74343 -108.246 -3.74343 3.74343 0.31 0.000579714 0.000539557 0.0290906 0.0270951 -1 -1 -1 -1 32 1650 19 6.65987e+06 266238 554710. 1919.41 0.52 0.0923774 0.0813121 22834 132086 -1 1345 19 836 1444 76832 20508 2.45585 2.45585 -90.9532 -2.45585 0 0 701300. 2426.64 0.04 0.06 0.13 -1 -1 0.04 0.0289157 0.0251587 109 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 2.81 vpr 62.67 MiB -1 -1 0.26 18508 1 0.03 -1 -1 30404 -1 -1 27 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64176 30 32 375 299 1 187 89 17 17 289 -1 unnamed_device 23.6 MiB 0.13 1064 12365 3291 6967 2107 62.7 MiB 0.13 0.00 4.67895 -138.029 -4.67895 4.67895 0.31 0.000725007 0.000674131 0.0480908 0.0447495 -1 -1 -1 -1 28 2343 23 6.65987e+06 342306 500653. 1732.36 0.62 0.136452 0.120796 21970 115934 -1 1980 22 1486 2399 145841 36399 3.50937 3.50937 -127.985 -3.50937 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0317457 0.027759 147 63 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 2.65 vpr 63.50 MiB -1 -1 0.23 18316 1 0.03 -1 -1 30344 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65028 32 32 340 270 1 200 94 17 17 289 -1 unnamed_device 23.8 MiB 0.10 1145 13939 3986 8000 1953 63.5 MiB 0.15 0.00 5.09463 -149.184 -5.09463 5.09463 0.29 0.000696151 0.000641679 0.0475882 0.0442297 -1 -1 -1 -1 30 2536 25 6.65987e+06 380340 526063. 1820.29 0.55 0.133121 0.117514 22546 126617 -1 2135 21 1195 1902 103374 25035 3.52651 3.52651 -127.669 -3.52651 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0288516 0.0252219 145 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 3.16 vpr 62.95 MiB -1 -1 0.25 18368 1 0.03 -1 -1 30256 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64464 31 32 340 275 1 196 98 17 17 289 -1 unnamed_device 23.9 MiB 0.18 1110 13148 3427 8545 1176 63.0 MiB 0.13 0.00 5.06667 -144.178 -5.06667 5.06667 0.32 0.000692547 0.00064179 0.0430229 0.0399631 -1 -1 -1 -1 34 2291 34 6.65987e+06 443730 585099. 2024.56 0.95 0.190217 0.165664 23122 138558 -1 2068 20 1254 2153 127671 32495 3.93437 3.93437 -132.32 -3.93437 0 0 742403. 2568.87 0.03 0.07 0.11 -1 -1 0.03 0.0277139 0.0242435 151 47 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 3.18 vpr 63.29 MiB -1 -1 0.25 18368 1 0.03 -1 -1 30392 -1 -1 38 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64808 30 32 377 310 1 177 100 17 17 289 -1 unnamed_device 23.6 MiB 0.48 1101 17500 4849 10565 2086 63.3 MiB 0.18 0.00 4.57218 -136.411 -4.57218 4.57218 0.32 0.000708472 0.000656727 0.0563314 0.0522355 -1 -1 -1 -1 32 2218 20 6.65987e+06 481764 554710. 1919.41 0.57 0.140582 0.124466 22834 132086 -1 1948 18 856 1457 86125 21283 2.99737 2.99737 -113.429 -2.99737 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0270237 0.0236907 144 83 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 2.62 vpr 62.48 MiB -1 -1 0.23 18356 1 0.03 -1 -1 30296 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63980 32 32 365 294 1 185 89 17 17 289 -1 unnamed_device 23.5 MiB 0.06 1122 13553 4012 8179 1362 62.5 MiB 0.15 0.00 4.81329 -139.106 -4.81329 4.81329 0.32 0.000714193 0.000663522 0.0516073 0.0479635 -1 -1 -1 -1 32 2281 22 6.65987e+06 316950 554710. 1919.41 0.55 0.136853 0.120971 22834 132086 -1 2146 22 1289 2236 137417 32546 3.53711 3.53711 -130.489 -3.53711 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0309713 0.0270326 141 57 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 2.70 vpr 62.69 MiB -1 -1 0.27 18380 1 0.03 -1 -1 30324 -1 -1 39 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64192 29 32 378 310 1 177 100 17 17 289 -1 unnamed_device 23.6 MiB 0.10 929 10076 2437 6533 1106 62.7 MiB 0.11 0.00 4.01172 -111.251 -4.01172 4.01172 0.31 0.000712445 0.000662323 0.0336174 0.0312492 -1 -1 -1 -1 30 1926 20 6.65987e+06 494442 526063. 1820.29 0.52 0.116714 0.10236 22546 126617 -1 1620 16 857 1390 66199 17216 2.81965 2.81965 -101.818 -2.81965 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0244566 0.0214747 137 85 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 2.43 vpr 62.31 MiB -1 -1 0.21 17984 1 0.02 -1 -1 30384 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63808 32 32 243 205 1 139 83 17 17 289 -1 unnamed_device 23.1 MiB 0.10 885 6923 1715 4767 441 62.3 MiB 0.07 0.00 3.77952 -113.03 -3.77952 3.77952 0.32 0.000553392 0.000515609 0.0230724 0.0214826 -1 -1 -1 -1 26 1838 17 6.65987e+06 240882 477104. 1650.88 0.52 0.0857542 0.0752007 21682 110474 -1 1658 19 797 1244 78852 19566 2.69545 2.69545 -103.315 -2.69545 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0288758 0.0251878 99 3 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 2.84 vpr 62.77 MiB -1 -1 0.25 18268 1 0.04 -1 -1 30308 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64272 32 32 373 302 1 176 99 17 17 289 -1 unnamed_device 23.7 MiB 0.14 1014 14691 4446 7278 2967 62.8 MiB 0.14 0.00 4.39152 -132.525 -4.39152 4.39152 0.32 0.000727586 0.00067249 0.0500078 0.046285 -1 -1 -1 -1 32 2332 30 6.65987e+06 443730 554710. 1919.41 0.69 0.146265 0.128769 22834 132086 -1 1872 23 1191 1892 138049 33056 3.67671 3.67671 -122.883 -3.67671 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0328304 0.0289108 135 65 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 2.92 vpr 62.82 MiB -1 -1 0.27 18284 1 0.04 -1 -1 30228 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64324 32 32 397 314 1 196 89 17 17 289 -1 unnamed_device 23.9 MiB 0.24 1125 9989 2357 6341 1291 62.8 MiB 0.12 0.00 4.65798 -147.06 -4.65798 4.65798 0.32 0.000769917 0.000714125 0.0415759 0.0386249 -1 -1 -1 -1 32 2196 23 6.65987e+06 316950 554710. 1919.41 0.57 0.134357 0.118291 22834 132086 -1 1980 20 1409 2358 138276 34236 3.43517 3.43517 -131.636 -3.43517 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0306802 0.0268235 155 65 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 2.71 vpr 62.58 MiB -1 -1 0.12 18084 1 0.03 -1 -1 30480 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64084 32 32 269 231 1 170 89 17 17 289 -1 unnamed_device 23.5 MiB 0.10 914 12761 3862 6624 2275 62.6 MiB 0.11 0.00 3.89235 -110.098 -3.89235 3.89235 0.32 0.000582899 0.00054225 0.0401361 0.0373356 -1 -1 -1 -1 28 2332 25 6.65987e+06 316950 500653. 1732.36 0.77 0.115487 0.101784 21970 115934 -1 1903 16 902 1209 98404 26607 3.12777 3.12777 -108.549 -3.12777 0 0 612192. 2118.31 0.03 0.05 0.10 -1 -1 0.03 0.019943 0.0174639 117 29 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 2.65 vpr 62.34 MiB -1 -1 0.11 17992 1 0.03 -1 -1 30428 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63832 31 32 245 205 1 150 86 17 17 289 -1 unnamed_device 23.0 MiB 0.08 859 10103 2730 6499 874 62.3 MiB 0.10 0.00 3.85255 -110.207 -3.85255 3.85255 0.32 0.000555437 0.00051737 0.0315507 0.0293549 -1 -1 -1 -1 32 1661 23 6.65987e+06 291594 554710. 1919.41 0.52 0.0986549 0.0866031 22834 132086 -1 1578 19 919 1562 92559 23224 2.59051 2.59051 -97.3557 -2.59051 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0214232 0.0186898 110 4 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 2.94 vpr 62.69 MiB -1 -1 0.25 18408 1 0.05 -1 -1 30416 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64196 32 32 348 274 1 211 95 17 17 289 -1 unnamed_device 23.9 MiB 0.08 1109 10031 2519 6610 902 62.7 MiB 0.11 0.00 4.87104 -146.551 -4.87104 4.87104 0.29 0.000698444 0.00064888 0.0352578 0.0327197 -1 -1 -1 -1 28 2980 22 6.65987e+06 393018 500653. 1732.36 0.73 0.127295 0.112131 21970 115934 -1 2316 20 1466 1984 138805 34064 3.84923 3.84923 -141.858 -3.84923 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0282332 0.0247056 151 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 3.22 vpr 63.35 MiB -1 -1 0.23 18512 1 0.03 -1 -1 30308 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64868 32 32 356 289 1 202 101 17 17 289 -1 unnamed_device 23.9 MiB 0.18 1270 17021 5150 9608 2263 63.3 MiB 0.16 0.00 5.06049 -146.913 -5.06049 5.06049 0.32 0.000326262 0.000301131 0.0486664 0.0450209 -1 -1 -1 -1 26 2988 41 6.65987e+06 469086 477104. 1650.88 0.74 0.159099 0.140044 21682 110474 -1 2434 19 1509 2359 161130 37560 4.17677 4.17677 -139.903 -4.17677 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0275016 0.0240929 157 56 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 3.13 vpr 63.51 MiB -1 -1 0.16 18148 1 0.03 -1 -1 30108 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65036 32 32 349 260 1 204 107 17 17 289 -1 unnamed_device 24.0 MiB 0.09 1310 18070 5249 10836 1985 63.5 MiB 0.18 0.00 5.25009 -142.167 -5.25009 5.25009 0.33 0.000717635 0.000666772 0.0540006 0.0501412 -1 -1 -1 -1 30 2632 26 6.65987e+06 545154 526063. 1820.29 0.63 0.147014 0.130114 22546 126617 -1 2281 21 1345 2651 147508 35512 4.20957 4.20957 -132.922 -4.20957 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.0303179 0.0265724 162 3 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 2.58 vpr 62.57 MiB -1 -1 0.24 18272 1 0.03 -1 -1 30508 -1 -1 35 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64072 30 32 316 264 1 162 97 17 17 289 -1 unnamed_device 23.4 MiB 0.09 934 10531 2543 7170 818 62.6 MiB 0.10 0.00 3.47521 -102.746 -3.47521 3.47521 0.31 0.000642962 0.000598177 0.0327091 0.0304142 -1 -1 -1 -1 26 2134 21 6.65987e+06 443730 477104. 1650.88 0.52 0.108155 0.0949242 21682 110474 -1 1889 19 1136 2017 124547 30766 2.91491 2.91491 -103.283 -2.91491 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.025205 0.0220948 124 52 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 2.44 vpr 62.41 MiB -1 -1 0.23 18092 1 0.03 -1 -1 30328 -1 -1 25 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63912 27 32 255 219 1 132 84 17 17 289 -1 unnamed_device 23.1 MiB 0.06 785 11430 3670 6156 1604 62.4 MiB 0.09 0.00 3.4653 -96.6417 -3.4653 3.4653 0.32 0.00055453 0.000517011 0.0363306 0.0338398 -1 -1 -1 -1 28 1602 21 6.65987e+06 316950 500653. 1732.36 0.48 0.101316 0.0892106 21970 115934 -1 1425 17 804 1293 92891 21943 2.58157 2.58157 -90.7565 -2.58157 0 0 612192. 2118.31 0.03 0.05 0.12 -1 -1 0.03 0.0197648 0.0172594 100 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 3.26 vpr 63.04 MiB -1 -1 0.26 18600 1 0.03 -1 -1 30316 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64556 32 32 421 327 1 232 98 17 17 289 -1 unnamed_device 24.2 MiB 0.11 1363 12248 2996 8404 848 63.0 MiB 0.15 0.00 4.3132 -136.557 -4.3132 4.3132 0.33 0.000803131 0.000747134 0.0468158 0.0435051 -1 -1 -1 -1 26 3971 29 6.65987e+06 431052 477104. 1650.88 1.11 0.159017 0.14008 21682 110474 -1 2900 23 2053 3629 243596 58549 3.77365 3.77365 -132.773 -3.77365 0 0 585099. 2024.56 0.04 0.07 0.10 -1 -1 0.04 0.0224005 0.0199829 176 65 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 3.09 vpr 62.79 MiB -1 -1 0.27 18324 1 0.03 -1 -1 30420 -1 -1 27 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64292 31 32 365 296 1 193 90 17 17 289 -1 unnamed_device 23.7 MiB 0.44 973 17175 6014 8082 3079 62.8 MiB 0.17 0.00 5.18035 -150.464 -5.18035 5.18035 0.32 0.00070932 0.00065886 0.0637335 0.0591838 -1 -1 -1 -1 30 2403 24 6.65987e+06 342306 526063. 1820.29 0.64 0.151557 0.134524 22546 126617 -1 1847 18 1178 1814 98515 25469 4.49437 4.49437 -139.894 -4.49437 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0266933 0.0234329 151 64 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 2.91 vpr 63.18 MiB -1 -1 0.24 18388 1 0.03 -1 -1 30424 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64696 32 32 331 280 1 175 87 17 17 289 -1 unnamed_device 23.4 MiB 0.40 1020 11991 3560 6392 2039 63.2 MiB 0.15 0.00 4.25169 -136.039 -4.25169 4.25169 0.32 0.000864673 0.000803635 0.0533516 0.0496012 -1 -1 -1 -1 32 2019 16 6.65987e+06 291594 554710. 1919.41 0.53 0.127172 0.112926 22834 132086 -1 1798 18 782 1100 71252 17324 3.25897 3.25897 -123.619 -3.25897 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0245208 0.0215202 131 65 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 2.95 vpr 62.66 MiB -1 -1 0.24 18380 1 0.03 -1 -1 30324 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64168 32 32 326 263 1 176 100 17 17 289 -1 unnamed_device 23.7 MiB 0.09 1117 12164 3459 7844 861 62.7 MiB 0.12 0.00 4.92174 -128.183 -4.92174 4.92174 0.31 0.00066283 0.000615913 0.0374864 0.0347847 -1 -1 -1 -1 26 2516 22 6.65987e+06 456408 477104. 1650.88 0.54 0.119342 0.104951 21682 110474 -1 2181 18 1043 1793 114022 27754 3.30585 3.30585 -116.367 -3.30585 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0251273 0.0220676 133 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 3.01 vpr 63.32 MiB -1 -1 0.20 18484 1 0.04 -1 -1 30424 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64840 31 32 373 294 1 196 101 17 17 289 -1 unnamed_device 23.8 MiB 0.09 1058 9971 2285 7156 530 63.3 MiB 0.12 0.00 4.48315 -116.972 -4.48315 4.48315 0.31 0.000741538 0.000688786 0.0339044 0.0314509 -1 -1 -1 -1 26 2520 24 6.65987e+06 481764 477104. 1650.88 0.66 0.124359 0.108952 21682 110474 -1 2232 24 1463 2428 150433 38162 3.79065 3.79065 -118.424 -3.79065 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0340227 0.0296695 151 50 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 3.16 vpr 63.19 MiB -1 -1 0.26 18300 1 0.04 -1 -1 30248 -1 -1 36 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64704 30 32 325 268 1 171 98 17 17 289 -1 unnamed_device 23.7 MiB 0.05 942 16973 5403 7874 3696 63.2 MiB 0.16 0.00 3.53041 -100.229 -3.53041 3.53041 0.32 0.000653301 0.000605919 0.0516592 0.0479737 -1 -1 -1 -1 30 2372 36 6.65987e+06 456408 526063. 1820.29 0.98 0.16203 0.144241 22546 126617 -1 1915 21 1116 2063 128410 33008 2.85691 2.85691 -95.9916 -2.85691 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0273585 0.023878 130 51 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 3.26 vpr 62.72 MiB -1 -1 0.21 18384 1 0.38 -1 -1 30292 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64228 32 32 350 275 1 215 95 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1172 11111 2816 7554 741 62.7 MiB 0.13 0.00 4.87932 -149.985 -4.87932 4.87932 0.31 0.000704536 0.000655125 0.039028 0.0362615 -1 -1 -1 -1 30 2465 23 6.65987e+06 393018 526063. 1820.29 0.61 0.12583 0.110773 22546 126617 -1 2098 20 1422 2275 121154 29090 3.80811 3.80811 -133.242 -3.80811 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0288944 0.0253451 157 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 2.80 vpr 63.50 MiB -1 -1 0.25 18400 1 0.03 -1 -1 30040 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65024 32 32 386 307 1 195 106 17 17 289 -1 unnamed_device 24.0 MiB 0.11 1068 16856 4579 8928 3349 63.5 MiB 0.14 0.00 4.17081 -125.313 -4.17081 4.17081 0.32 0.000746376 0.000693057 0.0533797 0.0495831 -1 -1 -1 -1 32 2577 35 6.65987e+06 532476 554710. 1919.41 0.67 0.159111 0.140204 22834 132086 -1 2028 24 1434 2300 146117 37601 2.97097 2.97097 -114.135 -2.97097 0 0 701300. 2426.64 0.03 0.09 0.11 -1 -1 0.03 0.034841 0.0304283 151 62 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 2.34 vpr 62.36 MiB -1 -1 0.11 18144 1 0.03 -1 -1 30284 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63860 29 32 269 229 1 129 80 17 17 289 -1 unnamed_device 23.4 MiB 0.05 783 14184 4630 8014 1540 62.4 MiB 0.12 0.00 4.07075 -112.394 -4.07075 4.07075 0.32 0.000576339 0.000535755 0.0495872 0.0461609 -1 -1 -1 -1 32 1449 20 6.65987e+06 240882 554710. 1919.41 0.49 0.116661 0.103432 22834 132086 -1 1346 20 716 1069 69828 16923 2.81477 2.81477 -95.7948 -2.81477 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0229956 0.0200317 93 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 2.80 vpr 62.46 MiB -1 -1 0.24 18388 1 0.03 -1 -1 30332 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63964 32 32 310 266 1 175 89 17 17 289 -1 unnamed_device 23.3 MiB 0.08 1007 13949 3952 8258 1739 62.5 MiB 0.12 0.00 4.24766 -126.418 -4.24766 4.24766 0.32 0.000481589 0.000443594 0.0432888 0.0401085 -1 -1 -1 -1 32 1793 18 6.65987e+06 316950 554710. 1919.41 0.52 0.11537 0.101824 22834 132086 -1 1672 14 756 1020 62601 15811 3.06877 3.06877 -112.763 -3.06877 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0198489 0.017478 122 58 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 3.17 vpr 63.25 MiB -1 -1 0.14 18252 1 0.03 -1 -1 30304 -1 -1 42 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64764 31 32 326 261 1 177 105 17 17 289 -1 unnamed_device 23.7 MiB 0.08 926 12208 3208 7327 1673 63.2 MiB 0.12 0.00 4.58372 -118.506 -4.58372 4.58372 0.32 0.000667937 0.000620673 0.0353145 0.0327327 -1 -1 -1 -1 26 2937 44 6.65987e+06 532476 477104. 1650.88 1.20 0.138033 0.120779 21682 110474 -1 2199 22 1468 2656 200310 48980 3.35491 3.35491 -114.974 -3.35491 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0289022 0.0251966 137 33 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 2.43 vpr 62.43 MiB -1 -1 0.25 18116 1 0.03 -1 -1 30216 -1 -1 27 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63924 29 32 262 224 1 168 88 17 17 289 -1 unnamed_device 23.3 MiB 0.07 896 15103 4215 9146 1742 62.4 MiB 0.13 0.00 4.17458 -112.81 -4.17458 4.17458 0.32 0.000565255 0.00052655 0.0458425 0.0426624 -1 -1 -1 -1 26 2150 22 6.65987e+06 342306 477104. 1650.88 0.56 0.115868 0.102438 21682 110474 -1 1818 17 869 1145 81501 19964 3.11697 3.11697 -104.705 -3.11697 0 0 585099. 2024.56 0.03 0.05 0.09 -1 -1 0.03 0.0201079 0.0175635 116 31 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 2.77 vpr 62.43 MiB -1 -1 0.23 18156 1 0.03 -1 -1 30128 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63924 32 32 278 238 1 148 83 17 17 289 -1 unnamed_device 23.4 MiB 0.11 798 11243 4185 5675 1383 62.4 MiB 0.11 0.00 3.71146 -112.967 -3.71146 3.71146 0.31 0.000604244 0.000562734 0.0399845 0.0372544 -1 -1 -1 -1 28 2050 48 6.65987e+06 240882 500653. 1732.36 0.81 0.135941 0.119249 21970 115934 -1 1636 23 1302 2195 133693 34634 2.95805 2.95805 -111.835 -2.95805 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0268582 0.0233235 111 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 2.34 vpr 63.27 MiB -1 -1 0.25 18380 1 0.03 -1 -1 30168 -1 -1 40 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64788 31 32 373 300 1 181 103 17 17 289 -1 unnamed_device 23.6 MiB 0.11 943 8779 1827 6626 326 63.3 MiB 0.06 0.00 4.01172 -118.652 -4.01172 4.01172 0.29 0.000338799 0.000305712 0.0146354 0.0133262 -1 -1 -1 -1 30 1947 20 6.65987e+06 507120 526063. 1820.29 0.43 0.0819746 0.0711925 22546 126617 -1 1728 19 1148 1951 98929 24137 3.06517 3.06517 -108.924 -3.06517 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0279109 0.024443 141 64 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 2.43 vpr 62.47 MiB -1 -1 0.23 18124 1 0.03 -1 -1 30340 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63972 31 32 265 230 1 163 88 17 17 289 -1 unnamed_device 23.3 MiB 0.07 930 10228 2669 6535 1024 62.5 MiB 0.10 0.00 3.8161 -117.091 -3.8161 3.8161 0.32 0.000591034 0.000543686 0.0323042 0.0300571 -1 -1 -1 -1 32 1836 21 6.65987e+06 316950 554710. 1919.41 0.49 0.100283 0.0881994 22834 132086 -1 1638 17 808 1220 76603 18529 2.93457 2.93457 -107.171 -2.93457 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0213134 0.0187932 115 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 2.63 vpr 62.65 MiB -1 -1 0.23 18412 1 0.03 -1 -1 30008 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64156 32 32 349 286 1 171 101 17 17 289 -1 unnamed_device 23.6 MiB 0.11 1077 18196 4934 11069 2193 62.7 MiB 0.16 0.00 3.54324 -109.963 -3.54324 3.54324 0.32 0.000538895 0.000495325 0.0514617 0.0475687 -1 -1 -1 -1 32 2182 22 6.65987e+06 469086 554710. 1919.41 0.56 0.134851 0.118993 22834 132086 -1 1852 21 982 1748 107334 25210 2.76771 2.76771 -104.243 -2.76771 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0290857 0.025433 131 57 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 2.95 vpr 63.34 MiB -1 -1 0.25 18248 1 0.03 -1 -1 30416 -1 -1 36 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64856 31 32 396 325 1 183 99 17 17 289 -1 unnamed_device 23.6 MiB 0.43 972 13779 3191 9639 949 63.3 MiB 0.14 0.00 3.95996 -122.236 -3.95996 3.95996 0.32 0.000747206 0.000693562 0.0474696 0.044021 -1 -1 -1 -1 28 2311 22 6.65987e+06 456408 500653. 1732.36 0.56 0.141858 0.125311 21970 115934 -1 2026 23 1471 2170 141402 36233 3.06423 3.06423 -122.172 -3.06423 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0405449 0.0360275 145 91 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 2.71 vpr 62.60 MiB -1 -1 0.24 18320 1 0.03 -1 -1 30252 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64104 32 32 303 262 1 150 84 17 17 289 -1 unnamed_device 23.5 MiB 0.11 931 10149 2799 6536 814 62.6 MiB 0.10 0.00 3.26564 -102.038 -3.26564 3.26564 0.31 0.000623729 0.00058006 0.0365945 0.0339944 -1 -1 -1 -1 32 1792 21 6.65987e+06 253560 554710. 1919.41 0.51 0.112588 0.0993539 22834 132086 -1 1603 17 732 1143 64605 16743 2.62971 2.62971 -101.046 -2.62971 0 0 701300. 2426.64 0.03 0.05 0.12 -1 -1 0.03 0.0221088 0.0193181 111 57 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 2.48 vpr 62.46 MiB -1 -1 0.22 18348 1 0.02 -1 -1 30420 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63956 32 32 290 244 1 177 90 17 17 289 -1 unnamed_device 23.3 MiB 0.08 852 6924 1415 4674 835 62.5 MiB 0.07 0.00 4.16652 -123.951 -4.16652 4.16652 0.32 0.000611583 0.00056898 0.0232007 0.0215469 -1 -1 -1 -1 30 2146 23 6.65987e+06 329628 526063. 1820.29 0.60 0.0975585 0.0850677 22546 126617 -1 1676 20 1036 1560 84668 22482 3.03051 3.03051 -112.2 -3.03051 0 0 666494. 2306.21 0.03 0.06 0.09 -1 -1 0.03 0.0244389 0.0213206 124 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 2.98 vpr 62.71 MiB -1 -1 0.24 18244 1 0.03 -1 -1 30184 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64212 32 32 318 257 1 194 92 17 17 289 -1 unnamed_device 23.7 MiB 0.10 1091 8579 1943 6143 493 62.7 MiB 0.09 0.00 4.54938 -126.236 -4.54938 4.54938 0.36 0.000668476 0.000621141 0.0296112 0.0275522 -1 -1 -1 -1 26 2549 22 6.65987e+06 354984 477104. 1650.88 0.56 0.111992 0.0982032 21682 110474 -1 2178 22 1223 1795 118510 28680 3.58451 3.58451 -120.815 -3.58451 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0288121 0.0251317 138 30 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 2.56 vpr 62.76 MiB -1 -1 0.25 18384 1 0.03 -1 -1 30248 -1 -1 36 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64268 29 32 324 268 1 168 97 17 17 289 -1 unnamed_device 23.5 MiB 0.11 1042 6535 1424 4454 657 62.8 MiB 0.07 0.00 4.20872 -115.808 -4.20872 4.20872 0.31 0.000653114 0.000605773 0.021466 0.0199964 -1 -1 -1 -1 30 1967 16 6.65987e+06 456408 526063. 1820.29 0.50 0.0934942 0.0815178 22546 126617 -1 1808 19 714 1267 68406 16641 2.71491 2.71491 -97.6025 -2.71491 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0257432 0.0225677 129 55 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 2.87 vpr 63.45 MiB -1 -1 0.23 18276 1 0.03 -1 -1 30480 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64968 32 32 393 312 1 213 94 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1136 17773 5438 9801 2534 63.4 MiB 0.19 0.00 5.18709 -160.79 -5.18709 5.18709 0.32 0.000751899 0.000698984 0.0661306 0.0614276 -1 -1 -1 -1 32 2400 22 6.65987e+06 380340 554710. 1919.41 0.61 0.167742 0.148709 22834 132086 -1 2213 22 1428 1987 125431 30881 3.96237 3.96237 -142.636 -3.96237 0 0 701300. 2426.64 0.04 0.10 0.11 -1 -1 0.04 0.0400136 0.0353947 159 65 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 2.46 vpr 62.59 MiB -1 -1 0.23 17952 1 0.03 -1 -1 30084 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64092 31 32 229 197 1 138 84 17 17 289 -1 unnamed_device 23.3 MiB 0.07 877 10515 2651 6990 874 62.6 MiB 0.10 0.00 3.28101 -98.7222 -3.28101 3.28101 0.34 0.000534255 0.000496925 0.0325397 0.0303173 -1 -1 -1 -1 26 1877 19 6.65987e+06 266238 477104. 1650.88 0.50 0.0939242 0.0826791 21682 110474 -1 1635 20 877 1459 98976 23834 2.63371 2.63371 -97.6023 -2.63371 0 0 585099. 2024.56 0.03 0.05 0.10 -1 -1 0.03 0.0218513 0.0190899 100 4 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 2.65 vpr 62.72 MiB -1 -1 0.24 18344 1 0.03 -1 -1 30248 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64228 32 32 412 334 1 190 101 17 17 289 -1 unnamed_device 23.8 MiB 0.09 1128 13026 3490 8482 1054 62.7 MiB 0.15 0.00 4.18264 -138.84 -4.18264 4.18264 0.32 0.00077668 0.000721567 0.0462737 0.0429443 -1 -1 -1 -1 32 2113 19 6.65987e+06 469086 554710. 1919.41 0.54 0.135721 0.119721 22834 132086 -1 1946 19 1104 1594 96411 24003 3.39911 3.39911 -128.845 -3.39911 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0296925 0.0260202 146 90 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 2.64 vpr 62.69 MiB -1 -1 0.24 18448 1 0.03 -1 -1 30108 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64192 32 32 376 318 1 156 82 17 17 289 -1 unnamed_device 23.7 MiB 0.11 914 11474 3086 6952 1436 62.7 MiB 0.13 0.00 3.54227 -124.771 -3.54227 3.54227 0.32 0.000718606 0.000667277 0.049602 0.046095 -1 -1 -1 -1 32 1720 17 6.65987e+06 228204 554710. 1919.41 0.53 0.129159 0.114224 22834 132086 -1 1578 21 1236 1858 105693 26868 2.87077 2.87077 -119.337 -2.87077 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0294216 0.0256661 117 96 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 2.69 vpr 63.28 MiB -1 -1 0.15 18304 1 0.03 -1 -1 30320 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64796 32 32 360 293 1 179 99 17 17 289 -1 unnamed_device 23.6 MiB 0.09 987 16059 4384 8773 2902 63.3 MiB 0.15 0.00 3.84552 -115.819 -3.84552 3.84552 0.33 0.000588113 0.000522126 0.0529609 0.0491666 -1 -1 -1 -1 32 2087 20 6.65987e+06 443730 554710. 1919.41 0.55 0.136764 0.121046 22834 132086 -1 1731 18 919 1426 73695 19390 2.62331 2.62331 -97.5338 -2.62331 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0269736 0.023685 134 60 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 3.78 vpr 63.52 MiB -1 -1 0.15 18496 1 0.03 -1 -1 30488 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65040 32 32 396 299 1 236 98 17 17 289 -1 unnamed_device 23.9 MiB 0.16 1229 18773 5439 9701 3633 63.5 MiB 0.18 0.00 6.00689 -176.035 -6.00689 6.00689 0.34 0.000348158 0.00032017 0.0661284 0.0613889 -1 -1 -1 -1 36 2968 23 6.65987e+06 431052 612192. 2118.31 1.43 0.227075 0.199663 23410 145293 -1 2288 21 1763 2474 164498 42055 4.85537 4.85537 -153.246 -4.85537 0 0 782063. 2706.10 0.04 0.07 0.11 -1 -1 0.04 0.0231769 0.0207501 177 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 2.52 vpr 62.36 MiB -1 -1 0.16 18020 1 0.03 -1 -1 30244 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63860 30 32 224 207 1 138 84 17 17 289 -1 unnamed_device 23.1 MiB 0.06 852 12894 3845 7396 1653 62.4 MiB 0.10 0.00 3.23481 -100.258 -3.23481 3.23481 0.34 0.000510384 0.000474865 0.0371748 0.0345906 -1 -1 -1 -1 26 1611 17 6.65987e+06 278916 477104. 1650.88 0.51 0.0938904 0.0829793 21682 110474 -1 1486 21 811 1082 72254 17229 2.47811 2.47811 -92.0662 -2.47811 0 0 585099. 2024.56 0.03 0.05 0.09 -1 -1 0.03 0.0207099 0.0179707 92 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 2.53 vpr 62.52 MiB -1 -1 0.22 18088 1 0.03 -1 -1 30344 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64024 30 32 286 239 1 134 81 17 17 289 -1 unnamed_device 23.4 MiB 0.13 726 6206 1469 4338 399 62.5 MiB 0.07 0.00 3.83543 -111.011 -3.83543 3.83543 0.37 0.000605709 0.000564518 0.0236706 0.0220708 -1 -1 -1 -1 26 1610 20 6.65987e+06 240882 477104. 1650.88 0.53 0.0949303 0.0830353 21682 110474 -1 1409 23 974 1626 100425 25629 2.75671 2.75671 -100.414 -2.75671 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0268728 0.0233444 95 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 2.42 vpr 62.57 MiB -1 -1 0.22 18080 1 0.03 -1 -1 30224 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64068 32 32 296 247 1 157 90 17 17 289 -1 unnamed_device 23.4 MiB 0.04 895 10743 2661 7468 614 62.6 MiB 0.11 0.00 3.40601 -112.209 -3.40601 3.40601 0.32 0.00062309 0.000578838 0.035642 0.0331161 -1 -1 -1 -1 30 1998 21 6.65987e+06 329628 526063. 1820.29 0.53 0.109538 0.0962499 22546 126617 -1 1787 19 1000 1863 116906 27007 2.62131 2.62131 -107.844 -2.62131 0 0 666494. 2306.21 0.03 0.06 0.11 -1 -1 0.03 0.0239702 0.0209204 119 34 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 2.34 vpr 62.84 MiB -1 -1 0.18 18092 1 0.03 -1 -1 30204 -1 -1 31 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64344 25 32 216 194 1 122 88 17 17 289 -1 unnamed_device 23.3 MiB 0.05 585 13543 5050 6010 2483 62.8 MiB 0.09 0.00 3.20881 -76.0367 -3.20881 3.20881 0.31 0.000476823 0.000443446 0.0348307 0.0323661 -1 -1 -1 -1 32 1282 22 6.65987e+06 393018 554710. 1919.41 0.48 0.093598 0.0826235 22834 132086 -1 1105 18 667 1079 58646 15667 2.41205 2.41205 -71.6142 -2.41205 0 0 701300. 2426.64 0.04 0.04 0.11 -1 -1 0.04 0.0162517 0.0144498 93 29 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 2.76 vpr 62.72 MiB -1 -1 0.25 18368 1 0.03 -1 -1 30276 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64228 32 32 376 307 1 185 88 17 17 289 -1 unnamed_device 23.7 MiB 0.10 1096 16858 5655 8603 2600 62.7 MiB 0.19 0.00 4.02912 -126.938 -4.02912 4.02912 0.34 0.000728074 0.000676381 0.0716169 0.0664747 -1 -1 -1 -1 32 2481 19 6.65987e+06 304272 554710. 1919.41 0.57 0.157198 0.140094 22834 132086 -1 2115 20 1266 2266 138051 33347 3.36005 3.36005 -118.607 -3.36005 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.029559 0.0258418 137 72 -1 -1 -1 -1 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 2.87 vpr 62.85 MiB -1 -1 0.26 18272 1 0.04 -1 -1 30256 -1 -1 42 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64356 31 32 409 331 1 191 105 17 17 289 -1 unnamed_device 23.9 MiB 0.11 987 19124 5334 10330 3460 62.8 MiB 0.19 0.00 3.91658 -124.769 -3.91658 3.91658 0.32 0.000756341 0.000701237 0.0615584 0.0570229 -1 -1 -1 -1 32 2113 20 6.65987e+06 532476 554710. 1919.41 0.60 0.154524 0.136607 22834 132086 -1 1837 19 1226 1995 117145 29196 2.79751 2.79751 -109.839 -2.79751 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0292829 0.0256389 148 90 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 11.61 vpr 64.05 MiB -1 -1 0.24 18320 1 0.03 -1 -1 30228 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65588 32 32 354 285 1 191 88 17 17 289 -1 unnamed_device 24.5 MiB 1.20 903 15298 5538 7704 2056 64.1 MiB 0.13 0.00 5.15265 -149.781 -5.15265 5.15265 0.35 0.000701928 0.000652099 0.0579569 0.0538537 -1 -1 -1 -1 40 2487 22 6.95648e+06 347416 706193. 2443.58 8.24 0.381864 0.330066 26914 176310 -1 2069 20 1681 2521 193589 46028 4.70236 4.70236 -152.72 -4.70236 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0283789 0.0248703 85 50 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 6.23 vpr 63.55 MiB -1 -1 0.25 18552 1 0.03 -1 -1 30328 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65072 30 32 363 293 1 187 80 17 17 289 -1 unnamed_device 24.5 MiB 1.72 822 9540 3866 5233 441 63.5 MiB 0.10 0.00 4.21658 -127.866 -4.21658 4.21658 0.35 0.000715716 0.00066455 0.0428595 0.0398403 -1 -1 -1 -1 40 2503 31 6.95648e+06 260562 706193. 2443.58 1.90 0.201124 0.174937 26914 176310 -1 2075 22 1955 2787 228608 53991 4.26192 4.26192 -142.656 -4.26192 0 0 926341. 3205.33 0.04 0.09 0.15 -1 -1 0.04 0.0315981 0.0277047 79 63 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 9.49 vpr 63.92 MiB -1 -1 0.12 18316 1 0.03 -1 -1 30420 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65456 32 32 299 247 1 182 82 17 17 289 -1 unnamed_device 24.2 MiB 0.81 857 12542 4298 6587 1657 63.9 MiB 0.11 0.00 3.78245 -113.708 -3.78245 3.78245 0.33 0.000620895 0.000576964 0.0461334 0.0428945 -1 -1 -1 -1 38 2559 29 6.95648e+06 260562 678818. 2348.85 6.65 0.331323 0.286653 26626 170182 -1 1862 21 1299 1736 126709 31550 3.72492 3.72492 -120.57 -3.72492 0 0 902133. 3121.57 0.04 0.07 0.14 -1 -1 0.04 0.0271238 0.023716 74 29 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 4.01 vpr 64.01 MiB -1 -1 0.24 18400 1 0.03 -1 -1 30284 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65548 29 32 308 248 1 162 84 17 17 289 -1 unnamed_device 24.3 MiB 0.21 713 12894 4756 6364 1774 64.0 MiB 0.11 0.00 3.96328 -113.694 -3.96328 3.96328 0.33 0.000637533 0.000592304 0.046852 0.043548 -1 -1 -1 -1 36 2424 31 6.95648e+06 332941 648988. 2245.63 1.85 0.192789 0.167961 26050 158493 -1 1795 24 1592 2700 214858 50665 4.07691 4.07691 -125.389 -4.07691 0 0 828058. 2865.25 0.03 0.05 0.09 -1 -1 0.03 0.0184205 0.016334 73 31 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 4.13 vpr 63.91 MiB -1 -1 0.24 18416 1 0.03 -1 -1 30432 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65440 32 32 336 268 1 167 85 17 17 289 -1 unnamed_device 24.2 MiB 0.30 799 12919 4266 6230 2423 63.9 MiB 0.14 0.00 3.92082 -123.639 -3.92082 3.92082 0.33 0.000689843 0.000640891 0.0579711 0.053704 -1 -1 -1 -1 40 2419 26 6.95648e+06 303989 706193. 2443.58 1.73 0.195597 0.171434 26914 176310 -1 1974 23 1432 2633 220972 49560 4.01942 4.01942 -133.562 -4.01942 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0306242 0.026712 76 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 4.55 vpr 63.35 MiB -1 -1 0.25 18324 1 0.03 -1 -1 30296 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64868 32 32 366 295 1 182 89 17 17 289 -1 unnamed_device 24.5 MiB 0.40 1004 13949 3867 8912 1170 63.3 MiB 0.13 0.00 3.1127 -117.428 -3.1127 3.1127 0.33 0.000898578 0.000838343 0.0537559 0.0498994 -1 -1 -1 -1 34 2773 40 6.95648e+06 361892 618332. 2139.56 2.07 0.217268 0.189412 25762 151098 -1 2255 18 1337 2010 155622 35041 3.22637 3.22637 -127.705 -3.22637 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0266073 0.0233266 81 58 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 6.63 vpr 63.88 MiB -1 -1 0.23 18196 1 0.03 -1 -1 30616 -1 -1 14 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65412 27 32 259 221 1 124 73 17 17 289 -1 unnamed_device 24.3 MiB 2.35 446 7825 3025 3749 1051 63.9 MiB 0.07 0.00 3.35433 -89.8611 -3.35433 3.35433 0.33 0.000554723 0.000515636 0.0305449 0.028442 -1 -1 -1 -1 36 1857 48 6.95648e+06 202660 648988. 2245.63 2.33 0.16939 0.146242 26050 158493 -1 1247 22 1095 1726 134988 35763 3.23827 3.23827 -101.735 -3.23827 0 0 828058. 2865.25 0.03 0.06 0.13 -1 -1 0.03 0.0242115 0.0210147 52 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 4.37 vpr 63.25 MiB -1 -1 0.23 17708 1 0.03 -1 -1 30068 -1 -1 27 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64772 31 32 271 219 1 157 90 17 17 289 -1 unnamed_device 24.3 MiB 0.18 837 12552 4747 6820 985 63.3 MiB 0.09 0.00 2.9854 -94.3513 -2.9854 2.9854 0.32 0.000606549 0.000563903 0.0345449 0.0320468 -1 -1 -1 -1 38 2223 36 6.95648e+06 390843 678818. 2348.85 2.18 0.173002 0.150128 26626 170182 -1 1775 22 1069 1744 163155 43059 2.85332 2.85332 -102.02 -2.85332 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.0257753 0.0224497 69 4 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 4.65 vpr 63.92 MiB -1 -1 0.25 18508 1 0.03 -1 -1 30100 -1 -1 14 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65456 31 32 317 271 1 163 77 17 17 289 -1 unnamed_device 24.2 MiB 1.29 692 10020 3492 4756 1772 63.9 MiB 0.09 0.00 3.0607 -105.45 -3.0607 3.0607 0.33 0.0006448 0.000599995 0.0416218 0.0387606 -1 -1 -1 -1 44 1959 43 6.95648e+06 202660 787024. 2723.27 1.23 0.171561 0.149167 27778 195446 -1 1416 30 1474 2113 157739 39570 3.33877 3.33877 -112.874 -3.33877 0 0 997811. 3452.63 0.04 0.08 0.16 -1 -1 0.04 0.0348234 0.0301099 63 64 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 3.92 vpr 63.82 MiB -1 -1 0.20 18068 1 0.03 -1 -1 29996 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65348 32 32 298 248 1 150 75 17 17 289 -1 unnamed_device 24.4 MiB 0.82 631 10503 4334 5853 316 63.8 MiB 0.10 0.00 3.30308 -115.551 -3.30308 3.30308 0.33 0.0006312 0.000584843 0.0439573 0.0408989 -1 -1 -1 -1 42 1721 25 6.95648e+06 159232 744469. 2576.02 1.03 0.17109 0.149045 27202 183097 -1 1354 19 1057 1489 99641 25380 3.14782 3.14782 -113.598 -3.14782 0 0 949917. 3286.91 0.04 0.06 0.15 -1 -1 0.04 0.0240878 0.0210586 60 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 4.30 vpr 63.69 MiB -1 -1 0.24 18432 1 0.03 -1 -1 30336 -1 -1 13 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65216 30 32 303 262 1 135 75 17 17 289 -1 unnamed_device 24.3 MiB 0.89 501 7975 2162 4189 1624 63.7 MiB 0.07 0.00 3.32418 -98.7921 -3.32418 3.32418 0.33 0.000626842 0.000583299 0.033361 0.031035 -1 -1 -1 -1 42 1361 50 6.95648e+06 188184 744469. 2576.02 1.38 0.180268 0.155588 27202 183097 -1 1018 21 1057 1561 93379 26253 2.99162 2.99162 -104.394 -2.99162 0 0 949917. 3286.91 0.04 0.06 0.15 -1 -1 0.04 0.0261378 0.022782 54 63 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 4.57 vpr 63.32 MiB -1 -1 0.23 18088 1 0.03 -1 -1 30204 -1 -1 13 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64844 32 32 276 237 1 161 77 17 17 289 -1 unnamed_device 24.3 MiB 1.18 717 11487 4799 6349 339 63.3 MiB 0.05 0.00 3.36853 -108.171 -3.36853 3.36853 0.32 0.000263884 0.000242125 0.0203308 0.0187078 -1 -1 -1 -1 42 2258 41 6.95648e+06 188184 744469. 2576.02 1.40 0.152874 0.131337 27202 183097 -1 1691 23 1303 1654 162426 40261 3.05107 3.05107 -115.385 -3.05107 0 0 949917. 3286.91 0.04 0.07 0.14 -1 -1 0.04 0.0263999 0.0229264 61 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 11.03 vpr 63.34 MiB -1 -1 0.25 18344 1 0.03 -1 -1 30272 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64864 32 32 344 272 1 194 81 17 17 289 -1 unnamed_device 24.4 MiB 1.33 963 14256 6124 7652 480 63.3 MiB 0.13 0.00 4.10048 -133.291 -4.10048 4.10048 0.33 0.000688322 0.000638252 0.0586569 0.0544325 -1 -1 -1 -1 52 2682 48 6.95648e+06 246087 926341. 3205.33 7.55 0.399651 0.344428 29218 227130 -1 2046 22 1527 2342 196802 44603 3.49922 3.49922 -129.355 -3.49922 0 0 1.14541e+06 3963.36 0.05 0.09 0.18 -1 -1 0.05 0.0310755 0.02722 80 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 11.11 vpr 63.53 MiB -1 -1 0.14 18364 1 0.03 -1 -1 30292 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65052 32 32 363 295 1 174 89 17 17 289 -1 unnamed_device 24.5 MiB 0.37 807 13751 4140 6609 3002 63.5 MiB 0.12 0.00 4.30188 -129.441 -4.30188 4.30188 0.34 0.000722024 0.000670873 0.0521037 0.0484003 -1 -1 -1 -1 42 2744 33 6.95648e+06 361892 744469. 2576.02 8.61 0.359569 0.310163 27202 183097 -1 1947 24 1856 2848 247962 58594 4.34321 4.34321 -142.631 -4.34321 0 0 949917. 3286.91 0.04 0.10 0.15 -1 -1 0.04 0.0323478 0.0281415 78 61 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 4.18 vpr 63.60 MiB -1 -1 0.22 18040 1 0.03 -1 -1 30504 -1 -1 18 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65124 29 32 248 215 1 132 79 17 17 289 -1 unnamed_device 24.2 MiB 0.43 542 11064 3839 5079 2146 63.6 MiB 0.10 0.00 2.93656 -85.9547 -2.93656 2.93656 0.33 0.000543369 0.000504995 0.0449064 0.0417544 -1 -1 -1 -1 38 1739 25 6.95648e+06 260562 678818. 2348.85 1.60 0.163141 0.142452 26626 170182 -1 1156 20 930 1476 86830 22287 2.86467 2.86467 -90.2809 -2.86467 0 0 902133. 3121.57 0.03 0.05 0.14 -1 -1 0.03 0.0219637 0.0191139 55 27 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 9.89 vpr 64.18 MiB -1 -1 0.25 18480 1 0.03 -1 -1 30292 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65720 32 32 370 297 1 178 81 17 17 289 -1 unnamed_device 24.6 MiB 0.55 1116 11456 4128 5618 1710 64.2 MiB 0.11 0.00 3.1427 -121.494 -3.1427 3.1427 0.33 0.000717433 0.000666227 0.0497802 0.0462674 -1 -1 -1 -1 38 2695 25 6.95648e+06 246087 678818. 2348.85 7.13 0.321783 0.277821 26626 170182 -1 2317 20 1511 2426 195538 40467 3.13107 3.13107 -128.649 -3.13107 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.029045 0.0253744 77 58 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 5.39 vpr 64.05 MiB -1 -1 0.23 18332 1 0.03 -1 -1 30084 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65592 32 32 338 269 1 190 81 17 17 289 -1 unnamed_device 24.2 MiB 1.49 1015 13031 4708 5937 2386 64.1 MiB 0.12 0.00 3.87402 -125.064 -3.87402 3.87402 0.34 0.000692755 0.000644535 0.0542598 0.0504807 -1 -1 -1 -1 36 2757 49 6.95648e+06 246087 648988. 2245.63 1.76 0.226187 0.197378 26050 158493 -1 2291 25 1915 2601 250174 70472 3.35447 3.35447 -133.03 -3.35447 0 0 828058. 2865.25 0.03 0.11 0.13 -1 -1 0.03 0.0331884 0.0289165 78 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 3.96 vpr 63.38 MiB -1 -1 0.24 18420 1 0.03 -1 -1 30336 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64896 32 32 323 276 1 148 87 17 17 289 -1 unnamed_device 24.3 MiB 0.59 786 10071 3578 4718 1775 63.4 MiB 0.09 0.00 2.31531 -95.0474 -2.31531 2.31531 0.34 0.000651323 0.000604716 0.0365085 0.0338373 -1 -1 -1 -1 40 1891 23 6.95648e+06 332941 706193. 2443.58 1.30 0.171573 0.148913 26914 176310 -1 1667 24 1362 2073 174745 41485 2.52138 2.52138 -102.073 -2.52138 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0295211 0.02561 65 65 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 3.21 vpr 63.24 MiB -1 -1 0.21 18132 1 0.03 -1 -1 30076 -1 -1 11 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64760 30 32 222 206 1 114 73 17 17 289 -1 unnamed_device 23.7 MiB 0.15 430 7217 2897 3955 365 63.2 MiB 0.06 0.00 2.19546 -77.9644 -2.19546 2.19546 0.34 0.000496364 0.000461914 0.0252717 0.023525 -1 -1 -1 -1 38 1129 22 6.95648e+06 159232 678818. 2348.85 1.10 0.125104 0.108162 26626 170182 -1 802 21 683 938 55231 15482 2.06418 2.06418 -79.525 -2.06418 0 0 902133. 3121.57 0.04 0.05 0.14 -1 -1 0.04 0.0206062 0.0179189 44 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 4.62 vpr 63.34 MiB -1 -1 0.24 18252 1 0.03 -1 -1 30452 -1 -1 14 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64860 31 32 291 243 1 166 77 17 17 289 -1 unnamed_device 24.2 MiB 1.53 1007 11976 3355 7225 1396 63.3 MiB 0.10 0.00 4.40603 -142.381 -4.40603 4.40603 0.33 0.000608611 0.000566303 0.0470857 0.043836 -1 -1 -1 -1 34 2380 24 6.95648e+06 202660 618332. 2139.56 1.06 0.169043 0.147544 25762 151098 -1 2003 20 1207 1675 119064 27189 3.98032 3.98032 -146.92 -3.98032 0 0 787024. 2723.27 0.03 0.06 0.12 -1 -1 0.03 0.0247293 0.0215758 68 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 4.70 vpr 63.46 MiB -1 -1 0.26 18472 1 0.03 -1 -1 30432 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64984 32 32 342 271 1 172 91 17 17 289 -1 unnamed_device 24.5 MiB 0.23 777 13351 4773 6428 2150 63.5 MiB 0.11 0.00 3.69009 -122.34 -3.69009 3.69009 0.33 0.000688999 0.000639455 0.047794 0.0443687 -1 -1 -1 -1 40 2451 40 6.95648e+06 390843 706193. 2443.58 2.28 0.208193 0.181318 26914 176310 -1 1989 23 1600 2424 223141 68263 3.89406 3.89406 -135.843 -3.89406 0 0 926341. 3205.33 0.04 0.12 0.15 -1 -1 0.04 0.0334966 0.029147 79 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 4.94 vpr 63.62 MiB -1 -1 0.25 18268 1 0.03 -1 -1 30280 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65148 32 32 372 300 1 200 80 17 17 289 -1 unnamed_device 24.6 MiB 1.09 929 10228 2968 5474 1786 63.6 MiB 0.10 0.00 4.43786 -126.086 -4.43786 4.43786 0.33 0.000725922 0.000674137 0.0459557 0.042749 -1 -1 -1 -1 52 2444 39 6.95648e+06 231611 926341. 3205.33 1.71 0.211794 0.184632 29218 227130 -1 1697 21 1603 2467 172559 43849 3.84822 3.84822 -125.024 -3.84822 0 0 1.14541e+06 3963.36 0.04 0.08 0.18 -1 -1 0.04 0.0302014 0.0263891 82 62 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 2.81 vpr 63.27 MiB -1 -1 0.22 18188 1 0.02 -1 -1 30624 -1 -1 14 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64784 26 32 190 182 1 104 72 17 17 289 -1 unnamed_device 23.9 MiB 0.33 469 6926 2796 3691 439 63.3 MiB 0.05 0.00 2.27636 -67.9936 -2.27636 2.27636 0.35 0.000429337 0.000398799 0.0215449 0.020025 -1 -1 -1 -1 32 1196 21 6.95648e+06 202660 586450. 2029.24 0.59 0.0725739 0.0635235 25474 144626 -1 953 24 615 774 90885 31923 2.28603 2.28603 -73.7824 -2.28603 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0199648 0.017303 43 30 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 8.18 vpr 63.14 MiB -1 -1 0.15 17796 1 0.03 -1 -1 30324 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64656 32 32 285 227 1 159 81 17 17 289 -1 unnamed_device 24.1 MiB 0.45 719 9531 2772 5216 1543 63.1 MiB 0.09 0.00 4.35141 -115.734 -4.35141 4.35141 0.34 0.00062324 0.000578477 0.03622 0.0336562 -1 -1 -1 -1 42 2136 41 6.95648e+06 246087 744469. 2576.02 5.73 0.308778 0.265688 27202 183097 -1 1474 23 1312 2219 179928 44050 4.15656 4.15656 -120.492 -4.15656 0 0 949917. 3286.91 0.04 0.08 0.15 -1 -1 0.04 0.0278794 0.0242966 66 3 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 2.64 vpr 63.15 MiB -1 -1 0.11 17612 1 0.03 -1 -1 29988 -1 -1 10 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64664 32 32 173 169 1 111 74 17 17 289 -1 unnamed_device 23.8 MiB 0.10 456 10459 4198 5595 666 63.1 MiB 0.07 0.00 2.13126 -69.3153 -2.13126 2.13126 0.31 0.00042468 0.000394535 0.0302253 0.0280834 -1 -1 -1 -1 36 1204 23 6.95648e+06 144757 648988. 2245.63 0.91 0.11542 0.100517 26050 158493 -1 915 21 632 749 65534 16835 2.05118 2.05118 -75.2428 -2.05118 0 0 828058. 2865.25 0.03 0.05 0.13 -1 -1 0.03 0.0176879 0.0153969 43 3 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 3.91 vpr 63.34 MiB -1 -1 0.23 18124 1 0.03 -1 -1 30152 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64864 32 32 300 245 1 159 83 17 17 289 -1 unnamed_device 24.2 MiB 0.46 705 11063 3344 5374 2345 63.3 MiB 0.09 0.00 4.42909 -119.059 -4.42909 4.42909 0.34 0.000632235 0.000588523 0.0414738 0.0385764 -1 -1 -1 -1 42 1926 27 6.95648e+06 275038 744469. 2576.02 1.35 0.176041 0.153466 27202 183097 -1 1512 20 1112 1881 126839 32424 3.69636 3.69636 -116.75 -3.69636 0 0 949917. 3286.91 0.04 0.07 0.15 -1 -1 0.04 0.0258126 0.0225697 67 24 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 3.35 vpr 63.43 MiB -1 -1 0.22 17828 1 0.03 -1 -1 30316 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64956 32 32 297 233 1 170 91 17 17 289 -1 unnamed_device 24.2 MiB 0.18 1111 14983 4654 8769 1560 63.4 MiB 0.12 0.00 2.9965 -108.481 -2.9965 2.9965 0.33 0.000637683 0.000592435 0.0491689 0.0456907 -1 -1 -1 -1 36 2380 21 6.95648e+06 390843 648988. 2245.63 1.14 0.174104 0.152271 26050 158493 -1 2077 22 1257 1942 162231 34962 3.00062 3.00062 -113.678 -3.00062 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0273556 0.0238501 77 3 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 4.13 vpr 64.05 MiB -1 -1 0.25 18384 1 0.03 -1 -1 30268 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65592 32 32 338 277 1 172 87 17 17 289 -1 unnamed_device 24.3 MiB 0.61 815 11991 3979 6088 1924 64.1 MiB 0.06 0.00 4.25013 -125.291 -4.25013 4.25013 0.25 0.00030205 0.000277272 0.0210826 0.0194187 -1 -1 -1 -1 44 2504 50 6.95648e+06 332941 787024. 2723.27 1.53 0.179622 0.15507 27778 195446 -1 1851 23 1415 2385 195085 45432 4.07246 4.07246 -131.405 -4.07246 0 0 997811. 3452.63 0.06 0.10 0.16 -1 -1 0.06 0.0384545 0.0340278 74 50 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 3.59 vpr 63.65 MiB -1 -1 0.18 18148 1 0.03 -1 -1 30076 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65176 32 32 284 241 1 139 79 17 17 289 -1 unnamed_device 24.3 MiB 0.44 636 11740 4501 5598 1641 63.6 MiB 0.10 0.00 2.8872 -98.3416 -2.8872 2.8872 0.33 0.000600815 0.000558186 0.0439891 0.0409118 -1 -1 -1 -1 36 2014 26 6.95648e+06 217135 648988. 2245.63 1.18 0.166398 0.144912 26050 158493 -1 1620 23 1058 1616 135085 32903 3.47502 3.47502 -113.126 -3.47502 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0266523 0.0231135 57 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 3.40 vpr 63.58 MiB -1 -1 0.14 18124 1 0.03 -1 -1 30096 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65108 30 32 262 227 1 134 84 17 17 289 -1 unnamed_device 24.0 MiB 0.24 598 12894 4167 6970 1757 63.6 MiB 0.10 0.00 3.17414 -94.0877 -3.17414 3.17414 0.34 0.000573241 0.00052658 0.0418466 0.0387546 -1 -1 -1 -1 38 1729 50 6.95648e+06 318465 678818. 2348.85 1.28 0.180547 0.156592 26626 170182 -1 1167 20 965 1502 93858 23736 2.85952 2.85952 -93.9328 -2.85952 0 0 902133. 3121.57 0.04 0.06 0.14 -1 -1 0.04 0.0228669 0.0198687 60 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 3.67 vpr 63.50 MiB -1 -1 0.17 18060 1 0.03 -1 -1 30096 -1 -1 21 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65028 28 32 260 223 1 135 81 17 17 289 -1 unnamed_device 23.9 MiB 0.20 526 9881 3467 4910 1504 63.5 MiB 0.08 0.00 2.9041 -89.8524 -2.9041 2.9041 0.35 0.000561846 0.000519378 0.0338285 0.0314291 -1 -1 -1 -1 44 1510 25 6.95648e+06 303989 787024. 2723.27 1.54 0.153363 0.133271 27778 195446 -1 1252 20 976 1638 120916 31896 3.14012 3.14012 -100.347 -3.14012 0 0 997811. 3452.63 0.04 0.06 0.16 -1 -1 0.04 0.0226749 0.0197469 60 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 3.36 vpr 63.54 MiB -1 -1 0.20 17740 1 0.02 -1 -1 30304 -1 -1 13 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65060 32 32 253 210 1 149 77 17 17 289 -1 unnamed_device 23.9 MiB 0.12 872 7412 1986 4690 736 63.5 MiB 0.07 0.00 3.33963 -114.641 -3.33963 3.33963 0.34 0.000569591 0.000529726 0.0281963 0.026277 -1 -1 -1 -1 36 2003 21 6.95648e+06 188184 648988. 2245.63 1.37 0.144816 0.125617 26050 158493 -1 1836 21 1303 1920 176626 40606 3.03682 3.03682 -116.712 -3.03682 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0242923 0.0211886 59 3 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 3.55 vpr 63.59 MiB -1 -1 0.23 18256 1 0.03 -1 -1 30228 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65120 31 32 271 231 1 143 88 17 17 289 -1 unnamed_device 24.3 MiB 0.20 648 8278 1868 6118 292 63.6 MiB 0.08 0.00 3.26818 -103.77 -3.26818 3.26818 0.34 0.000593505 0.000551506 0.0271878 0.0252563 -1 -1 -1 -1 38 2153 30 6.95648e+06 361892 678818. 2348.85 1.39 0.152159 0.131517 26626 170182 -1 1564 20 1067 1757 135431 31600 3.25942 3.25942 -106.518 -3.25942 0 0 902133. 3121.57 0.04 0.06 0.14 -1 -1 0.04 0.0239767 0.0209029 64 30 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 4.42 vpr 63.29 MiB -1 -1 0.23 18468 1 0.03 -1 -1 30364 -1 -1 20 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64812 29 32 291 250 1 148 81 17 17 289 -1 unnamed_device 24.3 MiB 0.59 689 10931 4549 5926 456 63.3 MiB 0.09 0.00 2.41246 -88.2683 -2.41246 2.41246 0.33 0.000602501 0.000557259 0.0397933 0.0369758 -1 -1 -1 -1 40 1875 45 6.95648e+06 289514 706193. 2443.58 1.73 0.181929 0.157764 26914 176310 -1 1563 42 1500 2246 323184 138737 2.60743 2.60743 -96.5026 -2.60743 0 0 926341. 3205.33 0.04 0.16 0.11 -1 -1 0.04 0.0441744 0.0379057 63 54 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 4.57 vpr 64.26 MiB -1 -1 0.26 18484 1 0.03 -1 -1 30504 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65800 32 32 367 282 1 193 97 17 17 289 -1 unnamed_device 24.6 MiB 0.36 911 15193 4522 7149 3522 64.3 MiB 0.12 0.00 4.10963 -121.284 -4.10963 4.10963 0.35 0.000733576 0.00068136 0.0533783 0.0495534 -1 -1 -1 -1 46 2654 40 6.95648e+06 477698 828058. 2865.25 1.98 0.238984 0.209506 28066 200906 -1 2029 21 1409 2479 179633 42774 3.83601 3.83601 -125.609 -3.83601 0 0 1.01997e+06 3529.29 0.04 0.08 0.14 -1 -1 0.04 0.0318055 0.0278818 91 29 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 4.86 vpr 63.55 MiB -1 -1 0.24 18284 1 0.03 -1 -1 30264 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65076 32 32 391 311 1 184 96 17 17 289 -1 unnamed_device 24.4 MiB 0.67 852 12579 4217 6162 2200 63.6 MiB 0.11 0.00 3.29124 -119.942 -3.29124 3.29124 0.34 0.000754507 0.000699971 0.0463982 0.0429819 -1 -1 -1 -1 42 2741 45 6.95648e+06 463222 744469. 2576.02 1.76 0.231627 0.201417 27202 183097 -1 1995 26 2374 3598 278943 63812 3.29522 3.29522 -125.452 -3.29522 0 0 949917. 3286.91 0.04 0.11 0.15 -1 -1 0.04 0.0369752 0.032182 88 65 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 4.32 vpr 63.72 MiB -1 -1 0.23 18492 1 0.03 -1 -1 30088 -1 -1 14 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65252 31 32 279 237 1 159 77 17 17 289 -1 unnamed_device 24.1 MiB 1.07 923 4641 970 3392 279 63.7 MiB 0.05 0.00 3.45953 -115.111 -3.45953 3.45953 0.34 0.00059312 0.000551745 0.0189438 0.0176431 -1 -1 -1 -1 38 2198 25 6.95648e+06 202660 678818. 2348.85 1.20 0.138612 0.119344 26626 170182 -1 1870 18 1282 1896 144452 30973 3.28127 3.28127 -120.235 -3.28127 0 0 902133. 3121.57 0.04 0.08 0.14 -1 -1 0.04 0.0271805 0.0236815 65 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 4.39 vpr 63.48 MiB -1 -1 0.19 18352 1 0.03 -1 -1 30488 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65004 31 32 370 297 1 179 82 17 17 289 -1 unnamed_device 24.5 MiB 0.36 1030 14678 5373 7408 1897 63.5 MiB 0.14 0.00 3.54403 -122.101 -3.54403 3.54403 0.33 0.000726016 0.000673707 0.0622537 0.0578277 -1 -1 -1 -1 36 2898 48 6.95648e+06 275038 648988. 2245.63 1.97 0.237133 0.206369 26050 158493 -1 2366 22 1701 2645 238663 49339 3.48312 3.48312 -126.498 -3.48312 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0312003 0.0272047 78 61 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 6.58 vpr 64.27 MiB -1 -1 0.22 18396 1 0.03 -1 -1 30336 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65816 31 32 377 302 1 231 84 17 17 289 -1 unnamed_device 24.8 MiB 1.38 1092 14907 5405 6951 2551 64.3 MiB 0.14 0.00 5.13305 -160.198 -5.13305 5.13305 0.33 0.000728982 0.000677645 0.0620603 0.0576185 -1 -1 -1 -1 38 3641 48 6.95648e+06 303989 678818. 2348.85 3.11 0.241231 0.210654 26626 170182 -1 2709 23 2659 3824 318166 75097 5.90996 5.90996 -188.043 -5.90996 0 0 902133. 3121.57 0.04 0.11 0.14 -1 -1 0.04 0.0342497 0.0299672 99 64 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 5.22 vpr 63.68 MiB -1 -1 0.15 18344 1 0.03 -1 -1 30392 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65204 31 32 383 305 1 201 81 17 17 289 -1 unnamed_device 24.6 MiB 1.54 963 8831 3600 4974 257 63.7 MiB 0.10 0.00 4.51849 -147.607 -4.51849 4.51849 0.33 0.000740302 0.000686927 0.040084 0.0372635 -1 -1 -1 -1 46 2550 23 6.95648e+06 260562 828058. 2865.25 1.84 0.197759 0.172316 28066 200906 -1 2108 24 1754 2724 244803 58671 4.58496 4.58496 -156.736 -4.58496 0 0 1.01997e+06 3529.29 0.04 0.06 0.11 -1 -1 0.04 0.0192974 0.0171528 89 64 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 4.29 vpr 64.19 MiB -1 -1 0.25 18336 1 0.05 -1 -1 30464 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65732 31 32 352 285 1 177 86 17 17 289 -1 unnamed_device 24.6 MiB 0.69 836 15584 6637 8372 575 64.2 MiB 0.14 0.00 3.50353 -115.007 -3.50353 3.50353 0.34 0.000696254 0.000646077 0.0603413 0.0560609 -1 -1 -1 -1 46 2226 24 6.95648e+06 332941 828058. 2865.25 1.49 0.206267 0.180772 28066 200906 -1 1859 21 1547 2459 208487 51447 3.12967 3.12967 -112.688 -3.12967 0 0 1.01997e+06 3529.29 0.04 0.09 0.16 -1 -1 0.04 0.0298209 0.0260802 79 55 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 4.17 vpr 63.23 MiB -1 -1 0.23 18408 1 0.03 -1 -1 30400 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64752 32 32 291 242 1 173 81 17 17 289 -1 unnamed_device 24.1 MiB 0.75 1029 7256 2117 3919 1220 63.2 MiB 0.07 0.00 4.07128 -119.484 -4.07128 4.07128 0.36 0.000615249 0.000572005 0.0277902 0.0258835 -1 -1 -1 -1 38 2561 25 6.95648e+06 246087 678818. 2348.85 1.21 0.152102 0.131659 26626 170182 -1 2189 23 1367 1911 149552 32388 4.21512 4.21512 -128.327 -4.21512 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.0279136 0.0243103 69 27 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 12.22 vpr 63.87 MiB -1 -1 0.27 18584 1 0.03 -1 -1 30348 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65400 32 32 457 356 1 214 92 17 17 289 -1 unnamed_device 24.8 MiB 1.04 993 12305 3758 6533 2014 63.9 MiB 0.13 0.00 4.26748 -142.244 -4.26748 4.26748 0.34 0.000866113 0.00080433 0.0550432 0.051201 -1 -1 -1 -1 44 2869 47 6.95648e+06 405319 787024. 2723.27 8.68 0.442398 0.381185 27778 195446 -1 2145 24 2037 3184 220920 50840 4.15842 4.15842 -145.994 -4.15842 0 0 997811. 3452.63 0.04 0.10 0.16 -1 -1 0.04 0.0399153 0.0347213 97 87 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 3.04 vpr 63.49 MiB -1 -1 0.24 18092 1 0.03 -1 -1 30148 -1 -1 17 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65016 31 32 261 225 1 138 80 17 17 289 -1 unnamed_device 23.8 MiB 0.31 805 10572 3473 6003 1096 63.5 MiB 0.08 0.00 3.0387 -100.92 -3.0387 3.0387 0.35 0.00057442 0.000534081 0.0371536 0.0345751 -1 -1 -1 -1 32 1865 27 6.95648e+06 246087 586450. 2029.24 0.67 0.110966 0.0974875 25474 144626 -1 1628 23 1288 1954 156469 34849 3.10717 3.10717 -108.749 -3.10717 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0255948 0.0221712 58 28 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 4.64 vpr 64.12 MiB -1 -1 0.25 18444 1 0.03 -1 -1 30096 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65660 31 32 337 267 1 199 81 17 17 289 -1 unnamed_device 24.5 MiB 0.94 959 12331 4573 6293 1465 64.1 MiB 0.12 0.00 4.35599 -133.204 -4.35599 4.35599 0.33 0.000690774 0.000642342 0.050665 0.0471173 -1 -1 -1 -1 44 2687 37 6.95648e+06 260562 787024. 2723.27 1.57 0.201815 0.176115 27778 195446 -1 2152 22 1733 2506 224250 51913 4.21236 4.21236 -139.359 -4.21236 0 0 997811. 3452.63 0.04 0.09 0.15 -1 -1 0.04 0.0297026 0.0259228 82 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 4.36 vpr 63.39 MiB -1 -1 0.25 18488 1 0.03 -1 -1 30348 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64916 32 32 349 284 1 175 90 17 17 289 -1 unnamed_device 24.2 MiB 0.44 1076 13557 4204 7841 1512 63.4 MiB 0.12 0.00 3.1047 -113.61 -3.1047 3.1047 0.34 0.000697786 0.000646852 0.0494433 0.0459023 -1 -1 -1 -1 40 2543 44 6.95648e+06 376368 706193. 2443.58 1.74 0.218193 0.190007 26914 176310 -1 2184 21 1482 2483 204735 44012 3.10107 3.10107 -117.005 -3.10107 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0301622 0.026338 78 53 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 4.11 vpr 63.94 MiB -1 -1 0.22 17896 1 0.03 -1 -1 30096 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65476 32 32 291 230 1 161 83 17 17 289 -1 unnamed_device 24.3 MiB 0.30 727 11963 4343 5980 1640 63.9 MiB 0.11 0.00 4.01417 -117.763 -4.01417 4.01417 0.33 0.000633235 0.000588118 0.0441457 0.0410352 -1 -1 -1 -1 40 2427 48 6.95648e+06 275038 706193. 2443.58 1.81 0.195609 0.170038 26914 176310 -1 1865 20 1354 2376 180066 45075 4.48126 4.48126 -138.269 -4.48126 0 0 926341. 3205.33 0.04 0.08 0.12 -1 -1 0.04 0.0257634 0.0225155 70 3 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 7.61 vpr 64.06 MiB -1 -1 0.24 18376 1 0.03 -1 -1 30228 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65600 32 32 353 287 1 190 80 17 17 289 -1 unnamed_device 24.5 MiB 1.44 1085 12464 3736 7369 1359 64.1 MiB 0.13 0.00 4.30115 -139.934 -4.30115 4.30115 0.33 0.00069795 0.000648122 0.0532962 0.0495798 -1 -1 -1 -1 36 2878 26 6.95648e+06 231611 648988. 2245.63 3.98 0.290157 0.251288 26050 158493 -1 2375 20 1401 1873 167467 38936 3.65736 3.65736 -139.409 -3.65736 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0283154 0.0247331 76 55 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 4.43 vpr 64.17 MiB -1 -1 0.24 18416 1 0.03 -1 -1 30200 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65712 32 32 361 291 1 178 93 17 17 289 -1 unnamed_device 24.5 MiB 0.61 1039 16053 5362 8641 2050 64.2 MiB 0.14 0.00 3.1427 -116.449 -3.1427 3.1427 0.33 0.000712805 0.000662165 0.0575263 0.0534164 -1 -1 -1 -1 38 2540 50 6.95648e+06 419795 678818. 2348.85 1.64 0.235934 0.205898 26626 170182 -1 2207 19 1301 2060 151516 33109 3.13107 3.13107 -122.236 -3.13107 0 0 902133. 3121.57 0.04 0.07 0.14 -1 -1 0.04 0.0280609 0.0245724 81 55 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 3.96 vpr 64.15 MiB -1 -1 0.26 18388 1 0.03 -1 -1 30300 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65688 32 32 382 305 1 184 93 17 17 289 -1 unnamed_device 24.5 MiB 0.29 1111 12693 3643 7264 1786 64.1 MiB 0.12 0.00 3.72599 -128.052 -3.72599 3.72599 0.33 0.000745259 0.000691766 0.0478964 0.0445264 -1 -1 -1 -1 38 2710 39 6.95648e+06 419795 678818. 2348.85 1.56 0.214278 0.18655 26626 170182 -1 2296 18 1570 2524 191579 41155 3.34267 3.34267 -126.01 -3.34267 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0273508 0.0239439 87 62 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 3.83 vpr 63.89 MiB -1 -1 0.23 18064 1 0.03 -1 -1 30284 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65424 32 32 306 248 1 160 86 17 17 289 -1 unnamed_device 24.2 MiB 0.41 714 10859 2768 5884 2207 63.9 MiB 0.09 0.00 4.21985 -115.841 -4.21985 4.21985 0.33 0.00064033 0.000594103 0.0392175 0.0364135 -1 -1 -1 -1 42 2281 32 6.95648e+06 318465 744469. 2576.02 1.40 0.175454 0.152417 27202 183097 -1 1639 21 1114 1829 140230 35033 4.10836 4.10836 -123.57 -4.10836 0 0 949917. 3286.91 0.04 0.07 0.15 -1 -1 0.04 0.0269904 0.0236075 70 24 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 5.19 vpr 63.96 MiB -1 -1 0.16 18348 1 0.03 -1 -1 30124 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65500 32 32 319 257 1 191 80 17 17 289 -1 unnamed_device 24.2 MiB 0.86 1059 13152 4245 6889 2018 64.0 MiB 0.12 0.00 4.15748 -130.263 -4.15748 4.15748 0.33 0.000667581 0.000621682 0.0528544 0.0491721 -1 -1 -1 -1 34 2912 47 6.95648e+06 231611 618332. 2139.56 1.80 0.211665 0.184749 25762 151098 -1 2333 20 1653 2232 196885 51361 4.39542 4.39542 -149.722 -4.39542 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0269171 0.0235683 78 29 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 4.67 vpr 63.55 MiB -1 -1 0.25 18368 1 0.03 -1 -1 30284 -1 -1 17 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65080 31 32 373 299 1 197 80 17 17 289 -1 unnamed_device 24.5 MiB 1.00 930 10056 4133 5588 335 63.6 MiB 0.11 0.00 4.17558 -133.773 -4.17558 4.17558 0.34 0.000725494 0.00067284 0.0454953 0.0422525 -1 -1 -1 -1 46 2784 27 6.95648e+06 246087 828058. 2865.25 1.56 0.202735 0.177121 28066 200906 -1 1972 20 1520 2492 179289 40356 4.07152 4.07152 -139.959 -4.07152 0 0 1.01997e+06 3529.29 0.04 0.08 0.16 -1 -1 0.04 0.029942 0.0262333 84 62 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 4.49 vpr 63.43 MiB -1 -1 0.25 18360 1 0.03 -1 -1 30308 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64952 32 32 387 315 1 182 79 17 17 289 -1 unnamed_device 24.4 MiB 0.70 906 10726 4447 5934 345 63.4 MiB 0.11 0.00 3.8179 -122.23 -3.8179 3.8179 0.34 0.000737511 0.000683925 0.0498462 0.046247 -1 -1 -1 -1 46 2708 36 6.95648e+06 217135 828058. 2865.25 1.72 0.212921 0.185283 28066 200906 -1 2190 21 1496 2540 204415 45926 4.18392 4.18392 -139.028 -4.18392 0 0 1.01997e+06 3529.29 0.04 0.08 0.16 -1 -1 0.04 0.0313957 0.027475 76 77 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 3.37 vpr 63.43 MiB -1 -1 0.22 18028 1 0.03 -1 -1 30400 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64956 32 32 251 219 1 136 81 17 17 289 -1 unnamed_device 23.9 MiB 0.16 825 9006 2482 5890 634 63.4 MiB 0.08 0.00 3.18828 -101.201 -3.18828 3.18828 0.33 0.000558565 0.000519336 0.0306682 0.0285554 -1 -1 -1 -1 34 2046 39 6.95648e+06 246087 618332. 2139.56 1.30 0.155921 0.134887 25762 151098 -1 1776 21 1160 1871 162256 35070 2.90052 2.90052 -107.072 -2.90052 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0231961 0.020156 57 23 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 4.57 vpr 63.92 MiB -1 -1 0.24 18288 1 0.03 -1 -1 30140 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65452 32 32 341 285 1 183 79 17 17 289 -1 unnamed_device 24.2 MiB 0.89 876 11740 4893 6611 236 63.9 MiB 0.11 0.00 3.1615 -120.209 -3.1615 3.1615 0.33 0.000675863 0.000627489 0.0490628 0.0456216 -1 -1 -1 -1 42 2500 26 6.95648e+06 217135 744469. 2576.02 1.50 0.18957 0.165472 27202 183097 -1 2089 22 1764 2523 256092 54542 3.40957 3.40957 -131.031 -3.40957 0 0 949917. 3286.91 0.04 0.09 0.15 -1 -1 0.04 0.0290865 0.0252833 73 65 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 6.03 vpr 64.38 MiB -1 -1 0.26 18368 1 0.03 -1 -1 30288 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65928 32 32 387 293 1 226 83 17 17 289 -1 unnamed_device 24.8 MiB 0.86 1199 13043 4951 6384 1708 64.4 MiB 0.14 0.00 4.83158 -154.41 -4.83158 4.83158 0.33 0.000761004 0.000707532 0.0579942 0.0539095 -1 -1 -1 -1 40 3671 50 6.95648e+06 275038 706193. 2443.58 2.97 0.248745 0.217467 26914 176310 -1 2860 23 2338 3633 357287 82327 5.18966 5.18966 -163.933 -5.18966 0 0 926341. 3205.33 0.04 0.11 0.14 -1 -1 0.04 0.0345315 0.0302061 96 31 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 4.34 vpr 63.66 MiB -1 -1 0.24 18432 1 0.03 -1 -1 30448 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65188 32 32 340 270 1 174 84 17 17 289 -1 unnamed_device 24.7 MiB 0.65 977 13809 5452 7559 798 63.7 MiB 0.13 0.00 3.62421 -126.101 -3.62421 3.62421 0.33 0.000696071 0.00064728 0.0547412 0.0508797 -1 -1 -1 -1 34 2540 40 6.95648e+06 289514 618332. 2139.56 1.60 0.212862 0.185771 25762 151098 -1 2157 21 1625 2352 204917 48862 3.21102 3.21102 -133.772 -3.21102 0 0 787024. 2723.27 0.03 0.09 0.13 -1 -1 0.03 0.029578 0.0259059 75 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 6.78 vpr 63.18 MiB -1 -1 0.14 18116 1 0.03 -1 -1 30456 -1 -1 26 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64700 30 32 278 235 1 143 88 17 17 289 -1 unnamed_device 24.2 MiB 0.19 867 11593 3047 7327 1219 63.2 MiB 0.09 0.00 2.9573 -106.081 -2.9573 2.9573 0.33 0.000589669 0.000547262 0.0372024 0.0345632 -1 -1 -1 -1 30 2368 41 6.95648e+06 376368 556674. 1926.21 4.46 0.269464 0.231618 25186 138497 -1 1972 22 1259 2046 175864 37744 3.03062 3.03062 -114.694 -3.03062 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0256528 0.0222828 65 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 5.03 vpr 64.48 MiB -1 -1 0.15 18580 1 0.03 -1 -1 30400 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66024 32 32 431 332 1 227 82 17 17 289 -1 unnamed_device 24.8 MiB 1.43 1077 13076 5478 7292 306 64.5 MiB 0.14 0.00 5.30235 -160.109 -5.30235 5.30235 0.33 0.000839666 0.00078032 0.064804 0.0602835 -1 -1 -1 -1 46 3075 31 6.95648e+06 260562 828058. 2865.25 1.52 0.244686 0.214294 28066 200906 -1 2516 23 2235 3373 300793 65578 4.88325 4.88325 -159.437 -4.88325 0 0 1.01997e+06 3529.29 0.04 0.11 0.16 -1 -1 0.04 0.0373225 0.0326244 95 65 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 4.87 vpr 63.32 MiB -1 -1 0.15 18504 1 0.03 -1 -1 30536 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64840 32 32 336 268 1 169 89 17 17 289 -1 unnamed_device 24.4 MiB 0.98 769 14543 4279 7650 2614 63.3 MiB 0.13 0.00 4.37605 -128.976 -4.37605 4.37605 0.33 0.00068609 0.000637719 0.0529336 0.0491554 -1 -1 -1 -1 36 2640 45 6.95648e+06 361892 648988. 2245.63 1.95 0.220043 0.191748 26050 158493 -1 1899 19 1368 2103 168161 39340 4.52236 4.52236 -147.419 -4.52236 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0268261 0.0234869 75 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 3.23 vpr 63.44 MiB -1 -1 0.21 17720 1 0.03 -1 -1 30332 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64964 32 32 231 199 1 136 81 17 17 289 -1 unnamed_device 23.9 MiB 0.17 862 10581 3669 5472 1440 63.4 MiB 0.08 0.00 2.966 -103.091 -2.966 2.966 0.33 0.000535623 0.000498652 0.0341868 0.0318378 -1 -1 -1 -1 34 2090 46 6.95648e+06 246087 618332. 2139.56 1.15 0.159354 0.137994 25762 151098 -1 1817 19 973 1591 134001 28878 2.85037 2.85037 -106.975 -2.85037 0 0 787024. 2723.27 0.03 0.06 0.12 -1 -1 0.03 0.0206185 0.0179498 55 3 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 9.87 vpr 63.93 MiB -1 -1 0.25 18488 1 0.03 -1 -1 30120 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65464 32 32 349 273 1 184 95 17 17 289 -1 unnamed_device 24.1 MiB 0.34 1079 15647 5481 7915 2251 63.9 MiB 0.14 0.00 4.80547 -133.695 -4.80547 4.80547 0.34 0.000706749 0.000655878 0.0539142 0.0500534 -1 -1 -1 -1 36 3258 49 6.95648e+06 448746 648988. 2245.63 7.26 0.323114 0.280424 26050 158493 -1 2539 33 2032 3613 445607 138907 4.93896 4.93896 -147.631 -4.93896 0 0 828058. 2865.25 0.03 0.17 0.13 -1 -1 0.03 0.0442362 0.0384999 85 29 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 2.85 vpr 63.78 MiB -1 -1 0.22 17988 1 0.03 -1 -1 30204 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65308 32 32 247 207 1 142 80 17 17 289 -1 unnamed_device 24.2 MiB 0.24 726 11088 4552 6360 176 63.8 MiB 0.09 0.00 2.9793 -102.962 -2.9793 2.9793 0.33 0.000555866 0.000516817 0.0383305 0.035649 -1 -1 -1 -1 32 2182 43 6.95648e+06 231611 586450. 2029.24 0.75 0.122287 0.107128 25474 144626 -1 1659 22 1307 1969 160538 36320 3.10097 3.10097 -116.017 -3.10097 0 0 744469. 2576.02 0.03 0.04 0.08 -1 -1 0.03 0.0134192 0.0118545 58 3 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 4.25 vpr 63.77 MiB -1 -1 0.22 18148 1 0.03 -1 -1 30204 -1 -1 25 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65304 30 32 278 235 1 141 87 17 17 289 -1 unnamed_device 24.3 MiB 0.57 775 12567 4624 6004 1939 63.8 MiB 0.10 0.00 3.23198 -106.153 -3.23198 3.23198 0.35 0.000590718 0.000549282 0.0409618 0.0381017 -1 -1 -1 -1 36 1945 49 6.95648e+06 361892 648988. 2245.63 1.58 0.19865 0.172724 26050 158493 -1 1651 24 1219 1928 159003 35682 3.51472 3.51472 -122.539 -3.51472 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0269613 0.0233639 64 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 5.16 vpr 63.41 MiB -1 -1 0.25 18380 1 0.03 -1 -1 30368 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64936 29 32 355 287 1 191 80 17 17 289 -1 unnamed_device 24.5 MiB 1.05 862 13840 5371 6156 2313 63.4 MiB 0.13 0.00 3.49789 -109.385 -3.49789 3.49789 0.33 0.000692113 0.000642977 0.05874 0.0545889 -1 -1 -1 -1 42 2967 32 6.95648e+06 275038 744469. 2576.02 1.93 0.213693 0.18699 27202 183097 -1 2258 20 1883 2806 223583 51789 3.35977 3.35977 -120.027 -3.35977 0 0 949917. 3286.91 0.04 0.08 0.15 -1 -1 0.04 0.0279475 0.0244354 81 62 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 3.65 vpr 63.48 MiB -1 -1 0.25 18396 1 0.03 -1 -1 30320 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65000 32 32 358 289 1 171 83 17 17 289 -1 unnamed_device 24.5 MiB 0.52 803 13763 3951 8222 1590 63.5 MiB 0.13 0.00 4.16158 -131.727 -4.16158 4.16158 0.33 0.000704942 0.00065495 0.0566393 0.0526354 -1 -1 -1 -1 36 2250 32 6.95648e+06 275038 648988. 2245.63 1.05 0.205864 0.179723 26050 158493 -1 1841 23 1629 2363 177966 42287 4.23702 4.23702 -141.59 -4.23702 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.031816 0.0277356 74 54 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 4.55 vpr 63.49 MiB -1 -1 0.26 18396 1 0.03 -1 -1 30200 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65012 32 32 353 285 1 175 84 17 17 289 -1 unnamed_device 24.5 MiB 0.59 796 11064 4038 5325 1701 63.5 MiB 0.10 0.00 4.14068 -130.872 -4.14068 4.14068 0.33 0.000702369 0.000652314 0.0451848 0.0419956 -1 -1 -1 -1 42 2677 27 6.95648e+06 289514 744469. 2576.02 1.44 0.192639 0.167021 27202 183097 -1 2130 23 1519 2391 190633 45446 4.10536 4.10536 -140.291 -4.10536 0 0 949917. 3286.91 0.03 0.08 0.11 -1 -1 0.03 0.0309734 0.0270106 77 51 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 4.60 vpr 63.23 MiB -1 -1 0.21 18088 1 0.03 -1 -1 30048 -1 -1 13 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64748 32 32 276 237 1 153 77 17 17 289 -1 unnamed_device 24.1 MiB 1.51 644 10998 3795 5035 2168 63.2 MiB 0.09 0.00 3.61925 -109.264 -3.61925 3.61925 0.33 0.000591765 0.000550792 0.0421395 0.0392308 -1 -1 -1 -1 52 1752 22 6.95648e+06 188184 926341. 3205.33 1.11 0.157691 0.137524 29218 227130 -1 1433 19 929 1291 99211 26281 3.68382 3.68382 -115.861 -3.68382 0 0 1.14541e+06 3963.36 0.04 0.06 0.18 -1 -1 0.04 0.0228021 0.0199362 60 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 10.07 vpr 63.38 MiB -1 -1 0.24 18568 1 0.02 -1 -1 30416 -1 -1 15 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64896 31 32 319 272 1 165 78 17 17 289 -1 unnamed_device 24.2 MiB 1.36 768 11366 3602 6339 1425 63.4 MiB 0.10 0.00 3.45953 -112.445 -3.45953 3.45953 0.33 0.000648061 0.000602589 0.0466726 0.0434327 -1 -1 -1 -1 40 2406 47 6.95648e+06 217135 706193. 2443.58 6.67 0.308184 0.265354 26914 176310 -1 1941 30 1706 2536 269805 79255 3.50087 3.50087 -130.33 -3.50087 0 0 926341. 3205.33 0.04 0.12 0.14 -1 -1 0.04 0.0358129 0.0310119 66 64 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 3.25 vpr 63.91 MiB -1 -1 0.27 18412 1 0.03 -1 -1 30368 -1 -1 29 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65448 30 32 329 273 1 160 91 17 17 289 -1 unnamed_device 24.2 MiB 0.30 947 13759 4019 7787 1953 63.9 MiB 0.11 0.00 2.9573 -99.2289 -2.9573 2.9573 0.34 0.000661364 0.000614221 0.0471044 0.0437249 -1 -1 -1 -1 32 2590 25 6.95648e+06 419795 586450. 2029.24 0.86 0.131695 0.116029 25474 144626 -1 2085 20 1160 1918 151534 33503 3.03382 3.03382 -110.522 -3.03382 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0264776 0.0230774 75 57 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 3.73 vpr 63.32 MiB -1 -1 0.24 18084 1 0.03 -1 -1 30444 -1 -1 30 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64840 28 32 277 229 1 150 90 17 17 289 -1 unnamed_device 24.3 MiB 0.26 699 13155 4215 6513 2427 63.3 MiB 0.10 0.00 3.68024 -100.002 -3.68024 3.68024 0.34 0.000590512 0.000547588 0.0402274 0.037299 -1 -1 -1 -1 36 2022 23 6.95648e+06 434271 648988. 2245.63 1.45 0.163824 0.142288 26050 158493 -1 1707 21 1234 2106 175260 41349 3.82796 3.82796 -108.147 -3.82796 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0247938 0.0215583 69 27 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 3.49 vpr 63.47 MiB -1 -1 0.25 18336 1 0.03 -1 -1 30352 -1 -1 13 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64992 30 32 317 269 1 146 75 17 17 289 -1 unnamed_device 24.4 MiB 0.47 863 6237 1531 4215 491 63.5 MiB 0.07 0.00 3.28908 -113.416 -3.28908 3.28908 0.36 0.000632647 0.00058805 0.0274035 0.0255013 -1 -1 -1 -1 32 2274 24 6.95648e+06 188184 586450. 2029.24 0.86 0.112217 0.0983551 25474 144626 -1 1886 23 1489 2223 236171 61675 3.44752 3.44752 -129.56 -3.44752 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0294956 0.0257525 60 63 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 5.55 vpr 63.37 MiB -1 -1 0.25 18420 1 0.03 -1 -1 30096 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64888 32 32 335 282 1 178 79 17 17 289 -1 unnamed_device 24.2 MiB 1.20 725 9881 3314 4760 1807 63.4 MiB 0.09 0.00 3.0705 -110.978 -3.0705 3.0705 0.34 0.000673844 0.000626056 0.0414273 0.0385157 -1 -1 -1 -1 44 2495 39 6.95648e+06 217135 787024. 2723.27 2.23 0.198114 0.17215 27778 195446 -1 1640 24 1491 2155 161809 43797 3.51007 3.51007 -123.578 -3.51007 0 0 997811. 3452.63 0.04 0.08 0.16 -1 -1 0.04 0.0307449 0.0267196 70 65 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 8.95 vpr 63.89 MiB -1 -1 0.13 17924 1 0.03 -1 -1 30500 -1 -1 28 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65420 31 32 293 230 1 168 91 17 17 289 -1 unnamed_device 24.2 MiB 0.15 787 13759 4114 7119 2526 63.9 MiB 0.12 0.00 4.03897 -120.276 -4.03897 4.03897 0.36 0.000630466 0.000586078 0.0472538 0.0439103 -1 -1 -1 -1 52 2014 22 6.95648e+06 405319 926341. 3205.33 6.73 0.30885 0.266386 29218 227130 -1 1705 23 1115 1939 162852 38854 3.74066 3.74066 -117.496 -3.74066 0 0 1.14541e+06 3963.36 0.04 0.07 0.18 -1 -1 0.04 0.0284226 0.0247776 77 4 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 5.40 vpr 64.13 MiB -1 -1 0.24 18324 1 0.03 -1 -1 30380 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65672 32 32 350 275 1 201 81 17 17 289 -1 unnamed_device 24.5 MiB 1.27 1129 13906 5547 6652 1707 64.1 MiB 0.15 0.00 4.25269 -145.404 -4.25269 4.25269 0.33 0.00070617 0.000655642 0.0622307 0.0578098 -1 -1 -1 -1 46 2914 38 6.95648e+06 246087 828058. 2865.25 1.96 0.211017 0.18524 28066 200906 -1 2416 22 1670 2541 234855 47792 4.12906 4.12906 -150.919 -4.12906 0 0 1.01997e+06 3529.29 0.04 0.09 0.16 -1 -1 0.04 0.0305654 0.0267071 83 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 4.62 vpr 63.66 MiB -1 -1 0.15 18396 1 0.03 -1 -1 30276 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65184 32 32 385 308 1 176 91 17 17 289 -1 unnamed_device 24.6 MiB 0.98 819 15595 5661 7312 2622 63.7 MiB 0.14 0.00 4.05218 -132.756 -4.05218 4.05218 0.33 0.000744849 0.00069013 0.0600742 0.0556082 -1 -1 -1 -1 50 2360 27 6.95648e+06 390843 902133. 3121.57 1.67 0.221979 0.194369 28642 213929 -1 2023 22 1637 2772 246418 56349 3.87666 3.87666 -139.479 -3.87666 0 0 1.08113e+06 3740.92 0.04 0.07 0.13 -1 -1 0.04 0.0252435 0.0222256 81 65 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 16.43 vpr 63.55 MiB -1 -1 0.26 18480 1 0.03 -1 -1 30332 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65080 32 32 387 309 1 182 98 17 17 289 -1 unnamed_device 24.6 MiB 0.62 897 14273 4946 6877 2450 63.6 MiB 0.12 0.00 4.00566 -132.318 -4.00566 4.00566 0.33 0.000753265 0.00069524 0.0504883 0.0467258 -1 -1 -1 -1 46 2857 41 6.95648e+06 492173 828058. 2865.25 13.84 0.393268 0.33942 28066 200906 -1 2104 30 1911 3270 292350 77784 3.73756 3.73756 -133.991 -3.73756 0 0 1.01997e+06 3529.29 0.04 0.07 0.11 -1 -1 0.04 0.0215404 0.0189431 88 65 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 4.01 vpr 63.94 MiB -1 -1 0.23 18160 1 0.03 -1 -1 30144 -1 -1 13 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65476 30 32 272 232 1 142 75 17 17 289 -1 unnamed_device 24.6 MiB 0.55 593 8133 2655 3990 1488 63.9 MiB 0.08 0.00 3.61927 -103.264 -3.61927 3.61927 0.33 0.000582506 0.000541803 0.0320934 0.0298794 -1 -1 -1 -1 40 1549 26 6.95648e+06 188184 706193. 2443.58 1.39 0.15244 0.132209 26914 176310 -1 1374 30 1432 2394 215506 77818 3.10862 3.10862 -103.255 -3.10862 0 0 926341. 3205.33 0.04 0.10 0.14 -1 -1 0.04 0.032189 0.0277808 58 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 4.30 vpr 64.10 MiB -1 -1 0.25 18376 1 0.05 -1 -1 30372 -1 -1 17 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65636 30 32 375 299 1 179 79 17 17 289 -1 unnamed_device 24.5 MiB 0.35 822 12247 5095 6655 497 64.1 MiB 0.12 0.00 4.01528 -131.428 -4.01528 4.01528 0.33 0.000729912 0.000678291 0.055437 0.051534 -1 -1 -1 -1 38 2443 47 6.95648e+06 246087 678818. 2348.85 1.82 0.230194 0.200509 26626 170182 -1 1798 26 1987 2874 247580 69494 4.01446 4.01446 -137.671 -4.01446 0 0 902133. 3121.57 0.03 0.11 0.14 -1 -1 0.03 0.0354639 0.0308171 79 63 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 5.45 vpr 63.60 MiB -1 -1 0.25 18300 1 0.04 -1 -1 30276 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65128 32 32 340 270 1 193 82 17 17 289 -1 unnamed_device 24.7 MiB 1.18 893 10762 4413 5950 399 63.6 MiB 0.10 0.00 4.53151 -135.826 -4.53151 4.53151 0.34 0.000687293 0.000638631 0.0444127 0.041307 -1 -1 -1 -1 44 2872 50 6.95648e+06 260562 787024. 2723.27 2.17 0.218431 0.190231 27778 195446 -1 2052 21 1735 2824 235601 56350 4.03512 4.03512 -138.699 -4.03512 0 0 997811. 3452.63 0.04 0.09 0.16 -1 -1 0.04 0.0293526 0.0257142 80 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 4.77 vpr 64.19 MiB -1 -1 0.26 18356 1 0.03 -1 -1 30112 -1 -1 16 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65728 31 32 340 275 1 188 79 17 17 289 -1 unnamed_device 24.6 MiB 1.18 809 10557 4353 5772 432 64.2 MiB 0.10 0.00 5.4697 -148.249 -5.4697 5.4697 0.34 0.000674967 0.000626424 0.0447159 0.041509 -1 -1 -1 -1 46 2258 27 6.95648e+06 231611 828058. 2865.25 1.38 0.19357 0.168978 28066 200906 -1 1792 22 1471 2281 155452 36845 4.49291 4.49291 -139.015 -4.49291 0 0 1.01997e+06 3529.29 0.04 0.08 0.16 -1 -1 0.04 0.0297389 0.0260022 81 47 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 5.64 vpr 64.16 MiB -1 -1 0.25 18372 1 0.03 -1 -1 30316 -1 -1 25 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65696 30 32 377 310 1 169 87 17 17 289 -1 unnamed_device 24.5 MiB 1.85 828 12183 4288 5697 2198 64.2 MiB 0.11 0.00 4.07348 -128.624 -4.07348 4.07348 0.33 0.000722386 0.000671113 0.0486037 0.045149 -1 -1 -1 -1 42 2545 40 6.95648e+06 361892 744469. 2576.02 1.70 0.212745 0.18515 27202 183097 -1 1869 21 1189 1829 151869 35413 3.28672 3.28672 -121.376 -3.28672 0 0 949917. 3286.91 0.04 0.08 0.15 -1 -1 0.04 0.0298338 0.0260451 76 83 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 5.05 vpr 64.02 MiB -1 -1 0.24 18500 1 0.03 -1 -1 30344 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65552 32 32 365 294 1 177 80 17 17 289 -1 unnamed_device 24.5 MiB 0.46 1023 14184 5117 6833 2234 64.0 MiB 0.14 0.00 4.17368 -136.691 -4.17368 4.17368 0.33 0.000716408 0.000665585 0.0621141 0.0577054 -1 -1 -1 -1 36 2809 30 6.95648e+06 231611 648988. 2245.63 2.43 0.221147 0.193836 26050 158493 -1 2429 27 2001 3437 348254 79029 4.18562 4.18562 -151.633 -4.18562 0 0 828058. 2865.25 0.03 0.12 0.13 -1 -1 0.03 0.0374475 0.0325502 75 57 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 4.69 vpr 63.45 MiB -1 -1 0.18 18268 1 0.03 -1 -1 30220 -1 -1 25 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64972 29 32 378 310 1 170 86 17 17 289 -1 unnamed_device 24.4 MiB 0.65 761 11048 4050 5112 1886 63.4 MiB 0.10 0.00 3.45953 -109.092 -3.45953 3.45953 0.33 0.00071549 0.000663573 0.0445526 0.0413859 -1 -1 -1 -1 36 2656 42 6.95648e+06 361892 648988. 2245.63 2.06 0.211083 0.183304 26050 158493 -1 2025 23 1711 2675 260514 63101 3.36572 3.36572 -118.625 -3.36572 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0319609 0.0278008 78 85 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 3.67 vpr 63.50 MiB -1 -1 0.21 17852 1 0.03 -1 -1 30432 -1 -1 12 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65024 32 32 243 205 1 140 76 17 17 289 -1 unnamed_device 23.9 MiB 0.62 631 7916 2533 3644 1739 63.5 MiB 0.07 0.00 3.37543 -105.078 -3.37543 3.37543 0.34 0.000557086 0.000517598 0.0302229 0.0281436 -1 -1 -1 -1 36 1928 35 6.95648e+06 173708 648988. 2245.63 1.08 0.134647 0.116993 26050 158493 -1 1572 21 1088 1579 130575 31333 2.97567 2.97567 -108.699 -2.97567 0 0 828058. 2865.25 0.03 0.06 0.13 -1 -1 0.03 0.0238637 0.0206275 55 3 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 6.31 vpr 64.27 MiB -1 -1 0.24 18476 1 0.03 -1 -1 30208 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65816 32 32 373 302 1 170 91 17 17 289 -1 unnamed_device 24.6 MiB 2.58 966 14983 5125 7592 2266 64.3 MiB 0.13 0.00 4.09512 -134.157 -4.09512 4.09512 0.33 0.000729222 0.00067549 0.0568329 0.0526316 -1 -1 -1 -1 40 2364 26 6.95648e+06 390843 706193. 2443.58 1.66 0.207163 0.18114 26914 176310 -1 2242 21 1682 2831 271843 58192 3.95176 3.95176 -140.031 -3.95176 0 0 926341. 3205.33 0.04 0.10 0.14 -1 -1 0.04 0.0280642 0.024833 77 65 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 3.71 vpr 64.22 MiB -1 -1 0.24 18552 1 0.03 -1 -1 30264 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65764 32 32 397 314 1 188 79 17 17 289 -1 unnamed_device 24.6 MiB 0.44 935 9205 3203 4382 1620 64.2 MiB 0.10 0.00 3.95902 -138.777 -3.95902 3.95902 0.33 0.000760295 0.000705497 0.044331 0.0412448 -1 -1 -1 -1 42 2045 22 6.95648e+06 217135 744469. 2576.02 1.16 0.204094 0.177496 27202 183097 -1 1789 22 1663 2452 165404 44310 4.13066 4.13066 -149.629 -4.13066 0 0 949917. 3286.91 0.04 0.08 0.15 -1 -1 0.04 0.0334537 0.0292227 81 65 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 4.48 vpr 63.90 MiB -1 -1 0.23 18232 1 0.03 -1 -1 30408 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65436 32 32 269 231 1 163 78 17 17 289 -1 unnamed_device 24.4 MiB 0.71 737 12528 5238 7008 282 63.9 MiB 0.10 0.00 4.02538 -120.478 -4.02538 4.02538 0.33 0.000578199 0.000537592 0.0458987 0.0426853 -1 -1 -1 -1 40 1817 24 6.95648e+06 202660 706193. 2443.58 1.33 0.16213 0.141492 26914 176310 -1 1590 23 1190 1516 146177 48533 3.34602 3.34602 -114.785 -3.34602 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0262131 0.0228482 64 29 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 2.86 vpr 63.47 MiB -1 -1 0.22 17944 1 0.03 -1 -1 30304 -1 -1 16 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64992 31 32 245 205 1 144 79 17 17 289 -1 unnamed_device 23.9 MiB 0.21 813 9543 2639 6153 751 63.5 MiB 0.08 0.00 3.28943 -107.171 -3.28943 3.28943 0.33 0.000552058 0.000513941 0.0332108 0.0309347 -1 -1 -1 -1 30 2091 23 6.95648e+06 231611 556674. 1926.21 0.75 0.101378 0.0892292 25186 138497 -1 1788 22 1361 2041 160912 34813 2.97572 2.97572 -111.872 -2.97572 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0241773 0.0210133 59 4 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 4.99 vpr 64.19 MiB -1 -1 0.24 18380 1 0.03 -1 -1 30480 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65732 32 32 348 274 1 203 82 17 17 289 -1 unnamed_device 24.6 MiB 1.05 911 13788 5176 6984 1628 64.2 MiB 0.13 0.00 4.12648 -135.504 -4.12648 4.12648 0.33 0.000699074 0.000649372 0.0567967 0.0528143 -1 -1 -1 -1 42 2629 45 6.95648e+06 260562 744469. 2576.02 1.88 0.220087 0.192147 27202 183097 -1 1945 23 2032 2844 200495 50646 3.92702 3.92702 -143.111 -3.92702 0 0 949917. 3286.91 0.03 0.05 0.11 -1 -1 0.03 0.0177332 0.0156956 82 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 5.22 vpr 64.19 MiB -1 -1 0.15 18440 1 0.03 -1 -1 30296 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65728 32 32 356 289 1 195 87 17 17 289 -1 unnamed_device 24.6 MiB 0.74 1160 14487 4690 8180 1617 64.2 MiB 0.13 0.00 4.82888 -148.206 -4.82888 4.82888 0.33 0.000712138 0.000661648 0.0557283 0.0517813 -1 -1 -1 -1 34 3080 48 6.95648e+06 332941 618332. 2139.56 2.48 0.235318 0.205766 25762 151098 -1 2599 30 2158 3120 284278 71454 4.92206 4.92206 -162.846 -4.92206 0 0 787024. 2723.27 0.03 0.12 0.12 -1 -1 0.03 0.0391423 0.0339394 84 56 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 3.98 vpr 63.39 MiB -1 -1 0.24 18200 1 0.03 -1 -1 30160 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64912 32 32 349 260 1 195 93 17 17 289 -1 unnamed_device 24.4 MiB 0.22 1004 12903 4488 6360 2055 63.4 MiB 0.12 0.00 4.68117 -141.413 -4.68117 4.68117 0.33 0.000720343 0.00066916 0.0469684 0.0436423 -1 -1 -1 -1 46 2499 25 6.95648e+06 419795 828058. 2865.25 1.60 0.19455 0.170056 28066 200906 -1 2004 22 1773 2931 237482 50990 4.37331 4.37331 -138.259 -4.37331 0 0 1.01997e+06 3529.29 0.04 0.09 0.16 -1 -1 0.04 0.0311449 0.0272831 90 3 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 7.45 vpr 63.46 MiB -1 -1 0.25 18380 1 0.03 -1 -1 30352 -1 -1 29 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64980 30 32 316 264 1 156 91 17 17 289 -1 unnamed_device 24.3 MiB 0.38 734 12943 4392 6016 2535 63.5 MiB 0.11 0.00 3.44548 -100.751 -3.44548 3.44548 0.34 0.00063693 0.000591025 0.0430139 0.0399316 -1 -1 -1 -1 38 1908 24 6.95648e+06 419795 678818. 2348.85 4.93 0.300969 0.25923 26626 170182 -1 1618 20 1225 2023 135852 31452 3.10282 3.10282 -102.074 -3.10282 0 0 902133. 3121.57 0.04 0.07 0.14 -1 -1 0.04 0.0269448 0.0235565 72 52 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 2.69 vpr 63.54 MiB -1 -1 0.24 18164 1 0.03 -1 -1 30476 -1 -1 19 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65060 27 32 255 219 1 128 78 17 17 289 -1 unnamed_device 24.0 MiB 0.22 722 7050 2096 4338 616 63.5 MiB 0.06 0.00 2.9635 -93.8648 -2.9635 2.9635 0.33 0.000545241 0.00050719 0.0250802 0.0233661 -1 -1 -1 -1 30 1680 25 6.95648e+06 275038 556674. 1926.21 0.56 0.0935952 0.0816389 25186 138497 -1 1383 22 1105 1543 104085 23514 2.95552 2.95552 -103.065 -2.95552 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0237341 0.0205911 59 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 5.41 vpr 64.35 MiB -1 -1 0.26 18844 1 0.03 -1 -1 30304 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65892 32 32 421 327 1 224 82 17 17 289 -1 unnamed_device 24.7 MiB 0.89 1281 15390 5999 6748 2643 64.3 MiB 0.16 0.00 3.89055 -132.538 -3.89055 3.89055 0.33 0.000813615 0.000756466 0.0730744 0.0678737 -1 -1 -1 -1 42 3669 39 6.95648e+06 260562 744469. 2576.02 2.12 0.258872 0.226973 27202 183097 -1 3041 54 3734 6186 866572 315736 4.00842 4.00842 -141.9 -4.00842 0 0 949917. 3286.91 0.04 0.33 0.15 -1 -1 0.04 0.0739409 0.0637852 93 65 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 7.93 vpr 63.49 MiB -1 -1 0.21 18296 1 0.04 -1 -1 30416 -1 -1 17 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65016 31 32 365 296 1 191 80 17 17 289 -1 unnamed_device 24.5 MiB 3.68 1050 11776 4191 5452 2133 63.5 MiB 0.12 0.00 5.15055 -152.017 -5.15055 5.15055 0.35 0.000718301 0.000667584 0.0516793 0.0480382 -1 -1 -1 -1 38 2588 31 6.95648e+06 246087 678818. 2348.85 1.94 0.206918 0.180683 26626 170182 -1 2229 25 1746 2589 260957 73631 4.60096 4.60096 -155.355 -4.60096 0 0 902133. 3121.57 0.04 0.12 0.14 -1 -1 0.04 0.035326 0.030777 81 64 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 6.94 vpr 63.92 MiB -1 -1 0.17 18372 1 0.03 -1 -1 30488 -1 -1 13 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65456 32 32 331 280 1 171 77 17 17 289 -1 unnamed_device 24.2 MiB 3.06 846 8879 3445 4513 921 63.9 MiB 0.09 0.00 3.71344 -127.299 -3.71344 3.71344 0.33 0.000662152 0.000616044 0.0396078 0.0367971 -1 -1 -1 -1 34 2721 49 6.95648e+06 188184 618332. 2139.56 1.88 0.20583 0.178674 25762 151098 -1 2098 21 1594 2255 229437 53251 3.98836 3.98836 -149.148 -3.98836 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0275507 0.0240338 69 65 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 4.40 vpr 63.30 MiB -1 -1 0.24 18352 1 0.03 -1 -1 30464 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64820 32 32 326 263 1 169 91 17 17 289 -1 unnamed_device 24.2 MiB 0.19 870 13759 5668 7640 451 63.3 MiB 0.12 0.00 4.15778 -125.912 -4.15778 4.15778 0.33 0.000671759 0.00062482 0.0479104 0.0444404 -1 -1 -1 -1 38 2577 27 6.95648e+06 390843 678818. 2348.85 2.16 0.187441 0.163621 26626 170182 -1 1942 23 1477 2327 174711 43122 4.19391 4.19391 -133.252 -4.19391 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0297229 0.0258967 78 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 4.48 vpr 63.51 MiB -1 -1 0.13 18436 1 0.03 -1 -1 30584 -1 -1 26 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65032 31 32 373 294 1 188 89 17 17 289 -1 unnamed_device 24.5 MiB 0.47 873 14543 4744 7116 2683 63.5 MiB 0.13 0.00 4.28865 -123.708 -4.28865 4.28865 0.33 0.000725918 0.000673454 0.0562397 0.05222 -1 -1 -1 -1 36 2840 47 6.95648e+06 376368 648988. 2245.63 1.95 0.231301 0.201645 26050 158493 -1 2024 22 1600 2407 161278 40379 4.11982 4.11982 -132.03 -4.11982 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0319741 0.0279464 86 50 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 4.86 vpr 63.29 MiB -1 -1 0.23 18392 1 0.03 -1 -1 30376 -1 -1 26 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64812 30 32 325 268 1 165 88 17 17 289 -1 unnamed_device 24.2 MiB 0.47 772 13153 5458 7079 616 63.3 MiB 0.11 0.00 3.0694 -97.4086 -3.0694 3.0694 0.33 0.000653895 0.000606982 0.0467319 0.0433975 -1 -1 -1 -1 40 2419 50 6.95648e+06 376368 706193. 2443.58 2.36 0.206789 0.179782 26914 176310 -1 1811 25 1374 2302 189877 47043 3.14317 3.14317 -106.853 -3.14317 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0309509 0.0268435 73 51 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 5.54 vpr 64.13 MiB -1 -1 0.23 18252 1 0.03 -1 -1 30276 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65668 32 32 350 275 1 209 82 17 17 289 -1 unnamed_device 24.5 MiB 0.97 990 11296 4658 6209 429 64.1 MiB 0.11 0.00 4.17918 -138.94 -4.17918 4.17918 0.33 0.000701844 0.000651419 0.0471705 0.0438467 -1 -1 -1 -1 56 2616 30 6.95648e+06 260562 973134. 3367.25 2.33 0.203793 0.178063 29794 239141 -1 2154 22 1945 2922 305600 70699 3.94732 3.94732 -136.513 -3.94732 0 0 1.19926e+06 4149.71 0.05 0.11 0.19 -1 -1 0.05 0.0317994 0.0278462 87 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 4.21 vpr 63.58 MiB -1 -1 0.26 18312 1 0.03 -1 -1 30104 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65108 32 32 386 307 1 187 93 17 17 289 -1 unnamed_device 24.5 MiB 0.41 1037 8913 3105 4740 1068 63.6 MiB 0.08 0.00 3.51453 -125.823 -3.51453 3.51453 0.33 0.000744025 0.000689753 0.0342182 0.0318076 -1 -1 -1 -1 34 2812 26 6.95648e+06 419795 618332. 2139.56 1.61 0.190691 0.165539 25762 151098 -1 2314 20 1644 2351 206052 44179 3.21107 3.21107 -131.121 -3.21107 0 0 787024. 2723.27 0.03 0.09 0.12 -1 -1 0.03 0.0303118 0.026521 89 62 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 5.39 vpr 63.66 MiB -1 -1 0.23 18188 1 0.03 -1 -1 30236 -1 -1 14 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65192 29 32 269 229 1 131 75 17 17 289 -1 unnamed_device 24.0 MiB 2.38 503 8765 3597 4670 498 63.7 MiB 0.07 0.00 3.77092 -99.7617 -3.77092 3.77092 0.34 0.000572882 0.00053298 0.0340432 0.0316733 -1 -1 -1 -1 36 1465 44 6.95648e+06 202660 648988. 2245.63 1.01 0.166036 0.14345 26050 158493 -1 1156 28 1151 1593 112172 28468 3.00497 3.00497 -101.661 -3.00497 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0297575 0.0257352 55 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 7.27 vpr 63.48 MiB -1 -1 0.23 18364 1 0.03 -1 -1 30324 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65000 32 32 310 266 1 169 78 17 17 289 -1 unnamed_device 24.3 MiB 0.79 819 11864 4595 6100 1169 63.5 MiB 0.10 0.00 3.1157 -110.455 -3.1157 3.1157 0.33 0.000617386 0.000572641 0.0470302 0.0437382 -1 -1 -1 -1 38 2084 30 6.95648e+06 202660 678818. 2348.85 4.41 0.281604 0.242479 26626 170182 -1 1651 21 1499 1900 148274 33656 3.11207 3.11207 -115.72 -3.11207 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.0261644 0.0227736 66 58 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 13.24 vpr 63.42 MiB -1 -1 0.25 18348 1 0.08 -1 -1 30416 -1 -1 31 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64944 31 32 326 261 1 170 94 17 17 289 -1 unnamed_device 24.2 MiB 0.29 807 17347 5453 8954 2940 63.4 MiB 0.14 0.00 3.99218 -119.823 -3.99218 3.99218 0.33 0.000669928 0.000620402 0.0570896 0.0529137 -1 -1 -1 -1 38 2796 47 6.95648e+06 448746 678818. 2348.85 10.76 0.37726 0.326276 26626 170182 -1 2010 24 1596 2698 256761 68889 4.44846 4.44846 -129.239 -4.44846 0 0 902133. 3121.57 0.03 0.10 0.14 -1 -1 0.03 0.031009 0.0270206 80 33 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 4.22 vpr 63.23 MiB -1 -1 0.25 17992 1 0.03 -1 -1 30348 -1 -1 16 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64752 29 32 262 224 1 162 77 17 17 289 -1 unnamed_device 24.2 MiB 0.73 751 10183 4226 5557 400 63.2 MiB 0.09 0.00 4.02427 -114.705 -4.02427 4.02427 0.34 0.000562056 0.000522712 0.0372432 0.0346484 -1 -1 -1 -1 36 2194 39 6.95648e+06 231611 648988. 2245.63 1.48 0.169094 0.146733 26050 158493 -1 1759 20 1128 1442 125538 30209 3.91432 3.91432 -123.418 -3.91432 0 0 828058. 2865.25 0.03 0.06 0.13 -1 -1 0.03 0.022937 0.0199794 66 31 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 4.19 vpr 63.57 MiB -1 -1 0.20 18176 1 0.03 -1 -1 30004 -1 -1 12 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65096 32 32 278 238 1 144 76 17 17 289 -1 unnamed_device 24.2 MiB 0.99 681 10636 4393 6016 227 63.6 MiB 0.10 0.00 3.83566 -112.084 -3.83566 3.83566 0.33 0.000597626 0.000555977 0.0419891 0.0390707 -1 -1 -1 -1 40 1767 23 6.95648e+06 173708 706193. 2443.58 1.10 0.159787 0.139126 26914 176310 -1 1559 22 1276 1977 179411 40906 3.25122 3.25122 -115.603 -3.25122 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0259194 0.0225752 56 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 3.84 vpr 64.15 MiB -1 -1 0.25 18400 1 0.04 -1 -1 30232 -1 -1 31 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65688 31 32 373 300 1 174 94 17 17 289 -1 unnamed_device 24.5 MiB 0.66 985 14152 4508 7259 2385 64.1 MiB 0.12 0.00 3.38354 -117.396 -3.38354 3.38354 0.33 0.000726175 0.000673176 0.051184 0.0473896 -1 -1 -1 -1 32 2704 47 6.95648e+06 448746 586450. 2029.24 1.07 0.170877 0.149923 25474 144626 -1 2202 25 1863 2662 216690 47859 3.29222 3.29222 -129.148 -3.29222 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0350304 0.0304809 83 64 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 5.48 vpr 63.79 MiB -1 -1 0.24 18100 1 0.05 -1 -1 30472 -1 -1 14 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65324 31 32 265 230 1 159 77 17 17 289 -1 unnamed_device 24.3 MiB 1.45 950 11650 3937 6122 1591 63.8 MiB 0.10 0.00 3.38663 -109.663 -3.38663 3.38663 0.33 0.000568518 0.000528957 0.0431381 0.040133 -1 -1 -1 -1 34 2390 49 6.95648e+06 202660 618332. 2139.56 2.03 0.185926 0.161626 25762 151098 -1 2037 21 1191 1753 178422 38700 3.51307 3.51307 -126.052 -3.51307 0 0 787024. 2723.27 0.03 0.07 0.13 -1 -1 0.03 0.0243167 0.0212093 61 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 4.51 vpr 63.45 MiB -1 -1 0.24 18408 1 0.03 -1 -1 30004 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64972 32 32 349 286 1 165 90 17 17 289 -1 unnamed_device 24.4 MiB 1.00 822 14562 5721 7220 1621 63.4 MiB 0.13 0.00 3.0937 -105.576 -3.0937 3.0937 0.34 0.000692513 0.000642677 0.0530771 0.0492749 -1 -1 -1 -1 38 2169 23 6.95648e+06 376368 678818. 2348.85 1.51 0.197208 0.17227 26626 170182 -1 1668 21 1108 1659 107487 25735 3.21537 3.21537 -111.915 -3.21537 0 0 902133. 3121.57 0.03 0.06 0.14 -1 -1 0.03 0.0286275 0.0249801 73 57 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 5.19 vpr 63.56 MiB -1 -1 0.27 18360 1 0.03 -1 -1 30228 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65084 31 32 396 325 1 176 83 17 17 289 -1 unnamed_device 24.5 MiB 1.40 791 13943 5849 7592 502 63.6 MiB 0.13 0.00 3.42825 -117.952 -3.42825 3.42825 0.33 0.000750253 0.00069628 0.0601711 0.0558522 -1 -1 -1 -1 42 2497 35 6.95648e+06 289514 744469. 2576.02 1.52 0.222922 0.194643 27202 183097 -1 1880 24 1768 2506 200966 44867 3.37547 3.37547 -126.62 -3.37547 0 0 949917. 3286.91 0.04 0.09 0.15 -1 -1 0.04 0.0340165 0.029562 79 91 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 4.10 vpr 63.57 MiB -1 -1 0.23 18476 1 0.03 -1 -1 30404 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65100 32 32 303 262 1 145 75 17 17 289 -1 unnamed_device 24.2 MiB 0.41 624 8133 2287 4280 1566 63.6 MiB 0.08 0.00 2.84005 -97.45 -2.84005 2.84005 0.34 0.000615068 0.000571508 0.0341923 0.031808 -1 -1 -1 -1 38 2058 31 6.95648e+06 159232 678818. 2348.85 1.67 0.176328 0.152991 26626 170182 -1 1514 21 1069 1672 138332 33033 2.91462 2.91462 -105.671 -2.91462 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.025692 0.0223591 58 57 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 4.82 vpr 63.41 MiB -1 -1 0.22 18408 1 0.03 -1 -1 30264 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64932 32 32 290 244 1 172 78 17 17 289 -1 unnamed_device 24.3 MiB 1.00 792 11034 3613 5167 2254 63.4 MiB 0.07 0.00 3.49253 -112.844 -3.49253 3.49253 0.33 0.00027393 0.000252085 0.0263853 0.0243252 -1 -1 -1 -1 40 1946 50 6.95648e+06 202660 706193. 2443.58 1.86 0.141846 0.12237 26914 176310 -1 1609 23 1553 2254 154192 42838 3.54587 3.54587 -120.483 -3.54587 0 0 926341. 3205.33 0.04 0.08 0.10 -1 -1 0.04 0.0278962 0.0243027 68 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 5.05 vpr 63.50 MiB -1 -1 0.22 18352 1 0.03 -1 -1 30236 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65024 32 32 318 257 1 190 80 17 17 289 -1 unnamed_device 24.3 MiB 0.76 833 12292 3904 6196 2192 63.5 MiB 0.11 0.00 4.24288 -124.746 -4.24288 4.24288 0.33 0.000654747 0.00060808 0.0492224 0.0457578 -1 -1 -1 -1 40 2755 42 6.95648e+06 231611 706193. 2443.58 2.25 0.20883 0.182198 26914 176310 -1 1933 21 1493 2038 146228 37477 4.53772 4.53772 -141.377 -4.53772 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.028074 0.024611 76 30 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 3.93 vpr 63.96 MiB -1 -1 0.22 18400 1 0.03 -1 -1 30096 -1 -1 25 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65492 29 32 324 268 1 162 86 17 17 289 -1 unnamed_device 24.2 MiB 0.74 887 12749 3403 8514 832 64.0 MiB 0.11 0.00 3.75349 -106.817 -3.75349 3.75349 0.33 0.000655794 0.000608407 0.0464217 0.0431645 -1 -1 -1 -1 40 2164 22 6.95648e+06 361892 706193. 2443.58 1.12 0.177674 0.155099 26914 176310 -1 1951 20 1088 1806 144618 30982 3.09627 3.09627 -106.348 -3.09627 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.0266385 0.0233104 73 55 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 5.45 vpr 63.77 MiB -1 -1 0.15 18476 1 0.03 -1 -1 30448 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65296 32 32 393 312 1 206 80 17 17 289 -1 unnamed_device 24.6 MiB 1.01 944 10916 4482 6031 403 63.8 MiB 0.11 0.00 4.56271 -145.59 -4.56271 4.56271 0.33 0.0007617 0.000707616 0.0512233 0.0476299 -1 -1 -1 -1 48 2628 27 6.95648e+06 231611 865456. 2994.66 1.87 0.209841 0.183443 28354 207349 -1 2079 21 1946 2867 234108 54401 4.14042 4.14042 -146.526 -4.14042 0 0 1.05005e+06 3633.38 0.04 0.09 0.14 -1 -1 0.04 0.0323215 0.0283278 87 65 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 4.03 vpr 63.54 MiB -1 -1 0.22 17816 1 0.02 -1 -1 30156 -1 -1 14 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65060 31 32 229 197 1 137 77 17 17 289 -1 unnamed_device 23.9 MiB 0.48 850 11487 3276 7037 1174 63.5 MiB 0.09 0.00 3.27643 -98.5204 -3.27643 3.27643 0.34 0.000527239 0.000491076 0.0393632 0.0366305 -1 -1 -1 -1 36 1821 28 6.95648e+06 202660 648988. 2245.63 1.04 0.150269 0.130854 26050 158493 -1 1756 20 923 1477 144346 32454 2.80152 2.80152 -106.687 -2.80152 0 0 828058. 2865.25 0.03 0.06 0.13 -1 -1 0.03 0.0215415 0.0187667 54 4 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 5.05 vpr 64.39 MiB -1 -1 0.26 18384 1 0.03 -1 -1 30276 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65940 32 32 412 334 1 182 92 17 17 289 -1 unnamed_device 24.6 MiB 0.56 1018 12719 4220 7060 1439 64.4 MiB 0.12 0.00 4.01704 -139.112 -4.01704 4.01704 0.33 0.000774835 0.000719138 0.0503286 0.0467212 -1 -1 -1 -1 60 2729 39 6.95648e+06 405319 1051360. 3631.18 2.28 0.228398 0.198782 26050 158493 -1 2244 25 1829 2594 281495 78022 4.65882 4.65882 -161.108 -4.65882 0 0 828058. 2865.25 0.03 0.12 0.14 -1 -1 0.03 0.0371994 0.0323743 84 90 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 5.28 vpr 64.08 MiB -1 -1 0.26 18420 1 0.03 -1 -1 30104 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65620 32 32 376 318 1 154 75 17 17 289 -1 unnamed_device 24.5 MiB 2.11 857 8765 3583 5063 119 64.1 MiB 0.09 0.00 2.94085 -120.064 -2.94085 2.94085 0.33 0.000714357 0.000663612 0.0420536 0.0390847 -1 -1 -1 -1 44 1847 22 6.95648e+06 159232 787024. 2723.27 1.11 0.180668 0.157155 27778 195446 -1 1578 20 1304 1733 146993 30530 3.08702 3.08702 -123.431 -3.08702 0 0 997811. 3452.63 0.04 0.07 0.16 -1 -1 0.04 0.0281884 0.0245793 62 96 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 4.74 vpr 63.46 MiB -1 -1 0.25 18408 1 0.03 -1 -1 30272 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64980 32 32 360 293 1 172 90 17 17 289 -1 unnamed_device 24.5 MiB 0.84 1060 13758 4199 8057 1502 63.5 MiB 0.12 0.00 3.53583 -121.023 -3.53583 3.53583 0.33 0.000709999 0.000659353 0.0526512 0.0488926 -1 -1 -1 -1 38 2535 47 6.95648e+06 376368 678818. 2348.85 1.74 0.236168 0.206262 26626 170182 -1 2063 20 1174 1822 139745 29623 3.04657 3.04657 -117.937 -3.04657 0 0 902133. 3121.57 0.04 0.07 0.14 -1 -1 0.04 0.0281388 0.0245741 78 60 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 5.64 vpr 64.41 MiB -1 -1 0.26 18728 1 0.03 -1 -1 30320 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65952 32 32 396 299 1 227 83 17 17 289 -1 unnamed_device 24.6 MiB 1.34 1049 14123 4672 6739 2712 64.4 MiB 0.14 0.00 5.66009 -162.761 -5.66009 5.66009 0.34 0.000778985 0.000723375 0.0639431 0.059455 -1 -1 -1 -1 44 3292 50 6.95648e+06 275038 787024. 2723.27 1.99 0.261182 0.228743 27778 195446 -1 2492 23 2221 3235 297331 69565 5.40546 5.40546 -173.699 -5.40546 0 0 997811. 3452.63 0.04 0.11 0.16 -1 -1 0.04 0.0363053 0.0317993 95 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 3.46 vpr 63.34 MiB -1 -1 0.21 18316 1 0.03 -1 -1 30164 -1 -1 13 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64856 30 32 224 207 1 132 75 17 17 289 -1 unnamed_device 23.9 MiB 0.73 598 8765 3587 4876 302 63.3 MiB 0.07 0.00 2.40586 -86.9448 -2.40586 2.40586 0.33 0.000499508 0.000464164 0.0294411 0.0273995 -1 -1 -1 -1 32 1744 36 6.95648e+06 188184 586450. 2029.24 0.72 0.100164 0.0874915 25474 144626 -1 1291 24 887 1144 125858 39222 2.31623 2.31623 -92.5665 -2.31623 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0232949 0.0201983 51 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 4.19 vpr 63.58 MiB -1 -1 0.23 18228 1 0.03 -1 -1 30428 -1 -1 14 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65108 30 32 286 239 1 137 76 17 17 289 -1 unnamed_device 24.3 MiB 0.98 540 9676 3970 5266 440 63.6 MiB 0.08 0.00 3.25824 -103.807 -3.25824 3.25824 0.34 0.000600291 0.000557582 0.0387376 0.0360315 -1 -1 -1 -1 46 1523 30 6.95648e+06 202660 828058. 2865.25 1.17 0.165392 0.143579 28066 200906 -1 1133 40 1670 2471 196324 47547 2.94882 2.94882 -105.984 -2.94882 0 0 1.01997e+06 3529.29 0.04 0.10 0.16 -1 -1 0.04 0.0417313 0.0359138 57 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 4.13 vpr 63.31 MiB -1 -1 0.24 18196 1 0.03 -1 -1 30084 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64828 32 32 296 247 1 152 83 17 17 289 -1 unnamed_device 24.3 MiB 0.18 704 10883 3964 5784 1135 63.3 MiB 0.10 0.00 3.0052 -108.002 -3.0052 3.0052 0.34 0.000627047 0.000581936 0.0399603 0.0371595 -1 -1 -1 -1 38 2488 38 6.95648e+06 275038 678818. 2348.85 1.94 0.183808 0.159575 26626 170182 -1 1732 20 1257 2180 161199 38195 3.30322 3.30322 -118.2 -3.30322 0 0 902133. 3121.57 0.04 0.07 0.10 -1 -1 0.04 0.0256107 0.0223878 65 34 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 3.49 vpr 63.66 MiB -1 -1 0.13 18192 1 0.03 -1 -1 30264 -1 -1 25 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65184 25 32 216 194 1 119 82 17 17 289 -1 unnamed_device 24.3 MiB 0.17 476 12186 4413 5265 2508 63.7 MiB 0.08 0.00 3.29759 -75.7686 -3.29759 3.29759 0.33 0.000479342 0.000443744 0.0344437 0.0319592 -1 -1 -1 -1 36 1639 41 6.95648e+06 361892 648988. 2245.63 1.21 0.144471 0.125015 26050 158493 -1 1187 21 863 1360 103903 26463 3.13012 3.13012 -84.3189 -3.13012 0 0 828058. 2865.25 0.03 0.05 0.13 -1 -1 0.03 0.0200072 0.0173432 55 29 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 13.58 vpr 63.39 MiB -1 -1 0.23 18344 1 0.03 -1 -1 30268 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64908 32 32 376 307 1 179 78 17 17 289 -1 unnamed_device 24.4 MiB 0.69 806 10370 3831 4684 1855 63.4 MiB 0.10 0.00 3.9218 -122.886 -3.9218 3.9218 0.34 0.000732764 0.000680223 0.0482376 0.044803 -1 -1 -1 -1 46 2882 47 6.95648e+06 202660 828058. 2865.25 10.53 0.402525 0.346431 28066 200906 -1 1903 23 1645 2734 179694 45607 4.81042 4.81042 -142.631 -4.81042 0 0 1.01997e+06 3529.29 0.04 0.09 0.16 -1 -1 0.04 0.0325787 0.0283871 75 72 -1 -1 -1 -1 + fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 4.72 vpr 64.20 MiB -1 -1 0.27 18484 1 0.03 -1 -1 30260 -1 -1 29 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65740 31 32 409 331 1 183 92 17 17 289 -1 unnamed_device 24.5 MiB 0.67 831 15410 4963 7865 2582 64.2 MiB 0.14 0.00 3.54189 -120.52 -3.54189 3.54189 0.34 0.000763897 0.000707519 0.0603268 0.0560269 -1 -1 -1 -1 38 2488 44 6.95648e+06 419795 678818. 2348.85 1.55 0.246431 0.215283 26626 170182 -1 1851 20 1828 2491 185688 43469 3.70927 3.70927 -134.065 -3.70927 0 0 902133. 3121.57 0.04 0.08 0.11 -1 -1 0.04 0.0306903 0.0268235 88 90 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 5.47 vpr 63.41 MiB -1 -1 0.24 18360 1 0.03 -1 -1 30004 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64928 32 32 354 285 1 206 82 17 17 289 -1 unnamed_device 24.4 MiB 0.68 1115 9160 3671 5291 198 63.4 MiB 0.10 0.00 5.0213 -150.555 -5.0213 5.0213 0.33 0.000699114 0.00064927 0.038701 0.0359596 -1 -1 -1 -1 38 3066 38 6.99608e+06 264882 678818. 2348.85 2.38 0.201348 0.175091 26626 170182 -1 2442 22 1819 2636 197737 43427 4.74741 4.74741 -155.357 -4.74741 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0313512 0.027472 89 50 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 3.84 vpr 63.95 MiB -1 -1 0.26 18408 1 0.03 -1 -1 30356 -1 -1 23 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65480 30 32 363 293 1 224 85 17 17 289 -1 unnamed_device 24.3 MiB 0.38 969 11431 4390 5830 1211 63.9 MiB 0.11 0.00 4.78611 -141.428 -4.78611 4.78611 0.33 0.000704756 0.000654532 0.0460357 0.0427852 -1 -1 -1 -1 46 2503 26 6.99608e+06 338461 828058. 2865.25 1.41 0.18991 0.165526 28066 200906 -1 2046 20 1809 2697 200600 46654 4.22794 4.22794 -137.704 -4.22794 0 0 1.01997e+06 3529.29 0.04 0.08 0.16 -1 -1 0.04 0.0283318 0.0247795 99 63 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 3.77 vpr 63.73 MiB -1 -1 0.24 18448 1 0.03 -1 -1 30260 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65264 32 32 299 247 1 182 82 17 17 289 -1 unnamed_device 24.1 MiB 0.41 783 13254 4112 6373 2769 63.7 MiB 0.11 0.00 3.72729 -109.674 -3.72729 3.72729 0.33 0.000625596 0.000581673 0.0488825 0.0454632 -1 -1 -1 -1 44 2136 24 6.99608e+06 264882 787024. 2723.27 1.30 0.177479 0.155179 27778 195446 -1 1563 22 1258 1664 102584 26082 4.32016 4.32016 -116.534 -4.32016 0 0 997811. 3452.63 0.04 0.06 0.15 -1 -1 0.04 0.0269732 0.0235055 75 29 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 3.90 vpr 63.93 MiB -1 -1 0.24 18404 1 0.03 -1 -1 30412 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65460 29 32 308 248 1 182 80 17 17 289 -1 unnamed_device 24.2 MiB 0.33 873 14700 6300 7739 661 63.9 MiB 0.13 0.00 4.12218 -119.396 -4.12218 4.12218 0.33 0.000647949 0.000602228 0.0560089 0.0519839 -1 -1 -1 -1 38 2737 28 6.99608e+06 279598 678818. 2348.85 1.43 0.186915 0.163373 26626 170182 -1 2014 20 1588 2490 190974 42018 4.12062 4.12062 -126.816 -4.12062 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.0253766 0.0221497 79 31 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 5.24 vpr 63.41 MiB -1 -1 0.24 18248 1 0.03 -1 -1 30408 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64936 32 32 336 268 1 193 82 17 17 289 -1 unnamed_device 24.1 MiB 0.37 974 11296 3601 5275 2420 63.4 MiB 0.11 0.00 4.66527 -143.319 -4.66527 4.66527 0.33 0.000685417 0.000635451 0.0462115 0.0428807 -1 -1 -1 -1 38 3307 46 6.99608e+06 264882 678818. 2348.85 2.75 0.217014 0.188957 26626 170182 -1 2578 23 1862 3131 265507 58674 4.40565 4.40565 -149.749 -4.40565 0 0 902133. 3121.57 0.03 0.10 0.14 -1 -1 0.03 0.031149 0.0271694 87 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 3.99 vpr 64.12 MiB -1 -1 0.25 18460 1 0.03 -1 -1 30224 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65656 32 32 366 295 1 222 93 17 17 289 -1 unnamed_device 24.5 MiB 0.32 1342 16473 4812 9759 1902 64.1 MiB 0.15 0.00 3.42564 -126.443 -3.42564 3.42564 0.35 0.000716456 0.000665914 0.0591357 0.0548933 -1 -1 -1 -1 40 3104 25 6.99608e+06 426755 706193. 2443.58 1.63 0.212083 0.185829 26914 176310 -1 2647 19 1530 2514 186139 40120 3.46136 3.46136 -130.187 -3.46136 0 0 926341. 3205.33 0.03 0.04 0.10 -1 -1 0.03 0.0156043 0.0139329 103 58 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 3.44 vpr 63.46 MiB -1 -1 0.23 18216 1 0.03 -1 -1 30532 -1 -1 18 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64980 27 32 259 221 1 152 77 17 17 289 -1 unnamed_device 23.8 MiB 0.34 572 10835 3549 5392 1894 63.5 MiB 0.09 0.00 3.41253 -95.9445 -3.41253 3.41253 0.33 0.000550141 0.000512325 0.038635 0.0359856 -1 -1 -1 -1 40 1445 25 6.99608e+06 264882 706193. 2443.58 1.11 0.149802 0.130204 26914 176310 -1 1146 23 1101 1637 114171 28235 3.23432 3.23432 -101.208 -3.23432 0 0 926341. 3205.33 0.04 0.06 0.14 -1 -1 0.04 0.0246258 0.0213493 65 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 3.99 vpr 63.69 MiB -1 -1 0.22 17916 1 0.03 -1 -1 30120 -1 -1 27 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65220 31 32 271 219 1 157 90 17 17 289 -1 unnamed_device 24.4 MiB 0.17 727 10140 3383 5019 1738 63.7 MiB 0.08 0.00 2.73675 -88.7663 -2.73675 2.73675 0.33 0.000595211 0.00055369 0.0323175 0.030008 -1 -1 -1 -1 38 2221 27 6.99608e+06 397324 678818. 2348.85 1.89 0.157817 0.136976 26626 170182 -1 1662 18 1028 1750 116894 29647 3.01977 3.01977 -98.9875 -3.01977 0 0 902133. 3121.57 0.03 0.06 0.14 -1 -1 0.03 0.0219675 0.0191938 69 4 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 4.50 vpr 63.79 MiB -1 -1 0.25 18444 1 0.03 -1 -1 30152 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65320 31 32 317 271 1 204 81 17 17 289 -1 unnamed_device 24.0 MiB 0.38 980 13556 5192 6525 1839 63.8 MiB 0.12 0.00 3.3916 -120.616 -3.3916 3.3916 0.33 0.000632362 0.000586806 0.0511023 0.0474599 -1 -1 -1 -1 38 2792 32 6.99608e+06 264882 678818. 2348.85 2.00 0.190909 0.166449 26626 170182 -1 2222 24 1808 2475 223551 47617 3.61137 3.61137 -124.418 -3.61137 0 0 902133. 3121.57 0.03 0.09 0.14 -1 -1 0.03 0.0291509 0.0253137 82 64 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 4.02 vpr 63.21 MiB -1 -1 0.14 18020 1 0.03 -1 -1 30060 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64724 32 32 298 248 1 181 79 17 17 289 -1 unnamed_device 24.0 MiB 0.35 791 13599 5290 6552 1757 63.2 MiB 0.12 0.00 3.40563 -118.497 -3.40563 3.40563 0.33 0.000631423 0.000586605 0.0525301 0.0488504 -1 -1 -1 -1 38 2358 28 6.99608e+06 220735 678818. 2348.85 1.35 0.181427 0.158527 26626 170182 -1 1943 22 1721 2266 184337 41414 3.38457 3.38457 -127.893 -3.38457 0 0 902133. 3121.57 0.03 0.08 0.15 -1 -1 0.03 0.026705 0.0232242 71 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 3.91 vpr 63.83 MiB -1 -1 0.14 18384 1 0.02 -1 -1 30348 -1 -1 17 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65360 30 32 303 262 1 186 79 17 17 289 -1 unnamed_device 24.1 MiB 0.38 935 10726 3410 5769 1547 63.8 MiB 0.10 0.00 3.65413 -119.69 -3.65413 3.65413 0.33 0.000622403 0.000578327 0.0415182 0.0386047 -1 -1 -1 -1 34 2674 29 6.99608e+06 250167 618332. 2139.56 1.60 0.175355 0.152551 25762 151098 -1 2150 21 1592 2149 189331 41893 3.82601 3.82601 -132.963 -3.82601 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0260789 0.0227041 79 63 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 3.33 vpr 63.57 MiB -1 -1 0.22 18000 1 0.03 -1 -1 30076 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65092 32 32 276 237 1 165 78 17 17 289 -1 unnamed_device 23.9 MiB 0.38 879 10204 2698 5461 2045 63.6 MiB 0.09 0.00 3.35769 -110.064 -3.35769 3.35769 0.33 0.000592848 0.000552053 0.0387 0.0360475 -1 -1 -1 -1 38 2132 28 6.99608e+06 206020 678818. 2348.85 0.97 0.160199 0.139218 26626 170182 -1 1867 22 1244 1659 127512 28292 2.95567 2.95567 -112.42 -2.95567 0 0 902133. 3121.57 0.03 0.06 0.14 -1 -1 0.03 0.0255903 0.0222615 65 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 11.21 vpr 64.02 MiB -1 -1 0.23 18352 1 0.03 -1 -1 30428 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65556 32 32 344 272 1 201 82 17 17 289 -1 unnamed_device 24.4 MiB 0.42 989 13788 5751 7551 486 64.0 MiB 0.13 0.00 3.85182 -127.119 -3.85182 3.85182 0.33 0.000695996 0.000645706 0.056467 0.0525052 -1 -1 -1 -1 40 2714 27 6.99608e+06 264882 706193. 2443.58 8.55 0.342056 0.295528 26914 176310 -1 2180 20 1618 2438 198461 44961 3.37586 3.37586 -121.862 -3.37586 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0280737 0.0245708 85 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 4.89 vpr 63.34 MiB -1 -1 0.23 18476 1 0.03 -1 -1 30296 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64860 32 32 363 295 1 228 85 17 17 289 -1 unnamed_device 24.3 MiB 0.49 1022 13849 4401 6534 2914 63.3 MiB 0.13 0.00 4.71142 -142.574 -4.71142 4.71142 0.33 0.000718045 0.000667024 0.055694 0.0517253 -1 -1 -1 -1 40 2905 40 6.99608e+06 309029 706193. 2443.58 2.20 0.220494 0.1923 26914 176310 -1 2198 24 2060 2757 218462 49147 4.43045 4.43045 -143.749 -4.43045 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0331857 0.0289058 96 61 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 4.19 vpr 63.45 MiB -1 -1 0.23 17984 1 0.03 -1 -1 30396 -1 -1 17 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64968 29 32 248 215 1 150 78 17 17 289 -1 unnamed_device 23.9 MiB 0.42 613 11200 4101 5181 1918 63.4 MiB 0.09 0.00 2.92815 -88.5216 -2.92815 2.92815 0.34 0.000549588 0.000511976 0.0389092 0.0361929 -1 -1 -1 -1 38 1745 32 6.99608e+06 250167 678818. 2348.85 1.77 0.160974 0.139927 26626 170182 -1 1239 23 1132 1588 99170 25540 2.83237 2.83237 -92.7805 -2.83237 0 0 902133. 3121.57 0.04 0.06 0.14 -1 -1 0.04 0.0243656 0.0211516 62 27 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 3.79 vpr 64.04 MiB -1 -1 0.24 18272 1 0.03 -1 -1 30268 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65572 32 32 370 297 1 219 84 17 17 289 -1 unnamed_device 24.4 MiB 0.50 1242 14541 4389 8683 1469 64.0 MiB 0.14 0.00 3.57294 -129.308 -3.57294 3.57294 0.27 0.000733224 0.000681738 0.0602396 0.0559376 -1 -1 -1 -1 40 2860 26 6.99608e+06 294314 706193. 2443.58 1.36 0.210573 0.184642 26914 176310 -1 2462 21 1831 2892 205808 44637 3.50651 3.50651 -133.552 -3.50651 0 0 926341. 3205.33 0.03 0.05 0.10 -1 -1 0.03 0.0168444 0.0149738 100 58 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 5.47 vpr 63.98 MiB -1 -1 0.23 18364 1 0.03 -1 -1 30156 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65512 32 32 338 269 1 198 81 17 17 289 -1 unnamed_device 24.1 MiB 0.40 926 14081 5763 7497 821 64.0 MiB 0.13 0.00 3.75386 -119.649 -3.75386 3.75386 0.33 0.000690369 0.0006419 0.059008 0.054879 -1 -1 -1 -1 36 3041 49 6.99608e+06 250167 648988. 2245.63 2.88 0.225835 0.197604 26050 158493 -1 2243 23 1812 2521 243152 58206 3.46452 3.46452 -130.035 -3.46452 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0316333 0.0276185 83 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 4.62 vpr 64.19 MiB -1 -1 0.24 18548 1 0.03 -1 -1 30416 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65728 32 32 323 276 1 210 81 17 17 289 -1 unnamed_device 24.7 MiB 0.42 1112 13206 4762 6252 2192 64.2 MiB 0.12 0.00 2.94164 -116.816 -2.94164 2.94164 0.33 0.000653202 0.000607503 0.0515789 0.0479923 -1 -1 -1 -1 38 2627 37 6.99608e+06 250167 678818. 2348.85 2.14 0.19862 0.173499 26626 170182 -1 2110 21 1395 1843 145157 31738 2.96141 2.96141 -120.522 -2.96141 0 0 902133. 3121.57 0.04 0.07 0.15 -1 -1 0.04 0.0270508 0.0236021 83 65 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 4.12 vpr 63.28 MiB -1 -1 0.22 18208 1 0.02 -1 -1 30056 -1 -1 14 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64796 30 32 222 206 1 135 76 17 17 289 -1 unnamed_device 24.1 MiB 0.27 523 9676 3992 5183 501 63.3 MiB 0.07 0.00 2.34646 -82.0889 -2.34646 2.34646 0.34 0.00050063 0.000465322 0.0318784 0.0296693 -1 -1 -1 -1 36 1749 35 6.99608e+06 206020 648988. 2245.63 1.91 0.147384 0.12768 26050 158493 -1 1244 21 792 906 75118 21380 2.47933 2.47933 -90.621 -2.47933 0 0 828058. 2865.25 0.03 0.05 0.13 -1 -1 0.03 0.0204598 0.0177728 52 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 3.76 vpr 63.25 MiB -1 -1 0.24 18252 1 0.03 -1 -1 30452 -1 -1 15 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64764 31 32 291 243 1 171 78 17 17 289 -1 unnamed_device 24.2 MiB 0.98 897 12528 3726 7288 1514 63.2 MiB 0.11 0.00 4.11552 -130.454 -4.11552 4.11552 0.33 0.000616494 0.000573287 0.048554 0.0451729 -1 -1 -1 -1 32 2509 44 6.99608e+06 220735 586450. 2029.24 0.84 0.142572 0.125226 25474 144626 -1 2068 22 1422 2111 160196 36435 3.85891 3.85891 -139.014 -3.85891 0 0 744469. 2576.02 0.03 0.05 0.08 -1 -1 0.03 0.014895 0.0131639 71 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 4.17 vpr 63.96 MiB -1 -1 0.24 18464 1 0.03 -1 -1 30456 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65496 32 32 342 271 1 200 92 17 17 289 -1 unnamed_device 24.4 MiB 0.31 992 14375 4962 7095 2318 64.0 MiB 0.13 0.00 4.16563 -140.946 -4.16563 4.16563 0.33 0.000690745 0.000640914 0.0506457 0.0470527 -1 -1 -1 -1 38 2851 50 6.99608e+06 412039 678818. 2348.85 1.74 0.218193 0.189998 26626 170182 -1 2021 22 1674 2526 169922 39831 4.27516 4.27516 -146.522 -4.27516 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0297856 0.0259863 92 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 4.94 vpr 64.02 MiB -1 -1 0.15 18424 1 0.04 -1 -1 30296 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65552 32 32 372 300 1 225 84 17 17 289 -1 unnamed_device 24.3 MiB 0.42 1287 11430 3124 6919 1387 64.0 MiB 0.12 0.00 4.28762 -137.056 -4.28762 4.28762 0.34 0.000725791 0.000674218 0.0481271 0.0447389 -1 -1 -1 -1 36 3638 47 6.99608e+06 294314 648988. 2245.63 2.54 0.226737 0.197277 26050 158493 -1 2909 22 2179 3181 270199 57321 4.16172 4.16172 -146.771 -4.16172 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0312828 0.0273115 97 62 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 2.73 vpr 63.21 MiB -1 -1 0.19 18128 1 0.03 -1 -1 30684 -1 -1 16 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64728 26 32 190 182 1 123 74 17 17 289 -1 unnamed_device 23.7 MiB 0.24 509 11389 4872 5794 723 63.2 MiB 0.08 0.00 2.5304 -71.4335 -2.5304 2.5304 0.36 0.000430528 0.000399577 0.0334196 0.0310487 -1 -1 -1 -1 32 1512 40 6.99608e+06 235451 586450. 2029.24 0.67 0.0982233 0.0860666 25474 144626 -1 1053 19 675 830 60421 15815 2.32772 2.32772 -75.6559 -2.32772 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.0167778 0.014619 51 30 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 10.38 vpr 63.32 MiB -1 -1 0.13 17988 1 0.03 -1 -1 30404 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64844 32 32 285 227 1 160 81 17 17 289 -1 unnamed_device 24.0 MiB 0.46 809 9531 2907 4788 1836 63.3 MiB 0.08 0.00 4.23145 -111.771 -4.23145 4.23145 0.34 0.000616405 0.000573415 0.0358425 0.0333522 -1 -1 -1 -1 42 2262 42 6.99608e+06 250167 744469. 2576.02 7.98 0.31279 0.269362 27202 183097 -1 1628 23 1395 2382 183546 47317 3.75671 3.75671 -123.108 -3.75671 0 0 949917. 3286.91 0.04 0.08 0.14 -1 -1 0.04 0.0277763 0.0241395 66 3 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 2.85 vpr 62.96 MiB -1 -1 0.21 17552 1 0.02 -1 -1 30000 -1 -1 10 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64468 32 32 173 169 1 111 74 17 17 289 -1 unnamed_device 23.6 MiB 0.11 432 8289 2731 4140 1418 63.0 MiB 0.06 0.00 2.03911 -66.1576 -2.03911 2.03911 0.34 0.00043102 0.000399846 0.0244169 0.0226669 -1 -1 -1 -1 34 1237 26 6.99608e+06 147157 618332. 2139.56 0.86 0.114623 0.0995893 25762 151098 -1 1004 18 606 753 61569 17014 1.93402 1.93402 -73.9607 -1.93402 0 0 787024. 2723.27 0.03 0.04 0.12 -1 -1 0.03 0.0159198 0.0139087 43 3 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 3.90 vpr 63.24 MiB -1 -1 0.14 17968 1 0.03 -1 -1 30148 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64760 32 32 300 245 1 176 80 17 17 289 -1 unnamed_device 24.2 MiB 0.69 1040 11776 3463 7022 1291 63.2 MiB 0.11 0.00 4.52671 -129.577 -4.52671 4.52671 0.34 0.00063926 0.000594434 0.0462105 0.0429576 -1 -1 -1 -1 34 2581 35 6.99608e+06 235451 618332. 2139.56 1.32 0.187537 0.163395 25762 151098 -1 2179 22 1190 1798 129709 28859 4.32592 4.32592 -137.927 -4.32592 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0275799 0.0240818 73 24 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 3.77 vpr 63.11 MiB -1 -1 0.23 17892 1 0.03 -1 -1 30408 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64620 32 32 297 233 1 170 91 17 17 289 -1 unnamed_device 24.0 MiB 0.17 933 10087 2140 7381 566 63.1 MiB 0.09 0.00 2.84195 -100.69 -2.84195 2.84195 0.34 0.000645685 0.000599204 0.0341485 0.0317824 -1 -1 -1 -1 36 2408 26 6.99608e+06 397324 648988. 2245.63 1.49 0.170187 0.148059 26050 158493 -1 1956 25 1433 2511 172697 38909 3.03892 3.03892 -108.258 -3.03892 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0302885 0.0263341 77 3 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 4.63 vpr 63.82 MiB -1 -1 0.23 18284 1 0.03 -1 -1 30280 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65356 32 32 338 277 1 204 83 17 17 289 -1 unnamed_device 24.3 MiB 0.52 923 8003 1947 5085 971 63.8 MiB 0.09 0.00 4.17173 -123.211 -4.17173 4.17173 0.36 0.000695055 0.000646514 0.0331131 0.0308269 -1 -1 -1 -1 46 2562 33 6.99608e+06 279598 828058. 2865.25 1.99 0.189042 0.16453 28066 200906 -1 1783 21 1525 2326 154442 36408 3.79266 3.79266 -121.653 -3.79266 0 0 1.01997e+06 3529.29 0.04 0.07 0.16 -1 -1 0.04 0.0283844 0.0247975 86 50 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 3.94 vpr 63.37 MiB -1 -1 0.23 18112 1 0.03 -1 -1 30208 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64892 32 32 284 241 1 168 78 17 17 289 -1 unnamed_device 24.1 MiB 0.70 870 11200 3386 5818 1996 63.4 MiB 0.10 0.00 3.16334 -110.479 -3.16334 3.16334 0.35 0.000610997 0.00056735 0.043289 0.0402908 -1 -1 -1 -1 34 2372 25 6.99608e+06 206020 618332. 2139.56 1.18 0.16887 0.147246 25762 151098 -1 1876 20 1333 1908 143533 32529 3.00782 3.00782 -119.005 -3.00782 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0242376 0.0211286 68 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 3.73 vpr 63.51 MiB -1 -1 0.23 18100 1 0.03 -1 -1 30148 -1 -1 16 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65036 30 32 262 227 1 160 78 17 17 289 -1 unnamed_device 23.9 MiB 0.25 863 7216 1781 5114 321 63.5 MiB 0.07 0.00 3.77123 -111.817 -3.77123 3.77123 0.33 0.000564297 0.000525618 0.0265931 0.0247595 -1 -1 -1 -1 34 2210 47 6.99608e+06 235451 618332. 2139.56 1.44 0.1607 0.138405 25762 151098 -1 1918 20 1240 1920 164311 36185 3.63166 3.63166 -117.226 -3.63166 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0228113 0.0198785 65 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 4.12 vpr 63.31 MiB -1 -1 0.24 18092 1 0.03 -1 -1 30088 -1 -1 20 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64832 28 32 260 223 1 152 80 17 17 289 -1 unnamed_device 23.7 MiB 0.28 611 12808 5071 5723 2014 63.3 MiB 0.10 0.00 3.4808 -106.362 -3.4808 3.4808 0.33 0.00055397 0.000515379 0.0431477 0.0400832 -1 -1 -1 -1 42 2077 35 6.99608e+06 294314 744469. 2576.02 1.76 0.166583 0.14464 27202 183097 -1 1523 23 1079 1820 147840 36523 3.50036 3.50036 -112.715 -3.50036 0 0 949917. 3286.91 0.04 0.07 0.17 -1 -1 0.04 0.025129 0.0218287 71 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 3.51 vpr 63.40 MiB -1 -1 0.22 17868 1 0.03 -1 -1 30284 -1 -1 13 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64924 32 32 253 210 1 149 77 17 17 289 -1 unnamed_device 23.9 MiB 0.21 869 10835 2990 6954 891 63.4 MiB 0.09 0.00 3.30043 -111.689 -3.30043 3.30043 0.33 0.000571124 0.000531379 0.0397191 0.036997 -1 -1 -1 -1 38 1933 22 6.99608e+06 191304 678818. 2348.85 1.28 0.154408 0.134664 26626 170182 -1 1681 20 1206 1902 143421 30990 3.03062 3.03062 -114.764 -3.03062 0 0 902133. 3121.57 0.03 0.06 0.14 -1 -1 0.03 0.0227464 0.0198249 59 3 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 3.57 vpr 63.41 MiB -1 -1 0.14 18096 1 0.03 -1 -1 30152 -1 -1 15 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64932 31 32 271 231 1 164 78 17 17 289 -1 unnamed_device 23.8 MiB 0.32 848 11200 4155 5330 1715 63.4 MiB 0.10 0.00 3.30638 -108.083 -3.30638 3.30638 0.33 0.000594049 0.000553686 0.041933 0.0390598 -1 -1 -1 -1 36 2468 47 6.99608e+06 220735 648988. 2245.63 1.38 0.18323 0.159726 26050 158493 -1 1921 22 1298 1864 154372 34321 3.07012 3.07012 -110.48 -3.07012 0 0 828058. 2865.25 0.06 0.08 0.11 -1 -1 0.06 0.0273368 0.02388 65 30 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 3.43 vpr 63.68 MiB -1 -1 0.24 18268 1 0.03 -1 -1 30436 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65208 29 32 291 250 1 177 80 17 17 289 -1 unnamed_device 24.1 MiB 0.41 894 13840 5313 6055 2472 63.7 MiB 0.11 0.00 2.84515 -98.5413 -2.84515 2.84515 0.33 0.000596794 0.000555651 0.0503236 0.0468031 -1 -1 -1 -1 32 2473 34 6.99608e+06 279598 586450. 2029.24 1.01 0.134807 0.118765 25474 144626 -1 2001 19 1322 1717 133560 29772 2.71322 2.71322 -107.002 -2.71322 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0306834 0.0265408 77 54 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 4.30 vpr 64.22 MiB -1 -1 0.13 18472 1 0.03 -1 -1 30424 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65764 32 32 367 282 1 214 86 17 17 289 -1 unnamed_device 24.6 MiB 0.33 1071 15773 6281 8017 1475 64.2 MiB 0.15 0.00 4.08568 -124.656 -4.08568 4.08568 0.33 0.000745003 0.000692275 0.0644112 0.0598632 -1 -1 -1 -1 40 2932 31 6.99608e+06 323745 706193. 2443.58 1.79 0.223533 0.196102 26914 176310 -1 2492 23 1688 2754 246406 62644 4.27126 4.27126 -132.221 -4.27126 0 0 926341. 3205.33 0.04 0.10 0.15 -1 -1 0.04 0.0337608 0.0294516 92 29 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 4.71 vpr 64.26 MiB -1 -1 0.25 18340 1 0.03 -1 -1 30260 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65800 32 32 391 311 1 244 85 17 17 289 -1 unnamed_device 24.5 MiB 0.41 1110 13849 4791 7007 2051 64.3 MiB 0.14 0.00 4.30433 -144.01 -4.30433 4.30433 0.34 0.000749159 0.000695693 0.0590716 0.054864 -1 -1 -1 -1 40 3043 33 6.99608e+06 309029 706193. 2443.58 2.13 0.230733 0.202031 26914 176310 -1 2502 20 2154 3026 234054 53537 3.76996 3.76996 -142.895 -3.76996 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0311704 0.0273359 103 65 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 4.01 vpr 63.41 MiB -1 -1 0.23 18424 1 0.03 -1 -1 30164 -1 -1 15 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64932 31 32 279 237 1 161 78 17 17 289 -1 unnamed_device 24.1 MiB 0.41 816 7714 1803 5626 285 63.4 MiB 0.08 0.00 3.38643 -107.402 -3.38643 3.38643 0.34 0.00059751 0.000556045 0.0297047 0.027674 -1 -1 -1 -1 36 2399 24 6.99608e+06 220735 648988. 2245.63 1.52 0.154298 0.134299 26050 158493 -1 1949 20 1425 2105 168608 36848 3.45772 3.45772 -117.518 -3.45772 0 0 828058. 2865.25 0.03 0.07 0.14 -1 -1 0.03 0.0236433 0.0205908 68 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 3.80 vpr 64.02 MiB -1 -1 0.26 18484 1 0.03 -1 -1 30408 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65556 31 32 370 297 1 227 86 17 17 289 -1 unnamed_device 24.4 MiB 0.43 1217 14072 4085 8314 1673 64.0 MiB 0.07 0.00 3.60415 -128.157 -3.60415 3.60415 0.25 0.000323073 0.000297377 0.0260474 0.0240278 -1 -1 -1 -1 40 2752 44 6.99608e+06 338461 706193. 2443.58 1.49 0.192261 0.16592 26914 176310 -1 2485 21 1636 2359 186290 40291 3.67861 3.67861 -135.017 -3.67861 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0300731 0.0262642 99 61 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 4.59 vpr 63.48 MiB -1 -1 0.28 18352 1 0.03 -1 -1 30284 -1 -1 22 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65008 31 32 377 302 1 235 85 17 17 289 -1 unnamed_device 24.4 MiB 0.41 1068 13477 4250 6738 2489 63.5 MiB 0.13 0.00 5.0573 -155.975 -5.0573 5.0573 0.34 0.000729662 0.00067718 0.05596 0.0519799 -1 -1 -1 -1 44 3434 30 6.99608e+06 323745 787024. 2723.27 1.88 0.217815 0.190647 27778 195446 -1 2342 22 2248 3257 257495 59088 4.44155 4.44155 -147.944 -4.44155 0 0 997811. 3452.63 0.04 0.10 0.16 -1 -1 0.04 0.0325929 0.028472 101 64 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 5.16 vpr 63.97 MiB -1 -1 0.27 18352 1 0.03 -1 -1 30332 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65508 31 32 383 305 1 232 83 17 17 289 -1 unnamed_device 24.3 MiB 0.68 1147 15383 6496 8511 376 64.0 MiB 0.15 0.00 5.06492 -163.184 -5.06492 5.06492 0.34 0.000750941 0.00069742 0.0662737 0.0615342 -1 -1 -1 -1 38 3176 46 6.99608e+06 294314 678818. 2348.85 2.10 0.234457 0.205663 26626 170182 -1 2479 22 2023 2979 230019 52138 4.85294 4.85294 -167.178 -4.85294 0 0 902133. 3121.57 0.03 0.09 0.14 -1 -1 0.03 0.0317897 0.0277524 104 64 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 5.16 vpr 63.35 MiB -1 -1 0.26 18544 1 0.03 -1 -1 30352 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64872 31 32 352 285 1 214 82 17 17 289 -1 unnamed_device 24.3 MiB 0.38 1019 13610 5670 7530 410 63.4 MiB 0.13 0.00 3.37263 -112.521 -3.37263 3.37263 0.33 0.000705211 0.00065402 0.0561183 0.0521268 -1 -1 -1 -1 40 2810 35 6.99608e+06 279598 706193. 2443.58 2.61 0.225775 0.198166 26914 176310 -1 2288 22 1962 2725 235118 52624 3.50752 3.50752 -126.46 -3.50752 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0300899 0.0262347 91 55 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 3.75 vpr 63.11 MiB -1 -1 0.24 18480 1 0.03 -1 -1 30348 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64628 32 32 291 242 1 173 81 17 17 289 -1 unnamed_device 24.1 MiB 0.39 1063 7256 2132 4080 1044 63.1 MiB 0.08 0.00 4.01418 -117.661 -4.01418 4.01418 0.33 0.000615368 0.000571439 0.031284 0.029072 -1 -1 -1 -1 34 2805 40 6.99608e+06 250167 618332. 2139.56 1.31 0.168774 0.145981 25762 151098 -1 2314 22 1392 2043 192281 48457 4.13976 4.13976 -128.557 -4.13976 0 0 787024. 2723.27 0.03 0.08 0.15 -1 -1 0.03 0.0274083 0.0239438 72 27 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 4.73 vpr 64.33 MiB -1 -1 0.27 18520 1 0.03 -1 -1 30504 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65876 32 32 457 356 1 282 90 17 17 289 -1 unnamed_device 24.8 MiB 0.51 1480 11145 2631 7279 1235 64.3 MiB 0.13 0.00 5.0159 -170.173 -5.0159 5.0159 0.33 0.000862738 0.000802054 0.0510521 0.0474476 -1 -1 -1 -1 46 3742 37 6.99608e+06 382608 828058. 2865.25 1.93 0.245099 0.213158 28066 200906 -1 3081 23 2774 4134 293134 61214 4.29144 4.29144 -159.169 -4.29144 0 0 1.01997e+06 3529.29 0.06 0.11 0.14 -1 -1 0.06 0.0395931 0.0345543 126 87 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 3.60 vpr 63.38 MiB -1 -1 0.23 18032 1 0.03 -1 -1 30092 -1 -1 15 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64896 31 32 261 225 1 158 78 17 17 289 -1 unnamed_device 23.7 MiB 0.37 913 8046 2371 4873 802 63.4 MiB 0.07 0.00 3.0313 -102.713 -3.0313 3.0313 0.34 0.000560911 0.000521198 0.0291595 0.0271199 -1 -1 -1 -1 34 2034 47 6.99608e+06 220735 618332. 2139.56 1.25 0.166743 0.144029 25762 151098 -1 1725 21 1339 1771 114329 27257 2.96167 2.96167 -110.352 -2.96167 0 0 787024. 2723.27 0.03 0.06 0.12 -1 -1 0.03 0.0237628 0.020676 66 28 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 3.74 vpr 63.96 MiB -1 -1 0.17 18356 1 0.03 -1 -1 30172 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65492 31 32 337 267 1 199 81 17 17 289 -1 unnamed_device 24.2 MiB 0.41 965 12331 4873 6758 700 64.0 MiB 0.12 0.00 4.27184 -130.101 -4.27184 4.27184 0.33 0.000684963 0.00063708 0.0508616 0.0473272 -1 -1 -1 -1 44 2769 21 6.99608e+06 264882 787024. 2723.27 1.23 0.18346 0.160438 27778 195446 -1 2086 21 1546 2291 176530 41094 4.1282 4.1282 -129.587 -4.1282 0 0 997811. 3452.63 0.04 0.08 0.16 -1 -1 0.04 0.0283282 0.0247052 82 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 10.46 vpr 63.48 MiB -1 -1 0.25 18436 1 0.03 -1 -1 30352 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65000 32 32 349 284 1 213 84 17 17 289 -1 unnamed_device 24.4 MiB 0.48 1191 13992 4155 8133 1704 63.5 MiB 0.14 0.00 3.66629 -124.824 -3.66629 3.66629 0.34 0.000694801 0.000645353 0.0559562 0.0519669 -1 -1 -1 -1 40 2666 46 6.99608e+06 294314 706193. 2443.58 7.95 0.326708 0.282384 26914 176310 -1 2317 21 1526 2374 185764 40833 3.41206 3.41206 -127.799 -3.41206 0 0 926341. 3205.33 0.04 0.08 0.15 -1 -1 0.04 0.0297077 0.0259433 90 53 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 3.79 vpr 63.47 MiB -1 -1 0.19 17892 1 0.03 -1 -1 30060 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64992 32 32 291 230 1 160 83 17 17 289 -1 unnamed_device 24.1 MiB 0.31 700 7283 1615 4577 1091 63.5 MiB 0.07 0.00 4.03897 -114.043 -4.03897 4.03897 0.34 0.000630899 0.000586539 0.0276936 0.0257832 -1 -1 -1 -1 48 1663 24 6.99608e+06 279598 865456. 2994.66 1.56 0.159208 0.138223 28354 207349 -1 1354 20 1080 2022 131033 32760 3.51922 3.51922 -112.458 -3.51922 0 0 1.05005e+06 3633.38 0.04 0.06 0.16 -1 -1 0.04 0.0250322 0.0218621 70 3 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 4.04 vpr 63.96 MiB -1 -1 0.26 18260 1 0.04 -1 -1 30252 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65492 32 32 353 287 1 209 82 17 17 289 -1 unnamed_device 24.4 MiB 0.46 1220 8092 1924 5321 847 64.0 MiB 0.09 0.00 3.85238 -122.663 -3.85238 3.85238 0.34 0.000716879 0.000666652 0.0349023 0.0324524 -1 -1 -1 -1 36 2897 23 6.99608e+06 264882 648988. 2245.63 1.53 0.182246 0.158709 26050 158493 -1 2508 21 1547 2144 170292 36759 3.35806 3.35806 -125.954 -3.35806 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0295558 0.0258317 90 55 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 4.34 vpr 63.87 MiB -1 -1 0.25 18368 1 0.03 -1 -1 30260 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65400 32 32 361 291 1 214 86 17 17 289 -1 unnamed_device 24.3 MiB 0.51 1060 15017 4116 9319 1582 63.9 MiB 0.15 0.00 3.54419 -122.946 -3.54419 3.54419 0.34 0.000718134 0.000666709 0.0599918 0.0557381 -1 -1 -1 -1 40 2643 34 6.99608e+06 323745 706193. 2443.58 1.65 0.219301 0.192347 26914 176310 -1 2295 20 1367 2074 154399 36578 3.38406 3.38406 -125.185 -3.38406 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0292739 0.0256633 94 55 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 4.35 vpr 64.04 MiB -1 -1 0.24 18336 1 0.03 -1 -1 30316 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65576 32 32 382 305 1 237 85 17 17 289 -1 unnamed_device 24.3 MiB 0.40 1296 11617 3501 6509 1607 64.0 MiB 0.12 0.00 3.40153 -127.821 -3.40153 3.40153 0.34 0.000728795 0.0006756 0.0485384 0.0450247 -1 -1 -1 -1 40 3091 24 6.99608e+06 309029 706193. 2443.58 1.54 0.205526 0.179482 26914 176310 -1 2787 21 2247 3062 244642 51360 3.30147 3.30147 -132.163 -3.30147 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0313581 0.0274175 101 62 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 8.78 vpr 63.21 MiB -1 -1 0.24 18544 1 0.03 -1 -1 30308 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64724 32 32 306 248 1 175 79 17 17 289 -1 unnamed_device 24.1 MiB 0.56 773 10557 3392 4846 2319 63.2 MiB 0.09 0.00 4.42393 -121.091 -4.42393 4.42393 0.33 0.000644909 0.000599351 0.0425009 0.0395836 -1 -1 -1 -1 44 2280 23 6.99608e+06 220735 787024. 2723.27 6.17 0.309976 0.267925 27778 195446 -1 1659 22 1225 1897 123580 32019 3.93002 3.93002 -121.037 -3.93002 0 0 997811. 3452.63 0.04 0.07 0.15 -1 -1 0.04 0.0277422 0.0242335 73 24 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 4.66 vpr 63.98 MiB -1 -1 0.24 18476 1 0.03 -1 -1 30104 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65516 32 32 319 257 1 191 80 17 17 289 -1 unnamed_device 24.2 MiB 0.44 991 13496 5705 7457 334 64.0 MiB 0.12 0.00 4.08708 -127 -4.08708 4.08708 0.34 0.000657325 0.000610404 0.0542865 0.0504303 -1 -1 -1 -1 36 3099 50 6.99608e+06 235451 648988. 2245.63 2.10 0.220853 0.192791 26050 158493 -1 2321 22 1827 2483 191245 42998 4.19972 4.19972 -144.247 -4.19972 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0286581 0.025021 79 29 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 3.71 vpr 63.61 MiB -1 -1 0.26 18372 1 0.03 -1 -1 30424 -1 -1 22 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65140 31 32 373 299 1 223 85 17 17 289 -1 unnamed_device 24.5 MiB 0.43 1149 13663 5356 6563 1744 63.6 MiB 0.14 0.00 4.32027 -135.237 -4.32027 4.32027 0.36 0.000721821 0.000670744 0.056146 0.0521455 -1 -1 -1 -1 40 3112 25 6.99608e+06 323745 706193. 2443.58 1.16 0.145809 0.129022 26914 176310 -1 2617 22 2047 3207 244307 54446 3.98626 3.98626 -135.777 -3.98626 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0310617 0.0270555 100 62 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 4.66 vpr 63.48 MiB -1 -1 0.24 18356 1 0.03 -1 -1 30420 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65008 32 32 387 315 1 239 84 17 17 289 -1 unnamed_device 24.4 MiB 0.53 1208 10332 3432 4948 1952 63.5 MiB 0.11 0.00 4.04752 -134.676 -4.04752 4.04752 0.33 0.000750424 0.000697188 0.0448985 0.0417269 -1 -1 -1 -1 40 3452 41 6.99608e+06 294314 706193. 2443.58 1.78 0.214316 0.186475 26914 176310 -1 2865 20 2129 3202 274718 60596 4.10366 4.10366 -143.201 -4.10366 0 0 926341. 3205.33 0.04 0.12 0.18 -1 -1 0.04 0.038728 0.0339315 104 77 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 3.16 vpr 63.21 MiB -1 -1 0.17 18024 1 0.03 -1 -1 30400 -1 -1 12 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64724 32 32 251 219 1 151 76 17 17 289 -1 unnamed_device 23.7 MiB 0.22 902 5996 1423 4213 360 63.2 MiB 0.07 0.00 3.24518 -106.32 -3.24518 3.24518 0.34 0.00056176 0.00052322 0.0230302 0.0214129 -1 -1 -1 -1 38 2061 22 6.99608e+06 176588 678818. 2348.85 1.09 0.135173 0.116914 26626 170182 -1 1739 19 995 1488 114516 24820 2.76922 2.76922 -103.921 -2.76922 0 0 902133. 3121.57 0.03 0.06 0.14 -1 -1 0.03 0.0213902 0.0186452 59 23 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 4.11 vpr 63.32 MiB -1 -1 0.23 18296 1 0.03 -1 -1 30108 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64840 32 32 341 285 1 216 81 17 17 289 -1 unnamed_device 24.1 MiB 0.51 963 12681 4577 6330 1774 63.3 MiB 0.12 0.00 3.65915 -132.458 -3.65915 3.65915 0.35 0.000671298 0.000623569 0.0514028 0.0477746 -1 -1 -1 -1 46 2509 23 6.99608e+06 250167 828058. 2865.25 1.53 0.189082 0.165173 28066 200906 -1 1966 21 1953 2710 199761 47308 3.53811 3.53811 -133.03 -3.53811 0 0 1.01997e+06 3529.29 0.04 0.08 0.16 -1 -1 0.04 0.0279847 0.0243923 88 65 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 4.85 vpr 64.02 MiB -1 -1 0.25 18348 1 0.04 -1 -1 30384 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65556 32 32 387 293 1 225 83 17 17 289 -1 unnamed_device 24.3 MiB 0.37 1148 11603 4480 6146 977 64.0 MiB 0.13 0.00 4.79322 -149.908 -4.79322 4.79322 0.33 0.000758574 0.000704518 0.0522685 0.0485728 -1 -1 -1 -1 42 3540 28 6.99608e+06 279598 744469. 2576.02 2.27 0.216029 0.189054 27202 183097 -1 2743 21 2207 3425 276549 61256 4.80471 4.80471 -157.319 -4.80471 0 0 949917. 3286.91 0.04 0.10 0.19 -1 -1 0.04 0.0331682 0.0290704 97 31 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 4.24 vpr 63.30 MiB -1 -1 0.24 18392 1 0.03 -1 -1 30492 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 32 32 340 270 1 205 82 17 17 289 -1 unnamed_device 24.3 MiB 0.35 959 15212 4883 8030 2299 63.3 MiB 0.15 0.00 3.80886 -124.279 -3.80886 3.80886 0.34 0.000689357 0.000640814 0.0618176 0.057469 -1 -1 -1 -1 38 2738 49 6.99608e+06 264882 678818. 2348.85 1.81 0.234524 0.205095 26626 170182 -1 2188 21 1840 2570 213781 46622 3.35447 3.35447 -125.706 -3.35447 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0285111 0.0248991 83 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 3.31 vpr 63.65 MiB -1 -1 0.20 18240 1 0.03 -1 -1 30404 -1 -1 27 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65176 30 32 278 235 1 166 89 17 17 289 -1 unnamed_device 24.1 MiB 0.29 972 14741 4712 7893 2136 63.6 MiB 0.12 0.00 3.67929 -123.456 -3.67929 3.67929 0.41 0.000594815 0.000553886 0.0460361 0.0427707 -1 -1 -1 -1 32 2419 31 6.99608e+06 397324 586450. 2029.24 0.86 0.127538 0.112502 25474 144626 -1 2045 19 1193 1881 168266 36051 3.54372 3.54372 -126.432 -3.54372 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0230275 0.0200698 76 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 4.75 vpr 64.30 MiB -1 -1 0.25 18672 1 0.04 -1 -1 30328 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65844 32 32 431 332 1 263 86 17 17 289 -1 unnamed_device 24.6 MiB 0.48 1526 16151 5643 8485 2023 64.3 MiB 0.18 0.00 6.01018 -183.823 -6.01018 6.01018 0.34 0.000834646 0.000776046 0.0744245 0.0691575 -1 -1 -1 -1 44 3842 33 6.99608e+06 323745 787024. 2723.27 1.96 0.259845 0.228062 27778 195446 -1 3214 23 2456 3725 329307 66456 5.50175 5.50175 -179.286 -5.50175 0 0 997811. 3452.63 0.04 0.11 0.18 -1 -1 0.04 0.0375638 0.0326918 114 65 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 4.60 vpr 63.37 MiB -1 -1 0.25 18576 1 0.03 -1 -1 30408 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64888 32 32 336 268 1 199 81 17 17 289 -1 unnamed_device 24.1 MiB 0.37 976 11806 4256 5575 1975 63.4 MiB 0.11 0.00 4.44561 -135.394 -4.44561 4.44561 0.34 0.000686046 0.000637794 0.0490031 0.0455539 -1 -1 -1 -1 36 3222 47 6.99608e+06 250167 648988. 2245.63 2.17 0.221023 0.192443 26050 158493 -1 2325 23 1895 2684 240211 56066 4.10882 4.10882 -145.355 -4.10882 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0304866 0.0266015 81 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 3.62 vpr 63.30 MiB -1 -1 0.21 17948 1 0.03 -1 -1 30384 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64820 32 32 231 199 1 136 81 17 17 289 -1 unnamed_device 23.8 MiB 0.22 897 10581 3574 5393 1614 63.3 MiB 0.08 0.00 2.9839 -102.098 -2.9839 2.9839 0.33 0.000533664 0.000496588 0.033913 0.0315609 -1 -1 -1 -1 34 2196 34 6.99608e+06 250167 618332. 2139.56 1.38 0.150854 0.130928 25762 151098 -1 1840 26 1193 1996 220254 56639 3.08997 3.08997 -109.305 -3.08997 0 0 787024. 2723.27 0.05 0.10 0.14 -1 -1 0.05 0.0237841 0.0208379 55 3 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 5.53 vpr 63.25 MiB -1 -1 0.24 18344 1 0.03 -1 -1 30148 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64768 32 32 349 273 1 205 84 17 17 289 -1 unnamed_device 24.0 MiB 0.33 1024 12162 3563 6812 1787 63.2 MiB 0.12 0.00 4.74992 -134.331 -4.74992 4.74992 0.33 0.000709951 0.000659869 0.0494395 0.0459331 -1 -1 -1 -1 38 3208 38 6.99608e+06 294314 678818. 2348.85 3.11 0.226131 0.197464 26626 170182 -1 2108 25 1694 3032 211832 46710 4.84056 4.84056 -141.901 -4.84056 0 0 902133. 3121.57 0.05 0.09 0.14 -1 -1 0.05 0.0353536 0.0309888 86 29 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 3.21 vpr 63.20 MiB -1 -1 0.22 17916 1 0.03 -1 -1 30012 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64712 32 32 247 207 1 142 80 17 17 289 -1 unnamed_device 23.7 MiB 0.21 866 11088 3348 6282 1458 63.2 MiB 0.09 0.00 2.9481 -106.303 -2.9481 2.9481 0.33 0.000561278 0.000522692 0.037899 0.0352534 -1 -1 -1 -1 34 2006 22 6.99608e+06 235451 618332. 2139.56 1.06 0.14698 0.127919 25762 151098 -1 1703 22 1133 1755 130269 28505 3.02582 3.02582 -112.896 -3.02582 0 0 787024. 2723.27 0.03 0.06 0.12 -1 -1 0.03 0.0243051 0.0211582 58 3 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 3.81 vpr 63.04 MiB -1 -1 0.24 18212 1 0.03 -1 -1 30068 -1 -1 17 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64556 30 32 278 235 1 168 79 17 17 289 -1 unnamed_device 24.0 MiB 0.30 752 11740 4912 6360 468 63.0 MiB 0.10 0.00 3.41253 -107.457 -3.41253 3.41253 0.33 0.000591753 0.000549123 0.0432288 0.0402037 -1 -1 -1 -1 40 2016 23 6.99608e+06 250167 706193. 2443.58 1.46 0.163563 0.142696 26914 176310 -1 1707 19 1335 1890 159437 36413 3.31247 3.31247 -115.81 -3.31247 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.0227099 0.0197852 69 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 4.29 vpr 63.26 MiB -1 -1 0.27 18404 1 0.03 -1 -1 30436 -1 -1 21 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64780 29 32 355 287 1 212 82 17 17 289 -1 unnamed_device 24.3 MiB 0.56 971 10584 4301 5673 610 63.3 MiB 0.10 0.00 3.93693 -124.634 -3.93693 3.93693 0.34 0.000694468 0.000645033 0.0440477 0.0409391 -1 -1 -1 -1 46 2926 29 6.99608e+06 309029 828058. 2865.25 1.65 0.196958 0.17169 28066 200906 -1 2198 20 1624 2445 174733 40570 3.73361 3.73361 -126.872 -3.73361 0 0 1.01997e+06 3529.29 0.04 0.08 0.14 -1 -1 0.04 0.0280321 0.0245139 94 62 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 4.50 vpr 63.99 MiB -1 -1 0.26 18504 1 0.03 -1 -1 30380 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65524 32 32 358 289 1 214 83 17 17 289 -1 unnamed_device 24.4 MiB 0.51 934 13583 5682 7380 521 64.0 MiB 0.13 0.00 4.65642 -145.362 -4.65642 4.65642 0.34 0.000711378 0.00065856 0.0561417 0.0521374 -1 -1 -1 -1 48 2466 25 6.99608e+06 279598 865456. 2994.66 1.49 0.204858 0.179431 28354 207349 -1 1965 22 1609 2271 160243 41440 4.30171 4.30171 -147.178 -4.30171 0 0 1.05005e+06 3633.38 0.04 0.08 0.15 -1 -1 0.04 0.0306578 0.0267726 93 54 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 4.57 vpr 63.30 MiB -1 -1 0.23 18280 1 0.03 -1 -1 30332 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64820 32 32 353 285 1 210 82 17 17 289 -1 unnamed_device 24.3 MiB 0.53 1034 11652 4187 4869 2596 63.3 MiB 0.12 0.00 4.57817 -141.46 -4.57817 4.57817 0.34 0.000705394 0.000654779 0.0487977 0.0453192 -1 -1 -1 -1 40 2926 29 6.99608e+06 264882 706193. 2443.58 1.94 0.20213 0.1763 26914 176310 -1 2361 20 1727 2500 217768 50608 4.35045 4.35045 -146.549 -4.35045 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0291737 0.0255574 91 51 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 4.39 vpr 63.36 MiB -1 -1 0.23 18088 1 0.03 -1 -1 30020 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64884 32 32 276 237 1 160 78 17 17 289 -1 unnamed_device 24.0 MiB 0.43 717 10536 3258 5329 1949 63.4 MiB 0.09 0.00 3.47185 -106.975 -3.47185 3.47185 0.34 0.00059033 0.000549384 0.0396756 0.0369536 -1 -1 -1 -1 38 2367 30 6.99608e+06 206020 678818. 2348.85 2.00 0.170913 0.148689 26626 170182 -1 1594 20 1106 1483 117736 27962 3.19256 3.19256 -109.472 -3.19256 0 0 902133. 3121.57 0.03 0.06 0.14 -1 -1 0.03 0.0238866 0.0208314 65 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 11.48 vpr 63.86 MiB -1 -1 0.24 18540 1 0.03 -1 -1 30360 -1 -1 17 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65392 31 32 319 272 1 200 80 17 17 289 -1 unnamed_device 24.1 MiB 0.40 910 13840 5352 6981 1507 63.9 MiB 0.13 0.00 3.37953 -113.019 -3.37953 3.37953 0.33 0.000658184 0.000612997 0.0548772 0.0510597 -1 -1 -1 -1 38 3125 45 6.99608e+06 250167 678818. 2348.85 9.00 0.348548 0.301313 26626 170182 -1 2059 24 1660 2220 175646 42481 3.26622 3.26622 -123.045 -3.26622 0 0 902133. 3121.57 0.04 0.08 0.14 -1 -1 0.04 0.0306563 0.0267178 84 64 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 4.15 vpr 63.91 MiB -1 -1 0.24 18384 1 0.03 -1 -1 30392 -1 -1 24 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65448 30 32 329 273 1 202 86 17 17 289 -1 unnamed_device 24.1 MiB 0.38 1140 8402 1898 5521 983 63.9 MiB 0.09 0.00 3.13779 -108.226 -3.13779 3.13779 0.33 0.000668455 0.000621633 0.0331942 0.0308733 -1 -1 -1 -1 36 2683 34 6.99608e+06 353176 648988. 2245.63 1.81 0.184644 0.160356 26050 158493 -1 2196 22 1529 2204 181565 39630 3.13312 3.13312 -112.78 -3.13312 0 0 828058. 2865.25 0.03 0.05 0.09 -1 -1 0.03 0.0162759 0.0144358 89 57 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 4.25 vpr 63.02 MiB -1 -1 0.24 18120 1 0.03 -1 -1 30384 -1 -1 19 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64536 28 32 277 229 1 167 79 17 17 289 -1 unnamed_device 24.1 MiB 0.27 719 13599 4733 6314 2552 63.0 MiB 0.11 0.00 3.72515 -101.955 -3.72515 3.72515 0.33 0.000583203 0.000542354 0.0492199 0.0457626 -1 -1 -1 -1 40 2312 45 6.99608e+06 279598 706193. 2443.58 1.93 0.189506 0.164953 26914 176310 -1 1802 29 1510 2446 256870 93194 3.79382 3.79382 -112.838 -3.79382 0 0 926341. 3205.33 0.04 0.11 0.14 -1 -1 0.04 0.0322531 0.0279096 69 27 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 3.65 vpr 63.35 MiB -1 -1 0.24 18388 1 0.03 -1 -1 30316 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64868 30 32 317 269 1 200 81 17 17 289 -1 unnamed_device 24.1 MiB 0.37 1051 7256 1625 5219 412 63.3 MiB 0.08 0.00 4.19642 -135.689 -4.19642 4.19642 0.33 0.000641856 0.000596751 0.0288339 0.0268375 -1 -1 -1 -1 36 2537 33 6.99608e+06 279598 648988. 2245.63 1.35 0.166224 0.143652 26050 158493 -1 2196 23 1975 2671 215318 47546 3.80181 3.80181 -135.851 -3.80181 0 0 828058. 2865.25 0.03 0.05 0.09 -1 -1 0.03 0.0159451 0.0140711 84 63 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 5.49 vpr 63.22 MiB -1 -1 0.23 18368 1 0.03 -1 -1 30212 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64740 32 32 335 282 1 216 82 17 17 289 -1 unnamed_device 24.2 MiB 0.39 930 12542 4675 5838 2029 63.2 MiB 0.12 0.00 3.0313 -115.382 -3.0313 3.0313 0.33 0.000665913 0.000617698 0.0493606 0.0458644 -1 -1 -1 -1 40 3163 48 6.99608e+06 264882 706193. 2443.58 2.96 0.210944 0.183496 26914 176310 -1 2259 22 1912 2647 200438 48355 3.52102 3.52102 -127.738 -3.52102 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0293518 0.0255606 88 65 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 3.45 vpr 63.64 MiB -1 -1 0.24 17712 1 0.03 -1 -1 30396 -1 -1 28 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65164 31 32 293 230 1 168 91 17 17 289 -1 unnamed_device 24.0 MiB 0.14 789 12739 3623 6317 2799 63.6 MiB 0.10 0.00 4.02108 -119.999 -4.02108 4.02108 0.33 0.000627348 0.00058362 0.0415505 0.0385961 -1 -1 -1 -1 44 2161 25 6.99608e+06 412039 787024. 2723.27 1.25 0.17201 0.149926 27778 195446 -1 1729 17 1213 2126 141273 34425 3.66052 3.66052 -117.124 -3.66052 0 0 997811. 3452.63 0.04 0.06 0.16 -1 -1 0.04 0.0223262 0.019587 77 4 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 4.60 vpr 63.48 MiB -1 -1 0.24 18396 1 0.03 -1 -1 30352 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65004 32 32 350 275 1 206 83 17 17 289 -1 unnamed_device 24.4 MiB 0.39 1197 12323 4175 6382 1766 63.5 MiB 0.13 0.00 4.22395 -143.266 -4.22395 4.22395 0.33 0.000803315 0.000740357 0.0526993 0.0489529 -1 -1 -1 -1 38 3330 27 6.99608e+06 279598 678818. 2348.85 2.09 0.205551 0.179829 26626 170182 -1 2747 20 1809 2727 250118 49975 4.103 4.103 -148.847 -4.103 0 0 902133. 3121.57 0.04 0.09 0.14 -1 -1 0.04 0.0286758 0.0250997 87 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 6.45 vpr 64.03 MiB -1 -1 0.25 18284 1 0.03 -1 -1 30424 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65568 32 32 385 308 1 237 85 17 17 289 -1 unnamed_device 24.3 MiB 0.40 1180 14593 6141 8165 287 64.0 MiB 0.14 0.00 4.9579 -160.775 -4.9579 4.9579 0.34 0.000746074 0.000690961 0.0615778 0.0571954 -1 -1 -1 -1 38 4019 49 6.99608e+06 309029 678818. 2348.85 3.86 0.249924 0.21844 26626 170182 -1 2769 21 2137 3056 264012 58186 4.60305 4.60305 -161.684 -4.60305 0 0 902133. 3121.57 0.03 0.09 0.14 -1 -1 0.03 0.0308376 0.0269195 101 65 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 5.28 vpr 63.41 MiB -1 -1 0.26 18340 1 0.03 -1 -1 30308 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64932 32 32 387 309 1 244 86 17 17 289 -1 unnamed_device 24.3 MiB 0.42 1186 14261 5902 7968 391 63.4 MiB 0.14 0.00 4.17986 -139.006 -4.17986 4.17986 0.33 0.000746821 0.000693061 0.0595883 0.0553108 -1 -1 -1 -1 40 3567 48 6.99608e+06 323745 706193. 2443.58 2.57 0.246351 0.215056 26914 176310 -1 2790 22 2209 3241 268646 60330 4.19286 4.19286 -153.889 -4.19286 0 0 926341. 3205.33 0.04 0.10 0.14 -1 -1 0.04 0.0335139 0.0293968 101 65 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 3.60 vpr 63.57 MiB -1 -1 0.23 18088 1 0.03 -1 -1 30280 -1 -1 17 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65100 30 32 272 232 1 169 79 17 17 289 -1 unnamed_device 24.2 MiB 0.32 778 11571 3891 5450 2230 63.6 MiB 0.10 0.00 3.85412 -110.324 -3.85412 3.85412 0.33 0.000583488 0.000542963 0.0419526 0.0390441 -1 -1 -1 -1 38 2043 33 6.99608e+06 250167 678818. 2348.85 1.27 0.166602 0.144932 26626 170182 -1 1660 20 1357 2006 135330 31212 3.36247 3.36247 -115.909 -3.36247 0 0 902133. 3121.57 0.03 0.06 0.14 -1 -1 0.03 0.023295 0.0202791 68 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 11.49 vpr 64.09 MiB -1 -1 0.26 18288 1 0.03 -1 -1 30324 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65632 30 32 375 299 1 231 83 17 17 289 -1 unnamed_device 24.4 MiB 0.42 991 9803 3748 5016 1039 64.1 MiB 0.10 0.00 4.90026 -149.578 -4.90026 4.90026 0.33 0.000730534 0.000679075 0.0421626 0.0391801 -1 -1 -1 -1 50 2893 48 6.99608e+06 309029 902133. 3121.57 8.91 0.367429 0.316974 28642 213929 -1 2120 20 1987 2903 210733 49862 4.69541 4.69541 -152.34 -4.69541 0 0 1.08113e+06 3740.92 0.04 0.08 0.17 -1 -1 0.04 0.0292425 0.0255989 101 63 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 4.89 vpr 63.38 MiB -1 -1 0.23 18452 1 0.03 -1 -1 30276 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64896 32 32 340 270 1 197 82 17 17 289 -1 unnamed_device 24.1 MiB 0.36 950 12898 5383 7002 513 63.4 MiB 0.12 0.00 4.44281 -132.776 -4.44281 4.44281 0.33 0.000686515 0.000637857 0.0522765 0.0486255 -1 -1 -1 -1 46 2904 40 6.99608e+06 264882 828058. 2865.25 2.38 0.211886 0.185077 28066 200906 -1 2155 21 1725 2817 234152 55340 4.09306 4.09306 -141.797 -4.09306 0 0 1.01997e+06 3529.29 0.04 0.09 0.16 -1 -1 0.04 0.0286322 0.0250161 82 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 4.67 vpr 63.91 MiB -1 -1 0.25 18388 1 0.03 -1 -1 30084 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65440 31 32 340 275 1 195 82 17 17 289 -1 unnamed_device 24.4 MiB 0.61 919 7736 2635 4162 939 63.9 MiB 0.08 0.00 5.0765 -140.835 -5.0765 5.0765 0.34 0.000683595 0.000635788 0.0323016 0.0300513 -1 -1 -1 -1 40 2827 34 6.99608e+06 279598 706193. 2443.58 2.01 0.183624 0.159375 26914 176310 -1 1996 18 1403 2053 154708 36864 4.34151 4.34151 -139.438 -4.34151 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.0256852 0.022549 87 47 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 4.34 vpr 64.08 MiB -1 -1 0.26 18548 1 0.03 -1 -1 30088 -1 -1 24 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65616 30 32 377 310 1 234 86 17 17 289 -1 unnamed_device 24.3 MiB 0.48 1149 6134 1189 4745 200 64.1 MiB 0.08 0.00 4.12466 -127.491 -4.12466 4.12466 0.34 0.000726629 0.000675798 0.0261685 0.0243289 -1 -1 -1 -1 38 3100 45 6.99608e+06 353176 678818. 2348.85 1.79 0.202351 0.174984 26626 170182 -1 2499 22 2217 3173 237661 53581 3.66761 3.66761 -131.006 -3.66761 0 0 902133. 3121.57 0.03 0.09 0.14 -1 -1 0.03 0.0311754 0.0271766 106 83 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 4.50 vpr 63.91 MiB -1 -1 0.26 18392 1 0.03 -1 -1 30268 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65440 32 32 365 294 1 223 83 17 17 289 -1 unnamed_device 24.3 MiB 0.51 1094 12143 4447 5317 2379 63.9 MiB 0.13 0.00 4.71643 -147.438 -4.71643 4.71643 0.34 0.000722326 0.00067016 0.0511977 0.0475585 -1 -1 -1 -1 44 3076 42 6.99608e+06 279598 787024. 2723.27 1.83 0.223528 0.194876 27778 195446 -1 2377 21 1599 2345 193100 43456 4.75431 4.75431 -148.242 -4.75431 0 0 997811. 3452.63 0.04 0.08 0.16 -1 -1 0.04 0.0301336 0.0263377 93 57 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 4.16 vpr 64.06 MiB -1 -1 0.26 18404 1 0.03 -1 -1 30320 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65596 29 32 378 310 1 234 84 17 17 289 -1 unnamed_device 24.3 MiB 0.47 1160 12894 4923 6471 1500 64.1 MiB 0.14 0.00 3.70579 -121.095 -3.70579 3.70579 0.33 0.000717733 0.000666254 0.0551693 0.051269 -1 -1 -1 -1 38 3002 28 6.99608e+06 338461 678818. 2348.85 1.59 0.204646 0.17849 26626 170182 -1 2419 19 1662 2228 159162 35739 3.54836 3.54836 -123.196 -3.54836 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.0277174 0.0242252 107 85 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 4.26 vpr 63.32 MiB -1 -1 0.21 17988 1 0.03 -1 -1 30368 -1 -1 13 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64836 32 32 243 205 1 140 77 17 17 289 -1 unnamed_device 23.8 MiB 0.66 606 11976 3510 6615 1851 63.3 MiB 0.10 0.00 3.35669 -103.539 -3.35669 3.35669 0.33 0.000558468 0.000519502 0.0429244 0.039999 -1 -1 -1 -1 40 1670 45 6.99608e+06 191304 706193. 2443.58 1.61 0.17303 0.150708 26914 176310 -1 1184 22 960 1456 117921 39315 2.88802 2.88802 -101.57 -2.88802 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.024005 0.0209086 56 3 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 3.64 vpr 64.11 MiB -1 -1 0.14 18272 1 0.03 -1 -1 30276 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65648 32 32 373 302 1 234 85 17 17 289 -1 unnamed_device 24.4 MiB 0.27 1243 16081 5953 7939 2189 64.1 MiB 0.16 0.00 4.8168 -157 -4.8168 4.8168 0.26 0.000731852 0.000679799 0.0658794 0.0611482 -1 -1 -1 -1 40 2915 24 6.99608e+06 309029 706193. 2443.58 1.51 0.221118 0.194285 26914 176310 -1 2455 21 1930 2730 203339 43779 5.01301 5.01301 -164.294 -5.01301 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.030157 0.026347 99 65 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 5.66 vpr 64.08 MiB -1 -1 0.24 18396 1 0.03 -1 -1 30260 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65616 32 32 397 314 1 249 85 17 17 289 -1 unnamed_device 24.6 MiB 0.46 1158 15523 5731 7092 2700 64.1 MiB 0.15 0.00 4.69632 -158.476 -4.69632 4.69632 0.36 0.000762962 0.000708836 0.0665301 0.0618197 -1 -1 -1 -1 38 3758 42 6.99608e+06 309029 678818. 2348.85 3.07 0.255062 0.223742 26626 170182 -1 2698 21 2446 3392 270440 61960 4.80151 4.80151 -168.488 -4.80151 0 0 902133. 3121.57 0.03 0.06 0.10 -1 -1 0.03 0.0180729 0.0160962 105 65 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 3.97 vpr 63.32 MiB -1 -1 0.23 18196 1 0.04 -1 -1 30352 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64836 32 32 269 231 1 163 78 17 17 289 -1 unnamed_device 23.7 MiB 0.32 733 12528 5239 6931 358 63.3 MiB 0.11 0.00 3.76077 -112.543 -3.76077 3.76077 0.34 0.000592055 0.000550826 0.0472385 0.043913 -1 -1 -1 -1 40 2146 40 6.99608e+06 206020 706193. 2443.58 1.59 0.182487 0.159005 26914 176310 -1 1715 22 1155 1493 129832 31683 3.44801 3.44801 -114.227 -3.44801 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.0250171 0.0217489 66 29 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 4.63 vpr 63.60 MiB -1 -1 0.22 17880 1 0.03 -1 -1 30360 -1 -1 16 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65128 31 32 245 205 1 144 79 17 17 289 -1 unnamed_device 24.0 MiB 0.18 861 5994 1422 4096 476 63.6 MiB 0.06 0.00 3.28943 -107.573 -3.28943 3.28943 0.34 0.000562552 0.000523856 0.0214873 0.0200148 -1 -1 -1 -1 32 2153 26 6.99608e+06 235451 586450. 2029.24 2.48 0.201952 0.172965 25474 144626 -1 1896 23 1336 2189 177604 38313 3.13392 3.13392 -116.194 -3.13392 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0289609 0.0253133 59 4 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 4.54 vpr 63.44 MiB -1 -1 0.25 18340 1 0.03 -1 -1 30444 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64964 32 32 348 274 1 209 82 17 17 289 -1 unnamed_device 24.2 MiB 0.39 992 13610 5786 7510 314 63.4 MiB 0.13 0.00 3.99122 -134.043 -3.99122 3.99122 0.33 0.000649272 0.000598516 0.0559628 0.0519662 -1 -1 -1 -1 40 2865 50 6.99608e+06 264882 706193. 2443.58 1.95 0.234985 0.205329 26914 176310 -1 2236 23 2040 2776 217029 48922 4.47846 4.47846 -142.103 -4.47846 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0313713 0.0273616 85 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 4.54 vpr 64.11 MiB -1 -1 0.25 18432 1 0.03 -1 -1 30308 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65652 32 32 356 289 1 217 82 17 17 289 -1 unnamed_device 24.4 MiB 0.45 1087 8448 3166 4532 750 64.1 MiB 0.09 0.00 4.61807 -140.276 -4.61807 4.61807 0.33 0.000707102 0.000656576 0.0369544 0.034304 -1 -1 -1 -1 34 3563 30 6.99608e+06 264882 618332. 2139.56 1.99 0.187407 0.162638 25762 151098 -1 2617 25 2032 2765 272549 80736 4.66331 4.66331 -154.076 -4.66331 0 0 787024. 2723.27 0.03 0.12 0.12 -1 -1 0.03 0.0348237 0.0303706 91 56 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 4.28 vpr 63.95 MiB -1 -1 0.25 18204 1 0.03 -1 -1 30132 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65488 32 32 349 260 1 195 93 17 17 289 -1 unnamed_device 24.4 MiB 0.20 1086 13533 4315 6748 2470 64.0 MiB 0.13 0.00 4.52621 -140.196 -4.52621 4.52621 0.33 0.000723358 0.00066428 0.0494366 0.0457611 -1 -1 -1 -1 38 2778 46 6.99608e+06 426755 678818. 2348.85 1.90 0.22108 0.192512 26626 170182 -1 2295 21 1739 3136 215099 47757 4.5307 4.5307 -144.202 -4.5307 0 0 902133. 3121.57 0.03 0.09 0.14 -1 -1 0.03 0.0300168 0.0261575 90 3 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 4.68 vpr 63.35 MiB -1 -1 0.26 18260 1 0.03 -1 -1 30392 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64868 30 32 316 264 1 192 84 17 17 289 -1 unnamed_device 24.1 MiB 0.46 927 14175 4952 6503 2720 63.3 MiB 0.12 0.00 3.59117 -104.78 -3.59117 3.59117 0.33 0.000637079 0.000591877 0.0517363 0.0480699 -1 -1 -1 -1 36 2659 24 6.99608e+06 323745 648988. 2245.63 1.91 0.18494 0.161464 26050 158493 -1 2161 22 1739 2557 219404 47781 3.18636 3.18636 -111.083 -3.18636 0 0 828058. 2865.25 0.03 0.09 0.11 -1 -1 0.03 0.028445 0.0247317 87 52 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 4.30 vpr 63.39 MiB -1 -1 0.23 18132 1 0.03 -1 -1 30480 -1 -1 18 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64908 27 32 255 219 1 145 77 17 17 289 -1 unnamed_device 23.8 MiB 0.29 826 11813 5091 6119 603 63.4 MiB 0.09 0.00 3.76539 -113.553 -3.76539 3.76539 0.34 0.000547153 0.000508857 0.0419209 0.0390495 -1 -1 -1 -1 32 1865 36 6.99608e+06 264882 586450. 2029.24 2.08 0.247883 0.213538 25474 144626 -1 1620 21 994 1514 116471 26020 3.60646 3.60646 -115.324 -3.60646 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0230339 0.0200062 69 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 3.98 vpr 64.28 MiB -1 -1 0.15 18604 1 0.03 -1 -1 30240 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65820 32 32 421 327 1 263 88 17 17 289 -1 unnamed_device 24.6 MiB 0.49 1373 14713 5256 7086 2371 64.3 MiB 0.16 0.00 4.44457 -145.563 -4.44457 4.44457 0.33 0.000807412 0.000750374 0.0636752 0.0591815 -1 -1 -1 -1 50 3396 28 6.99608e+06 353176 902133. 3121.57 1.44 0.232782 0.204086 28642 213929 -1 2870 18 1839 2831 214757 45351 4.363 4.363 -145.373 -4.363 0 0 1.08113e+06 3740.92 0.04 0.08 0.17 -1 -1 0.04 0.0298809 0.0262296 112 65 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 4.06 vpr 64.02 MiB -1 -1 0.24 18380 1 0.03 -1 -1 30276 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65552 31 32 365 296 1 229 84 17 17 289 -1 unnamed_device 24.4 MiB 0.41 1271 10515 2847 5946 1722 64.0 MiB 0.11 0.00 5.41693 -155.818 -5.41693 5.41693 0.34 0.000714768 0.000664242 0.0440555 0.0409587 -1 -1 -1 -1 40 2971 24 6.99608e+06 309029 706193. 2443.58 1.68 0.194532 0.169876 26914 176310 -1 2506 19 1927 2738 217010 45892 4.58734 4.58734 -155.424 -4.58734 0 0 926341. 3205.33 0.03 0.05 0.10 -1 -1 0.03 0.0158808 0.0141898 96 64 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 3.88 vpr 63.83 MiB -1 -1 0.25 18352 1 0.03 -1 -1 30360 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65364 32 32 331 280 1 215 82 17 17 289 -1 unnamed_device 24.3 MiB 0.41 1019 12898 5003 5774 2121 63.8 MiB 0.12 0.00 4.02148 -135.181 -4.02148 4.02148 0.34 0.000675106 0.000626565 0.0511943 0.0476231 -1 -1 -1 -1 40 2554 27 6.99608e+06 264882 706193. 2443.58 1.32 0.190559 0.166595 26914 176310 -1 2119 21 1505 2040 162418 36238 3.52995 3.52995 -133.179 -3.52995 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.0276916 0.0241046 87 65 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 3.90 vpr 64.20 MiB -1 -1 0.12 18384 1 0.03 -1 -1 30420 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65736 32 32 326 263 1 198 81 17 17 289 -1 unnamed_device 24.5 MiB 0.35 947 12506 5206 6883 417 64.2 MiB 0.12 0.00 4.17438 -127.536 -4.17438 4.17438 0.34 0.000670822 0.000624314 0.0505488 0.0470101 -1 -1 -1 -1 40 2648 27 6.99608e+06 250167 706193. 2443.58 1.61 0.192834 0.168737 26914 176310 -1 2105 21 1427 1931 160580 36723 3.62241 3.62241 -127.407 -3.62241 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.0276199 0.0241137 80 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 4.13 vpr 63.98 MiB -1 -1 0.26 18396 1 0.03 -1 -1 30400 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65516 31 32 373 294 1 217 84 17 17 289 -1 unnamed_device 24.3 MiB 0.41 991 13077 4725 6680 1672 64.0 MiB 0.13 0.00 4.19793 -122.509 -4.19793 4.19793 0.34 0.000731044 0.000678936 0.0555421 0.0516292 -1 -1 -1 -1 40 2836 26 6.99608e+06 309029 706193. 2443.58 1.62 0.214199 0.187745 26914 176310 -1 2141 23 1964 2996 200616 48065 3.88241 3.88241 -125.837 -3.88241 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0328701 0.0286885 97 50 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 10.45 vpr 63.43 MiB -1 -1 0.23 18512 1 0.03 -1 -1 30240 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64948 30 32 325 268 1 199 81 17 17 289 -1 unnamed_device 24.2 MiB 0.48 879 12331 4388 5731 2212 63.4 MiB 0.11 0.00 3.69575 -111.156 -3.69575 3.69575 0.34 0.000674073 0.000616363 0.0489677 0.0453684 -1 -1 -1 -1 38 3158 41 6.99608e+06 279598 678818. 2348.85 7.86 0.313959 0.270376 26626 170182 -1 2215 20 1654 2584 179446 42991 3.61532 3.61532 -120.295 -3.61532 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.0267565 0.0233893 84 51 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 13.23 vpr 63.41 MiB -1 -1 0.24 18548 1 0.03 -1 -1 30308 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64928 32 32 350 275 1 209 82 17 17 289 -1 unnamed_device 24.3 MiB 0.40 979 11296 4199 5586 1511 63.4 MiB 0.11 0.00 4.18128 -137.803 -4.18128 4.18128 0.35 0.000700566 0.000651095 0.0474618 0.044136 -1 -1 -1 -1 40 3397 41 6.99608e+06 264882 706193. 2443.58 10.45 0.385824 0.332557 26914 176310 -1 2446 25 2427 3705 324428 72341 4.38436 4.38436 -149.497 -4.38436 0 0 926341. 3205.33 0.04 0.11 0.14 -1 -1 0.04 0.0341054 0.0297049 87 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 10.20 vpr 64.19 MiB -1 -1 0.24 18476 1 0.03 -1 -1 30092 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65728 32 32 386 307 1 240 85 17 17 289 -1 unnamed_device 24.5 MiB 0.41 1172 14779 4944 7940 1895 64.2 MiB 0.14 0.00 3.49383 -123.038 -3.49383 3.49383 0.35 0.000744675 0.000690682 0.0623874 0.0579082 -1 -1 -1 -1 38 3062 42 6.99608e+06 309029 678818. 2348.85 7.53 0.401185 0.347255 26626 170182 -1 2503 21 2030 2756 207605 45774 3.22392 3.22392 -130.363 -3.22392 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0313101 0.0274444 101 62 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 3.37 vpr 63.54 MiB -1 -1 0.22 18176 1 0.03 -1 -1 30312 -1 -1 17 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65068 29 32 269 229 1 166 78 17 17 289 -1 unnamed_device 23.9 MiB 0.27 653 11698 4829 5917 952 63.5 MiB 0.10 0.00 3.87612 -110.458 -3.87612 3.87612 0.33 0.000576824 0.00053597 0.0425092 0.0395708 -1 -1 -1 -1 36 1983 34 6.99608e+06 250167 648988. 2245.63 1.06 0.166516 0.144952 26050 158493 -1 1462 21 1445 1913 139306 32789 3.36942 3.36942 -114.909 -3.36942 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0246534 0.0215084 68 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 3.78 vpr 63.19 MiB -1 -1 0.23 18272 1 0.03 -1 -1 30280 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64704 32 32 310 266 1 184 80 17 17 289 -1 unnamed_device 24.0 MiB 0.39 769 12292 3548 6982 1762 63.2 MiB 0.11 0.00 3.56989 -117.422 -3.56989 3.56989 0.34 0.000639731 0.000595039 0.0476767 0.0443352 -1 -1 -1 -1 48 1921 23 6.99608e+06 235451 865456. 2994.66 1.32 0.176243 0.153877 28354 207349 -1 1369 21 1242 1698 118545 29084 3.32086 3.32086 -112.996 -3.32086 0 0 1.05005e+06 3633.38 0.05 0.08 0.12 -1 -1 0.05 0.0338977 0.0295425 79 58 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 4.19 vpr 63.87 MiB -1 -1 0.17 18312 1 0.03 -1 -1 30424 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65400 31 32 326 261 1 197 82 17 17 289 -1 unnamed_device 24.1 MiB 0.34 901 13788 4669 6747 2372 63.9 MiB 0.13 0.00 4.17701 -124.501 -4.17701 4.17701 0.33 0.000665396 0.000618839 0.0540463 0.0502669 -1 -1 -1 -1 40 2546 40 6.99608e+06 279598 706193. 2443.58 1.83 0.207942 0.181682 26914 176310 -1 1898 20 1507 2201 173886 40173 4.11791 4.11791 -129.334 -4.11791 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0275472 0.0241133 81 33 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 3.47 vpr 63.45 MiB -1 -1 0.23 18304 1 0.03 -1 -1 30324 -1 -1 16 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64968 29 32 262 224 1 162 77 17 17 289 -1 unnamed_device 23.8 MiB 0.36 695 10346 4286 5606 454 63.4 MiB 0.09 0.00 3.75967 -107.452 -3.75967 3.75967 0.33 0.000564484 0.000525149 0.0379166 0.0352817 -1 -1 -1 -1 42 2064 29 6.99608e+06 235451 744469. 2576.02 1.18 0.155295 0.134907 27202 183097 -1 1555 21 1113 1458 123164 29262 3.29971 3.29971 -107.37 -3.29971 0 0 949917. 3286.91 0.04 0.06 0.15 -1 -1 0.04 0.0233802 0.0203302 67 31 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 3.91 vpr 63.50 MiB -1 -1 0.23 18172 1 0.03 -1 -1 30120 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65024 32 32 278 238 1 178 79 17 17 289 -1 unnamed_device 24.4 MiB 0.31 796 9881 3787 3524 2570 63.5 MiB 0.08 0.00 3.83776 -116.677 -3.83776 3.83776 0.34 0.000602466 0.000560405 0.0375036 0.0348051 -1 -1 -1 -1 40 2236 47 6.99608e+06 220735 706193. 2443.58 1.65 0.18307 0.158721 26914 176310 -1 1723 22 1490 2039 151424 35617 3.27792 3.27792 -122.849 -3.27792 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.0262726 0.0228775 70 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 3.86 vpr 64.20 MiB -1 -1 0.16 18264 1 0.03 -1 -1 30352 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65736 31 32 373 300 1 230 86 17 17 289 -1 unnamed_device 24.5 MiB 0.40 1185 13505 4820 6538 2147 64.2 MiB 0.13 0.00 4.07096 -136.622 -4.07096 4.07096 0.34 0.000729681 0.000677527 0.0547725 0.0508854 -1 -1 -1 -1 42 2958 22 6.99608e+06 338461 744469. 2576.02 1.31 0.208461 0.182792 27202 183097 -1 2422 18 1969 2848 217495 47098 3.51536 3.51536 -133.165 -3.51536 0 0 949917. 3286.91 0.04 0.08 0.15 -1 -1 0.04 0.0276423 0.0242731 100 64 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 4.04 vpr 63.46 MiB -1 -1 0.24 18104 1 0.03 -1 -1 30292 -1 -1 15 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64988 31 32 265 230 1 170 78 17 17 289 -1 unnamed_device 23.8 MiB 0.36 907 8876 2820 4643 1413 63.5 MiB 0.08 0.00 3.24748 -103.016 -3.24748 3.24748 0.33 0.00057241 0.000532529 0.032623 0.0303397 -1 -1 -1 -1 36 2282 37 6.99608e+06 220735 648988. 2245.63 1.56 0.159617 0.138207 26050 158493 -1 1955 18 1210 1690 151023 32590 3.12312 3.12312 -115.765 -3.12312 0 0 828058. 2865.25 0.03 0.06 0.13 -1 -1 0.03 0.0210366 0.0183541 67 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 4.47 vpr 64.00 MiB -1 -1 0.21 18268 1 0.03 -1 -1 30052 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65536 32 32 349 286 1 208 82 17 17 289 -1 unnamed_device 24.4 MiB 0.41 992 10406 3740 4255 2411 64.0 MiB 0.10 0.00 3.58215 -114.98 -3.58215 3.58215 0.33 0.000703818 0.000653312 0.0438275 0.0406416 -1 -1 -1 -1 38 3044 30 6.99608e+06 264882 678818. 2348.85 2.04 0.193964 0.168834 26626 170182 -1 2078 19 1313 1903 122788 29087 3.28376 3.28376 -117.057 -3.28376 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.0268871 0.0235534 90 57 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 4.20 vpr 64.16 MiB -1 -1 0.26 18292 1 0.03 -1 -1 30240 -1 -1 24 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65700 31 32 396 325 1 257 87 17 17 289 -1 unnamed_device 24.6 MiB 0.44 1349 14103 4011 7972 2120 64.2 MiB 0.14 0.00 4.40154 -151.265 -4.40154 4.40154 0.33 0.000751009 0.00069733 0.0583701 0.0541383 -1 -1 -1 -1 38 3173 30 6.99608e+06 353176 678818. 2348.85 1.58 0.224464 0.196682 26626 170182 -1 2642 24 2358 3345 246804 52357 4.09905 4.09905 -148.278 -4.09905 0 0 902133. 3121.57 0.03 0.10 0.14 -1 -1 0.03 0.034493 0.0300425 111 91 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 3.68 vpr 63.35 MiB -1 -1 0.16 18120 1 0.03 -1 -1 30264 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64868 32 32 303 262 1 189 80 17 17 289 -1 unnamed_device 24.2 MiB 0.39 882 11604 4388 5877 1339 63.3 MiB 0.11 0.00 3.12442 -108.534 -3.12442 3.12442 0.33 0.000626026 0.000581342 0.0442632 0.0411357 -1 -1 -1 -1 40 2100 25 6.99608e+06 235451 706193. 2443.58 1.25 0.169644 0.147609 26914 176310 -1 1761 21 1458 2022 155648 35832 3.10012 3.10012 -112.487 -3.10012 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.0262352 0.0228162 80 57 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 3.73 vpr 63.70 MiB -1 -1 0.13 18116 1 0.02 -1 -1 30224 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65224 32 32 290 244 1 174 79 17 17 289 -1 unnamed_device 24.1 MiB 0.38 908 12585 5135 6794 656 63.7 MiB 0.11 0.00 3.42763 -113.296 -3.42763 3.42763 0.33 0.000612684 0.000569557 0.0479018 0.044559 -1 -1 -1 -1 44 2236 45 6.99608e+06 220735 787024. 2723.27 1.52 0.197427 0.17215 27778 195446 -1 1859 21 1497 2208 187273 39072 3.46172 3.46172 -123.794 -3.46172 0 0 997811. 3452.63 0.04 0.05 0.11 -1 -1 0.04 0.0148319 0.0131018 70 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 4.85 vpr 63.70 MiB -1 -1 0.23 18380 1 0.03 -1 -1 30200 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65232 32 32 318 257 1 190 80 17 17 289 -1 unnamed_device 24.0 MiB 0.39 835 11948 4041 5645 2262 63.7 MiB 0.11 0.00 4.10343 -122.204 -4.10343 4.10343 0.33 0.00066002 0.000613758 0.0482796 0.0449061 -1 -1 -1 -1 36 2990 31 6.99608e+06 235451 648988. 2245.63 2.14 0.19319 0.168549 26050 158493 -1 2088 24 1848 2601 198126 50333 4.11256 4.11256 -135.558 -4.11256 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0307204 0.0267199 79 30 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 7.96 vpr 63.84 MiB -1 -1 0.25 18268 1 0.03 -1 -1 30180 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65368 29 32 324 268 1 193 80 17 17 289 -1 unnamed_device 24.0 MiB 0.41 839 14700 3932 9939 829 63.8 MiB 0.14 0.00 3.44505 -101.808 -3.44505 3.44505 0.34 0.000652085 0.000606532 0.0584554 0.0543525 -1 -1 -1 -1 34 2961 50 6.99608e+06 279598 618332. 2139.56 5.47 0.303057 0.262551 25762 151098 -1 2087 21 1423 1999 151156 39003 3.38836 3.38836 -114.801 -3.38836 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0282996 0.0247182 85 55 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 4.38 vpr 64.20 MiB -1 -1 0.26 18352 1 0.03 -1 -1 30460 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65740 32 32 393 312 1 234 83 17 17 289 -1 unnamed_device 24.4 MiB 0.36 1254 15383 6584 8521 278 64.2 MiB 0.16 0.00 5.26769 -167.388 -5.26769 5.26769 0.33 0.000770738 0.000716569 0.0678901 0.0631025 -1 -1 -1 -1 44 3348 30 6.99608e+06 279598 787024. 2723.27 1.79 0.235514 0.206887 27778 195446 -1 2541 23 2134 3186 287790 59662 4.45275 4.45275 -155.895 -4.45275 0 0 997811. 3452.63 0.04 0.10 0.16 -1 -1 0.04 0.0348585 0.0304289 103 65 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 3.76 vpr 63.18 MiB -1 -1 0.22 17988 1 0.03 -1 -1 30120 -1 -1 15 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64692 31 32 229 197 1 138 78 17 17 289 -1 unnamed_device 23.7 MiB 0.48 649 8378 3363 4684 331 63.2 MiB 0.07 0.00 3.20338 -90.6125 -3.20338 3.20338 0.33 0.000536852 0.000500057 0.0285834 0.0266282 -1 -1 -1 -1 38 1740 26 6.99608e+06 220735 678818. 2348.85 1.36 0.137751 0.119285 26626 170182 -1 1408 23 1078 1745 129004 28747 2.71597 2.71597 -95.6168 -2.71597 0 0 902133. 3121.57 0.03 0.06 0.14 -1 -1 0.03 0.0241496 0.0209859 55 4 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 4.78 vpr 64.14 MiB -1 -1 0.26 18268 1 0.03 -1 -1 30284 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65676 32 32 412 334 1 258 87 17 17 289 -1 unnamed_device 24.6 MiB 0.43 1319 11991 3957 5960 2074 64.1 MiB 0.12 0.00 4.93268 -164.708 -4.93268 4.93268 0.33 0.000771802 0.0007156 0.0512591 0.0475308 -1 -1 -1 -1 36 4054 47 6.99608e+06 338461 648988. 2245.63 2.21 0.243207 0.211496 26050 158493 -1 2858 22 2200 2781 251702 57095 5.2633 5.2633 -181.627 -5.2633 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0334506 0.0292332 114 90 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 4.24 vpr 64.18 MiB -1 -1 0.15 18404 1 0.03 -1 -1 30156 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65716 32 32 376 318 1 253 86 17 17 289 -1 unnamed_device 24.5 MiB 0.45 1337 12182 2801 8441 940 64.2 MiB 0.12 0.00 4.37262 -158.739 -4.37262 4.37262 0.37 0.000711764 0.00066043 0.0487485 0.0452791 -1 -1 -1 -1 46 2963 26 6.99608e+06 323745 828058. 2865.25 1.71 0.203017 0.17733 28066 200906 -1 2457 21 1963 2529 189545 39868 4.11305 4.11305 -157.703 -4.11305 0 0 1.01997e+06 3529.29 0.04 0.08 0.16 -1 -1 0.04 0.0298197 0.0260554 105 96 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 4.40 vpr 63.93 MiB -1 -1 0.24 18388 1 0.03 -1 -1 30296 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65468 32 32 360 293 1 219 83 17 17 289 -1 unnamed_device 24.3 MiB 0.39 1211 12683 3755 7514 1414 63.9 MiB 0.12 0.00 3.36853 -122.175 -3.36853 3.36853 0.34 0.000707294 0.000657276 0.0529326 0.049187 -1 -1 -1 -1 38 2800 43 6.99608e+06 279598 678818. 2348.85 1.88 0.220766 0.19246 26626 170182 -1 2343 24 1786 2393 200580 41794 3.23592 3.23592 -123.11 -3.23592 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0326012 0.0283387 94 60 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 12.44 vpr 64.27 MiB -1 -1 0.24 18692 1 0.03 -1 -1 30400 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65812 32 32 396 299 1 231 85 17 17 289 -1 unnamed_device 24.5 MiB 0.32 1108 13105 3671 7584 1850 64.3 MiB 0.14 0.00 5.6322 -158.993 -5.6322 5.6322 0.33 0.000777235 0.000722016 0.0575258 0.0534752 -1 -1 -1 -1 44 3147 38 6.99608e+06 309029 787024. 2723.27 9.94 0.396837 0.342694 27778 195446 -1 2287 34 2017 3107 304138 115013 4.85505 4.85505 -154.503 -4.85505 0 0 997811. 3452.63 0.04 0.15 0.16 -1 -1 0.04 0.0485984 0.0422188 99 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 3.20 vpr 63.57 MiB -1 -1 0.17 18072 1 0.03 -1 -1 30108 -1 -1 13 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65100 30 32 224 207 1 134 75 17 17 289 -1 unnamed_device 24.1 MiB 0.28 577 10029 4242 5446 341 63.6 MiB 0.08 0.00 2.33546 -85.6612 -2.33546 2.33546 0.33 0.000504067 0.000469255 0.0336791 0.0313571 -1 -1 -1 -1 36 1749 31 6.99608e+06 191304 648988. 2245.63 1.08 0.138424 0.120065 26050 158493 -1 1357 21 893 1110 100119 24717 2.39608 2.39608 -90.4929 -2.39608 0 0 828058. 2865.25 0.03 0.05 0.13 -1 -1 0.03 0.0205929 0.0178716 52 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 5.20 vpr 63.46 MiB -1 -1 0.12 18016 1 0.03 -1 -1 30352 -1 -1 16 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64980 30 32 286 239 1 159 78 17 17 289 -1 unnamed_device 24.1 MiB 1.18 829 10868 4869 5663 336 63.5 MiB 0.09 0.00 3.98607 -129.511 -3.98607 3.98607 0.33 0.000597854 0.000554481 0.0409971 0.0380891 -1 -1 -1 -1 36 2107 25 6.99608e+06 235451 648988. 2245.63 2.17 0.233413 0.20137 26050 158493 -1 1748 17 1098 1623 130284 30423 3.71161 3.71161 -136.48 -3.71161 0 0 828058. 2865.25 0.03 0.06 0.13 -1 -1 0.03 0.0214257 0.018737 71 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 4.67 vpr 63.82 MiB -1 -1 0.23 18140 1 0.03 -1 -1 30060 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65348 32 32 296 247 1 182 85 17 17 289 -1 unnamed_device 24.2 MiB 0.32 859 13477 5604 7598 275 63.8 MiB 0.12 0.00 3.71535 -130.45 -3.71535 3.71535 0.36 0.000628988 0.00058134 0.0476797 0.0443348 -1 -1 -1 -1 38 2908 46 6.99608e+06 309029 678818. 2348.85 2.29 0.197424 0.171974 26626 170182 -1 2101 23 1401 2302 222408 54792 4.32052 4.32052 -140.632 -4.32052 0 0 902133. 3121.57 0.04 0.09 0.14 -1 -1 0.04 0.0284077 0.0247235 77 34 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 3.44 vpr 63.14 MiB -1 -1 0.21 18088 1 0.03 -1 -1 30336 -1 -1 19 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64660 25 32 216 194 1 134 76 17 17 289 -1 unnamed_device 23.8 MiB 0.25 507 10956 4030 4533 2393 63.1 MiB 0.08 0.00 3.37063 -78.72 -3.37063 3.37063 0.33 0.000480281 0.000446164 0.0343935 0.0319653 -1 -1 -1 -1 38 1738 27 6.99608e+06 279598 678818. 2348.85 1.26 0.132985 0.115295 26626 170182 -1 1108 17 744 1072 69349 18454 3.14737 3.14737 -85.6299 -3.14737 0 0 902133. 3121.57 0.03 0.04 0.14 -1 -1 0.03 0.0171683 0.0150118 56 29 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 6.40 vpr 63.52 MiB -1 -1 0.25 18276 1 0.03 -1 -1 30348 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65044 32 32 376 307 1 230 83 17 17 289 -1 unnamed_device 24.4 MiB 0.51 1070 13583 5650 7491 442 63.5 MiB 0.13 0.00 4.04452 -130.09 -4.04452 4.04452 0.34 0.000728734 0.000675996 0.0578889 0.0536675 -1 -1 -1 -1 40 3557 32 6.99608e+06 279598 706193. 2443.58 3.62 0.22235 0.194456 26914 176310 -1 2744 21 2050 3040 252988 64010 4.43451 4.43451 -145.309 -4.43451 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0305214 0.0266633 100 72 -1 -1 -1 -1 + fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 4.47 vpr 63.53 MiB -1 -1 0.26 18432 1 0.03 -1 -1 30404 -1 -1 24 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65052 31 32 409 331 1 257 87 17 17 289 -1 unnamed_device 24.6 MiB 0.47 1269 16023 5206 8626 2191 63.5 MiB 0.16 0.00 4.27167 -145.144 -4.27167 4.27167 0.34 0.000768802 0.000713617 0.0673126 0.0624982 -1 -1 -1 -1 38 3325 48 6.99608e+06 353176 678818. 2348.85 1.74 0.259733 0.227383 26626 170182 -1 2713 23 2420 3261 279104 64299 3.9869 3.9869 -150.259 -3.9869 0 0 902133. 3121.57 0.04 0.11 0.14 -1 -1 0.04 0.035037 0.0305796 115 90 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_001.v common 5.45 vpr 63.17 MiB -1 -1 0.37 18628 14 0.27 -1 -1 32696 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64684 32 32 277 309 1 198 86 17 17 289 -1 unnamed_device 23.9 MiB 1.02 1216 8402 2148 5464 790 63.2 MiB 0.10 0.00 8.60211 -177.555 -8.60211 8.60211 0.33 0.000906651 0.000841051 0.0440023 0.04089 -1 -1 -1 -1 36 3343 23 6.79088e+06 296384 648988. 2245.63 1.66 0.234664 0.204625 25390 158009 -1 2918 20 1215 3530 210135 48583 7.25706 7.25706 -164.252 -7.25706 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0372184 0.0326547 134 183 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_002.v common 4.27 vpr 63.08 MiB -1 -1 0.39 18612 14 0.28 -1 -1 32752 -1 -1 23 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64592 30 32 272 304 1 201 85 17 17 289 -1 unnamed_device 23.8 MiB 0.54 1069 6595 1508 3894 1193 63.1 MiB 0.08 0.00 7.62679 -156.019 -7.62679 7.62679 0.33 0.000897571 0.000832492 0.0356274 0.0329963 -1 -1 -1 -1 30 3252 22 6.79088e+06 309856 556674. 1926.21 1.19 0.153656 0.134616 24526 138013 -1 2437 15 1240 3174 155047 38736 7.03519 7.03519 -154.218 -7.03519 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0304394 0.026892 132 184 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_003.v common 4.30 vpr 63.11 MiB -1 -1 0.33 18244 11 0.22 -1 -1 32516 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64624 32 32 280 312 1 195 86 17 17 289 -1 unnamed_device 23.9 MiB 0.77 1214 8591 2009 5861 721 63.1 MiB 0.10 0.00 6.71408 -143.118 -6.71408 6.71408 0.33 0.000896729 0.000832206 0.0449597 0.0417051 -1 -1 -1 -1 32 3735 26 6.79088e+06 296384 586450. 2029.24 1.13 0.168673 0.148116 24814 144142 -1 2960 19 1609 5126 305222 70696 5.91497 5.91497 -144.231 -5.91497 0 0 744469. 2576.02 0.03 0.11 0.12 -1 -1 0.03 0.0377302 0.0332087 135 186 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_004.v common 4.39 vpr 63.60 MiB -1 -1 0.36 18452 12 0.30 -1 -1 32752 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65124 29 32 275 307 1 198 84 17 17 289 -1 unnamed_device 23.8 MiB 0.58 1159 7221 1730 4679 812 63.6 MiB 0.08 0.00 7.12458 -141.442 -7.12458 7.12458 0.33 0.000907899 0.000842156 0.0393057 0.0364311 -1 -1 -1 -1 36 3012 26 6.79088e+06 309856 648988. 2245.63 1.25 0.235956 0.204904 25390 158009 -1 2527 17 1156 3212 170442 40925 6.40858 6.40858 -139.574 -6.40858 0 0 828058. 2865.25 0.03 0.08 0.14 -1 -1 0.03 0.034688 0.0306631 138 190 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_005.v common 4.73 vpr 63.32 MiB -1 -1 0.37 18332 13 0.27 -1 -1 32836 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64836 32 32 302 334 1 228 88 17 17 289 -1 unnamed_device 24.2 MiB 0.52 1323 14323 4606 7184 2533 63.3 MiB 0.16 0.00 7.89027 -166.862 -7.89027 7.89027 0.33 0.000993466 0.00092194 0.0775314 0.0719424 -1 -1 -1 -1 46 3272 33 6.79088e+06 323328 828058. 2865.25 1.65 0.294839 0.257894 27406 200422 -1 2729 17 1451 3938 179441 46382 6.88531 6.88531 -160.3 -6.88531 0 0 1.01997e+06 3529.29 0.04 0.09 0.16 -1 -1 0.04 0.0369963 0.0326767 155 208 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_006.v common 4.29 vpr 63.11 MiB -1 -1 0.40 18780 13 0.27 -1 -1 32688 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64624 32 32 292 324 1 218 87 17 17 289 -1 unnamed_device 24.1 MiB 0.79 1376 8151 1852 5793 506 63.1 MiB 0.10 0.00 7.33267 -155.237 -7.33267 7.33267 0.33 0.000941973 0.000873538 0.0439778 0.0407911 -1 -1 -1 -1 32 3794 24 6.79088e+06 309856 586450. 2029.24 1.04 0.169475 0.148783 24814 144142 -1 3191 21 1644 4847 275014 63961 6.62773 6.62773 -151.966 -6.62773 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0408122 0.0357368 141 198 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_007.v common 4.25 vpr 63.06 MiB -1 -1 0.33 17976 12 0.19 -1 -1 32724 -1 -1 23 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64572 27 32 229 261 1 165 82 17 17 289 -1 unnamed_device 23.5 MiB 0.23 931 7558 2015 4893 650 63.1 MiB 0.07 0.00 7.37013 -134.578 -7.37013 7.37013 0.33 0.000741388 0.000688611 0.0344969 0.0320503 -1 -1 -1 -1 30 2200 19 6.79088e+06 309856 556674. 1926.21 1.76 0.201764 0.174821 24526 138013 -1 1951 27 873 2179 151842 57632 6.61577 6.61577 -129.823 -6.61577 0 0 706193. 2443.58 0.03 0.10 0.11 -1 -1 0.03 0.0388711 0.0339267 108 150 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_008.v common 4.41 vpr 63.21 MiB -1 -1 0.33 18176 12 0.19 -1 -1 32640 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64728 31 32 229 261 1 183 83 17 17 289 -1 unnamed_device 23.6 MiB 0.39 1152 4763 933 3471 359 63.2 MiB 0.06 0.00 6.27634 -134.88 -6.27634 6.27634 0.35 0.000735452 0.000681654 0.0226058 0.0209412 -1 -1 -1 -1 38 3141 48 6.79088e+06 269440 678818. 2348.85 1.68 0.208977 0.180576 25966 169698 -1 2564 15 1069 3099 184071 42206 5.36344 5.36344 -132.328 -5.36344 0 0 902133. 3121.57 0.04 0.07 0.14 -1 -1 0.04 0.0258809 0.0229099 110 138 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_009.v common 4.18 vpr 62.72 MiB -1 -1 0.35 18476 12 0.16 -1 -1 32564 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64224 31 32 235 267 1 193 83 17 17 289 -1 unnamed_device 23.5 MiB 0.49 1267 9443 2350 5394 1699 62.7 MiB 0.09 0.00 7.00394 -146.716 -7.00394 7.00394 0.33 0.00075893 0.000701998 0.0425388 0.039409 -1 -1 -1 -1 38 3104 44 6.79088e+06 269440 678818. 2348.85 1.40 0.217188 0.188472 25966 169698 -1 2511 13 1067 2786 145991 34263 6.13878 6.13878 -139.908 -6.13878 0 0 902133. 3121.57 0.03 0.06 0.13 -1 -1 0.03 0.0236132 0.0210078 109 144 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_010.v common 4.65 vpr 62.76 MiB -1 -1 0.34 18032 13 0.19 -1 -1 32656 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64268 32 32 250 282 1 179 81 17 17 289 -1 unnamed_device 23.8 MiB 0.50 1090 10931 3367 5780 1784 62.8 MiB 0.11 0.00 7.28577 -164.664 -7.28577 7.28577 0.34 0.000813571 0.000754847 0.0542084 0.0503226 -1 -1 -1 -1 28 3533 41 6.79088e+06 229024 531479. 1839.03 1.91 0.185087 0.16268 23950 126010 -1 2752 19 1252 3064 186322 44716 6.83133 6.83133 -163.201 -6.83133 0 0 648988. 2245.63 0.02 0.05 0.07 -1 -1 0.02 0.0192374 0.0173001 110 156 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_011.v common 3.96 vpr 63.13 MiB -1 -1 0.34 17980 12 0.18 -1 -1 32420 -1 -1 20 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64644 30 32 216 248 1 158 82 17 17 289 -1 unnamed_device 23.7 MiB 0.64 1013 7736 2109 4154 1473 63.1 MiB 0.07 0.00 7.00052 -148.469 -7.00052 7.00052 0.34 0.000702904 0.000650612 0.0334265 0.030984 -1 -1 -1 -1 28 2751 33 6.79088e+06 269440 531479. 1839.03 0.95 0.137712 0.120627 23950 126010 -1 2207 19 902 2254 132251 32405 6.58078 6.58078 -154.226 -6.58078 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0284908 0.0250329 103 128 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_012.v common 8.01 vpr 63.14 MiB -1 -1 0.35 18096 12 0.15 -1 -1 32508 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64660 32 32 236 268 1 168 82 17 17 289 -1 unnamed_device 23.6 MiB 0.51 1086 9872 3243 4786 1843 63.1 MiB 0.10 0.00 6.33078 -154.171 -6.33078 6.33078 0.33 0.000729307 0.000671239 0.0442186 0.0408523 -1 -1 -1 -1 34 2852 30 6.79088e+06 242496 618332. 2139.56 4.95 0.30622 0.26496 25102 150614 -1 2509 62 1144 3321 449887 264521 5.71706 5.71706 -148.928 -5.71706 0 0 787024. 2723.27 0.03 0.31 0.13 -1 -1 0.03 0.0800939 0.0690586 103 142 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_013.v common 4.39 vpr 63.73 MiB -1 -1 0.37 18436 13 0.25 -1 -1 32752 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65264 32 32 283 315 1 219 84 17 17 289 -1 unnamed_device 24.2 MiB 0.56 1318 5574 1108 4150 316 63.7 MiB 0.07 0.00 7.78056 -168.521 -7.78056 7.78056 0.35 0.00091716 0.000849978 0.0315888 0.029323 -1 -1 -1 -1 40 2757 24 6.79088e+06 269440 706193. 2443.58 1.32 0.218239 0.188856 26254 175826 -1 2684 17 1184 3139 166898 40029 6.83492 6.83492 -158.995 -6.83492 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0344092 0.030389 134 189 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_014.v common 4.71 vpr 63.90 MiB -1 -1 0.37 18476 14 0.32 -1 -1 32740 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65432 32 32 303 335 1 223 88 17 17 289 -1 unnamed_device 24.1 MiB 0.93 1381 7108 1510 4813 785 63.9 MiB 0.09 0.00 8.68737 -182.159 -8.68737 8.68737 0.33 0.000971373 0.000899383 0.0395476 0.0366407 -1 -1 -1 -1 32 4290 36 6.79088e+06 323328 586450. 2029.24 1.15 0.190555 0.16651 24814 144142 -1 3144 21 1610 4237 239711 58120 7.62947 7.62947 -174.383 -7.62947 0 0 744469. 2576.02 0.03 0.11 0.12 -1 -1 0.03 0.0443311 0.0389765 154 209 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_015.v common 3.50 vpr 63.13 MiB -1 -1 0.17 18192 11 0.17 -1 -1 32568 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64648 29 32 225 257 1 169 84 17 17 289 -1 unnamed_device 23.7 MiB 0.44 916 10515 3062 5421 2032 63.1 MiB 0.09 0.00 6.53813 -131.787 -6.53813 6.53813 0.34 0.000725706 0.000672408 0.0448337 0.0415415 -1 -1 -1 -1 32 2757 29 6.79088e+06 309856 586450. 2029.24 0.69 0.142599 0.125231 24814 144142 -1 2124 15 1029 2591 137318 34249 5.82544 5.82544 -128.803 -5.82544 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0251747 0.0222641 108 140 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_016.v common 5.08 vpr 63.88 MiB -1 -1 0.38 18624 12 0.27 -1 -1 32832 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65408 32 32 301 333 1 219 91 17 17 289 -1 unnamed_device 24.2 MiB 0.77 1438 8863 2011 6147 705 63.9 MiB 0.10 0.00 7.59173 -165.075 -7.59173 7.59173 0.33 0.00097947 0.000904133 0.0466017 0.0431098 -1 -1 -1 -1 40 3418 24 6.79088e+06 363744 706193. 2443.58 1.78 0.250719 0.218103 26254 175826 -1 3259 21 1669 5406 305965 67833 6.83127 6.83127 -160.878 -6.83127 0 0 926341. 3205.33 0.04 0.12 0.14 -1 -1 0.04 0.0450004 0.0395805 152 207 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_017.v common 5.44 vpr 63.52 MiB -1 -1 0.31 18360 14 0.24 -1 -1 32708 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65048 32 32 277 309 1 211 86 17 17 289 -1 unnamed_device 23.8 MiB 0.72 1357 8969 2449 5416 1104 63.5 MiB 0.10 0.00 8.00107 -170.475 -8.00107 8.00107 0.33 0.000905165 0.000839668 0.046481 0.0431433 -1 -1 -1 -1 36 3655 38 6.79088e+06 296384 648988. 2245.63 2.10 0.254757 0.221367 25390 158009 -1 3062 14 1382 3934 225399 52640 7.04976 7.04976 -161.063 -7.04976 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0307821 0.0273537 132 183 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_018.v common 3.81 vpr 63.08 MiB -1 -1 0.24 18384 12 0.16 -1 -1 32428 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64592 32 32 227 259 1 168 82 17 17 289 -1 unnamed_device 23.6 MiB 0.75 1088 4710 974 3512 224 63.1 MiB 0.06 0.00 7.19753 -161.227 -7.19753 7.19753 0.34 0.000767271 0.000704965 0.0226985 0.021068 -1 -1 -1 -1 28 2751 21 6.79088e+06 242496 531479. 1839.03 0.93 0.118796 0.103963 23950 126010 -1 2392 16 988 2628 153792 36428 6.14227 6.14227 -155.233 -6.14227 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0265058 0.0233788 107 133 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_019.v common 2.97 vpr 62.73 MiB -1 -1 0.30 17952 10 0.10 -1 -1 32208 -1 -1 14 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64240 30 32 175 207 1 132 76 17 17 289 -1 unnamed_device 23.3 MiB 0.29 730 7916 1923 5695 298 62.7 MiB 0.04 0.00 4.80476 -119.7 -4.80476 4.80476 0.26 0.000256369 0.000236382 0.0143765 0.0132661 -1 -1 -1 -1 30 2018 34 6.79088e+06 188608 556674. 1926.21 0.77 0.095688 0.0827056 24526 138013 -1 1498 16 687 1592 79762 20616 4.17477 4.17477 -114.477 -4.17477 0 0 706193. 2443.58 0.03 0.05 0.11 -1 -1 0.03 0.0198998 0.0174803 65 87 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_020.v common 4.36 vpr 63.16 MiB -1 -1 0.33 17996 13 0.18 -1 -1 32604 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64680 31 32 231 263 1 179 83 17 17 289 -1 unnamed_device 23.6 MiB 0.61 1138 6563 1394 4753 416 63.2 MiB 0.07 0.00 7.59268 -160.403 -7.59268 7.59268 0.33 0.000752328 0.000697216 0.0304946 0.0282616 -1 -1 -1 -1 28 3298 50 6.79088e+06 269440 531479. 1839.03 1.45 0.159136 0.13876 23950 126010 -1 2477 19 1126 2649 141138 34871 6.74882 6.74882 -155.817 -6.74882 0 0 648988. 2245.63 0.04 0.07 0.11 -1 -1 0.04 0.0301571 0.0264934 109 140 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_021.v common 5.44 vpr 63.73 MiB -1 -1 0.38 18700 13 0.27 -1 -1 32704 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65256 32 32 304 336 1 215 88 17 17 289 -1 unnamed_device 24.1 MiB 0.43 1271 10228 2705 5960 1563 63.7 MiB 0.12 0.00 7.65528 -160.773 -7.65528 7.65528 0.34 0.000962588 0.000892092 0.0546535 0.0506739 -1 -1 -1 -1 34 4188 44 6.79088e+06 323328 618332. 2139.56 2.44 0.295601 0.257318 25102 150614 -1 3033 20 1949 5518 289107 67861 6.69833 6.69833 -159.72 -6.69833 0 0 787024. 2723.27 0.03 0.11 0.12 -1 -1 0.03 0.0420187 0.0369525 147 210 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_022.v common 5.68 vpr 63.28 MiB -1 -1 0.41 18700 13 0.31 -1 -1 32448 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64800 32 32 288 320 1 215 85 17 17 289 -1 unnamed_device 24.2 MiB 0.85 1426 12733 3634 6917 2182 63.3 MiB 0.14 0.00 7.68992 -168.399 -7.68992 7.68992 0.33 0.00093312 0.000865047 0.0683617 0.0631473 -1 -1 -1 -1 38 3677 24 6.79088e+06 282912 678818. 2348.85 1.96 0.265805 0.232457 25966 169698 -1 2956 19 1426 4352 222747 51661 6.50587 6.50587 -155.251 -6.50587 0 0 902133. 3121.57 0.03 0.10 0.14 -1 -1 0.03 0.0388845 0.0342623 143 194 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_023.v common 2.80 vpr 62.62 MiB -1 -1 0.20 17820 9 0.09 -1 -1 32272 -1 -1 20 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64124 26 32 152 184 1 121 78 17 17 289 -1 unnamed_device 23.3 MiB 0.21 615 10868 2926 7286 656 62.6 MiB 0.09 0.00 5.02771 -92.9983 -5.02771 5.02771 0.33 0.000518492 0.000482416 0.0409947 0.038054 -1 -1 -1 -1 28 1700 15 6.79088e+06 269440 531479. 1839.03 0.47 0.0962261 0.0851981 23950 126010 -1 1473 14 564 1348 79816 20096 4.40201 4.40201 -94.3039 -4.40201 0 0 648988. 2245.63 0.03 0.04 0.10 -1 -1 0.03 0.0164095 0.0144399 71 76 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_024.v common 4.59 vpr 63.20 MiB -1 -1 0.28 18244 13 0.29 -1 -1 32764 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64716 32 32 287 319 1 209 87 17 17 289 -1 unnamed_device 24.2 MiB 0.57 1327 5463 1099 4030 334 63.2 MiB 0.07 0.00 7.95077 -164.986 -7.95077 7.95077 0.34 0.00092681 0.000859145 0.0302139 0.0280324 -1 -1 -1 -1 44 3087 31 6.79088e+06 309856 787024. 2723.27 1.61 0.241323 0.209316 27118 194962 -1 2565 17 1287 3600 179761 42494 7.04981 7.04981 -154.659 -7.04981 0 0 997811. 3452.63 0.04 0.08 0.16 -1 -1 0.04 0.0351712 0.031097 138 193 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_025.v common 2.88 vpr 62.61 MiB -1 -1 0.22 17888 8 0.09 -1 -1 32132 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64112 32 32 154 186 1 122 80 17 17 289 -1 unnamed_device 23.3 MiB 0.31 641 10400 4208 6005 187 62.6 MiB 0.08 0.00 4.0775 -94.5593 -4.0775 4.0775 0.34 0.00050905 0.000473282 0.0327023 0.0303484 -1 -1 -1 -1 30 1886 24 6.79088e+06 215552 556674. 1926.21 0.68 0.0996423 0.0874845 24526 138013 -1 1313 14 591 1253 60934 16153 3.7553 3.7553 -94.3893 -3.7553 0 0 706193. 2443.58 0.03 0.04 0.11 -1 -1 0.03 0.0160749 0.0141385 64 60 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_026.v common 4.67 vpr 62.96 MiB -1 -1 0.33 18536 15 0.23 -1 -1 32764 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64476 32 32 254 286 1 199 87 17 17 289 -1 unnamed_device 23.8 MiB 0.61 1349 7575 1696 5456 423 63.0 MiB 0.08 0.00 8.46661 -174.29 -8.46661 8.46661 0.34 0.000843507 0.000783527 0.0369344 0.0342816 -1 -1 -1 -1 38 3469 25 6.79088e+06 309856 678818. 2348.85 1.71 0.227077 0.197648 25966 169698 -1 2908 18 1334 3731 197347 45885 7.34393 7.34393 -165.633 -7.34393 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.032423 0.0284991 128 160 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_027.v common 4.25 vpr 62.98 MiB -1 -1 0.33 18520 13 0.23 -1 -1 32908 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64496 32 32 260 292 1 195 84 17 17 289 -1 unnamed_device 23.8 MiB 0.71 1221 5574 1180 3842 552 63.0 MiB 0.07 0.00 7.06073 -153.937 -7.06073 7.06073 0.33 0.000848972 0.000788516 0.0293046 0.0272167 -1 -1 -1 -1 36 3046 23 6.79088e+06 269440 648988. 2245.63 1.19 0.200059 0.172816 25390 158009 -1 2647 16 1139 3255 178704 42043 6.16573 6.16573 -147.859 -6.16573 0 0 828058. 2865.25 0.03 0.08 0.17 -1 -1 0.03 0.0303557 0.0267803 121 166 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_028.v common 6.08 vpr 63.13 MiB -1 -1 0.35 18416 13 0.28 -1 -1 32788 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64648 32 32 279 311 1 197 87 17 17 289 -1 unnamed_device 23.9 MiB 0.51 1247 5655 1078 4264 313 63.1 MiB 0.07 0.00 7.99851 -170.595 -7.99851 7.99851 0.33 0.00090776 0.000841709 0.03054 0.0283795 -1 -1 -1 -1 34 3779 34 6.79088e+06 309856 618332. 2139.56 3.02 0.236531 0.205361 25102 150614 -1 2892 26 1294 4236 312703 104250 6.92451 6.92451 -161.891 -6.92451 0 0 787024. 2723.27 0.03 0.14 0.12 -1 -1 0.03 0.0476908 0.0417426 138 185 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_029.v common 4.29 vpr 63.33 MiB -1 -1 0.32 18040 12 0.16 -1 -1 32544 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64852 32 32 238 270 1 178 83 17 17 289 -1 unnamed_device 23.8 MiB 0.65 1148 12143 3041 7670 1432 63.3 MiB 0.11 0.00 6.34459 -146.944 -6.34459 6.34459 0.33 0.000750706 0.000694298 0.0538223 0.0498043 -1 -1 -1 -1 38 2647 17 6.79088e+06 255968 678818. 2348.85 1.33 0.2063 0.18023 25966 169698 -1 2328 17 947 2403 123447 29193 5.48874 5.48874 -138.211 -5.48874 0 0 902133. 3121.57 0.04 0.07 0.11 -1 -1 0.04 0.0286228 0.0252657 107 144 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_030.v common 5.13 vpr 63.04 MiB -1 -1 0.31 18240 11 0.15 -1 -1 32708 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64548 30 32 213 245 1 165 83 17 17 289 -1 unnamed_device 23.7 MiB 0.61 974 10343 3278 5049 2016 63.0 MiB 0.09 0.00 6.09388 -134.629 -6.09388 6.09388 0.33 0.000681809 0.000632261 0.042102 0.0390547 -1 -1 -1 -1 30 2712 29 6.79088e+06 282912 556674. 1926.21 2.28 0.242378 0.209714 24526 138013 -1 2065 17 1020 2592 138478 33489 5.07358 5.07358 -125.356 -5.07358 0 0 706193. 2443.58 0.03 0.07 0.12 -1 -1 0.03 0.0263626 0.0232686 99 125 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_031.v common 3.32 vpr 63.07 MiB -1 -1 0.31 18188 11 0.17 -1 -1 32700 -1 -1 22 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64584 28 32 227 259 1 169 82 17 17 289 -1 unnamed_device 23.6 MiB 0.26 1019 11296 2983 6970 1343 63.1 MiB 0.10 0.00 6.63698 -129.464 -6.63698 6.63698 0.33 0.000733438 0.000679759 0.0494598 0.0458904 -1 -1 -1 -1 30 2501 39 6.79088e+06 296384 556674. 1926.21 0.85 0.162291 0.142776 24526 138013 -1 1987 17 919 2415 112776 27729 5.53907 5.53907 -123.771 -5.53907 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0274277 0.0241781 110 145 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_032.v common 4.17 vpr 63.02 MiB -1 -1 0.29 18228 12 0.20 -1 -1 32668 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64528 32 32 274 306 1 205 88 17 17 289 -1 unnamed_device 23.8 MiB 0.61 1232 11593 3197 6108 2288 63.0 MiB 0.12 0.00 6.74183 -162.386 -6.74183 6.74183 0.33 0.000856454 0.000793439 0.0543524 0.050375 -1 -1 -1 -1 38 2970 22 6.79088e+06 323328 678818. 2348.85 1.16 0.232595 0.202772 25966 169698 -1 2389 18 1250 3178 145164 36261 5.90389 5.90389 -150.081 -5.90389 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0340512 0.0300048 128 180 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_033.v common 4.03 vpr 63.04 MiB -1 -1 0.31 18124 12 0.16 -1 -1 32688 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64556 31 32 237 269 1 170 84 17 17 289 -1 unnamed_device 23.6 MiB 0.63 1074 11247 2932 7420 895 63.0 MiB 0.10 0.00 6.93882 -142.223 -6.93882 6.93882 0.33 0.000753399 0.000698049 0.0489687 0.045371 -1 -1 -1 -1 36 2590 21 6.79088e+06 282912 648988. 2245.63 1.25 0.20246 0.17678 25390 158009 -1 2222 19 1016 2769 165245 39005 6.00462 6.00462 -136.975 -6.00462 0 0 828058. 2865.25 0.03 0.05 0.09 -1 -1 0.03 0.0219165 0.0196142 103 146 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_034.v common 3.45 vpr 63.01 MiB -1 -1 0.32 18236 10 0.14 -1 -1 32748 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64524 29 32 220 252 1 166 80 17 17 289 -1 unnamed_device 23.6 MiB 0.45 940 6788 1590 4069 1129 63.0 MiB 0.07 0.00 5.87088 -123.319 -5.87088 5.87088 0.33 0.000727256 0.000674214 0.0314234 0.0291543 -1 -1 -1 -1 32 2784 27 6.79088e+06 255968 586450. 2029.24 0.81 0.125126 0.109442 24814 144142 -1 2184 23 928 2818 218996 73994 5.15963 5.15963 -121.159 -5.15963 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0346152 0.0304083 103 135 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_035.v common 6.98 vpr 63.85 MiB -1 -1 0.40 19044 13 0.29 -1 -1 32872 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65384 32 32 315 347 1 230 88 17 17 289 -1 unnamed_device 24.1 MiB 0.96 1348 13153 3284 8043 1826 63.9 MiB 0.15 0.00 8.14776 -167.632 -8.14776 8.14776 0.33 0.00100562 0.000930634 0.0722084 0.0668111 -1 -1 -1 -1 36 4271 49 6.79088e+06 323328 648988. 2245.63 3.33 0.328806 0.286591 25390 158009 -1 3169 18 1493 4310 246617 58505 7.0533 7.0533 -158.306 -7.0533 0 0 828058. 2865.25 0.03 0.10 0.15 -1 -1 0.03 0.0393197 0.0347464 157 221 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_036.v common 5.28 vpr 63.61 MiB -1 -1 0.40 18768 14 0.31 -1 -1 33280 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65136 32 32 282 314 1 223 86 17 17 289 -1 unnamed_device 24.1 MiB 0.75 1398 5567 1030 4259 278 63.6 MiB 0.07 0.00 7.90118 -175.114 -7.90118 7.90118 0.33 0.000947444 0.000870604 0.0314816 0.0292301 -1 -1 -1 -1 38 3496 28 6.79088e+06 296384 678818. 2348.85 1.89 0.233021 0.202123 25966 169698 -1 3092 19 1507 4379 224233 52182 6.86299 6.86299 -169.21 -6.86299 0 0 902133. 3121.57 0.04 0.10 0.14 -1 -1 0.04 0.0394417 0.0347829 144 188 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_037.v common 4.59 vpr 63.06 MiB -1 -1 0.34 18204 12 0.15 -1 -1 32260 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64572 31 32 241 273 1 167 84 17 17 289 -1 unnamed_device 23.5 MiB 1.04 1082 9417 2457 5551 1409 63.1 MiB 0.09 0.00 7.00392 -152.056 -7.00392 7.00392 0.33 0.000754502 0.000700046 0.0418705 0.0388808 -1 -1 -1 -1 34 2560 41 6.79088e+06 282912 618332. 2139.56 1.32 0.213162 0.184936 25102 150614 -1 2256 16 963 2614 139889 33443 6.24408 6.24408 -147.845 -6.24408 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0267408 0.0235863 109 150 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_038.v common 5.60 vpr 63.09 MiB -1 -1 0.42 18704 12 0.27 -1 -1 32664 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64600 31 32 307 339 1 222 88 17 17 289 -1 unnamed_device 24.1 MiB 1.21 1407 7498 1735 4811 952 63.1 MiB 0.09 0.00 7.34976 -154.275 -7.34976 7.34976 0.34 0.000981071 0.000910313 0.0420093 0.0389437 -1 -1 -1 -1 40 3418 20 6.79088e+06 336800 706193. 2443.58 1.73 0.246794 0.215022 26254 175826 -1 3093 18 1523 4851 283645 64150 6.47011 6.47011 -145.98 -6.47011 0 0 926341. 3205.33 0.04 0.10 0.14 -1 -1 0.04 0.0386713 0.0341337 147 216 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_039.v common 4.12 vpr 63.12 MiB -1 -1 0.29 18700 14 0.33 -1 -1 32668 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64632 31 32 293 325 1 209 86 17 17 289 -1 unnamed_device 24.1 MiB 0.66 1309 5189 1008 3816 365 63.1 MiB 0.07 0.00 8.47715 -171.11 -8.47715 8.47715 0.33 0.000951617 0.000883008 0.0299557 0.0278273 -1 -1 -1 -1 32 3922 37 6.79088e+06 309856 586450. 2029.24 1.02 0.169292 0.147146 24814 144142 -1 2985 16 1354 3764 205238 49818 7.35086 7.35086 -163.299 -7.35086 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.035856 0.0317914 145 202 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_040.v common 7.66 vpr 63.05 MiB -1 -1 0.41 18940 13 0.26 -1 -1 32728 -1 -1 27 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64560 31 32 276 308 1 213 90 17 17 289 -1 unnamed_device 23.9 MiB 0.91 1374 8733 1936 6161 636 63.0 MiB 0.10 0.00 8.23594 -169.125 -8.23594 8.23594 0.34 0.000903584 0.000837989 0.0440724 0.0407946 -1 -1 -1 -1 34 3877 30 6.79088e+06 363744 618332. 2139.56 4.20 0.314155 0.272114 25102 150614 -1 2971 18 1499 3971 209736 49447 7.01061 7.01061 -161.623 -7.01061 0 0 787024. 2723.27 0.03 0.09 0.12 -1 -1 0.03 0.0351391 0.0309675 140 185 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_041.v common 10.63 vpr 63.00 MiB -1 -1 0.39 18712 13 0.25 -1 -1 32960 -1 -1 24 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64516 31 32 269 301 1 202 87 17 17 289 -1 unnamed_device 23.8 MiB 0.55 1265 11991 3525 6640 1826 63.0 MiB 0.12 0.00 7.42557 -153.386 -7.42557 7.42557 0.33 0.000890181 0.000823132 0.0587426 0.0544505 -1 -1 -1 -1 44 2974 27 6.79088e+06 323328 787024. 2723.27 7.29 0.402607 0.348609 27118 194962 -1 2598 15 1175 3557 191292 44101 6.58427 6.58427 -144.257 -6.58427 0 0 997811. 3452.63 0.04 0.08 0.16 -1 -1 0.04 0.0311919 0.0276303 133 178 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_042.v common 3.66 vpr 63.05 MiB -1 -1 0.20 18160 12 0.19 -1 -1 32732 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64560 32 32 264 296 1 184 82 17 17 289 -1 unnamed_device 23.9 MiB 0.57 1157 5956 1217 4252 487 63.0 MiB 0.07 0.00 7.42809 -161.016 -7.42809 7.42809 0.33 0.000827832 0.000767327 0.0310981 0.0288634 -1 -1 -1 -1 30 3006 26 6.79088e+06 242496 556674. 1926.21 0.93 0.140866 0.123039 24526 138013 -1 2447 16 1033 2877 147023 34853 6.24413 6.24413 -150.162 -6.24413 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0295778 0.026082 117 170 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_043.v common 5.77 vpr 63.43 MiB -1 -1 0.47 19496 14 0.36 -1 -1 32820 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64952 32 32 324 356 1 234 89 17 17 289 -1 unnamed_device 24.4 MiB 0.58 1549 14147 3781 8058 2308 63.4 MiB 0.16 0.00 8.50649 -177.764 -8.50649 8.50649 0.33 0.00104814 0.000969317 0.0796633 0.0736192 -1 -1 -1 -1 36 4592 48 6.79088e+06 336800 648988. 2245.63 2.49 0.347431 0.303213 25390 158009 -1 3618 16 1632 4744 285421 65952 7.63716 7.63716 -173.437 -7.63716 0 0 828058. 2865.25 0.03 0.09 0.09 -1 -1 0.03 0.0367153 0.0326246 165 230 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_044.v common 5.05 vpr 62.89 MiB -1 -1 0.32 18188 11 0.19 -1 -1 32380 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64400 31 32 249 281 1 185 81 17 17 289 -1 unnamed_device 23.9 MiB 0.48 1232 9006 2269 5491 1246 62.9 MiB 0.10 0.00 6.51168 -143.157 -6.51168 6.51168 0.34 0.000811374 0.000753111 0.045059 0.0418383 -1 -1 -1 -1 34 3286 46 6.79088e+06 242496 618332. 2139.56 2.13 0.244298 0.21205 25102 150614 -1 2839 17 1282 3492 200226 46232 5.68889 5.68889 -140.53 -5.68889 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0312437 0.0275847 116 158 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_045.v common 4.90 vpr 63.65 MiB -1 -1 0.39 18784 13 0.26 -1 -1 33192 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65180 31 32 284 316 1 188 84 17 17 289 -1 unnamed_device 23.9 MiB 0.75 1204 12528 3737 6974 1817 63.7 MiB 0.13 0.00 7.94481 -166.677 -7.94481 7.94481 0.33 0.000909417 0.000840782 0.0662093 0.0612194 -1 -1 -1 -1 36 3092 22 6.79088e+06 282912 648988. 2245.63 1.58 0.255213 0.222621 25390 158009 -1 2644 15 1095 3483 191240 44753 6.79921 6.79921 -152.923 -6.79921 0 0 828058. 2865.25 0.04 0.08 0.13 -1 -1 0.04 0.0321072 0.0284112 138 193 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_046.v common 4.88 vpr 63.10 MiB -1 -1 0.35 18392 12 0.26 -1 -1 32816 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64612 32 32 303 335 1 210 86 17 17 289 -1 unnamed_device 24.0 MiB 0.87 1363 5189 1027 3642 520 63.1 MiB 0.08 0.00 7.04197 -157.192 -7.04197 7.04197 0.33 0.000996774 0.000924651 0.0334175 0.0309014 -1 -1 -1 -1 40 3245 25 6.79088e+06 296384 706193. 2443.58 1.53 0.238638 0.206617 26254 175826 -1 2861 19 1269 4054 212612 49400 5.96542 5.96542 -149.245 -5.96542 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.040369 0.0356148 147 209 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_047.v common 4.71 vpr 63.12 MiB -1 -1 0.34 18308 13 0.24 -1 -1 32636 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64632 32 32 272 304 1 195 88 17 17 289 -1 unnamed_device 23.9 MiB 0.86 1177 5158 1002 4043 113 63.1 MiB 0.07 0.00 7.73127 -163.808 -7.73127 7.73127 0.34 0.000896122 0.000831306 0.0279847 0.0259256 -1 -1 -1 -1 28 3639 40 6.79088e+06 323328 531479. 1839.03 1.52 0.182112 0.158905 23950 126010 -1 2804 18 1528 4053 219179 54557 6.99593 6.99593 -159.69 -6.99593 0 0 648988. 2245.63 0.03 0.09 0.08 -1 -1 0.03 0.0350516 0.0308061 132 178 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_048.v common 5.59 vpr 63.30 MiB -1 -1 0.37 18668 13 0.21 -1 -1 33232 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64820 32 32 271 303 1 207 85 17 17 289 -1 unnamed_device 24.1 MiB 0.44 1265 14965 4764 8341 1860 63.3 MiB 0.15 0.00 7.75252 -165.339 -7.75252 7.75252 0.34 0.00086646 0.000802612 0.0734953 0.0680761 -1 -1 -1 -1 30 4000 45 6.79088e+06 282912 556674. 1926.21 2.70 0.218878 0.19271 24526 138013 -1 2858 16 1211 3464 187136 43679 6.88642 6.88642 -164.478 -6.88642 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0319016 0.0281607 129 177 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_049.v common 10.94 vpr 63.14 MiB -1 -1 0.38 18720 12 0.26 -1 -1 32732 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64652 32 32 288 320 1 212 87 17 17 289 -1 unnamed_device 23.9 MiB 1.15 1351 6615 1429 4480 706 63.1 MiB 0.08 0.00 7.16042 -155.528 -7.16042 7.16042 0.34 0.000939125 0.000866298 0.0362422 0.0335005 -1 -1 -1 -1 32 3833 43 6.79088e+06 309856 586450. 2029.24 6.95 0.380181 0.326782 24814 144142 -1 3158 22 1350 4375 298063 84057 6.24059 6.24059 -150.336 -6.24059 0 0 744469. 2576.02 0.03 0.12 0.12 -1 -1 0.03 0.0431628 0.0378799 145 194 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_050.v common 5.67 vpr 63.29 MiB -1 -1 0.39 18916 13 0.29 -1 -1 33236 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64804 32 32 306 338 1 225 91 17 17 289 -1 unnamed_device 24.1 MiB 0.49 1531 6619 1413 4763 443 63.3 MiB 0.08 0.00 8.00102 -171.788 -8.00102 8.00102 0.33 0.000987244 0.000914593 0.0361965 0.0335495 -1 -1 -1 -1 34 4202 24 6.79088e+06 363744 618332. 2139.56 2.74 0.243121 0.210759 25102 150614 -1 3425 20 1830 5592 319357 72314 6.79916 6.79916 -163.39 -6.79916 0 0 787024. 2723.27 0.03 0.11 0.12 -1 -1 0.03 0.0421098 0.0369914 155 212 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_051.v common 6.00 vpr 62.93 MiB -1 -1 0.33 18500 14 0.30 -1 -1 32712 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64444 32 32 262 294 1 194 85 17 17 289 -1 unnamed_device 23.8 MiB 0.61 1179 11431 2954 7116 1361 62.9 MiB 0.12 0.00 8.61917 -175.213 -8.61917 8.61917 0.34 0.000860384 0.000797482 0.0569217 0.0527823 -1 -1 -1 -1 34 3274 45 6.79088e+06 282912 618332. 2139.56 2.84 0.384305 0.332757 25102 150614 -1 2654 17 1189 3379 184757 43904 7.34316 7.34316 -164.459 -7.34316 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0333461 0.0294805 127 168 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_052.v common 4.96 vpr 63.12 MiB -1 -1 0.34 18528 13 0.26 -1 -1 32780 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64640 32 32 291 323 1 210 86 17 17 289 -1 unnamed_device 24.1 MiB 0.74 1376 8780 2463 5596 721 63.1 MiB 0.10 0.00 8.32932 -171.393 -8.32932 8.32932 0.34 0.000929417 0.000861459 0.0472655 0.0438397 -1 -1 -1 -1 34 3609 29 6.79088e+06 296384 618332. 2139.56 1.75 0.251746 0.219221 25102 150614 -1 3077 16 1419 3911 223058 51860 7.33618 7.33618 -165.491 -7.33618 0 0 787024. 2723.27 0.03 0.09 0.12 -1 -1 0.03 0.0335871 0.0296795 141 197 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_053.v common 8.24 vpr 63.32 MiB -1 -1 0.41 18632 13 0.27 -1 -1 32708 -1 -1 26 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64836 31 32 302 334 1 220 89 17 17 289 -1 unnamed_device 24.2 MiB 0.84 1319 12365 3747 6590 2028 63.3 MiB 0.13 0.00 7.99851 -170.13 -7.99851 7.99851 0.35 0.00096778 0.000896787 0.0645225 0.0595132 -1 -1 -1 -1 36 3749 35 6.79088e+06 350272 648988. 2245.63 4.81 0.409832 0.353816 25390 158009 -1 2959 19 1575 4424 252770 60091 7.33612 7.33612 -166.763 -7.33612 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0391557 0.0344015 154 211 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_054.v common 5.14 vpr 63.22 MiB -1 -1 0.40 18492 12 0.29 -1 -1 32700 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64736 32 32 308 340 1 225 92 17 17 289 -1 unnamed_device 24.1 MiB 0.43 1496 6923 1430 4695 798 63.2 MiB 0.08 0.00 7.60376 -160.492 -7.60376 7.60376 0.33 0.000976411 0.000895617 0.0363538 0.0336924 -1 -1 -1 -1 34 3971 26 6.79088e+06 377216 618332. 2139.56 2.11 0.245937 0.213719 25102 150614 -1 3429 21 1748 4889 267951 62282 6.50587 6.50587 -154.947 -6.50587 0 0 787024. 2723.27 0.03 0.11 0.12 -1 -1 0.03 0.0420303 0.0368799 153 214 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_055.v common 3.40 vpr 62.90 MiB -1 -1 0.29 18196 11 0.13 -1 -1 32592 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64412 32 32 216 248 1 158 82 17 17 289 -1 unnamed_device 23.3 MiB 0.30 1075 3998 756 3041 201 62.9 MiB 0.05 0.00 6.77248 -144.032 -6.77248 6.77248 0.33 0.000694571 0.000643972 0.0181907 0.0168902 -1 -1 -1 -1 30 2517 36 6.79088e+06 242496 556674. 1926.21 0.91 0.117887 0.102169 24526 138013 -1 2075 16 798 1995 102913 24830 5.70363 5.70363 -136.86 -5.70363 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0247255 0.0217961 94 122 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_056.v common 5.70 vpr 63.53 MiB -1 -1 0.21 18476 13 0.21 -1 -1 32696 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65056 32 32 254 286 1 192 84 17 17 289 -1 unnamed_device 23.9 MiB 0.88 1126 8136 2068 5016 1052 63.5 MiB 0.10 0.00 7.79214 -162.679 -7.79214 7.79214 0.33 0.000658065 0.000604412 0.0384866 0.0354708 -1 -1 -1 -1 32 3246 36 6.79088e+06 269440 586450. 2029.24 2.19 0.283685 0.244412 24814 144142 -1 2659 27 1119 2895 228683 83255 7.03862 7.03862 -159.905 -7.03862 0 0 744469. 2576.02 0.03 0.12 0.12 -1 -1 0.03 0.0432522 0.037652 117 160 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_057.v common 6.15 vpr 63.46 MiB -1 -1 0.29 19268 14 0.42 -1 -1 32964 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64984 32 32 338 370 1 249 90 17 17 289 -1 unnamed_device 24.5 MiB 0.66 1538 6120 1240 4317 563 63.5 MiB 0.09 0.00 8.8247 -180.72 -8.8247 8.8247 0.33 0.00109559 0.00101528 0.0378462 0.0351304 -1 -1 -1 -1 36 4507 28 6.79088e+06 350272 648988. 2245.63 2.38 0.280931 0.244106 25390 158009 -1 3639 21 2146 6765 373325 85821 7.52655 7.52655 -172.07 -7.52655 0 0 828058. 2865.25 0.03 0.13 0.13 -1 -1 0.03 0.047843 0.0420731 177 244 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_058.v common 3.82 vpr 63.67 MiB -1 -1 0.27 18500 13 0.28 -1 -1 32764 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65196 32 32 271 303 1 213 86 17 17 289 -1 unnamed_device 23.9 MiB 0.48 1392 6323 1417 4337 569 63.7 MiB 0.08 0.00 7.43607 -167.439 -7.43607 7.43607 0.34 0.000883475 0.000818429 0.0332858 0.0308115 -1 -1 -1 -1 32 3852 24 6.79088e+06 296384 586450. 2029.24 1.13 0.154314 0.134981 24814 144142 -1 3039 31 1428 4021 302952 101633 6.58083 6.58083 -166.434 -6.58083 0 0 744469. 2576.02 0.03 0.09 0.08 -1 -1 0.03 0.0285554 0.0252491 137 177 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_059.v common 3.59 vpr 62.98 MiB -1 -1 0.29 18232 11 0.21 -1 -1 32800 -1 -1 20 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64496 30 32 224 256 1 163 82 17 17 289 -1 unnamed_device 23.5 MiB 0.24 1046 7736 1943 5282 511 63.0 MiB 0.08 0.00 6.49117 -139.951 -6.49117 6.49117 0.33 0.000727529 0.000674613 0.0343752 0.0318642 -1 -1 -1 -1 34 2539 24 6.79088e+06 269440 618332. 2139.56 1.18 0.184649 0.160283 25102 150614 -1 2161 18 1027 2894 148138 35559 5.57827 5.57827 -133.767 -5.57827 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0285667 0.0251032 104 136 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_060.v common 5.55 vpr 64.11 MiB -1 -1 0.43 19452 15 0.50 -1 -1 32792 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65644 32 32 351 383 1 255 88 17 17 289 -1 unnamed_device 24.4 MiB 0.69 1469 6718 1458 4742 518 64.1 MiB 0.09 0.00 9.4129 -180.562 -9.4129 9.4129 0.33 0.00111852 0.00103376 0.0434228 0.0401761 -1 -1 -1 -1 40 3841 41 6.79088e+06 323328 706193. 2443.58 1.96 0.310829 0.269387 26254 175826 -1 3370 24 2324 6951 380547 96521 8.42827 8.42827 -177.143 -8.42827 0 0 926341. 3205.33 0.04 0.14 0.14 -1 -1 0.04 0.0551757 0.048345 182 257 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_061.v common 7.66 vpr 63.14 MiB -1 -1 0.38 18356 13 0.31 -1 -1 32832 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64652 32 32 297 329 1 217 85 17 17 289 -1 unnamed_device 24.1 MiB 0.49 1381 6781 1376 4957 448 63.1 MiB 0.09 0.00 8.02439 -172.858 -8.02439 8.02439 0.39 0.00123325 0.00112334 0.0397366 0.036858 -1 -1 -1 -1 34 3790 39 6.79088e+06 282912 618332. 2139.56 4.47 0.386314 0.333358 25102 150614 -1 3102 28 1505 4147 270941 86271 6.97141 6.97141 -165.546 -6.97141 0 0 787024. 2723.27 0.03 0.13 0.12 -1 -1 0.03 0.0515516 0.0449004 145 203 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_062.v common 4.69 vpr 62.98 MiB -1 -1 0.30 17896 11 0.13 -1 -1 32636 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64492 32 32 231 263 1 166 81 17 17 289 -1 unnamed_device 23.5 MiB 0.57 909 12331 4468 6022 1841 63.0 MiB 0.11 0.00 6.81376 -134.635 -6.81376 6.81376 0.33 0.000724891 0.000670725 0.0539823 0.0499095 -1 -1 -1 -1 36 2724 41 6.79088e+06 229024 648988. 2245.63 1.88 0.224494 0.19549 25390 158009 -1 1931 20 941 2393 128371 32842 5.82123 5.82123 -135.283 -5.82123 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0299405 0.026273 100 137 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_063.v common 10.91 vpr 64.06 MiB -1 -1 0.36 18636 12 0.29 -1 -1 32792 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65596 32 32 305 337 1 207 85 17 17 289 -1 unnamed_device 24.4 MiB 0.61 1238 11989 2862 7566 1561 64.1 MiB 0.13 0.00 7.73847 -157.471 -7.73847 7.73847 0.33 0.000966881 0.000894458 0.0663491 0.0613603 -1 -1 -1 -1 30 3476 40 6.79088e+06 282912 556674. 1926.21 7.59 0.504774 0.435963 24526 138013 -1 2798 61 1432 4418 483283 257654 6.62696 6.62696 -152.647 -6.62696 0 0 706193. 2443.58 0.03 0.30 0.11 -1 -1 0.03 0.103186 0.0888712 148 211 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_064.v common 3.83 vpr 62.86 MiB -1 -1 0.31 18172 12 0.19 -1 -1 32668 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64364 32 32 243 275 1 185 85 17 17 289 -1 unnamed_device 23.9 MiB 0.40 1201 8455 2253 5491 711 62.9 MiB 0.09 0.00 7.01886 -151.775 -7.01886 7.01886 0.33 0.000790752 0.000733654 0.039298 0.0364443 -1 -1 -1 -1 30 3451 39 6.79088e+06 282912 556674. 1926.21 1.12 0.163488 0.143321 24526 138013 -1 2642 19 1229 3277 170167 40570 6.02924 6.02924 -151.575 -6.02924 0 0 706193. 2443.58 0.03 0.08 0.10 -1 -1 0.03 0.0322737 0.0284018 116 149 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_065.v common 3.65 vpr 63.09 MiB -1 -1 0.34 18172 12 0.18 -1 -1 32580 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 30 32 228 260 1 164 80 17 17 289 -1 unnamed_device 23.5 MiB 0.40 1081 11604 3205 6945 1454 63.1 MiB 0.11 0.00 7.62806 -153.766 -7.62806 7.62806 0.33 0.000748241 0.000693505 0.0534482 0.0495952 -1 -1 -1 -1 28 2709 44 6.79088e+06 242496 531479. 1839.03 0.97 0.171037 0.150215 23950 126010 -1 2343 19 950 2626 146937 35222 6.54851 6.54851 -149.241 -6.54851 0 0 648988. 2245.63 0.03 0.07 0.11 -1 -1 0.03 0.0305789 0.0269231 103 140 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_066.v common 4.69 vpr 63.65 MiB -1 -1 0.37 18740 12 0.26 -1 -1 33140 -1 -1 26 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65176 29 32 275 307 1 201 87 17 17 289 -1 unnamed_device 23.8 MiB 1.05 1278 7767 2051 5102 614 63.6 MiB 0.09 0.00 7.51498 -141.618 -7.51498 7.51498 0.34 0.000906016 0.000840016 0.0413051 0.038323 -1 -1 -1 -1 32 3630 33 6.79088e+06 350272 586450. 2029.24 1.14 0.181328 0.159313 24814 144142 -1 3017 25 1535 4942 354687 107218 6.75642 6.75642 -139.832 -6.75642 0 0 744469. 2576.02 0.03 0.14 0.12 -1 -1 0.03 0.0449023 0.0391247 140 190 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_067.v common 4.83 vpr 63.28 MiB -1 -1 0.38 18780 13 0.33 -1 -1 32688 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64796 32 32 330 362 1 245 89 17 17 289 -1 unnamed_device 24.1 MiB 0.33 1528 6227 1201 4545 481 63.3 MiB 0.08 0.00 8.18895 -172.059 -8.18895 8.18895 0.33 0.00103214 0.000955321 0.0366079 0.033946 -1 -1 -1 -1 38 3867 24 6.79088e+06 336800 678818. 2348.85 1.78 0.258078 0.223919 25966 169698 -1 3158 18 1802 4742 228893 55193 7.17168 7.17168 -170.308 -7.17168 0 0 902133. 3121.57 0.04 0.10 0.14 -1 -1 0.04 0.0424222 0.0375185 168 236 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_068.v common 4.53 vpr 63.15 MiB -1 -1 0.23 18780 12 0.24 -1 -1 32916 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64668 32 32 290 322 1 213 87 17 17 289 -1 unnamed_device 24.1 MiB 0.50 1313 4887 885 3808 194 63.2 MiB 0.07 0.00 7.99076 -164.599 -7.99076 7.99076 0.33 0.000960029 0.00089161 0.0280986 0.0261157 -1 -1 -1 -1 36 3499 25 6.79088e+06 309856 648988. 2245.63 1.63 0.227641 0.197159 25390 158009 -1 2947 22 1597 4563 264161 61020 7.12816 7.12816 -162.912 -7.12816 0 0 828058. 2865.25 0.03 0.11 0.13 -1 -1 0.03 0.0426839 0.0374446 145 196 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_069.v common 3.57 vpr 63.04 MiB -1 -1 0.32 18076 12 0.14 -1 -1 32716 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64556 32 32 214 246 1 158 82 17 17 289 -1 unnamed_device 23.6 MiB 0.60 979 6846 1775 4790 281 63.0 MiB 0.07 0.00 7.54672 -153.653 -7.54672 7.54672 0.33 0.000697637 0.000646916 0.0298109 0.0276413 -1 -1 -1 -1 30 2574 28 6.79088e+06 242496 556674. 1926.21 0.81 0.125497 0.109777 24526 138013 -1 2114 16 851 2322 127991 29604 6.27876 6.27876 -142.747 -6.27876 0 0 706193. 2443.58 0.03 0.06 0.12 -1 -1 0.03 0.0249282 0.0219725 95 120 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_070.v common 4.44 vpr 62.86 MiB -1 -1 0.35 18420 12 0.21 -1 -1 32544 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64364 31 32 244 276 1 180 84 17 17 289 -1 unnamed_device 23.9 MiB 0.57 1114 10881 2787 6498 1596 62.9 MiB 0.11 0.00 7.00478 -147.422 -7.00478 7.00478 0.33 0.000795387 0.000737213 0.0501307 0.0465041 -1 -1 -1 -1 30 3094 42 6.79088e+06 282912 556674. 1926.21 1.50 0.177397 0.155554 24526 138013 -1 2593 17 1131 3204 173931 40516 6.09958 6.09958 -141.679 -6.09958 0 0 706193. 2443.58 0.03 0.09 0.11 -1 -1 0.03 0.036672 0.0327754 113 153 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_071.v common 4.26 vpr 63.59 MiB -1 -1 0.35 18244 11 0.19 -1 -1 32692 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65116 30 32 276 308 1 190 83 17 17 289 -1 unnamed_device 23.9 MiB 0.66 1265 6203 1330 4334 539 63.6 MiB 0.07 0.00 6.86036 -138.178 -6.86036 6.86036 0.33 0.000872701 0.000808832 0.0332341 0.0307975 -1 -1 -1 -1 32 3655 41 6.79088e+06 282912 586450. 2029.24 1.22 0.174161 0.151687 24814 144142 -1 2841 22 1283 4426 272547 59617 6.00456 6.00456 -136.67 -6.00456 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.040237 0.0351859 127 188 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_072.v common 4.11 vpr 63.57 MiB -1 -1 0.33 18096 11 0.20 -1 -1 32804 -1 -1 22 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65096 28 32 253 285 1 172 82 17 17 289 -1 unnamed_device 24.0 MiB 0.52 1030 7380 1762 4862 756 63.6 MiB 0.08 0.00 6.50134 -127.842 -6.50134 6.50134 0.34 0.000822242 0.0007458 0.0372029 0.0344751 -1 -1 -1 -1 30 2900 25 6.79088e+06 296384 556674. 1926.21 1.12 0.148011 0.129577 24526 138013 -1 2343 21 1179 3773 199655 46024 5.81425 5.81425 -124.658 -5.81425 0 0 706193. 2443.58 0.03 0.10 0.11 -1 -1 0.03 0.0433985 0.0386441 120 171 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_073.v common 4.03 vpr 63.21 MiB -1 -1 0.35 18460 13 0.21 -1 -1 32544 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64732 30 32 235 267 1 168 80 17 17 289 -1 unnamed_device 23.6 MiB 0.93 1017 9368 2381 5580 1407 63.2 MiB 0.09 0.00 7.37863 -147.299 -7.37863 7.37863 0.33 0.000762423 0.00070602 0.0447211 0.0414357 -1 -1 -1 -1 30 2602 19 6.79088e+06 242496 556674. 1926.21 0.82 0.135703 0.11964 24526 138013 -1 2239 15 965 2628 129907 31874 6.45553 6.45553 -140.988 -6.45553 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0261016 0.0231301 106 147 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_074.v common 5.26 vpr 63.01 MiB -1 -1 0.36 18368 12 0.25 -1 -1 32488 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64524 32 32 264 296 1 196 85 17 17 289 -1 unnamed_device 23.8 MiB 0.54 1234 11059 3144 6282 1633 63.0 MiB 0.11 0.00 7.31477 -168.368 -7.31477 7.31477 0.34 0.000856824 0.000793056 0.0547439 0.0506667 -1 -1 -1 -1 34 3162 44 6.79088e+06 282912 618332. 2139.56 1.74 0.271546 0.236694 25102 150614 -1 2574 16 1178 3180 169085 41152 6.12997 6.12997 -154.393 -6.12997 0 0 787024. 2723.27 0.03 0.05 0.09 -1 -1 0.03 0.017975 0.0162817 122 170 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_075.v common 5.80 vpr 63.09 MiB -1 -1 0.35 18376 13 0.28 -1 -1 32740 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 31 32 278 310 1 200 86 17 17 289 -1 unnamed_device 23.8 MiB 0.71 1218 7268 1613 5267 388 63.1 MiB 0.08 0.00 8.1563 -164.8 -8.1563 8.1563 0.33 0.000912725 0.00084631 0.0388616 0.0360506 -1 -1 -1 -1 28 3842 43 6.79088e+06 309856 531479. 1839.03 1.58 0.191535 0.167609 23950 126010 -1 3139 20 1751 4676 285838 69135 7.42571 7.42571 -161.774 -7.42571 0 0 648988. 2245.63 0.03 0.10 0.10 -1 -1 0.03 0.0378763 0.033172 138 187 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_076.v common 4.33 vpr 63.07 MiB -1 -1 0.37 18712 14 0.26 -1 -1 32748 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64588 32 32 290 322 1 209 85 17 17 289 -1 unnamed_device 23.8 MiB 0.46 1344 6223 1427 4314 482 63.1 MiB 0.08 0.00 8.23738 -172.892 -8.23738 8.23738 0.33 0.000930376 0.000862705 0.0350115 0.0324274 -1 -1 -1 -1 36 3504 22 6.79088e+06 282912 648988. 2245.63 1.39 0.222178 0.192343 25390 158009 -1 2926 20 1296 3771 212954 49473 7.70438 7.70438 -171.066 -7.70438 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0400968 0.0352304 140 196 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_077.v common 4.35 vpr 63.09 MiB -1 -1 0.37 18984 14 0.26 -1 -1 32828 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 32 32 269 301 1 197 84 17 17 289 -1 unnamed_device 23.9 MiB 0.66 1260 7953 2116 5353 484 63.1 MiB 0.09 0.00 8.52241 -172.234 -8.52241 8.52241 0.35 0.000875643 0.000812302 0.0420262 0.0390167 -1 -1 -1 -1 32 3303 29 6.79088e+06 269440 586450. 2029.24 0.90 0.160781 0.140788 24814 144142 -1 2839 15 1150 3244 192979 45064 7.43457 7.43457 -164.308 -7.43457 0 0 744469. 2576.02 0.03 0.08 0.14 -1 -1 0.03 0.0304238 0.0269292 125 175 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_078.v common 5.29 vpr 63.05 MiB -1 -1 0.28 18968 13 0.31 -1 -1 33184 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64560 32 32 296 328 1 224 88 17 17 289 -1 unnamed_device 24.0 MiB 0.86 1508 10813 2963 6776 1074 63.0 MiB 0.12 0.00 8.23594 -170.571 -8.23594 8.23594 0.33 0.000957541 0.000886478 0.0567572 0.0524951 -1 -1 -1 -1 38 3652 29 6.79088e+06 323328 678818. 2348.85 2.06 0.275001 0.240028 25966 169698 -1 2991 19 1456 4176 205663 48034 7.2255 7.2255 -162.719 -7.2255 0 0 902133. 3121.57 0.04 0.09 0.13 -1 -1 0.04 0.0391521 0.0344491 149 202 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_079.v common 3.45 vpr 63.08 MiB -1 -1 0.33 18236 13 0.22 -1 -1 32628 -1 -1 20 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64592 30 32 234 266 1 174 82 17 17 289 -1 unnamed_device 23.6 MiB 0.61 1122 9872 2511 5375 1986 63.1 MiB 0.09 0.00 7.03084 -145.753 -7.03084 7.03084 0.25 0.000754124 0.00069787 0.04469 0.041398 -1 -1 -1 -1 30 2730 30 6.79088e+06 269440 556674. 1926.21 0.69 0.144732 0.12695 24526 138013 -1 2242 16 1004 2613 128931 31587 6.15798 6.15798 -142.007 -6.15798 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0267119 0.0235856 105 146 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_080.v common 4.36 vpr 63.17 MiB -1 -1 0.39 18908 13 0.43 -1 -1 32536 -1 -1 24 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64688 30 32 291 323 1 222 86 17 17 289 -1 unnamed_device 24.0 MiB 0.57 1366 6323 1376 4581 366 63.2 MiB 0.08 0.00 8.22015 -167.715 -8.22015 8.22015 0.33 0.000970021 0.000899624 0.0365667 0.0339281 -1 -1 -1 -1 30 3811 27 6.79088e+06 323328 556674. 1926.21 1.20 0.157292 0.138178 24526 138013 -1 3100 20 1853 5058 265165 62010 7.01061 7.01061 -161.856 -7.01061 0 0 706193. 2443.58 0.03 0.10 0.11 -1 -1 0.03 0.0405842 0.0356147 151 203 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_081.v common 4.92 vpr 63.37 MiB -1 -1 0.39 18648 14 0.30 -1 -1 32800 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64888 32 32 274 306 1 198 84 17 17 289 -1 unnamed_device 24.2 MiB 0.53 1296 7953 2167 5300 486 63.4 MiB 0.10 0.00 8.6007 -177.035 -8.6007 8.6007 0.33 0.000902051 0.000836719 0.0439781 0.0407369 -1 -1 -1 -1 32 3728 44 6.79088e+06 269440 586450. 2029.24 1.85 0.196491 0.171966 24814 144142 -1 3050 19 1278 3895 235017 53907 7.46496 7.46496 -172.667 -7.46496 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0364598 0.0320346 131 180 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_082.v common 4.01 vpr 62.96 MiB -1 -1 0.37 18464 13 0.23 -1 -1 32720 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64476 31 32 266 298 1 196 83 17 17 289 -1 unnamed_device 23.8 MiB 0.60 1225 7283 1667 4799 817 63.0 MiB 0.08 0.00 7.72889 -159.149 -7.72889 7.72889 0.33 0.000866856 0.000803336 0.0388787 0.0360607 -1 -1 -1 -1 32 3187 32 6.79088e+06 269440 586450. 2029.24 0.92 0.166151 0.145367 24814 144142 -1 2718 25 1689 5318 376174 113012 7.03513 7.03513 -157.164 -7.03513 0 0 744469. 2576.02 0.03 0.15 0.12 -1 -1 0.03 0.0446857 0.0389953 126 175 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_083.v common 3.96 vpr 63.55 MiB -1 -1 0.40 18680 13 0.21 -1 -1 32700 -1 -1 24 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65080 30 32 266 298 1 198 86 17 17 289 -1 unnamed_device 23.8 MiB 0.75 1215 6890 1496 4876 518 63.6 MiB 0.08 0.00 7.69794 -150.629 -7.69794 7.69794 0.33 0.000856881 0.000794264 0.0347451 0.0322125 -1 -1 -1 -1 32 3312 26 6.79088e+06 323328 586450. 2029.24 0.77 0.146017 0.127535 24814 144142 -1 2677 17 1207 3322 190544 44345 6.84722 6.84722 -148.795 -6.84722 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0383358 0.033699 129 178 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_084.v common 6.22 vpr 63.27 MiB -1 -1 0.39 18624 14 0.35 -1 -1 32708 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64784 32 32 310 342 1 227 88 17 17 289 -1 unnamed_device 24.1 MiB 0.54 1457 7498 1810 5019 669 63.3 MiB 0.09 0.00 8.74272 -181.503 -8.74272 8.74272 0.33 0.00110578 0.00103877 0.0438548 0.0406359 -1 -1 -1 -1 36 4168 48 6.79088e+06 323328 648988. 2245.63 3.00 0.30114 0.261386 25390 158009 -1 3237 19 1439 4423 260226 61088 7.91932 7.91932 -173.07 -7.91932 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0419448 0.0370455 159 216 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_085.v common 4.53 vpr 63.12 MiB -1 -1 0.39 18764 11 0.27 -1 -1 32812 -1 -1 24 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64636 29 32 262 294 1 199 85 17 17 289 -1 unnamed_device 23.9 MiB 0.78 1155 5293 1066 3883 344 63.1 MiB 0.06 0.00 7.11905 -139.183 -7.11905 7.11905 0.33 0.000869954 0.000807687 0.0283019 0.0262913 -1 -1 -1 -1 30 3206 24 6.79088e+06 323328 556674. 1926.21 1.16 0.142678 0.124505 24526 138013 -1 2552 20 1371 4158 193020 46584 6.40052 6.40052 -139.377 -6.40052 0 0 706193. 2443.58 0.03 0.09 0.11 -1 -1 0.03 0.0393804 0.0346667 138 177 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_086.v common 3.95 vpr 63.17 MiB -1 -1 0.30 18084 13 0.14 -1 -1 32512 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64688 32 32 222 254 1 175 82 17 17 289 -1 unnamed_device 23.7 MiB 0.51 1052 12186 3970 6295 1921 63.2 MiB 0.11 0.00 7.33183 -169.303 -7.33183 7.33183 0.33 0.000718635 0.00066632 0.0520208 0.0481947 -1 -1 -1 -1 30 2885 19 6.79088e+06 242496 556674. 1926.21 0.84 0.138775 0.12288 24526 138013 -1 2167 15 953 2173 106363 26476 6.33023 6.33023 -159.366 -6.33023 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0245476 0.0217065 102 128 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_087.v common 4.21 vpr 63.04 MiB -1 -1 0.38 18708 14 0.24 -1 -1 32764 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64556 32 32 267 299 1 191 83 17 17 289 -1 unnamed_device 23.8 MiB 0.75 1172 7823 1882 5791 150 63.0 MiB 0.09 0.00 8.3612 -169.538 -8.3612 8.3612 0.33 0.000873057 0.000810529 0.0415834 0.0385198 -1 -1 -1 -1 32 3360 34 6.79088e+06 255968 586450. 2029.24 1.04 0.167667 0.146496 24814 144142 -1 2735 15 1142 3214 181491 42685 7.13597 7.13597 -161.638 -7.13597 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0299901 0.0265075 125 173 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_088.v common 5.31 vpr 63.40 MiB -1 -1 0.42 18748 15 0.40 -1 -1 32752 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64920 32 32 334 366 1 249 93 17 17 289 -1 unnamed_device 24.4 MiB 0.61 1438 10383 2780 6351 1252 63.4 MiB 0.12 0.00 9.05222 -189.794 -9.05222 9.05222 0.33 0.00107286 0.000993335 0.0575936 0.0532759 -1 -1 -1 -1 38 3747 27 6.79088e+06 390688 678818. 2348.85 1.56 0.285458 0.248427 25966 169698 -1 2981 19 1593 4300 200440 49296 7.88361 7.88361 -180.232 -7.88361 0 0 902133. 3121.57 0.04 0.10 0.14 -1 -1 0.04 0.0447008 0.0395513 170 240 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_089.v common 3.55 vpr 62.91 MiB -1 -1 0.32 18096 11 0.17 -1 -1 32620 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64424 32 32 220 252 1 162 82 17 17 289 -1 unnamed_device 23.6 MiB 0.55 933 4888 941 3830 117 62.9 MiB 0.06 0.00 6.76258 -140.409 -6.76258 6.76258 0.33 0.000709779 0.000657244 0.022307 0.0206869 -1 -1 -1 -1 32 2586 35 6.79088e+06 242496 586450. 2029.24 0.79 0.124992 0.108575 24814 144142 -1 1947 20 900 2319 122887 32225 6.10759 6.10759 -137.226 -6.10759 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0299922 0.0263105 98 126 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_090.v common 4.08 vpr 62.77 MiB -1 -1 0.31 18168 12 0.20 -1 -1 33100 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64276 31 32 244 276 1 190 86 17 17 289 -1 unnamed_device 23.8 MiB 0.46 1183 12749 3795 7363 1591 62.8 MiB 0.12 0.00 6.54054 -150.799 -6.54054 6.54054 0.33 0.000785102 0.000727287 0.0557194 0.0515086 -1 -1 -1 -1 38 2979 28 6.79088e+06 309856 678818. 2348.85 1.33 0.220305 0.191904 25966 169698 -1 2557 18 1316 3622 183839 43855 5.90384 5.90384 -145.253 -5.90384 0 0 902133. 3121.57 0.04 0.08 0.14 -1 -1 0.04 0.0309677 0.027267 118 153 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_091.v common 5.01 vpr 63.25 MiB -1 -1 0.31 18688 12 0.30 -1 -1 32656 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64772 32 32 300 332 1 217 86 17 17 289 -1 unnamed_device 24.1 MiB 0.90 1335 11993 2991 7425 1577 63.3 MiB 0.08 0.00 7.00019 -160.316 -7.00019 7.00019 0.33 0.000446272 0.000410133 0.0361034 0.0332645 -1 -1 -1 -1 30 3633 50 6.79088e+06 296384 556674. 1926.21 1.64 0.159429 0.13955 24526 138013 -1 2958 21 1661 4357 222449 53788 6.45194 6.45194 -160.623 -6.45194 0 0 706193. 2443.58 0.03 0.10 0.11 -1 -1 0.03 0.0433507 0.0380029 148 206 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_092.v common 8.72 vpr 63.54 MiB -1 -1 0.37 18400 12 0.25 -1 -1 32704 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65068 32 32 271 303 1 207 86 17 17 289 -1 unnamed_device 23.8 MiB 0.34 1388 7079 1580 4994 505 63.5 MiB 0.09 0.00 7.44212 -156.695 -7.44212 7.44212 0.34 0.00089315 0.000827733 0.0377702 0.0350162 -1 -1 -1 -1 32 4113 42 6.79088e+06 296384 586450. 2029.24 5.99 0.33538 0.289295 24814 144142 -1 3198 20 1454 4612 314410 80422 6.40509 6.40509 -154.6 -6.40509 0 0 744469. 2576.02 0.03 0.12 0.12 -1 -1 0.03 0.0388463 0.0342152 135 177 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_093.v common 6.25 vpr 63.98 MiB -1 -1 0.38 18860 14 0.44 -1 -1 32848 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65516 32 32 327 359 1 232 87 17 17 289 -1 unnamed_device 24.4 MiB 1.29 1382 6615 1264 5004 347 64.0 MiB 0.09 0.00 8.7765 -177.486 -8.7765 8.7765 0.34 0.00108762 0.00100721 0.0431326 0.0399583 -1 -1 -1 -1 36 3916 43 6.79088e+06 309856 648988. 2245.63 2.19 0.315103 0.274294 25390 158009 -1 3229 27 2294 7401 401717 106520 7.62495 7.62495 -172.426 -7.62495 0 0 828058. 2865.25 0.03 0.16 0.13 -1 -1 0.03 0.057052 0.049907 170 233 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_094.v common 3.75 vpr 63.05 MiB -1 -1 0.35 18460 12 0.21 -1 -1 32744 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64564 30 32 246 278 1 190 81 17 17 289 -1 unnamed_device 24.0 MiB 0.46 1191 12681 3782 6660 2239 63.1 MiB 0.13 0.00 8.05817 -151.234 -8.05817 8.05817 0.33 0.000828057 0.000769049 0.0637741 0.0591909 -1 -1 -1 -1 32 3515 26 6.79088e+06 255968 586450. 2029.24 0.97 0.17205 0.151839 24814 144142 -1 2773 17 1320 3637 203053 47640 7.08901 7.08901 -148.49 -7.08901 0 0 744469. 2576.02 0.03 0.05 0.09 -1 -1 0.03 0.0197557 0.0178214 123 158 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_095.v common 3.76 vpr 62.97 MiB -1 -1 0.31 17968 11 0.18 -1 -1 32616 -1 -1 23 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64480 27 32 219 251 1 162 82 17 17 289 -1 unnamed_device 23.5 MiB 0.91 965 6668 1740 4270 658 63.0 MiB 0.07 0.00 7.24345 -132.093 -7.24345 7.24345 0.34 0.000711879 0.000660214 0.0299702 0.0277348 -1 -1 -1 -1 30 2425 18 6.79088e+06 309856 556674. 1926.21 0.62 0.115289 0.100889 24526 138013 -1 1999 16 888 2451 114097 28110 5.91082 5.91082 -125.07 -5.91082 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0254251 0.0224481 107 140 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_096.v common 6.32 vpr 63.52 MiB -1 -1 0.43 19084 13 0.43 -1 -1 32924 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65040 32 32 380 412 1 269 92 17 17 289 -1 unnamed_device 24.6 MiB 1.06 1593 10442 2400 6565 1477 63.5 MiB 0.14 0.00 7.86582 -158.189 -7.86582 7.86582 0.34 0.00118525 0.00109698 0.0649252 0.0599811 -1 -1 -1 -1 48 4216 50 6.79088e+06 377216 865456. 2994.66 2.32 0.373614 0.325731 27694 206865 -1 3640 34 1984 6021 478274 174501 6.74533 6.74533 -151.473 -6.74533 0 0 1.05005e+06 3633.38 0.04 0.22 0.17 -1 -1 0.04 0.0788045 0.0690335 193 286 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_097.v common 4.90 vpr 63.07 MiB -1 -1 0.39 18696 14 0.25 -1 -1 32820 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64580 31 32 277 309 1 195 86 17 17 289 -1 unnamed_device 23.8 MiB 0.45 1178 7457 1609 5387 461 63.1 MiB 0.06 0.00 8.0992 -163.55 -8.0992 8.0992 0.35 0.000414305 0.000382094 0.0244963 0.0226294 -1 -1 -1 -1 34 3086 20 6.79088e+06 309856 618332. 2139.56 1.43 0.205475 0.177109 25102 150614 -1 2653 18 1269 3490 183744 43955 7.17162 7.17162 -161.13 -7.17162 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0353201 0.031103 133 186 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_098.v common 4.35 vpr 62.75 MiB -1 -1 0.35 18436 12 0.14 -1 -1 32460 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64252 32 32 229 261 1 175 87 17 17 289 -1 unnamed_device 23.6 MiB 0.55 1035 6231 1251 4710 270 62.7 MiB 0.07 0.00 7.54443 -161.662 -7.54443 7.54443 0.33 0.000757478 0.000702095 0.0275156 0.0255094 -1 -1 -1 -1 36 2756 36 6.79088e+06 309856 648988. 2245.63 1.35 0.194625 0.168215 25390 158009 -1 2323 26 1017 2782 252636 105794 6.55737 6.55737 -152.063 -6.55737 0 0 828058. 2865.25 0.03 0.13 0.13 -1 -1 0.03 0.039208 0.0343393 116 135 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_099.v common 4.49 vpr 63.08 MiB -1 -1 0.37 18412 13 0.27 -1 -1 32816 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64596 32 32 263 295 1 191 87 17 17 289 -1 unnamed_device 23.8 MiB 0.72 1255 10839 2895 6507 1437 63.1 MiB 0.11 0.00 7.90761 -161.15 -7.90761 7.90761 0.33 0.000877766 0.000814184 0.0508394 0.0471387 -1 -1 -1 -1 38 3133 24 6.79088e+06 309856 678818. 2348.85 1.31 0.231986 0.201966 25966 169698 -1 2502 17 1144 3372 176736 41321 6.70962 6.70962 -151.347 -6.70962 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0324541 0.0286142 132 169 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_100.v common 5.65 vpr 63.87 MiB -1 -1 0.39 18760 13 0.34 -1 -1 32828 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65404 31 32 321 353 1 241 88 17 17 289 -1 unnamed_device 24.1 MiB 0.50 1569 7498 1876 5033 589 63.9 MiB 0.10 0.00 7.49638 -161.35 -7.49638 7.49638 0.33 0.00103033 0.000948898 0.0436807 0.0404419 -1 -1 -1 -1 34 4200 29 6.79088e+06 336800 618332. 2139.56 2.45 0.269742 0.234125 25102 150614 -1 3480 20 1825 5339 282109 66147 6.45553 6.45553 -154.65 -6.45553 0 0 787024. 2723.27 0.03 0.11 0.12 -1 -1 0.03 0.0434504 0.0382276 161 230 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_101.v common 5.09 vpr 62.95 MiB -1 -1 0.35 18548 11 0.26 -1 -1 32756 -1 -1 24 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64464 30 32 287 319 1 199 86 17 17 289 -1 unnamed_device 24.0 MiB 1.01 1306 8780 2173 5822 785 63.0 MiB 0.10 0.00 7.37182 -143.193 -7.37182 7.37182 0.33 0.000930935 0.00086312 0.0468007 0.0433826 -1 -1 -1 -1 30 3605 32 6.79088e+06 323328 556674. 1926.21 1.62 0.182073 0.159502 24526 138013 -1 2900 19 1321 4158 222287 52243 6.20832 6.20832 -140.727 -6.20832 0 0 706193. 2443.58 0.03 0.09 0.13 -1 -1 0.03 0.0383101 0.0337621 141 199 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_102.v common 5.14 vpr 63.25 MiB -1 -1 0.40 18612 15 0.34 -1 -1 32776 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64768 32 32 296 328 1 214 86 17 17 289 -1 unnamed_device 24.1 MiB 0.64 1352 9725 2491 6491 743 63.2 MiB 0.12 0.00 7.99589 -170.96 -7.99589 7.99589 0.33 0.000966197 0.000894565 0.0541048 0.0501083 -1 -1 -1 -1 34 3753 34 6.79088e+06 296384 618332. 2139.56 1.89 0.282092 0.245632 25102 150614 -1 3122 19 1358 3861 214163 50007 7.08907 7.08907 -163.633 -7.08907 0 0 787024. 2723.27 0.03 0.09 0.12 -1 -1 0.03 0.0391112 0.0343553 149 202 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_103.v common 6.73 vpr 63.18 MiB -1 -1 0.40 18932 13 0.31 -1 -1 32864 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64692 32 32 285 317 1 219 86 17 17 289 -1 unnamed_device 24.2 MiB 0.51 1393 7457 1835 5163 459 63.2 MiB 0.09 0.00 8.0837 -176.033 -8.0837 8.0837 0.33 0.000953223 0.000873659 0.0421358 0.0389716 -1 -1 -1 -1 32 4098 38 6.79088e+06 296384 586450. 2029.24 3.58 0.3317 0.287588 24814 144142 -1 3260 20 1413 4323 254651 61352 7.02297 7.02297 -166.496 -7.02297 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0410055 0.0359494 145 191 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_104.v common 3.98 vpr 63.68 MiB -1 -1 0.33 18076 12 0.20 -1 -1 32652 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65208 29 32 239 271 1 185 84 17 17 289 -1 unnamed_device 23.9 MiB 0.62 1060 4293 816 3315 162 63.7 MiB 0.05 0.00 7.76309 -155.198 -7.76309 7.76309 0.33 0.000770199 0.000714056 0.0212124 0.0197041 -1 -1 -1 -1 28 3246 30 6.79088e+06 309856 531479. 1839.03 1.09 0.127589 0.110864 23950 126010 -1 2497 14 1128 2720 144186 36483 6.79916 6.79916 -153.983 -6.79916 0 0 648988. 2245.63 0.03 0.06 0.14 -1 -1 0.03 0.0250209 0.0221605 115 154 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_105.v common 3.73 vpr 63.08 MiB -1 -1 0.35 18116 11 0.16 -1 -1 32656 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64596 32 32 235 267 1 177 83 17 17 289 -1 unnamed_device 23.6 MiB 0.38 1090 11423 2946 7115 1362 63.1 MiB 0.11 0.00 6.71623 -147.472 -6.71623 6.71623 0.34 0.00073697 0.00068283 0.0499338 0.0462918 -1 -1 -1 -1 30 2799 21 6.79088e+06 255968 556674. 1926.21 1.02 0.145452 0.128548 24526 138013 -1 2344 15 1053 2581 129866 31192 5.82123 5.82123 -146.164 -5.82123 0 0 706193. 2443.58 0.04 0.06 0.09 -1 -1 0.04 0.0250429 0.0221432 107 141 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_106.v common 5.58 vpr 63.17 MiB -1 -1 0.33 18400 13 0.30 -1 -1 32800 -1 -1 24 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64684 31 32 294 326 1 212 87 17 17 289 -1 unnamed_device 24.1 MiB 0.78 1434 6231 1372 4195 664 63.2 MiB 0.09 0.00 8.47441 -166.261 -8.47441 8.47441 0.33 0.000941486 0.000872011 0.0424962 0.0392269 -1 -1 -1 -1 36 3938 37 6.79088e+06 323328 648988. 2245.63 2.28 0.27024 0.234624 25390 158009 -1 3232 19 1716 5172 305655 68217 7.3316 7.3316 -157.189 -7.3316 0 0 828058. 2865.25 0.03 0.11 0.10 -1 -1 0.03 0.0382407 0.0335361 149 203 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_107.v common 3.37 vpr 63.05 MiB -1 -1 0.34 18204 10 0.17 -1 -1 33020 -1 -1 20 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64568 29 32 219 251 1 165 81 17 17 289 -1 unnamed_device 23.6 MiB 0.47 1046 7606 1999 4615 992 63.1 MiB 0.07 0.00 6.05628 -126.417 -6.05628 6.05628 0.33 0.000710146 0.000658023 0.0337968 0.0312776 -1 -1 -1 -1 32 2736 26 6.79088e+06 269440 586450. 2029.24 0.68 0.127454 0.111524 24814 144142 -1 2193 14 876 2301 124509 30286 5.36333 5.36333 -121.34 -5.36333 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0232293 0.0205654 102 134 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_108.v common 4.12 vpr 63.15 MiB -1 -1 0.33 18168 14 0.19 -1 -1 32572 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64664 32 32 239 271 1 180 82 17 17 289 -1 unnamed_device 23.6 MiB 0.95 1126 8804 2275 6068 461 63.1 MiB 0.09 0.00 7.78791 -166.602 -7.78791 7.78791 0.33 0.000768961 0.000712617 0.0413558 0.0383214 -1 -1 -1 -1 30 2897 21 6.79088e+06 242496 556674. 1926.21 0.91 0.141096 0.124468 24526 138013 -1 2392 17 1012 2647 134705 32153 6.95684 6.95684 -160.475 -6.95684 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0288872 0.0255239 107 145 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_109.v common 4.44 vpr 62.93 MiB -1 -1 0.38 18640 13 0.26 -1 -1 32752 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64444 31 32 266 298 1 204 84 17 17 289 -1 unnamed_device 23.8 MiB 0.24 1310 3744 753 2762 229 62.9 MiB 0.05 0.00 7.64037 -167.27 -7.64037 7.64037 0.33 0.000868898 0.000806686 0.0212969 0.019815 -1 -1 -1 -1 38 3257 30 6.79088e+06 282912 678818. 2348.85 1.75 0.20882 0.18047 25966 169698 -1 2739 18 1245 3483 175197 41284 6.70957 6.70957 -155.316 -6.70957 0 0 902133. 3121.57 0.04 0.08 0.13 -1 -1 0.04 0.0338765 0.0297904 133 175 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_110.v common 4.05 vpr 63.29 MiB -1 -1 0.33 18208 12 0.15 -1 -1 32712 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64812 31 32 225 257 1 168 82 17 17 289 -1 unnamed_device 23.9 MiB 0.58 1144 4888 1060 3409 419 63.3 MiB 0.06 0.00 7.32999 -154.452 -7.32999 7.32999 0.34 0.000718073 0.000665331 0.0223328 0.0207132 -1 -1 -1 -1 38 2565 24 6.79088e+06 255968 678818. 2348.85 1.26 0.174195 0.150771 25966 169698 -1 2180 16 900 2466 125838 29820 6.32248 6.32248 -143.934 -6.32248 0 0 902133. 3121.57 0.03 0.06 0.14 -1 -1 0.03 0.0253876 0.022412 100 134 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_111.v common 5.34 vpr 63.10 MiB -1 -1 0.38 18460 12 0.20 -1 -1 32880 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64616 32 32 288 320 1 201 84 17 17 289 -1 unnamed_device 23.9 MiB 1.03 1351 5574 1238 3853 483 63.1 MiB 0.07 0.00 7.19618 -156.652 -7.19618 7.19618 0.33 0.000923457 0.00085618 0.0318411 0.0295537 -1 -1 -1 -1 30 3431 31 6.79088e+06 269440 556674. 1926.21 1.93 0.164455 0.143344 24526 138013 -1 2638 17 1217 3535 171434 40046 6.19718 6.19718 -151.24 -6.19718 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0340684 0.0299865 133 194 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_112.v common 4.42 vpr 63.46 MiB -1 -1 0.42 18596 13 0.28 -1 -1 32740 -1 -1 22 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64984 31 32 282 314 1 208 85 17 17 289 -1 unnamed_device 24.4 MiB 0.61 1393 8827 2233 5380 1214 63.5 MiB 0.10 0.00 7.7426 -165.117 -7.7426 7.7426 0.33 0.000924649 0.000856925 0.0478912 0.0443848 -1 -1 -1 -1 32 4267 47 6.79088e+06 296384 586450. 2029.24 1.20 0.202056 0.176514 24814 144142 -1 3192 25 1399 4276 315105 94535 6.92102 6.92102 -159.936 -6.92102 0 0 744469. 2576.02 0.03 0.13 0.12 -1 -1 0.03 0.0456277 0.0398445 148 191 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_113.v common 7.99 vpr 63.09 MiB -1 -1 0.33 18072 11 0.17 -1 -1 32608 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 32 32 233 265 1 179 84 17 17 289 -1 unnamed_device 23.7 MiB 0.38 1187 8136 1962 5005 1169 63.1 MiB 0.08 0.00 6.39514 -147.393 -6.39514 6.39514 0.33 0.000746276 0.000690213 0.0361097 0.0334782 -1 -1 -1 -1 34 3002 31 6.79088e+06 269440 618332. 2139.56 5.28 0.390526 0.336423 25102 150614 -1 2448 16 1111 3046 153023 36887 5.73585 5.73585 -142.957 -5.73585 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0273842 0.0242106 110 139 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_114.v common 4.88 vpr 62.88 MiB -1 -1 0.34 18128 13 0.19 -1 -1 32756 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64384 32 32 254 286 1 184 81 17 17 289 -1 unnamed_device 23.9 MiB 0.99 1001 6556 1561 4079 916 62.9 MiB 0.08 0.00 7.64726 -161.135 -7.64726 7.64726 0.33 0.000839162 0.000778883 0.034672 0.0321479 -1 -1 -1 -1 34 3415 33 6.79088e+06 229024 618332. 2139.56 1.59 0.230356 0.199937 25102 150614 -1 2487 15 1116 3046 165844 41147 6.81572 6.81572 -158.142 -6.81572 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0293975 0.02602 116 160 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_115.v common 4.47 vpr 63.02 MiB -1 -1 0.21 18424 13 0.25 -1 -1 32948 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64528 32 32 285 317 1 209 84 17 17 289 -1 unnamed_device 23.8 MiB 0.83 1405 8136 2197 5546 393 63.0 MiB 0.10 0.00 7.61291 -167.635 -7.61291 7.61291 0.33 0.000917638 0.000851599 0.0445988 0.0413808 -1 -1 -1 -1 34 3486 28 6.79088e+06 269440 618332. 2139.56 1.38 0.237746 0.206319 25102 150614 -1 3029 17 1314 3528 188281 44852 6.98823 6.98823 -165.781 -6.98823 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0344447 0.0304539 140 191 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_116.v common 7.83 vpr 62.88 MiB -1 -1 0.37 18448 11 0.19 -1 -1 33120 -1 -1 22 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64392 29 32 243 275 1 183 83 17 17 289 -1 unnamed_device 23.9 MiB 0.57 1156 8183 1784 6001 398 62.9 MiB 0.09 0.00 6.67486 -133.458 -6.67486 6.67486 0.34 0.000798602 0.000739715 0.0395923 0.0367216 -1 -1 -1 -1 34 3333 50 6.79088e+06 296384 618332. 2139.56 5.00 0.342117 0.294249 25102 150614 -1 2492 17 1069 3229 182417 42452 5.78973 5.78973 -129.798 -5.78973 0 0 787024. 2723.27 0.03 0.05 0.09 -1 -1 0.03 0.0183878 0.0166204 120 158 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_117.v common 5.85 vpr 63.89 MiB -1 -1 0.41 18868 14 0.32 -1 -1 33412 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65428 32 32 318 350 1 235 87 17 17 289 -1 unnamed_device 24.1 MiB 0.56 1568 4887 902 3684 301 63.9 MiB 0.07 0.00 9.02019 -190.009 -9.02019 9.02019 0.34 0.00104181 0.000961409 0.0318736 0.0295113 -1 -1 -1 -1 36 4105 46 6.79088e+06 309856 648988. 2245.63 2.61 0.292466 0.253271 25390 158009 -1 3306 18 1498 4099 235444 53438 7.68756 7.68756 -176.02 -7.68756 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0414283 0.0365895 161 224 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_118.v common 3.77 vpr 63.05 MiB -1 -1 0.29 17912 12 0.16 -1 -1 32496 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64564 31 32 222 254 1 182 83 17 17 289 -1 unnamed_device 23.6 MiB 0.49 1069 9983 2406 6498 1079 63.1 MiB 0.09 0.00 6.74398 -150.531 -6.74398 6.74398 0.33 0.000713695 0.000661577 0.0425082 0.0394239 -1 -1 -1 -1 38 2477 16 6.79088e+06 269440 678818. 2348.85 1.06 0.169114 0.147666 25966 169698 -1 2099 19 1023 2452 121285 30063 5.80973 5.80973 -140.663 -5.80973 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.0287622 0.0252832 105 131 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_119.v common 5.67 vpr 63.24 MiB -1 -1 0.41 18960 13 0.27 -1 -1 32740 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64760 32 32 282 314 1 205 88 17 17 289 -1 unnamed_device 24.2 MiB 0.68 1353 7498 1790 4732 976 63.2 MiB 0.09 0.00 7.75713 -161.394 -7.75713 7.75713 0.33 0.000912191 0.000843063 0.0390966 0.0362118 -1 -1 -1 -1 30 3780 34 6.79088e+06 323328 556674. 1926.21 2.39 0.182229 0.159879 24526 138013 -1 2885 25 1450 4119 269491 86454 6.38406 6.38406 -149.354 -6.38406 0 0 706193. 2443.58 0.03 0.13 0.13 -1 -1 0.03 0.0470945 0.041314 142 188 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_120.v common 5.93 vpr 63.31 MiB -1 -1 0.37 18476 13 0.19 -1 -1 32480 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64832 32 32 238 270 1 176 85 17 17 289 -1 unnamed_device 23.6 MiB 0.49 1076 13477 4348 6661 2468 63.3 MiB 0.12 0.00 7.25589 -159.283 -7.25589 7.25589 0.33 0.000756098 0.000700931 0.0588878 0.0545222 -1 -1 -1 -1 30 3123 26 6.79088e+06 282912 556674. 1926.21 3.02 0.271189 0.236323 24526 138013 -1 2266 34 1070 2718 202893 86943 6.37287 6.37287 -154.089 -6.37287 0 0 706193. 2443.58 0.03 0.12 0.11 -1 -1 0.03 0.0471732 0.041042 110 144 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_121.v common 4.32 vpr 63.19 MiB -1 -1 0.38 18500 12 0.22 -1 -1 32908 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64708 32 32 269 301 1 191 85 17 17 289 -1 unnamed_device 23.9 MiB 0.70 1303 4549 873 3383 293 63.2 MiB 0.06 0.00 7.30283 -162.375 -7.30283 7.30283 0.33 0.000888848 0.000822816 0.0253321 0.0234824 -1 -1 -1 -1 32 3445 38 6.79088e+06 282912 586450. 2029.24 1.25 0.161062 0.139859 24814 144142 -1 2818 15 1118 3494 207596 47116 6.41977 6.41977 -154.705 -6.41977 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0309073 0.0273464 130 175 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_122.v common 6.01 vpr 64.19 MiB -1 -1 0.43 19032 15 0.47 -1 -1 33188 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65728 32 32 350 382 1 253 93 17 17 289 -1 unnamed_device 24.4 MiB 0.80 1534 15843 4073 9876 1894 64.2 MiB 0.20 0.00 9.26624 -195.349 -9.26624 9.26624 0.33 0.00114344 0.00105332 0.0911082 0.0837944 -1 -1 -1 -1 36 4424 47 6.79088e+06 390688 648988. 2245.63 2.27 0.375645 0.327516 25390 158009 -1 3688 20 2137 6480 362108 83352 8.22795 8.22795 -186.796 -8.22795 0 0 828058. 2865.25 0.03 0.13 0.13 -1 -1 0.03 0.050897 0.0448721 188 256 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_123.v common 3.06 vpr 62.77 MiB -1 -1 0.29 17780 10 0.10 -1 -1 32524 -1 -1 13 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64272 30 32 174 206 1 132 75 17 17 289 -1 unnamed_device 23.2 MiB 0.33 648 7817 1916 5610 291 62.8 MiB 0.07 0.00 5.06221 -116.743 -5.06221 5.06221 0.33 0.000563723 0.000524027 0.0299828 0.0278843 -1 -1 -1 -1 32 2039 40 6.79088e+06 175136 586450. 2029.24 0.68 0.114078 0.0994672 24814 144142 -1 1601 16 716 1708 100263 26208 4.63261 4.63261 -119.111 -4.63261 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0195277 0.0171444 66 86 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_124.v common 3.60 vpr 62.99 MiB -1 -1 0.33 18044 13 0.25 -1 -1 32752 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64500 30 32 228 260 1 177 80 17 17 289 -1 unnamed_device 23.5 MiB 0.41 977 10744 3187 5417 2140 63.0 MiB 0.10 0.00 7.96187 -158.87 -7.96187 7.96187 0.33 0.000750275 0.000696038 0.0497983 0.0462312 -1 -1 -1 -1 32 2995 31 6.79088e+06 242496 586450. 2029.24 0.81 0.151842 0.133787 24814 144142 -1 2325 17 1091 2646 145817 36247 6.70968 6.70968 -150.968 -6.70968 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0286791 0.0253381 105 140 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_125.v common 3.30 vpr 62.97 MiB -1 -1 0.33 18140 12 0.20 -1 -1 32584 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64484 32 32 264 296 1 194 87 17 17 289 -1 unnamed_device 23.9 MiB 0.41 1191 8343 1834 5899 610 63.0 MiB 0.05 0.00 7.27293 -163.071 -7.27293 7.27293 0.25 0.00038507 0.000354792 0.0196472 0.0181254 -1 -1 -1 -1 30 3106 25 6.79088e+06 309856 556674. 1926.21 0.84 0.0907862 0.0794694 24526 138013 -1 2573 21 1226 3263 167166 40100 6.38057 6.38057 -156.322 -6.38057 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.036423 0.0318925 123 170 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_126.v common 3.38 vpr 62.72 MiB -1 -1 0.29 17916 9 0.13 -1 -1 32532 -1 -1 21 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64224 25 32 183 215 1 134 78 17 17 289 -1 unnamed_device 23.2 MiB 0.43 671 10370 3067 6609 694 62.7 MiB 0.08 0.00 4.9514 -94.4324 -4.9514 4.9514 0.33 0.000615257 0.000571318 0.0408205 0.0379069 -1 -1 -1 -1 30 1890 37 6.79088e+06 282912 556674. 1926.21 0.85 0.129678 0.113622 24526 138013 -1 1568 21 801 2264 112822 27985 4.52731 4.52731 -97.1804 -4.52731 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0264838 0.0231001 88 110 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_127.v common 4.33 vpr 63.77 MiB -1 -1 0.41 18696 12 0.26 -1 -1 32760 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65304 32 32 300 332 1 225 89 17 17 289 -1 unnamed_device 24.1 MiB 0.37 1417 10979 2888 6604 1487 63.8 MiB 0.12 0.00 7.28043 -165.449 -7.28043 7.28043 0.33 0.000948654 0.000879079 0.0577509 0.0533375 -1 -1 -1 -1 32 4329 46 6.79088e+06 336800 586450. 2029.24 1.37 0.214521 0.187812 24814 144142 -1 3568 20 1714 4669 291667 67052 6.45537 6.45537 -165.272 -6.45537 0 0 744469. 2576.02 0.03 0.11 0.12 -1 -1 0.03 0.0397058 0.0352465 149 206 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_128.v common 4.87 vpr 63.24 MiB -1 -1 0.42 18912 13 0.31 -1 -1 32660 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64756 31 32 290 322 1 212 86 17 17 289 -1 unnamed_device 24.2 MiB 0.69 1276 4622 899 3442 281 63.2 MiB 0.06 0.00 8.4013 -172.333 -8.4013 8.4013 0.34 0.000957164 0.000887561 0.0274008 0.0254206 -1 -1 -1 -1 34 3859 32 6.79088e+06 309856 618332. 2139.56 1.54 0.247346 0.214205 25102 150614 -1 3160 20 1508 4342 240659 56587 7.44571 7.44571 -165.463 -7.44571 0 0 787024. 2723.27 0.03 0.10 0.12 -1 -1 0.03 0.0400711 0.0351655 150 199 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 4.66 vpr 63.26 MiB -1 -1 0.25 18400 1 0.03 -1 -1 30288 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64776 32 32 354 285 1 207 95 17 17 289 -1 unnamed_device 24.3 MiB 2.00 1147 13487 3979 8243 1265 63.3 MiB 0.14 0.00 5.50182 -164.026 -5.50182 5.50182 0.34 0.000705202 0.000655291 0.0467177 0.0434114 -1 -1 -1 -1 32 2728 20 6.87369e+06 433189 586450. 2029.24 0.62 0.129199 0.114098 25474 144626 -1 2265 21 1426 2341 142873 35717 4.41635 4.41635 -150.731 -4.41635 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0287546 0.02508 142 50 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 5.03 vpr 63.37 MiB -1 -1 0.26 18456 1 0.03 -1 -1 30332 -1 -1 26 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64888 30 32 363 293 1 200 88 17 17 289 -1 unnamed_device 24.3 MiB 2.30 1061 9643 2380 6571 692 63.4 MiB 0.11 0.00 4.6679 -135.422 -4.6679 4.6679 0.34 0.000711323 0.000661654 0.0375569 0.0349315 -1 -1 -1 -1 32 2649 25 6.87369e+06 363320 586450. 2029.24 0.63 0.125702 0.110353 25474 144626 -1 2164 20 1672 2542 188123 44059 3.94996 3.94996 -135.641 -3.94996 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.027851 0.0241949 138 63 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 5.22 vpr 63.32 MiB -1 -1 0.24 18292 1 0.03 -1 -1 30300 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64836 32 32 299 247 1 190 86 17 17 289 -1 unnamed_device 24.2 MiB 2.47 1071 10103 2739 6714 650 63.3 MiB 0.11 0.00 4.40708 -122.555 -4.40708 4.40708 0.33 0.000629333 0.000585698 0.0354594 0.0329613 -1 -1 -1 -1 26 2574 26 6.87369e+06 307425 503264. 1741.40 0.72 0.118252 0.103432 24322 120374 -1 2297 22 1469 1935 150534 35893 4.03026 4.03026 -129.655 -4.03026 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0264026 0.0229004 119 29 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 3.33 vpr 63.73 MiB -1 -1 0.19 18540 1 0.03 -1 -1 30416 -1 -1 29 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65260 29 32 308 248 1 172 90 17 17 289 -1 unnamed_device 24.1 MiB 0.86 892 10140 2486 6760 894 63.7 MiB 0.11 0.00 4.60038 -123.753 -4.60038 4.60038 0.33 0.000633932 0.000589803 0.0345913 0.0320554 -1 -1 -1 -1 32 2149 25 6.87369e+06 405241 586450. 2029.24 0.58 0.111836 0.0978729 25474 144626 -1 1602 29 1438 2570 137658 37022 3.4118 3.4118 -110.106 -3.4118 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0330296 0.0285014 124 31 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 4.32 vpr 63.72 MiB -1 -1 0.24 18380 1 0.04 -1 -1 30476 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65248 32 32 336 268 1 181 91 17 17 289 -1 unnamed_device 24.0 MiB 1.54 976 16411 4511 9390 2510 63.7 MiB 0.15 0.00 4.59502 -132.541 -4.59502 4.59502 0.32 0.000701689 0.000651586 0.0483871 0.0448305 -1 -1 -1 -1 32 2485 25 6.87369e+06 377294 586450. 2029.24 0.64 0.133884 0.117944 25474 144626 -1 1977 21 1412 2809 153940 39270 3.7944 3.7944 -130.207 -3.7944 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0280558 0.024416 132 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 3.84 vpr 63.56 MiB -1 -1 0.26 18328 1 0.03 -1 -1 30288 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65088 32 32 366 295 1 189 96 17 17 289 -1 unnamed_device 24.6 MiB 1.24 1097 10170 2509 6996 665 63.6 MiB 0.11 0.00 3.40153 -118.348 -3.40153 3.40153 0.34 0.000718937 0.00066798 0.0357972 0.0332493 -1 -1 -1 -1 28 2662 22 6.87369e+06 447163 531479. 1839.03 0.56 0.122325 0.107375 24610 126494 -1 2380 23 1512 2419 162698 39915 3.07761 3.07761 -124.592 -3.07761 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0315109 0.0273292 138 58 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 4.43 vpr 63.20 MiB -1 -1 0.23 18008 1 0.03 -1 -1 30656 -1 -1 20 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64716 27 32 259 221 1 141 79 17 17 289 -1 unnamed_device 23.6 MiB 1.99 786 12585 3639 7320 1626 63.2 MiB 0.11 0.00 3.84098 -106.539 -3.84098 3.84098 0.33 0.000553599 0.000515588 0.0428643 0.0399218 -1 -1 -1 -1 30 1555 20 6.87369e+06 279477 556674. 1926.21 0.52 0.107252 0.0947091 25186 138497 -1 1281 20 867 1509 82468 20053 2.68236 2.68236 -96.0091 -2.68236 0 0 706193. 2443.58 0.03 0.05 0.11 -1 -1 0.03 0.0214985 0.0186147 97 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 3.35 vpr 63.07 MiB -1 -1 0.23 17800 1 0.03 -1 -1 30108 -1 -1 33 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64588 31 32 271 219 1 164 96 17 17 289 -1 unnamed_device 23.7 MiB 0.84 902 4914 784 3802 328 63.1 MiB 0.05 0.00 3.54531 -102.78 -3.54531 3.54531 0.33 0.00059783 0.000555993 0.0152668 0.0141924 -1 -1 -1 -1 32 2055 19 6.87369e+06 461137 586450. 2029.24 0.54 0.0855222 0.0741675 25474 144626 -1 1766 15 820 1459 82055 20708 2.83496 2.83496 -95.423 -2.83496 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0189379 0.0165601 119 4 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 5.17 vpr 63.12 MiB -1 -1 0.23 18340 1 0.03 -1 -1 30180 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64632 31 32 317 271 1 175 83 17 17 289 -1 unnamed_device 24.0 MiB 1.95 910 8723 2060 6322 341 63.1 MiB 0.09 0.00 3.31917 -111.486 -3.31917 3.31917 0.34 0.00063441 0.000590038 0.0329474 0.0306471 -1 -1 -1 -1 32 2150 19 6.87369e+06 279477 586450. 2029.24 0.58 0.106092 0.093061 25474 144626 -1 1748 20 1043 1565 98545 24803 2.91151 2.91151 -112.578 -2.91151 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0244429 0.0212104 110 64 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 6.12 vpr 63.35 MiB -1 -1 0.22 18120 1 0.03 -1 -1 30076 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64872 32 32 298 248 1 162 81 17 17 289 -1 unnamed_device 23.6 MiB 3.62 974 4631 910 3488 233 63.4 MiB 0.06 0.00 3.98344 -131.884 -3.98344 3.98344 0.33 0.000626678 0.000583326 0.0185195 0.0172494 -1 -1 -1 -1 30 2081 18 6.87369e+06 237555 556674. 1926.21 0.54 0.0883308 0.0768845 25186 138497 -1 1827 21 1179 2019 124096 30001 2.82686 2.82686 -118.966 -2.82686 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0253455 0.0219646 107 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 5.19 vpr 63.25 MiB -1 -1 0.25 18396 1 0.03 -1 -1 30308 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64764 30 32 303 262 1 148 80 17 17 289 -1 unnamed_device 23.6 MiB 2.64 812 9368 2251 6434 683 63.2 MiB 0.10 0.00 3.87398 -114.403 -3.87398 3.87398 0.33 0.000612514 0.000569112 0.0353925 0.0329109 -1 -1 -1 -1 26 1876 20 6.87369e+06 251529 503264. 1741.40 0.61 0.106442 0.0934101 24322 120374 -1 1676 21 1167 1874 125726 31327 3.02256 3.02256 -110.18 -3.02256 0 0 618332. 2139.56 0.03 0.04 0.11 -1 -1 0.03 0.0147437 0.0129497 99 63 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 5.15 vpr 63.40 MiB -1 -1 0.24 18128 1 0.03 -1 -1 30064 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64920 32 32 276 237 1 171 82 17 17 289 -1 unnamed_device 23.8 MiB 2.09 828 9338 2215 6345 778 63.4 MiB 0.09 0.00 3.67066 -113.699 -3.67066 3.67066 0.34 0.000590743 0.000549309 0.0329731 0.0306745 -1 -1 -1 -1 28 2764 43 6.87369e+06 251529 531479. 1839.03 1.09 0.127315 0.111059 24610 126494 -1 1961 20 1277 1700 137309 35657 3.08581 3.08581 -116.238 -3.08581 0 0 648988. 2245.63 0.03 0.06 0.10 -1 -1 0.03 0.0231728 0.0200967 102 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 5.92 vpr 63.31 MiB -1 -1 0.24 18388 1 0.03 -1 -1 30272 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64828 32 32 344 272 1 209 89 17 17 289 -1 unnamed_device 24.0 MiB 3.13 1183 15533 4141 9304 2088 63.3 MiB 0.16 0.00 4.34223 -139.708 -4.34223 4.34223 0.33 0.00069306 0.000644152 0.0570398 0.0529631 -1 -1 -1 -1 32 2874 34 6.87369e+06 349346 586450. 2029.24 0.65 0.153012 0.134399 25474 144626 -1 2474 22 1783 2732 186740 45669 3.34811 3.34811 -132.681 -3.34811 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0288664 0.0250603 139 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 4.68 vpr 63.14 MiB -1 -1 0.25 18496 1 0.03 -1 -1 30424 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64656 32 32 363 295 1 181 95 17 17 289 -1 unnamed_device 23.9 MiB 2.12 926 9599 2091 6833 675 63.1 MiB 0.11 0.00 4.83358 -141.45 -4.83358 4.83358 0.33 0.000713803 0.000663884 0.0340711 0.0316564 -1 -1 -1 -1 30 2718 27 6.87369e+06 433189 556674. 1926.21 0.65 0.123589 0.10815 25186 138497 -1 1936 20 1247 1954 117467 30151 4.17226 4.17226 -144.094 -4.17226 0 0 706193. 2443.58 0.03 0.04 0.08 -1 -1 0.03 0.014747 0.0130134 133 61 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 4.22 vpr 63.17 MiB -1 -1 0.23 18132 1 0.03 -1 -1 30400 -1 -1 21 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64684 29 32 248 215 1 142 82 17 17 289 -1 unnamed_device 23.9 MiB 1.70 711 8982 2096 6475 411 63.2 MiB 0.08 0.00 3.07868 -92.9683 -3.07868 3.07868 0.33 0.000544701 0.000507131 0.0291861 0.0271418 -1 -1 -1 -1 30 1671 24 6.87369e+06 293451 556674. 1926.21 0.54 0.09513 0.0832085 25186 138497 -1 1338 20 791 1267 69154 17413 2.61566 2.61566 -91.2171 -2.61566 0 0 706193. 2443.58 0.04 0.06 0.11 -1 -1 0.04 0.0269583 0.0234237 94 27 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 5.24 vpr 63.32 MiB -1 -1 0.24 18324 1 0.03 -1 -1 30280 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64844 32 32 370 297 1 191 88 17 17 289 -1 unnamed_device 24.0 MiB 1.91 1084 8668 1982 6147 539 63.3 MiB 0.10 0.00 3.90567 -127.707 -3.90567 3.90567 0.34 0.000723408 0.000672104 0.0345784 0.0321445 -1 -1 -1 -1 26 3264 40 6.87369e+06 335372 503264. 1741.40 1.11 0.146809 0.128202 24322 120374 -1 2399 26 1846 3235 222891 55287 3.67301 3.67301 -130.22 -3.67301 0 0 618332. 2139.56 0.03 0.10 0.10 -1 -1 0.03 0.0345238 0.0298759 135 58 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 5.98 vpr 63.31 MiB -1 -1 0.14 18332 1 0.03 -1 -1 30080 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64828 32 32 338 269 1 204 87 17 17 289 -1 unnamed_device 24.0 MiB 3.16 1041 6615 1468 4357 790 63.3 MiB 0.09 0.00 4.18227 -133.396 -4.18227 4.18227 0.34 0.000705916 0.000657167 0.0262471 0.0244203 -1 -1 -1 -1 30 2762 25 6.87369e+06 321398 556674. 1926.21 0.64 0.11193 0.0976763 25186 138497 -1 1904 18 1127 1583 94947 23325 3.4571 3.4571 -119.55 -3.4571 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0250568 0.0218957 136 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 4.85 vpr 63.07 MiB -1 -1 0.17 18456 1 0.03 -1 -1 30260 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64584 32 32 323 276 1 156 93 17 17 289 -1 unnamed_device 23.9 MiB 2.21 961 14583 4168 8594 1821 63.1 MiB 0.13 0.00 2.88754 -107.489 -2.88754 2.88754 0.34 0.000653129 0.000606854 0.0473539 0.0439444 -1 -1 -1 -1 26 2202 23 6.87369e+06 405241 503264. 1741.40 0.71 0.126735 0.111657 24322 120374 -1 1966 21 1193 2019 142695 34226 2.22712 2.22712 -101.935 -2.22712 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0260927 0.0225956 110 65 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 3.10 vpr 63.05 MiB -1 -1 0.16 18180 1 0.03 -1 -1 30184 -1 -1 15 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64560 30 32 222 206 1 117 77 17 17 289 -1 unnamed_device 23.7 MiB 0.66 689 12139 3691 7050 1398 63.0 MiB 0.09 0.00 2.38778 -83.5564 -2.38778 2.38778 0.34 0.000495747 0.000461876 0.038516 0.0358607 -1 -1 -1 -1 32 1442 23 6.87369e+06 209608 586450. 2029.24 0.50 0.0985935 0.0870204 25474 144626 -1 1219 18 585 811 57943 14080 2.01382 2.01382 -84.6315 -2.01382 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.0179977 0.0155952 71 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 5.39 vpr 63.12 MiB -1 -1 0.24 18340 1 0.03 -1 -1 30384 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64632 31 32 291 243 1 178 84 17 17 289 -1 unnamed_device 24.1 MiB 2.65 926 13626 5074 6419 2133 63.1 MiB 0.14 0.00 4.99433 -147.969 -4.99433 4.99433 0.33 0.00061624 0.000572644 0.0477352 0.04437 -1 -1 -1 -1 32 2269 22 6.87369e+06 293451 586450. 2029.24 0.61 0.120075 0.105959 25474 144626 -1 1823 19 1094 1607 107959 26850 3.64821 3.64821 -133.065 -3.64821 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0229829 0.0199895 114 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 3.77 vpr 63.66 MiB -1 -1 0.24 18488 1 0.03 -1 -1 30524 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65192 32 32 342 271 1 181 99 17 17 289 -1 unnamed_device 23.9 MiB 0.98 1087 13779 3849 8710 1220 63.7 MiB 0.14 0.00 4.23509 -137.221 -4.23509 4.23509 0.41 0.000700481 0.000649025 0.0450399 0.041707 -1 -1 -1 -1 32 2379 21 6.87369e+06 489084 586450. 2029.24 0.59 0.126272 0.11115 25474 144626 -1 1994 21 1330 2152 132705 31355 3.6621 3.6621 -128.504 -3.6621 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0278376 0.0241709 137 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 4.99 vpr 63.32 MiB -1 -1 0.24 18356 1 0.03 -1 -1 30268 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64836 32 32 372 300 1 206 87 17 17 289 -1 unnamed_device 24.2 MiB 2.22 1217 10647 2492 7086 1069 63.3 MiB 0.12 0.00 4.31025 -134.205 -4.31025 4.31025 0.33 0.000725524 0.000675176 0.0426199 0.0396102 -1 -1 -1 -1 32 2810 25 6.87369e+06 321398 586450. 2029.24 0.63 0.131393 0.11554 25474 144626 -1 2136 16 1278 2034 131099 31365 3.74246 3.74246 -129.143 -3.74246 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0238439 0.020874 138 62 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 4.12 vpr 62.94 MiB -1 -1 0.22 18228 1 0.02 -1 -1 30548 -1 -1 17 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64448 26 32 190 182 1 108 75 17 17 289 -1 unnamed_device 23.6 MiB 1.58 480 8133 3281 4323 529 62.9 MiB 0.06 0.00 2.38158 -69.4238 -2.38158 2.38158 0.34 0.00047137 0.000434655 0.0191877 0.0175956 -1 -1 -1 -1 28 1220 24 6.87369e+06 237555 531479. 1839.03 0.52 0.0720773 0.0625468 24610 126494 -1 1036 17 707 988 65067 17945 2.18312 2.18312 -75.9258 -2.18312 0 0 648988. 2245.63 0.03 0.04 0.10 -1 -1 0.03 0.014802 0.0128753 67 30 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 3.76 vpr 63.39 MiB -1 -1 0.22 17868 1 0.03 -1 -1 30344 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64908 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 23.8 MiB 1.15 1002 13719 4614 6837 2268 63.4 MiB 0.14 0.00 4.57022 -130.066 -4.57022 4.57022 0.33 0.00061934 0.000575759 0.0461905 0.0429273 -1 -1 -1 -1 30 2201 25 6.87369e+06 321398 556674. 1926.21 0.60 0.122115 0.10779 25186 138497 -1 1821 21 1157 2162 119285 29514 3.7041 3.7041 -123.476 -3.7041 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0254677 0.0220817 119 3 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 2.97 vpr 63.02 MiB -1 -1 0.12 17552 1 0.02 -1 -1 30008 -1 -1 12 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64536 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 23.8 MiB 0.62 529 9036 3698 5030 308 63.0 MiB 0.07 0.00 2.64533 -79.7813 -2.64533 2.64533 0.34 0.000423617 0.000392859 0.0254041 0.0235785 -1 -1 -1 -1 28 1296 30 6.87369e+06 167686 531479. 1839.03 0.56 0.0819974 0.0717561 24610 126494 -1 1030 14 522 612 45566 12360 2.19737 2.19737 -79.5748 -2.19737 0 0 648988. 2245.63 0.03 0.05 0.10 -1 -1 0.03 0.0206278 0.0179992 65 3 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 3.58 vpr 63.64 MiB -1 -1 0.23 18288 1 0.03 -1 -1 30140 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65172 32 32 300 245 1 169 94 17 17 289 -1 unnamed_device 24.0 MiB 0.98 1049 16069 4575 9607 1887 63.6 MiB 0.15 0.00 4.58208 -129.699 -4.58208 4.58208 0.33 0.000638368 0.000594438 0.0502991 0.046754 -1 -1 -1 -1 26 2413 24 6.87369e+06 419215 503264. 1741.40 0.61 0.127158 0.112378 24322 120374 -1 2176 20 1211 1947 151498 35401 3.8557 3.8557 -129.062 -3.8557 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0251252 0.0218207 120 24 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 3.62 vpr 63.05 MiB -1 -1 0.23 17932 1 0.03 -1 -1 30524 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64560 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 24.0 MiB 0.87 1082 17591 5231 9950 2410 63.0 MiB 0.16 0.00 3.50229 -113.775 -3.50229 3.50229 0.33 0.000639768 0.000594765 0.0541889 0.0503085 -1 -1 -1 -1 26 2515 20 6.87369e+06 433189 503264. 1741.40 0.72 0.128188 0.113567 24322 120374 -1 2222 18 1165 2078 132215 32194 3.07956 3.07956 -114.993 -3.07956 0 0 618332. 2139.56 0.03 0.06 0.10 -1 -1 0.03 0.0225942 0.019692 130 3 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 4.40 vpr 63.16 MiB -1 -1 0.23 18276 1 0.03 -1 -1 30356 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64672 32 32 338 277 1 183 93 17 17 289 -1 unnamed_device 23.9 MiB 1.44 1133 16473 4660 9482 2331 63.2 MiB 0.16 0.00 4.87388 -140.171 -4.87388 4.87388 0.33 0.000682364 0.000634741 0.0554064 0.0515128 -1 -1 -1 -1 26 2643 22 6.87369e+06 405241 503264. 1741.40 0.82 0.140683 0.124572 24322 120374 -1 2312 23 1560 2788 194361 46343 4.01396 4.01396 -136.27 -4.01396 0 0 618332. 2139.56 0.03 0.09 0.10 -1 -1 0.03 0.0299455 0.0259759 128 50 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 3.34 vpr 63.30 MiB -1 -1 0.23 18104 1 0.03 -1 -1 30096 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 32 32 284 241 1 148 82 17 17 289 -1 unnamed_device 23.7 MiB 0.94 856 12720 4032 6930 1758 63.3 MiB 0.12 0.00 3.07458 -105.313 -3.07458 3.07458 0.33 0.000608 0.000559447 0.0450373 0.0417759 -1 -1 -1 -1 32 1770 20 6.87369e+06 251529 586450. 2029.24 0.53 0.114315 0.100881 25474 144626 -1 1498 21 757 1288 84508 20239 2.64866 2.64866 -104.259 -2.64866 0 0 744469. 2576.02 0.03 0.03 0.08 -1 -1 0.03 0.0130009 0.0114168 101 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 3.53 vpr 63.14 MiB -1 -1 0.14 18236 1 0.03 -1 -1 30272 -1 -1 25 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64652 30 32 262 227 1 138 87 17 17 289 -1 unnamed_device 23.6 MiB 1.11 850 14103 4319 8139 1645 63.1 MiB 0.12 0.00 3.14772 -102.363 -3.14772 3.14772 0.33 0.00056828 0.000529209 0.0435607 0.0405281 -1 -1 -1 -1 32 1755 31 6.87369e+06 349346 586450. 2029.24 0.57 0.118019 0.103831 25474 144626 -1 1498 19 881 1432 93880 22924 2.79396 2.79396 -97.0612 -2.79396 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0210251 0.018223 97 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 3.40 vpr 63.08 MiB -1 -1 0.16 18000 1 0.02 -1 -1 30160 -1 -1 24 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64596 28 32 260 223 1 140 84 17 17 289 -1 unnamed_device 23.5 MiB 0.98 762 14175 5132 6824 2219 63.1 MiB 0.12 0.00 3.46791 -98.5079 -3.46791 3.46791 0.34 0.000563734 0.000522844 0.045193 0.0418736 -1 -1 -1 -1 32 1793 20 6.87369e+06 335372 586450. 2029.24 0.55 0.110531 0.0973165 25474 144626 -1 1515 22 1016 1832 127699 30852 2.85696 2.85696 -98.0028 -2.85696 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.023617 0.0204119 98 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 3.74 vpr 63.33 MiB -1 -1 0.16 17904 1 0.03 -1 -1 30268 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64848 32 32 253 210 1 156 82 17 17 289 -1 unnamed_device 23.7 MiB 1.29 755 8448 1958 6116 374 63.3 MiB 0.09 0.00 3.92118 -117.55 -3.92118 3.92118 0.33 0.000566959 0.000528139 0.0291684 0.0271468 -1 -1 -1 -1 28 2136 22 6.87369e+06 251529 531479. 1839.03 0.64 0.0969963 0.0849626 24610 126494 -1 1789 21 1303 2060 129617 33406 3.24686 3.24686 -120.536 -3.24686 0 0 648988. 2245.63 0.03 0.07 0.11 -1 -1 0.03 0.0233859 0.0202504 101 3 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 3.22 vpr 63.34 MiB -1 -1 0.21 18088 1 0.03 -1 -1 30404 -1 -1 26 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64856 31 32 271 231 1 149 89 17 17 289 -1 unnamed_device 23.7 MiB 0.85 947 11771 3021 7217 1533 63.3 MiB 0.11 0.00 3.40475 -107.115 -3.40475 3.40475 0.34 0.000611176 0.000561225 0.0377071 0.0349827 -1 -1 -1 -1 32 2054 22 6.87369e+06 363320 586450. 2029.24 0.56 0.10753 0.0944819 25474 144626 -1 1803 18 928 1643 117337 27902 2.95826 2.95826 -108.892 -2.95826 0 0 744469. 2576.02 0.03 0.03 0.08 -1 -1 0.03 0.0114211 0.0100919 102 30 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 4.89 vpr 63.20 MiB -1 -1 0.17 18508 1 0.03 -1 -1 30520 -1 -1 25 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64712 29 32 291 250 1 154 86 17 17 289 -1 unnamed_device 23.6 MiB 2.42 855 9536 2217 6358 961 63.2 MiB 0.09 0.00 3.08002 -99.9202 -3.08002 3.08002 0.34 0.000605347 0.000562439 0.0322847 0.0300207 -1 -1 -1 -1 32 1836 20 6.87369e+06 349346 586450. 2029.24 0.54 0.101683 0.0890847 25474 144626 -1 1618 17 896 1401 87699 22004 2.36147 2.36147 -96.2223 -2.36147 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0208019 0.0180997 105 54 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 5.60 vpr 63.82 MiB -1 -1 0.22 18412 1 0.04 -1 -1 30340 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65356 32 32 367 282 1 201 104 17 17 289 -1 unnamed_device 24.2 MiB 2.99 1201 11572 2628 7875 1069 63.8 MiB 0.12 0.00 4.28409 -125.895 -4.28409 4.28409 0.33 0.000745621 0.000691639 0.0376247 0.0349383 -1 -1 -1 -1 32 2850 21 6.87369e+06 558954 586450. 2029.24 0.60 0.123608 0.108597 25474 144626 -1 2283 22 1202 2387 140467 34356 3.5931 3.5931 -122.354 -3.5931 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0309075 0.0268465 156 29 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 5.65 vpr 63.77 MiB -1 -1 0.25 18384 1 0.03 -1 -1 30256 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65304 32 32 391 311 1 194 104 17 17 289 -1 unnamed_device 24.1 MiB 2.85 1115 17428 4398 11199 1831 63.8 MiB 0.18 0.00 4.01296 -135.521 -4.01296 4.01296 0.33 0.000766942 0.000704452 0.0562864 0.0519881 -1 -1 -1 -1 32 2421 26 6.87369e+06 558954 586450. 2029.24 0.61 0.150049 0.132322 25474 144626 -1 2065 20 1509 2448 142727 34833 3.01616 3.01616 -125.596 -3.01616 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.029391 0.0255786 149 65 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 4.36 vpr 63.29 MiB -1 -1 0.22 18292 1 0.13 -1 -1 30024 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64804 31 32 279 237 1 166 81 17 17 289 -1 unnamed_device 23.6 MiB 1.83 846 9881 2610 5928 1343 63.3 MiB 0.10 0.00 4.09163 -121.619 -4.09163 4.09163 0.33 0.000593945 0.000552927 0.0353443 0.0329006 -1 -1 -1 -1 32 1941 25 6.87369e+06 251529 586450. 2029.24 0.56 0.108321 0.0951512 25474 144626 -1 1646 21 1100 1628 113634 27943 2.96331 2.96331 -109.173 -2.96331 0 0 744469. 2576.02 0.03 0.04 0.08 -1 -1 0.03 0.0136148 0.011982 105 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 5.10 vpr 63.34 MiB -1 -1 0.20 18388 1 0.03 -1 -1 30396 -1 -1 26 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64856 31 32 370 297 1 187 89 17 17 289 -1 unnamed_device 24.3 MiB 2.43 1023 15533 5566 7049 2918 63.3 MiB 0.16 0.00 3.72294 -120.106 -3.72294 3.72294 0.33 0.000718031 0.000666124 0.0592263 0.0550182 -1 -1 -1 -1 30 2608 22 6.87369e+06 363320 556674. 1926.21 0.67 0.14449 0.127836 25186 138497 -1 2002 16 1255 2178 123141 30081 3.01531 3.01531 -116.913 -3.01531 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0236042 0.0206111 136 61 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 6.30 vpr 63.29 MiB -1 -1 0.23 18360 1 0.03 -1 -1 30336 -1 -1 29 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64804 31 32 377 302 1 237 92 17 17 289 -1 unnamed_device 24.3 MiB 3.21 1226 11477 3074 7440 963 63.3 MiB 0.14 0.00 5.94301 -174.677 -5.94301 5.94301 0.33 0.000740882 0.00068947 0.0435873 0.0404978 -1 -1 -1 -1 32 3381 27 6.87369e+06 405241 586450. 2029.24 0.86 0.135821 0.119293 25474 144626 -1 2594 21 2065 3065 259228 59072 4.91379 4.91379 -168.168 -4.91379 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.030074 0.0261518 156 64 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 10.36 vpr 63.25 MiB -1 -1 0.13 18256 1 0.03 -1 -1 30432 -1 -1 28 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64772 31 32 383 305 1 212 91 17 17 289 -1 unnamed_device 24.2 MiB 3.73 1111 18247 5857 8950 3440 63.3 MiB 0.17 0.00 5.17369 -157.317 -5.17369 5.17369 0.34 0.000735997 0.000683017 0.0685617 0.0636389 -1 -1 -1 -1 32 3441 45 6.87369e+06 391268 586450. 2029.24 4.57 0.366723 0.317795 25474 144626 -1 2256 24 1622 2535 185379 47271 4.9157 4.9157 -166.109 -4.9157 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0333739 0.0289693 151 64 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 5.01 vpr 63.19 MiB -1 -1 0.19 18320 1 0.03 -1 -1 30352 -1 -1 28 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64704 31 32 352 285 1 186 91 17 17 289 -1 unnamed_device 24.0 MiB 2.47 1131 11311 2943 7349 1019 63.2 MiB 0.13 0.00 4.13563 -130.877 -4.13563 4.13563 0.33 0.000699135 0.000649874 0.0415874 0.0386624 -1 -1 -1 -1 32 2600 24 6.87369e+06 391268 586450. 2029.24 0.59 0.128261 0.113076 25474 144626 -1 2128 18 1230 2196 131110 32142 3.01051 3.01051 -116.588 -3.01051 0 0 744469. 2576.02 0.04 0.07 0.13 -1 -1 0.04 0.0280873 0.0248281 132 55 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 4.67 vpr 63.59 MiB -1 -1 0.14 18176 1 0.04 -1 -1 30420 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65116 32 32 291 242 1 183 86 17 17 289 -1 unnamed_device 24.0 MiB 2.08 1141 9158 2504 6028 626 63.6 MiB 0.10 0.00 4.45965 -121.916 -4.45965 4.45965 0.33 0.00062293 0.000575167 0.0320274 0.0298167 -1 -1 -1 -1 26 2762 22 6.87369e+06 307425 503264. 1741.40 0.76 0.106328 0.0931794 24322 120374 -1 2331 25 1513 2202 176135 41792 4.13656 4.13656 -128.468 -4.13656 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0285776 0.0247106 114 27 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 6.01 vpr 64.14 MiB -1 -1 0.27 18596 1 0.03 -1 -1 30352 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65676 32 32 457 356 1 225 104 17 17 289 -1 unnamed_device 24.4 MiB 2.95 1251 16208 4389 10718 1101 64.1 MiB 0.18 0.00 4.91341 -158.695 -4.91341 4.91341 0.34 0.000867676 0.00080734 0.0602878 0.0560236 -1 -1 -1 -1 26 3607 38 6.87369e+06 558954 503264. 1741.40 0.89 0.190334 0.167176 24322 120374 -1 2874 24 2090 3334 245919 58359 4.21636 4.21636 -157.314 -4.21636 0 0 618332. 2139.56 0.03 0.11 0.07 -1 -1 0.03 0.0366054 0.0320578 173 87 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 4.91 vpr 63.22 MiB -1 -1 0.23 18128 1 0.03 -1 -1 30180 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64740 31 32 261 225 1 148 83 17 17 289 -1 unnamed_device 23.7 MiB 1.65 712 6743 1427 4646 670 63.2 MiB 0.07 0.00 3.53695 -102.057 -3.53695 3.53695 0.33 0.000580111 0.000533635 0.0235301 0.0218269 -1 -1 -1 -1 30 1801 19 6.87369e+06 279477 556674. 1926.21 1.25 0.14987 0.128847 25186 138497 -1 1472 24 1139 2012 112446 28814 2.71766 2.71766 -99.2166 -2.71766 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.025589 0.0220302 95 28 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 5.49 vpr 63.11 MiB -1 -1 0.21 18396 1 0.03 -1 -1 30176 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64620 31 32 337 267 1 207 88 17 17 289 -1 unnamed_device 23.9 MiB 2.51 1167 9448 2299 6357 792 63.1 MiB 0.12 0.00 4.84783 -145.415 -4.84783 4.84783 0.33 0.000681899 0.000634009 0.0364431 0.0338906 -1 -1 -1 -1 26 3222 39 6.87369e+06 349346 503264. 1741.40 0.88 0.136534 0.11933 24322 120374 -1 2636 21 1907 2825 215476 51329 4.38896 4.38896 -148.594 -4.38896 0 0 618332. 2139.56 0.03 0.08 0.08 -1 -1 0.03 0.0276589 0.0240477 139 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 4.53 vpr 63.29 MiB -1 -1 0.23 18428 1 0.02 -1 -1 30416 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64804 32 32 349 284 1 183 96 17 17 289 -1 unnamed_device 24.0 MiB 1.74 1161 10170 2713 6697 760 63.3 MiB 0.12 0.00 3.7235 -118.305 -3.7235 3.7235 0.33 0.000703426 0.000654268 0.0347901 0.0323485 -1 -1 -1 -1 26 2873 22 6.87369e+06 447163 503264. 1741.40 0.65 0.1171 0.102669 24322 120374 -1 2466 20 1440 2530 178173 43125 3.35021 3.35021 -123.042 -3.35021 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0267417 0.0232409 132 53 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 3.82 vpr 63.13 MiB -1 -1 0.22 17884 1 0.03 -1 -1 30036 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64648 32 32 291 230 1 175 91 17 17 289 -1 unnamed_device 24.0 MiB 1.00 1037 12535 3539 7032 1964 63.1 MiB 0.12 0.00 4.26579 -130.11 -4.26579 4.26579 0.34 0.000634287 0.000590436 0.0406376 0.037797 -1 -1 -1 -1 32 2381 20 6.87369e+06 377294 586450. 2029.24 0.59 0.11394 0.10047 25474 144626 -1 2075 22 1239 2409 149946 36230 3.6841 3.6841 -125.065 -3.6841 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0262414 0.0227869 123 3 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 5.51 vpr 63.22 MiB -1 -1 0.22 18364 1 0.03 -1 -1 30296 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64736 32 32 353 287 1 203 88 17 17 289 -1 unnamed_device 24.0 MiB 2.80 1201 14908 4043 8823 2042 63.2 MiB 0.16 0.00 4.51686 -135.518 -4.51686 4.51686 0.34 0.000707348 0.000657522 0.056763 0.0527529 -1 -1 -1 -1 26 2883 29 6.87369e+06 335372 503264. 1741.40 0.71 0.151137 0.133189 24322 120374 -1 2338 21 1528 2122 151377 36588 3.24291 3.24291 -125.294 -3.24291 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.029332 0.0254611 133 55 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 6.24 vpr 63.14 MiB -1 -1 0.26 18368 1 0.03 -1 -1 30236 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64652 32 32 361 291 1 189 97 17 17 289 -1 unnamed_device 24.1 MiB 2.80 942 18079 4845 10579 2655 63.1 MiB 0.17 0.00 3.80724 -119.205 -3.80724 3.80724 0.33 0.000719091 0.000668366 0.0611155 0.0567946 -1 -1 -1 -1 32 2842 49 6.87369e+06 461137 586450. 2029.24 0.76 0.175295 0.154435 25474 144626 -1 2003 21 1296 2243 161130 40238 3.17181 3.17181 -117.395 -3.17181 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0299406 0.0260509 137 55 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 5.43 vpr 63.39 MiB -1 -1 0.26 18356 1 0.03 -1 -1 30236 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64916 32 32 382 305 1 193 99 17 17 289 -1 unnamed_device 24.3 MiB 2.75 1194 15147 4115 8922 2110 63.4 MiB 0.17 0.00 4.12873 -137.061 -4.12873 4.12873 0.33 0.000747128 0.000694047 0.0516993 0.0480117 -1 -1 -1 -1 30 2637 23 6.87369e+06 489084 556674. 1926.21 0.62 0.140245 0.123846 25186 138497 -1 2186 20 1312 2080 127606 30703 3.18081 3.18081 -123.292 -3.18081 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0284563 0.0247655 145 62 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 3.96 vpr 63.45 MiB -1 -1 0.26 18084 1 0.03 -1 -1 30416 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64968 32 32 306 248 1 170 97 17 17 289 -1 unnamed_device 24.3 MiB 0.96 1051 16969 4458 10747 1764 63.4 MiB 0.16 0.00 4.25889 -127.121 -4.25889 4.25889 0.33 0.000643879 0.000599022 0.0513577 0.0476883 -1 -1 -1 -1 28 2418 26 6.87369e+06 461137 531479. 1839.03 0.59 0.132297 0.11702 24610 126494 -1 2119 22 1403 2481 165711 40053 4.2163 4.2163 -131.586 -4.2163 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0267192 0.0231603 124 24 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 4.58 vpr 63.15 MiB -1 -1 0.18 18544 1 0.03 -1 -1 30256 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64664 32 32 319 257 1 203 87 17 17 289 -1 unnamed_device 24.0 MiB 1.82 1159 5847 1196 4159 492 63.1 MiB 0.07 0.00 4.90813 -141.116 -4.90813 4.90813 0.33 0.000655955 0.000610109 0.0221425 0.0206032 -1 -1 -1 -1 30 2614 20 6.87369e+06 321398 556674. 1926.21 0.61 0.0978835 0.0853734 25186 138497 -1 2189 21 1298 1910 108067 26664 3.78346 3.78346 -130.411 -3.78346 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.026572 0.023122 131 29 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 4.84 vpr 63.18 MiB -1 -1 0.15 18304 1 0.03 -1 -1 30300 -1 -1 24 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64696 31 32 373 299 1 204 87 17 17 289 -1 unnamed_device 23.9 MiB 2.11 1104 15639 5017 7583 3039 63.2 MiB 0.17 0.00 4.75448 -143.415 -4.75448 4.75448 0.34 0.000721909 0.000671142 0.0613266 0.0569853 -1 -1 -1 -1 32 2855 22 6.87369e+06 335372 586450. 2029.24 0.69 0.149738 0.132769 25474 144626 -1 2208 19 1430 2340 173817 40610 3.83796 3.83796 -133.557 -3.83796 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.030116 0.0262036 140 62 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 5.03 vpr 63.30 MiB -1 -1 0.14 18384 1 0.03 -1 -1 30284 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 32 32 387 315 1 194 86 17 17 289 -1 unnamed_device 24.2 MiB 2.41 1107 13883 4421 7374 2088 63.3 MiB 0.15 0.00 4.4264 -134.375 -4.4264 4.4264 0.34 0.00074745 0.000694485 0.0572042 0.0531062 -1 -1 -1 -1 32 2766 23 6.87369e+06 307425 586450. 2029.24 0.63 0.14684 0.129797 25474 144626 -1 2283 20 1421 2543 162506 40189 3.63536 3.63536 -132.707 -3.63536 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0293206 0.0255442 134 77 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 3.49 vpr 63.16 MiB -1 -1 0.22 18112 1 0.03 -1 -1 30340 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64676 32 32 251 219 1 141 87 17 17 289 -1 unnamed_device 23.7 MiB 0.88 781 8151 1829 5687 635 63.2 MiB 0.08 0.00 3.42581 -102.974 -3.42581 3.42581 0.41 0.000559902 0.000521562 0.0254085 0.0236473 -1 -1 -1 -1 28 1904 23 6.87369e+06 321398 531479. 1839.03 0.53 0.0929223 0.0810831 24610 126494 -1 1688 22 1081 1762 117647 29623 2.79596 2.79596 -101.105 -2.79596 0 0 648988. 2245.63 0.03 0.06 0.10 -1 -1 0.03 0.0233409 0.0201884 93 23 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 4.41 vpr 63.22 MiB -1 -1 0.25 18552 1 0.03 -1 -1 30108 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64736 32 32 341 285 1 188 84 17 17 289 -1 unnamed_device 24.0 MiB 1.74 910 13260 3607 7806 1847 63.2 MiB 0.14 0.00 3.77904 -129.086 -3.77904 3.77904 0.33 0.000677957 0.000630665 0.0508368 0.047249 -1 -1 -1 -1 32 2421 25 6.87369e+06 279477 586450. 2029.24 0.62 0.133094 0.117462 25474 144626 -1 1923 21 1469 2059 133480 33321 3.30611 3.30611 -126.077 -3.30611 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0269363 0.0233703 120 65 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 5.27 vpr 63.93 MiB -1 -1 0.25 18328 1 0.03 -1 -1 30320 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65460 32 32 387 293 1 235 91 17 17 289 -1 unnamed_device 24.2 MiB 2.26 1446 16003 4513 9679 1811 63.9 MiB 0.20 0.00 5.45062 -164.1 -5.45062 5.45062 0.33 0.000765113 0.000710511 0.0625287 0.0580806 -1 -1 -1 -1 28 3827 27 6.87369e+06 377294 531479. 1839.03 0.95 0.162884 0.144247 24610 126494 -1 3174 24 2379 3636 266572 63430 5.026 5.026 -170.437 -5.026 0 0 648988. 2245.63 0.03 0.11 0.11 -1 -1 0.03 0.0356458 0.0310264 163 31 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 5.19 vpr 63.83 MiB -1 -1 0.22 18408 1 0.03 -1 -1 30368 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65364 32 32 340 270 1 185 98 17 17 289 -1 unnamed_device 24.0 MiB 2.64 1118 12923 3108 8715 1100 63.8 MiB 0.14 0.00 4.49891 -142.201 -4.49891 4.49891 0.33 0.000673492 0.000619904 0.0430244 0.0399706 -1 -1 -1 -1 32 2521 22 6.87369e+06 475111 586450. 2029.24 0.58 0.126419 0.1116 25474 144626 -1 2072 19 1267 2113 137525 33579 3.11326 3.11326 -127.341 -3.11326 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0256752 0.0223967 137 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 3.23 vpr 63.53 MiB -1 -1 0.24 18052 1 0.03 -1 -1 30460 -1 -1 25 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65052 30 32 278 235 1 150 87 17 17 289 -1 unnamed_device 23.8 MiB 0.67 780 11991 2894 8475 622 63.5 MiB 0.11 0.00 3.57685 -110.542 -3.57685 3.57685 0.30 0.00059148 0.000550047 0.0366114 0.0339228 -1 -1 -1 -1 26 2085 23 6.87369e+06 349346 503264. 1741.40 0.76 0.10767 0.0943904 24322 120374 -1 1811 21 1236 2012 147312 38902 3.10156 3.10156 -113.453 -3.10156 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.023601 0.0204161 104 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 6.87 vpr 63.43 MiB -1 -1 0.27 18568 1 0.03 -1 -1 30284 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64956 32 32 431 332 1 239 91 17 17 289 -1 unnamed_device 24.5 MiB 4.02 1420 13147 3569 8315 1263 63.4 MiB 0.16 0.00 5.92629 -174.407 -5.92629 5.92629 0.34 0.000833342 0.00077516 0.0564343 0.0524045 -1 -1 -1 -1 32 3147 27 6.87369e+06 377294 586450. 2029.24 0.69 0.163689 0.144051 25474 144626 -1 2602 19 1701 2677 174677 41715 4.7336 4.7336 -161.132 -4.7336 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0308763 0.0269051 166 65 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 5.42 vpr 63.79 MiB -1 -1 0.24 18388 1 0.03 -1 -1 30364 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65316 32 32 336 268 1 182 99 17 17 289 -1 unnamed_device 24.0 MiB 2.76 1022 11043 2817 7461 765 63.8 MiB 0.11 0.00 4.68232 -141.336 -4.68232 4.68232 0.33 0.000696088 0.000647253 0.0357086 0.0331238 -1 -1 -1 -1 32 2244 25 6.87369e+06 489084 586450. 2029.24 0.59 0.120339 0.105641 25474 144626 -1 1837 21 1382 2159 118015 30016 3.5788 3.5788 -126.007 -3.5788 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0276469 0.0240155 135 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 4.03 vpr 63.44 MiB -1 -1 0.22 17936 1 0.03 -1 -1 30292 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64960 32 32 231 199 1 142 92 17 17 289 -1 unnamed_device 23.9 MiB 0.76 952 13754 3685 8569 1500 63.4 MiB 0.12 0.00 3.65166 -105.903 -3.65166 3.65166 0.34 0.000538237 0.000500843 0.0381477 0.0354746 -1 -1 -1 -1 28 2055 22 6.87369e+06 391268 531479. 1839.03 0.54 0.102196 0.0900138 24610 126494 -1 1741 19 874 1554 114632 26729 3.03561 3.03561 -105.274 -3.03561 0 0 648988. 2245.63 0.03 0.06 0.08 -1 -1 0.03 0.0203082 0.0175966 96 3 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 4.89 vpr 63.26 MiB -1 -1 0.23 18412 1 0.03 -1 -1 30272 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64776 32 32 349 273 1 191 101 17 17 289 -1 unnamed_device 24.0 MiB 2.00 1239 18196 5620 10238 2338 63.3 MiB 0.18 0.00 5.34161 -141.066 -5.34161 5.34161 0.33 0.000710511 0.000657709 0.0583585 0.0538307 -1 -1 -1 -1 30 2783 24 6.87369e+06 517032 556674. 1926.21 0.72 0.154647 0.136888 25186 138497 -1 2319 21 1461 2924 184023 42728 4.22195 4.22195 -135.723 -4.22195 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0286229 0.0248525 145 29 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 3.54 vpr 63.56 MiB -1 -1 0.15 17904 1 0.03 -1 -1 30144 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65084 32 32 247 207 1 153 85 17 17 289 -1 unnamed_device 23.9 MiB 1.05 897 15337 5119 7874 2344 63.6 MiB 0.13 0.00 3.56305 -113.438 -3.56305 3.56305 0.33 0.000555907 0.000516935 0.048281 0.0448719 -1 -1 -1 -1 32 2070 20 6.87369e+06 293451 586450. 2029.24 0.56 0.113008 0.100078 25474 144626 -1 1815 21 1262 2238 153889 35786 2.87996 2.87996 -110.843 -2.87996 0 0 744469. 2576.02 0.03 0.07 0.13 -1 -1 0.03 0.0224254 0.0194167 99 3 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 4.50 vpr 63.21 MiB -1 -1 0.24 18168 1 0.03 -1 -1 30436 -1 -1 34 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64732 30 32 278 235 1 151 96 17 17 289 -1 unnamed_device 23.6 MiB 2.07 912 16302 4828 9136 2338 63.2 MiB 0.08 0.00 3.98176 -118.667 -3.98176 3.98176 0.26 0.000276471 0.000248271 0.0217191 0.01981 -1 -1 -1 -1 26 2091 22 6.87369e+06 475111 503264. 1741.40 0.50 0.0611662 0.0536977 24322 120374 -1 1886 19 1130 2030 131294 33386 3.10226 3.10226 -114.681 -3.10226 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0221492 0.0191797 109 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 5.18 vpr 63.14 MiB -1 -1 0.26 18392 1 0.03 -1 -1 30332 -1 -1 26 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64652 29 32 355 287 1 200 87 17 17 289 -1 unnamed_device 23.9 MiB 2.47 1138 9879 2524 6508 847 63.1 MiB 0.11 0.00 4.16737 -125.588 -4.16737 4.16737 0.34 0.00070545 0.000656964 0.038101 0.0354197 -1 -1 -1 -1 26 3080 23 6.87369e+06 363320 503264. 1741.40 0.65 0.124906 0.109698 24322 120374 -1 2586 20 1688 2528 198148 46939 3.83206 3.83206 -130.566 -3.83206 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0271976 0.0236497 136 62 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 4.61 vpr 63.30 MiB -1 -1 0.14 18396 1 0.03 -1 -1 30300 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64820 32 32 358 289 1 183 90 17 17 289 -1 unnamed_device 24.0 MiB 2.07 1034 14763 4115 8879 1769 63.3 MiB 0.15 0.00 4.56255 -145.294 -4.56255 4.56255 0.33 0.000706084 0.000656237 0.0540242 0.050208 -1 -1 -1 -1 32 2336 21 6.87369e+06 363320 586450. 2029.24 0.63 0.138549 0.122618 25474 144626 -1 1904 17 1252 1923 121500 28801 3.85766 3.85766 -135.319 -3.85766 0 0 744469. 2576.02 0.03 0.04 0.08 -1 -1 0.03 0.0134867 0.0119686 132 54 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 5.03 vpr 63.16 MiB -1 -1 0.26 18412 1 0.03 -1 -1 30232 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64676 32 32 353 285 1 188 93 17 17 289 -1 unnamed_device 23.9 MiB 2.18 1032 17103 5602 8670 2831 63.2 MiB 0.17 0.00 4.79103 -139.615 -4.79103 4.79103 0.34 0.000696183 0.000646015 0.0590853 0.0548123 -1 -1 -1 -1 32 2900 27 6.87369e+06 405241 586450. 2029.24 0.67 0.149928 0.132477 25474 144626 -1 2176 23 1449 2597 174377 41794 3.66236 3.66236 -128.606 -3.66236 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0307027 0.0267017 134 51 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 4.97 vpr 63.34 MiB -1 -1 0.12 18092 1 0.03 -1 -1 30112 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64856 32 32 276 237 1 165 81 17 17 289 -1 unnamed_device 23.7 MiB 2.62 947 9706 2619 6448 639 63.3 MiB 0.10 0.00 4.51686 -127.927 -4.51686 4.51686 0.34 0.000594314 0.000552804 0.0348864 0.0324832 -1 -1 -1 -1 32 2103 29 6.87369e+06 237555 586450. 2029.24 0.57 0.11248 0.0986961 25474 144626 -1 1866 21 1041 1496 114762 27029 3.13531 3.13531 -117.494 -3.13531 0 0 744469. 2576.02 0.03 0.04 0.08 -1 -1 0.03 0.0134532 0.0118711 101 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 4.98 vpr 63.09 MiB -1 -1 0.25 18468 1 0.03 -1 -1 30400 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 31 32 319 272 1 176 83 17 17 289 -1 unnamed_device 24.0 MiB 2.28 815 11963 2932 8103 928 63.1 MiB 0.11 0.00 3.7214 -117.821 -3.7214 3.7214 0.33 0.000642969 0.000598055 0.0446753 0.0415087 -1 -1 -1 -1 32 2447 35 6.87369e+06 279477 586450. 2029.24 0.65 0.132911 0.116764 25474 144626 -1 1648 18 1255 1811 115960 30273 3.13061 3.13061 -113.813 -3.13061 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.023017 0.0200541 110 64 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 4.91 vpr 63.10 MiB -1 -1 0.25 18340 1 0.03 -1 -1 30372 -1 -1 34 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64612 30 32 329 273 1 167 96 17 17 289 -1 unnamed_device 23.9 MiB 2.19 1016 17616 5582 9654 2380 63.1 MiB 0.16 0.00 3.48905 -102.473 -3.48905 3.48905 0.34 0.000658679 0.000611852 0.055243 0.0512742 -1 -1 -1 -1 28 2346 23 6.87369e+06 475111 531479. 1839.03 0.56 0.135181 0.119563 24610 126494 -1 2025 22 1317 2333 143046 35830 2.93056 2.93056 -101.97 -2.93056 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0274558 0.0237741 124 57 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 4.74 vpr 63.03 MiB -1 -1 0.23 18160 1 0.03 -1 -1 30408 -1 -1 35 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64544 28 32 277 229 1 156 95 17 17 289 -1 unnamed_device 23.7 MiB 1.62 942 17375 5412 9917 2046 63.0 MiB 0.14 0.00 4.15879 -107.762 -4.15879 4.15879 0.33 0.000596877 0.000555385 0.0497932 0.046256 -1 -1 -1 -1 26 2158 21 6.87369e+06 489084 503264. 1741.40 0.68 0.128929 0.113776 24322 120374 -1 1940 81 3637 6717 511772 117111 3.947 3.947 -114.579 -3.947 0 0 618332. 2139.56 0.03 0.21 0.07 -1 -1 0.03 0.0759938 0.0645077 117 27 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 5.24 vpr 63.37 MiB -1 -1 0.12 18380 1 0.03 -1 -1 30424 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64892 30 32 317 269 1 156 80 17 17 289 -1 unnamed_device 24.0 MiB 2.72 914 13496 4233 7612 1651 63.4 MiB 0.14 0.00 3.85608 -120.401 -3.85608 3.85608 0.34 0.000630011 0.000585172 0.051381 0.0476878 -1 -1 -1 -1 32 2066 24 6.87369e+06 251529 586450. 2029.24 0.61 0.132137 0.116563 25474 144626 -1 1808 22 1276 2281 150695 35758 3.09126 3.09126 -120.425 -3.09126 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0273207 0.0236964 105 63 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 4.59 vpr 63.08 MiB -1 -1 0.24 18356 1 0.03 -1 -1 30072 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64592 32 32 335 282 1 189 84 17 17 289 -1 unnamed_device 23.9 MiB 2.01 1004 6855 1530 4961 364 63.1 MiB 0.08 0.00 3.6946 -124.308 -3.6946 3.6946 0.33 0.000666465 0.000620289 0.0269554 0.0250659 -1 -1 -1 -1 28 2476 23 6.87369e+06 279477 531479. 1839.03 0.58 0.106325 0.0927977 24610 126494 -1 2194 23 1488 2178 170330 42033 3.28611 3.28611 -130.137 -3.28611 0 0 648988. 2245.63 0.03 0.08 0.12 -1 -1 0.03 0.0285581 0.0246782 118 65 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 3.51 vpr 63.07 MiB -1 -1 0.24 17948 1 0.03 -1 -1 30292 -1 -1 33 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64584 31 32 293 230 1 175 96 17 17 289 -1 unnamed_device 24.0 MiB 0.92 1091 9075 2015 6280 780 63.1 MiB 0.10 0.00 4.61548 -132.875 -4.61548 4.61548 0.33 0.000631977 0.000587553 0.028804 0.0267387 -1 -1 -1 -1 26 2616 23 6.87369e+06 461137 503264. 1741.40 0.69 0.105235 0.0920603 24322 120374 -1 2293 21 1418 2488 166028 39907 3.8604 3.8604 -130.723 -3.8604 0 0 618332. 2139.56 0.02 0.04 0.07 -1 -1 0.02 0.0137315 0.012053 130 4 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 5.58 vpr 63.24 MiB -1 -1 0.15 18428 1 0.03 -1 -1 30448 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64756 32 32 350 275 1 214 89 17 17 289 -1 unnamed_device 24.3 MiB 2.94 1200 15731 4693 8579 2459 63.2 MiB 0.17 0.00 4.80258 -153.363 -4.80258 4.80258 0.33 0.000703729 0.0006543 0.0576808 0.053582 -1 -1 -1 -1 32 3003 23 6.87369e+06 349346 586450. 2029.24 0.66 0.14232 0.126023 25474 144626 -1 2526 21 1639 2470 197373 45896 4.12826 4.12826 -146.013 -4.12826 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0284546 0.0247738 142 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 6.24 vpr 63.32 MiB -1 -1 0.26 18460 1 0.03 -1 -1 30252 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64836 32 32 385 308 1 195 101 17 17 289 -1 unnamed_device 24.2 MiB 3.49 1124 13496 3681 8868 947 63.3 MiB 0.15 0.00 5.22228 -150.906 -5.22228 5.22228 0.34 0.000752487 0.000696927 0.0455464 0.0421192 -1 -1 -1 -1 30 2530 21 6.87369e+06 517032 556674. 1926.21 0.66 0.135733 0.119283 25186 138497 -1 2081 21 1252 2234 136986 32950 3.78145 3.78145 -137.672 -3.78145 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0298214 0.0259139 147 65 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 6.11 vpr 63.93 MiB -1 -1 0.26 18560 1 0.03 -1 -1 30276 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65468 32 32 387 309 1 192 105 17 17 289 -1 unnamed_device 24.2 MiB 2.74 987 13690 3687 9358 645 63.9 MiB 0.14 0.00 4.53808 -140.381 -4.53808 4.53808 0.33 0.000749439 0.000696383 0.0438679 0.0405747 -1 -1 -1 -1 40 2022 24 6.87369e+06 572927 706193. 2443.58 1.23 0.19167 0.166443 26914 176310 -1 1886 21 1261 2401 156153 39758 3.6171 3.6171 -127.506 -3.6171 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0300207 0.0261017 148 65 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 4.70 vpr 63.23 MiB -1 -1 0.23 18128 1 0.03 -1 -1 30216 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64748 30 32 272 232 1 151 80 17 17 289 -1 unnamed_device 23.6 MiB 2.20 813 9024 2370 5899 755 63.2 MiB 0.10 0.00 3.89188 -117.262 -3.89188 3.89188 0.33 0.000584829 0.000544928 0.0324753 0.0302551 -1 -1 -1 -1 32 1912 21 6.87369e+06 251529 586450. 2029.24 0.55 0.100521 0.0882835 25474 144626 -1 1652 20 1057 1880 121709 29083 2.97696 2.97696 -107.258 -2.97696 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0226212 0.0196254 99 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 5.57 vpr 63.89 MiB -1 -1 0.14 18284 1 0.02 -1 -1 30372 -1 -1 23 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65424 30 32 375 299 1 188 85 17 17 289 -1 unnamed_device 24.0 MiB 3.12 1029 9757 2105 6512 1140 63.9 MiB 0.12 0.00 4.57902 -143.928 -4.57902 4.57902 0.33 0.000730298 0.000678703 0.0403111 0.0374677 -1 -1 -1 -1 28 2535 23 6.87369e+06 321398 531479. 1839.03 0.64 0.127412 0.111966 24610 126494 -1 2307 21 1874 2865 205104 49082 3.9547 3.9547 -144.752 -3.9547 0 0 648988. 2245.63 0.02 0.05 0.07 -1 -1 0.02 0.0166106 0.0146556 137 63 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 5.03 vpr 63.10 MiB -1 -1 0.24 18356 1 0.03 -1 -1 30352 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64612 32 32 340 270 1 204 89 17 17 289 -1 unnamed_device 23.9 MiB 2.02 1137 13355 3696 8021 1638 63.1 MiB 0.15 0.00 5.16481 -152.482 -5.16481 5.16481 0.33 0.00068747 0.000638496 0.0488585 0.0454013 -1 -1 -1 -1 28 3018 30 6.87369e+06 349346 531479. 1839.03 0.86 0.140547 0.123885 24610 126494 -1 2524 24 1875 2946 236442 55729 4.90886 4.90886 -155.241 -4.90886 0 0 648988. 2245.63 0.03 0.09 0.11 -1 -1 0.03 0.0312093 0.027068 136 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 6.14 vpr 63.24 MiB -1 -1 0.24 18392 1 0.03 -1 -1 30196 -1 -1 31 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64760 31 32 340 275 1 201 94 17 17 289 -1 unnamed_device 24.0 MiB 2.51 1095 17560 6469 8624 2467 63.2 MiB 0.17 0.00 5.28104 -147.847 -5.28104 5.28104 0.33 0.000689075 0.000639545 0.0588762 0.0546834 -1 -1 -1 -1 28 3079 44 6.87369e+06 433189 531479. 1839.03 1.22 0.166584 0.14682 24610 126494 -1 2291 23 1877 3073 206403 52519 4.5206 4.5206 -147.07 -4.5206 0 0 648988. 2245.63 0.03 0.09 0.11 -1 -1 0.03 0.0302722 0.0262274 140 47 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 6.21 vpr 63.17 MiB -1 -1 0.24 18292 1 0.03 -1 -1 30140 -1 -1 31 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64684 30 32 377 310 1 181 93 17 17 289 -1 unnamed_device 24.2 MiB 3.01 983 14163 4548 6741 2874 63.2 MiB 0.14 0.00 4.71548 -138.601 -4.71548 4.71548 0.34 0.000716083 0.000664063 0.0506003 0.0468682 -1 -1 -1 -1 28 3021 48 6.87369e+06 433189 531479. 1839.03 1.16 0.171331 0.149933 24610 126494 -1 2182 22 1471 2283 175084 45197 3.71216 3.71216 -131.63 -3.71216 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0304106 0.0263778 136 83 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 5.01 vpr 63.27 MiB -1 -1 0.24 18436 1 0.03 -1 -1 30352 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64784 32 32 365 294 1 187 86 17 17 289 -1 unnamed_device 24.0 MiB 2.29 977 11804 3582 6996 1226 63.3 MiB 0.15 0.00 4.77578 -141.077 -4.77578 4.77578 0.34 0.000721237 0.000668993 0.0471484 0.0438001 -1 -1 -1 -1 30 2619 21 6.87369e+06 307425 556674. 1926.21 0.66 0.132756 0.116983 25186 138497 -1 2066 20 1426 2450 128813 33448 3.90766 3.90766 -135.71 -3.90766 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0277408 0.024151 132 57 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 5.03 vpr 63.49 MiB -1 -1 0.27 18544 1 0.03 -1 -1 30308 -1 -1 29 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65016 29 32 378 310 1 179 90 17 17 289 -1 unnamed_device 24.2 MiB 2.28 945 16170 4095 11127 948 63.5 MiB 0.16 0.00 4.12999 -122.875 -4.12999 4.12999 0.33 0.000715356 0.000664292 0.0600459 0.055713 -1 -1 -1 -1 32 2398 22 6.87369e+06 405241 586450. 2029.24 0.61 0.145064 0.128358 25474 144626 -1 1959 21 1386 2247 136731 34828 3.12181 3.12181 -115.774 -3.12181 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0291387 0.025244 132 85 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 3.69 vpr 63.22 MiB -1 -1 0.21 17864 1 0.03 -1 -1 30328 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64736 32 32 243 205 1 149 82 17 17 289 -1 unnamed_device 23.6 MiB 1.07 892 12542 3412 7235 1895 63.2 MiB 0.11 0.00 3.98264 -119.291 -3.98264 3.98264 0.33 0.000553243 0.000515291 0.0408922 0.0380681 -1 -1 -1 -1 26 1942 21 6.87369e+06 251529 503264. 1741.40 0.65 0.108051 0.0953921 24322 120374 -1 1729 19 926 1350 100477 24050 2.89096 2.89096 -109.681 -2.89096 0 0 618332. 2139.56 0.03 0.06 0.10 -1 -1 0.03 0.0209686 0.0181556 96 3 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 6.89 vpr 64.11 MiB -1 -1 0.24 18352 1 0.03 -1 -1 30368 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65648 32 32 373 302 1 184 98 17 17 289 -1 unnamed_device 24.5 MiB 4.14 1136 12698 3213 8226 1259 64.1 MiB 0.13 0.00 4.61508 -140.435 -4.61508 4.61508 0.34 0.000724797 0.000672524 0.0434584 0.0402964 -1 -1 -1 -1 32 2571 23 6.87369e+06 475111 586450. 2029.24 0.63 0.131597 0.115659 25474 144626 -1 2066 23 1500 2612 174693 42709 3.7811 3.7811 -133.462 -3.7811 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0311492 0.0269934 138 65 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 6.88 vpr 63.72 MiB -1 -1 0.25 18292 1 0.03 -1 -1 30264 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65248 32 32 397 314 1 197 86 17 17 289 -1 unnamed_device 24.2 MiB 4.05 1136 12749 3577 7664 1508 63.7 MiB 0.15 0.00 4.56982 -153.824 -4.56982 4.56982 0.33 0.000768687 0.00071409 0.0543177 0.0505018 -1 -1 -1 -1 32 2623 21 6.87369e+06 307425 586450. 2029.24 0.64 0.143276 0.12659 25474 144626 -1 2191 19 1626 2715 173775 41377 3.6728 3.6728 -145.994 -3.6728 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0289038 0.0252082 142 65 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 4.79 vpr 63.60 MiB -1 -1 0.22 18128 1 0.03 -1 -1 30352 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65128 32 32 269 231 1 170 82 17 17 289 -1 unnamed_device 23.9 MiB 2.13 994 9694 2678 5995 1021 63.6 MiB 0.10 0.00 4.37292 -124.998 -4.37292 4.37292 0.33 0.00058825 0.000548397 0.0336292 0.0313019 -1 -1 -1 -1 26 2325 22 6.87369e+06 251529 503264. 1741.40 0.62 0.103077 0.090504 24322 120374 -1 2098 22 1212 1576 117648 28606 3.4928 3.4928 -124.305 -3.4928 0 0 618332. 2139.56 0.03 0.06 0.10 -1 -1 0.03 0.0245323 0.0212581 103 29 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 3.70 vpr 63.16 MiB -1 -1 0.23 17880 1 0.03 -1 -1 30368 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64680 31 32 245 205 1 153 84 17 17 289 -1 unnamed_device 23.7 MiB 1.09 879 14358 4211 8419 1728 63.2 MiB 0.13 0.00 3.81898 -115.032 -3.81898 3.81898 0.33 0.000551096 0.000512803 0.0451184 0.0419713 -1 -1 -1 -1 32 1927 21 6.87369e+06 293451 586450. 2029.24 0.58 0.11685 0.103169 25474 144626 -1 1705 21 1147 1895 134417 30219 2.80196 2.80196 -107.148 -2.80196 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0226517 0.0196196 100 4 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 5.14 vpr 63.21 MiB -1 -1 0.24 18416 1 0.03 -1 -1 30464 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64732 32 32 348 274 1 215 88 17 17 289 -1 unnamed_device 24.0 MiB 2.49 1167 13738 4717 6121 2900 63.2 MiB 0.14 0.00 4.82535 -151.45 -4.82535 4.82535 0.34 0.000702193 0.000652482 0.0514208 0.0477219 -1 -1 -1 -1 32 3056 26 6.87369e+06 335372 586450. 2029.24 0.67 0.140939 0.124324 25474 144626 -1 2359 21 1873 2516 192195 44591 3.83266 3.83266 -143.503 -3.83266 0 0 744469. 2576.02 0.03 0.05 0.08 -1 -1 0.03 0.0156955 0.0138414 141 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 5.17 vpr 63.78 MiB -1 -1 0.25 18388 1 0.03 -1 -1 30312 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65308 32 32 356 289 1 202 93 17 17 289 -1 unnamed_device 24.2 MiB 2.40 1241 12693 3088 8410 1195 63.8 MiB 0.13 0.00 5.11649 -152.535 -5.11649 5.11649 0.33 0.000706963 0.00065777 0.0450642 0.0418974 -1 -1 -1 -1 28 2943 23 6.87369e+06 405241 531479. 1839.03 0.64 0.130506 0.115048 24610 126494 -1 2430 21 1668 2547 158583 40231 4.36435 4.36435 -151.153 -4.36435 0 0 648988. 2245.63 0.03 0.08 0.11 -1 -1 0.03 0.0292089 0.0254065 139 56 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 4.00 vpr 63.20 MiB -1 -1 0.24 18152 1 0.03 -1 -1 30160 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64720 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 24.2 MiB 0.77 1214 20284 6668 9397 4219 63.2 MiB 0.18 0.00 5.27917 -148.68 -5.27917 5.27917 0.34 0.000718688 0.000667502 0.0652747 0.0605716 -1 -1 -1 -1 30 3191 43 6.87369e+06 503058 556674. 1926.21 1.11 0.184428 0.16278 25186 138497 -1 2376 22 1504 2809 174178 42314 4.70185 4.70185 -146.557 -4.70185 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0300945 0.0261648 157 3 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 4.77 vpr 63.60 MiB -1 -1 0.25 18424 1 0.03 -1 -1 30380 -1 -1 34 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65128 30 32 316 264 1 165 96 17 17 289 -1 unnamed_device 24.0 MiB 2.11 882 12798 3427 7781 1590 63.6 MiB 0.12 0.00 3.60295 -105.856 -3.60295 3.60295 0.33 0.000644126 0.000598529 0.0398531 0.0369682 -1 -1 -1 -1 30 1919 24 6.87369e+06 475111 556674. 1926.21 0.60 0.11996 0.10565 25186 138497 -1 1665 19 1031 1845 92885 24170 2.80666 2.80666 -100.682 -2.80666 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0237563 0.0206309 119 52 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 3.39 vpr 63.20 MiB -1 -1 0.12 18080 1 0.03 -1 -1 30460 -1 -1 24 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64720 27 32 255 219 1 139 83 17 17 289 -1 unnamed_device 23.6 MiB 0.98 659 7643 1786 5184 673 63.2 MiB 0.07 0.00 3.59463 -97.3218 -3.59463 3.59463 0.34 0.000551197 0.000513497 0.0250754 0.0233186 -1 -1 -1 -1 30 1508 21 6.87369e+06 335372 556674. 1926.21 0.53 0.0893672 0.0779488 25186 138497 -1 1307 22 912 1404 85222 19958 2.71066 2.71066 -92.8097 -2.71066 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0229535 0.0198213 97 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 5.57 vpr 63.50 MiB -1 -1 0.26 18408 1 0.03 -1 -1 30300 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65020 32 32 421 327 1 233 90 17 17 289 -1 unnamed_device 24.5 MiB 2.67 1401 16170 4964 9023 2183 63.5 MiB 0.19 0.00 4.57338 -144.339 -4.57338 4.57338 0.34 0.000803223 0.000746133 0.0677135 0.0628702 -1 -1 -1 -1 32 3662 24 6.87369e+06 363320 586450. 2029.24 0.70 0.168427 0.149127 25474 144626 -1 2760 22 1992 3267 226149 52554 3.83566 3.83566 -137.079 -3.83566 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0347115 0.0301949 162 65 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 7.33 vpr 63.27 MiB -1 -1 0.27 18584 1 0.03 -1 -1 30256 -1 -1 24 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64788 31 32 365 296 1 202 87 17 17 289 -1 unnamed_device 24.3 MiB 4.65 1098 14103 3985 8981 1137 63.3 MiB 0.15 0.00 5.44942 -162.48 -5.44942 5.44942 0.33 0.000708544 0.000658436 0.0547442 0.0506825 -1 -1 -1 -1 28 2855 25 6.87369e+06 335372 531479. 1839.03 0.67 0.143032 0.126211 24610 126494 -1 2448 22 2045 3179 239679 55911 4.60955 4.60955 -160.284 -4.60955 0 0 648988. 2245.63 0.02 0.06 0.07 -1 -1 0.02 0.0166077 0.0146544 137 64 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 7.03 vpr 63.37 MiB -1 -1 0.24 18372 1 0.03 -1 -1 30304 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64892 32 32 331 280 1 185 83 17 17 289 -1 unnamed_device 24.2 MiB 4.00 817 15383 5423 7661 2299 63.4 MiB 0.14 0.00 4.40108 -141.085 -4.40108 4.40108 0.33 0.000661923 0.000615234 0.0586678 0.0545053 -1 -1 -1 -1 34 2276 26 6.87369e+06 265503 618332. 2139.56 0.97 0.191061 0.167089 25762 151098 -1 1676 18 1284 1782 118864 30915 3.63746 3.63746 -132.494 -3.63746 0 0 787024. 2723.27 0.03 0.06 0.12 -1 -1 0.03 0.0237079 0.0206514 117 65 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 4.26 vpr 63.08 MiB -1 -1 0.24 18356 1 0.03 -1 -1 30340 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64592 32 32 326 263 1 176 97 17 17 289 -1 unnamed_device 23.9 MiB 1.26 996 18079 6285 9338 2456 63.1 MiB 0.17 0.00 5.05545 -135.157 -5.05545 5.05545 0.34 0.0006787 0.00062261 0.0569607 0.0526736 -1 -1 -1 -1 28 2735 32 6.87369e+06 461137 531479. 1839.03 0.90 0.150988 0.132992 24610 126494 -1 2184 23 1538 2474 186286 44655 3.7844 3.7844 -127.117 -3.7844 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0289064 0.0250237 129 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 4.36 vpr 63.26 MiB -1 -1 0.27 18324 1 0.03 -1 -1 30424 -1 -1 34 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64776 31 32 373 294 1 197 97 17 17 289 -1 unnamed_device 24.2 MiB 1.61 975 8977 1882 6473 622 63.3 MiB 0.10 0.00 4.47518 -127.7 -4.47518 4.47518 0.34 0.000735349 0.000682437 0.0320028 0.0296931 -1 -1 -1 -1 30 2526 22 6.87369e+06 475111 556674. 1926.21 0.65 0.121632 0.106372 25186 138497 -1 1772 20 1161 1988 89442 23941 3.59926 3.59926 -123.864 -3.59926 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0287372 0.0250502 149 50 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 4.69 vpr 63.14 MiB -1 -1 0.25 18476 1 0.03 -1 -1 30092 -1 -1 31 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64656 30 32 325 268 1 172 93 17 17 289 -1 unnamed_device 24.0 MiB 1.89 1016 17523 5783 9680 2060 63.1 MiB 0.16 0.00 3.6935 -107.395 -3.6935 3.6935 0.33 0.000656932 0.000610154 0.0564618 0.0524435 -1 -1 -1 -1 32 2389 47 6.87369e+06 433189 586450. 2029.24 0.66 0.157482 0.138428 25474 144626 -1 2002 22 1287 2224 135136 33535 3.14781 3.14781 -106.636 -3.14781 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0272988 0.0236707 124 51 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 6.12 vpr 63.13 MiB -1 -1 0.25 18248 1 0.03 -1 -1 30228 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64644 32 32 350 275 1 215 88 17 17 289 -1 unnamed_device 24.1 MiB 3.33 1248 13738 4274 7446 2018 63.1 MiB 0.15 0.00 4.85883 -154.737 -4.85883 4.85883 0.33 0.000713451 0.000654801 0.0520909 0.0481021 -1 -1 -1 -1 32 3121 22 6.87369e+06 335372 586450. 2029.24 0.63 0.136198 0.12007 25474 144626 -1 2484 20 1815 2844 200706 47665 4.17706 4.17706 -148.515 -4.17706 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0274458 0.0239019 143 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 5.85 vpr 63.91 MiB -1 -1 0.25 18376 1 0.03 -1 -1 30128 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65444 32 32 386 307 1 196 100 17 17 289 -1 unnamed_device 24.3 MiB 3.01 1086 10308 2517 7280 511 63.9 MiB 0.12 0.00 4.14663 -136.709 -4.14663 4.14663 0.34 0.000747845 0.000694676 0.0358158 0.0332704 -1 -1 -1 -1 28 2852 22 6.87369e+06 503058 531479. 1839.03 0.74 0.128014 0.1121 24610 126494 -1 2345 20 1518 2459 158677 39350 3.26061 3.26061 -128.829 -3.26061 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0293717 0.0255279 148 62 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 4.20 vpr 63.19 MiB -1 -1 0.22 18280 1 0.03 -1 -1 30284 -1 -1 20 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64708 29 32 269 229 1 150 81 17 17 289 -1 unnamed_device 23.5 MiB 1.73 676 13381 4841 5719 2821 63.2 MiB 0.12 0.00 3.95844 -115.993 -3.95844 3.95844 0.33 0.000576391 0.00053603 0.0458306 0.0426133 -1 -1 -1 -1 32 1602 21 6.87369e+06 279477 586450. 2029.24 0.54 0.11245 0.0993597 25474 144626 -1 1303 20 1078 1620 101124 24300 3.02726 3.02726 -105.477 -3.02726 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0226321 0.0196502 101 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 4.33 vpr 63.11 MiB -1 -1 0.24 18380 1 0.03 -1 -1 30284 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64624 32 32 310 266 1 175 84 17 17 289 -1 unnamed_device 24.0 MiB 1.51 1025 15456 4644 9539 1273 63.1 MiB 0.15 0.00 3.98516 -120.978 -3.98516 3.98516 0.33 0.000629119 0.000584837 0.0550716 0.051179 -1 -1 -1 -1 26 2517 27 6.87369e+06 279477 503264. 1741.40 0.77 0.135191 0.119354 24322 120374 -1 2108 22 1426 1967 170507 40619 3.43941 3.43941 -126.369 -3.43941 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0266223 0.0230652 108 58 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 4.68 vpr 63.76 MiB -1 -1 0.15 18476 1 0.03 -1 -1 30344 -1 -1 39 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65292 31 32 326 261 1 178 102 17 17 289 -1 unnamed_device 24.0 MiB 1.43 987 18428 5110 10243 3075 63.8 MiB 0.17 0.00 4.59612 -128.416 -4.59612 4.59612 0.33 0.00066769 0.000620041 0.0537939 0.049922 -1 -1 -1 -1 26 2846 38 6.87369e+06 544980 503264. 1741.40 1.16 0.153274 0.135079 24322 120374 -1 2300 23 1587 2983 214840 50593 4.066 4.066 -137.302 -4.066 0 0 618332. 2139.56 0.02 0.05 0.07 -1 -1 0.02 0.0152959 0.0134031 135 33 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 4.72 vpr 63.51 MiB -1 -1 0.22 18056 1 0.03 -1 -1 30240 -1 -1 20 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65032 29 32 262 224 1 168 81 17 17 289 -1 unnamed_device 23.9 MiB 2.21 926 13031 3833 7297 1901 63.5 MiB 0.12 0.00 4.39772 -121.351 -4.39772 4.39772 0.34 0.000564946 0.000526026 0.0438255 0.0407939 -1 -1 -1 -1 26 2366 26 6.87369e+06 279477 503264. 1741.40 0.58 0.115317 0.101479 24322 120374 -1 1950 21 1326 1745 124283 31179 3.5018 3.5018 -119.379 -3.5018 0 0 618332. 2139.56 0.03 0.06 0.10 -1 -1 0.03 0.0230083 0.0198986 103 31 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 6.22 vpr 63.16 MiB -1 -1 0.23 18184 1 0.03 -1 -1 30060 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64672 32 32 278 238 1 158 81 17 17 289 -1 unnamed_device 23.5 MiB 3.10 830 13556 5623 7156 777 63.2 MiB 0.12 0.00 3.89598 -121.823 -3.89598 3.89598 0.34 0.000599774 0.000558122 0.0486182 0.0452549 -1 -1 -1 -1 30 2401 40 6.87369e+06 237555 556674. 1926.21 1.16 0.151662 0.13314 25186 138497 -1 1517 22 1110 1832 122846 32031 2.91031 2.91031 -105.79 -2.91031 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0248086 0.0215212 102 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 5.22 vpr 63.86 MiB -1 -1 0.26 18380 1 0.03 -1 -1 30372 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65392 31 32 373 300 1 185 101 17 17 289 -1 unnamed_device 24.3 MiB 2.52 996 8326 1655 6069 602 63.9 MiB 0.09 0.00 3.95528 -124.82 -3.95528 3.95528 0.33 0.00073019 0.000672729 0.028011 0.0259846 -1 -1 -1 -1 30 2187 19 6.87369e+06 531006 556674. 1926.21 0.58 0.110267 0.0962367 25186 138497 -1 1812 19 1272 2135 103364 26073 2.96596 2.96596 -116.595 -2.96596 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0270136 0.0235073 142 64 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 4.45 vpr 63.19 MiB -1 -1 0.23 18184 1 0.03 -1 -1 30328 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64704 31 32 265 230 1 169 81 17 17 289 -1 unnamed_device 23.5 MiB 1.98 964 7606 1989 5205 412 63.2 MiB 0.08 0.00 3.71466 -116.831 -3.71466 3.71466 0.33 0.00058092 0.000541652 0.026736 0.0248919 -1 -1 -1 -1 30 2104 20 6.87369e+06 251529 556674. 1926.21 0.54 0.0928595 0.0811791 25186 138497 -1 1736 21 950 1365 79050 19847 3.06461 3.06461 -112.199 -3.06461 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0278341 0.0244082 101 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 5.45 vpr 63.81 MiB -1 -1 0.25 18348 1 0.03 -1 -1 29996 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65340 32 32 349 286 1 177 96 17 17 289 -1 unnamed_device 24.1 MiB 2.52 1048 13455 3508 9157 790 63.8 MiB 0.13 0.00 3.8199 -117.926 -3.8199 3.8199 0.34 0.000698483 0.000649166 0.0451115 0.0418957 -1 -1 -1 -1 26 2805 33 6.87369e+06 447163 503264. 1741.40 0.91 0.145167 0.127573 24322 120374 -1 2183 29 1347 2248 170016 40039 3.04151 3.04151 -116.867 -3.04151 0 0 618332. 2139.56 0.03 0.09 0.10 -1 -1 0.03 0.0361119 0.0312691 130 57 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 5.38 vpr 63.44 MiB -1 -1 0.15 18316 1 0.04 -1 -1 30280 -1 -1 33 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64960 31 32 396 325 1 185 96 17 17 289 -1 unnamed_device 24.4 MiB 2.88 1015 16959 5374 8849 2736 63.4 MiB 0.17 0.00 3.7606 -128.355 -3.7606 3.7606 0.33 0.000748216 0.000694083 0.0605097 0.0561856 -1 -1 -1 -1 32 2262 24 6.87369e+06 461137 586450. 2029.24 0.59 0.150753 0.133203 25474 144626 -1 1821 20 1416 2136 127559 31716 2.80391 2.80391 -117.048 -2.80391 0 0 744469. 2576.02 0.03 0.04 0.08 -1 -1 0.03 0.0156045 0.0137633 138 91 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 4.70 vpr 63.33 MiB -1 -1 0.24 18292 1 0.03 -1 -1 30324 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64852 32 32 303 262 1 154 81 17 17 289 -1 unnamed_device 24.0 MiB 2.09 926 11806 3071 7035 1700 63.3 MiB 0.11 0.00 3.46595 -111.033 -3.46595 3.46595 0.34 0.000626318 0.000581564 0.0441167 0.0410371 -1 -1 -1 -1 32 1999 19 6.87369e+06 237555 586450. 2029.24 0.56 0.115252 0.101659 25474 144626 -1 1755 16 874 1380 84592 21150 2.99146 2.99146 -110.25 -2.99146 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0205676 0.0179449 99 57 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 4.69 vpr 63.04 MiB -1 -1 0.23 18180 1 0.03 -1 -1 30264 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64548 32 32 290 244 1 176 83 17 17 289 -1 unnamed_device 24.0 MiB 1.70 868 9623 2653 6092 878 63.0 MiB 0.10 0.00 4.12463 -125.158 -4.12463 4.12463 0.29 0.000611296 0.000568942 0.0346504 0.0322298 -1 -1 -1 -1 28 2577 24 6.87369e+06 265503 531479. 1839.03 0.64 0.108794 0.0953846 24610 126494 -1 2089 21 1614 2365 175909 43157 3.21861 3.21861 -122.623 -3.21861 0 0 648988. 2245.63 0.03 0.08 0.07 -1 -1 0.03 0.0247676 0.021436 110 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 4.68 vpr 63.23 MiB -1 -1 0.12 18288 1 0.03 -1 -1 30172 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64752 32 32 318 257 1 196 86 17 17 289 -1 unnamed_device 24.1 MiB 2.03 1052 5567 1101 4155 311 63.2 MiB 0.07 0.00 4.84388 -137.106 -4.84388 4.84388 0.33 0.000657365 0.000611273 0.0214686 0.0199696 -1 -1 -1 -1 26 2782 21 6.87369e+06 307425 503264. 1741.40 0.77 0.101909 0.0887736 24322 120374 -1 2258 23 1745 2467 162233 39815 4.05606 4.05606 -137.797 -4.05606 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0293776 0.0254551 128 30 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 5.13 vpr 63.11 MiB -1 -1 0.25 18372 1 0.03 -1 -1 30196 -1 -1 29 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64624 29 32 324 268 1 169 90 17 17 289 -1 unnamed_device 24.0 MiB 2.37 1060 14562 4184 8868 1510 63.1 MiB 0.14 0.00 4.11363 -115.792 -4.11363 4.11363 0.34 0.000654586 0.000608681 0.0494721 0.0459961 -1 -1 -1 -1 30 2285 32 6.87369e+06 405241 556674. 1926.21 0.70 0.138159 0.121704 25186 138497 -1 1890 18 982 1734 104165 24665 3.11651 3.11651 -108.645 -3.11651 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.023576 0.0205441 123 55 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 6.17 vpr 64.10 MiB -1 -1 0.26 18404 1 0.03 -1 -1 30556 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65640 32 32 393 312 1 215 88 17 17 289 -1 unnamed_device 24.4 MiB 2.82 1203 9838 2479 6641 718 64.1 MiB 0.07 0.00 5.21116 -164.931 -5.21116 5.21116 0.32 0.000342348 0.000315851 0.0195076 0.017971 -1 -1 -1 -1 26 3413 41 6.87369e+06 335372 503264. 1741.40 1.24 0.136273 0.117963 24322 120374 -1 2693 21 2122 3152 243393 57965 4.48486 4.48486 -163.249 -4.48486 0 0 618332. 2139.56 0.03 0.10 0.10 -1 -1 0.03 0.0332255 0.0289183 148 65 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 3.52 vpr 63.05 MiB -1 -1 0.13 17992 1 0.03 -1 -1 30080 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64568 31 32 229 197 1 143 81 17 17 289 -1 unnamed_device 23.5 MiB 1.06 844 13556 4454 6953 2149 63.1 MiB 0.11 0.00 3.44201 -103.957 -3.44201 3.44201 0.33 0.000529031 0.000492592 0.0429559 0.0399555 -1 -1 -1 -1 32 1714 22 6.87369e+06 251529 586450. 2029.24 0.52 0.105722 0.0934036 25474 144626 -1 1496 19 749 1215 76767 19027 2.80296 2.80296 -100.579 -2.80296 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0199165 0.0172949 93 4 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 4.64 vpr 64.04 MiB -1 -1 0.26 18464 1 0.03 -1 -1 30180 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65572 32 32 412 334 1 194 99 17 17 289 -1 unnamed_device 24.3 MiB 1.86 1127 14007 4056 9039 912 64.0 MiB 0.15 0.00 4.44135 -147.306 -4.44135 4.44135 0.33 0.000765121 0.0007102 0.0495822 0.0459695 -1 -1 -1 -1 32 2681 24 6.87369e+06 489084 586450. 2029.24 0.63 0.142967 0.125789 25474 144626 -1 2165 21 1488 2138 146818 34899 3.61706 3.61706 -138.505 -3.61706 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0315295 0.0274102 145 90 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 6.55 vpr 63.58 MiB -1 -1 0.24 18480 1 0.03 -1 -1 30084 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65104 32 32 376 318 1 168 82 17 17 289 -1 unnamed_device 24.3 MiB 3.93 818 7914 1986 4991 937 63.6 MiB 0.09 0.00 3.65241 -126.689 -3.65241 3.65241 0.33 0.000716521 0.000665697 0.0339799 0.0315396 -1 -1 -1 -1 32 2064 21 6.87369e+06 251529 586450. 2029.24 0.59 0.116999 0.102344 25474 144626 -1 1733 19 1279 1863 114597 28329 3.23576 3.23576 -126.641 -3.23576 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0262627 0.022804 114 96 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 6.17 vpr 63.64 MiB -1 -1 0.25 18384 1 0.03 -1 -1 30248 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65164 32 32 360 293 1 182 97 17 17 289 -1 unnamed_device 23.9 MiB 2.69 898 12973 3607 6302 3064 63.6 MiB 0.12 0.00 4.14663 -123.081 -4.14663 4.14663 0.34 0.000720368 0.000669204 0.0442353 0.0410851 -1 -1 -1 -1 38 1952 42 6.87369e+06 461137 678818. 2348.85 1.36 0.212778 0.184969 26626 170182 -1 1572 22 1060 1841 100003 27096 2.90726 2.90726 -103.051 -2.90726 0 0 902133. 3121.57 0.04 0.07 0.14 -1 -1 0.04 0.0297464 0.0258138 134 60 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 6.58 vpr 63.31 MiB -1 -1 0.26 18704 1 0.03 -1 -1 30276 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64828 32 32 396 299 1 240 92 17 17 289 -1 unnamed_device 24.2 MiB 3.74 1352 13547 3389 8039 2119 63.3 MiB 0.16 0.00 5.90291 -180.768 -5.90291 5.90291 0.34 0.000788625 0.000732777 0.0532663 0.0495082 -1 -1 -1 -1 32 3301 23 6.87369e+06 391268 586450. 2029.24 0.68 0.150416 0.132504 25474 144626 -1 2681 21 1910 2779 189482 44795 4.7146 4.7146 -162.466 -4.7146 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0313979 0.0273791 166 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 3.78 vpr 63.04 MiB -1 -1 0.23 18216 1 0.03 -1 -1 30092 -1 -1 17 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64548 30 32 224 207 1 137 79 17 17 289 -1 unnamed_device 23.5 MiB 1.42 736 10050 2579 6391 1080 63.0 MiB 0.08 0.00 3.03066 -94.5748 -3.03066 3.03066 0.33 0.000502701 0.000468535 0.0314551 0.0293165 -1 -1 -1 -1 28 1682 16 6.87369e+06 237555 531479. 1839.03 0.48 0.086592 0.0763637 24610 126494 -1 1538 16 849 1106 81342 20313 2.33677 2.33677 -94.3105 -2.33677 0 0 648988. 2245.63 0.03 0.05 0.10 -1 -1 0.03 0.0165481 0.014396 79 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 3.57 vpr 63.29 MiB -1 -1 0.24 18220 1 0.03 -1 -1 30420 -1 -1 20 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64804 30 32 286 239 1 151 82 17 17 289 -1 unnamed_device 23.7 MiB 1.04 731 7380 1917 5120 343 63.3 MiB 0.08 0.00 3.87678 -118.42 -3.87678 3.87678 0.33 0.000600531 0.000558766 0.026782 0.0248795 -1 -1 -1 -1 32 1689 23 6.87369e+06 279477 586450. 2029.24 0.55 0.0992538 0.0866565 25474 144626 -1 1447 20 1008 1567 100138 24264 2.91726 2.91726 -109.833 -2.91726 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.023328 0.0202272 106 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 3.96 vpr 63.45 MiB -1 -1 0.23 18024 1 0.03 -1 -1 30136 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64972 32 32 296 247 1 158 88 17 17 289 -1 unnamed_device 24.1 MiB 1.28 827 11008 2805 7715 488 63.4 MiB 0.11 0.00 3.50695 -115.703 -3.50695 3.50695 0.34 0.000611987 0.000568066 0.0367104 0.0340078 -1 -1 -1 -1 30 2028 21 6.87369e+06 335372 556674. 1926.21 0.59 0.11109 0.0974798 25186 138497 -1 1700 22 1189 2126 133167 32788 2.77666 2.77666 -111.905 -2.77666 0 0 706193. 2443.58 0.03 0.07 0.15 -1 -1 0.03 0.0257043 0.0222411 109 34 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 3.33 vpr 63.15 MiB -1 -1 0.22 18056 1 0.03 -1 -1 30200 -1 -1 29 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64668 25 32 216 194 1 123 86 17 17 289 -1 unnamed_device 23.7 MiB 0.77 530 12749 4269 6130 2350 63.2 MiB 0.09 0.00 3.47695 -80.5857 -3.47695 3.47695 0.33 0.0004763 0.000442656 0.0337173 0.0313435 -1 -1 -1 -1 26 1598 25 6.87369e+06 405241 503264. 1741.40 0.61 0.0930319 0.0815502 24322 120374 -1 1295 22 926 1589 114692 31959 3.18916 3.18916 -83.8265 -3.18916 0 0 618332. 2139.56 0.03 0.06 0.10 -1 -1 0.03 0.019951 0.017194 87 29 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 4.84 vpr 63.82 MiB -1 -1 0.26 18400 1 0.02 -1 -1 30200 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65352 32 32 376 307 1 192 86 17 17 289 -1 unnamed_device 24.3 MiB 2.17 1073 10103 2684 6858 561 63.8 MiB 0.12 0.00 4.3826 -130.721 -4.3826 4.3826 0.33 0.000726689 0.000675651 0.0411834 0.0382518 -1 -1 -1 -1 32 2888 48 6.87369e+06 307425 586450. 2029.24 0.67 0.155062 0.135398 25474 144626 -1 2276 23 1464 2573 168340 41015 3.76866 3.76866 -132.172 -3.76866 0 0 744469. 2576.02 0.03 0.06 0.08 -1 -1 0.03 0.0253656 0.0220242 131 72 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 5.17 vpr 63.73 MiB -1 -1 0.16 18400 1 0.03 -1 -1 30232 -1 -1 34 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65256 31 32 409 331 1 193 97 17 17 289 -1 unnamed_device 24.6 MiB 2.48 1085 16969 4812 9841 2316 63.7 MiB 0.18 0.00 4.13563 -135.401 -4.13563 4.13563 0.34 0.000767021 0.000711856 0.0614545 0.0570203 -1 -1 -1 -1 32 2578 24 6.87369e+06 475111 586450. 2029.24 0.64 0.156363 0.138015 25474 144626 -1 2084 20 1557 2479 147944 37335 3.31091 3.31091 -126.692 -3.31091 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0301933 0.0262737 145 90 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 4.66 vpr 63.12 MiB -1 -1 0.14 18384 1 0.03 -1 -1 30160 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64632 32 32 354 285 1 223 90 17 17 289 -1 unnamed_device 23.9 MiB 1.53 1144 16773 5687 8252 2834 63.1 MiB 0.17 0.00 5.45687 -159.577 -5.45687 5.45687 0.34 0.000707441 0.000653701 0.061291 0.0568531 -1 -1 -1 -1 34 2960 24 6.89349e+06 366440 618332. 2139.56 1.09 0.207628 0.181784 25762 151098 -1 2314 21 1560 2311 158787 38584 4.32749 4.32749 -146.434 -4.32749 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0285389 0.0248246 147 50 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 4.15 vpr 63.02 MiB -1 -1 0.15 18292 1 0.03 -1 -1 30292 -1 -1 27 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64528 30 32 363 293 1 229 89 17 17 289 -1 unnamed_device 23.8 MiB 1.45 1225 15929 4471 9301 2157 63.0 MiB 0.17 0.00 4.93328 -152.269 -4.93328 4.93328 0.33 0.00070826 0.000658533 0.059288 0.0551026 -1 -1 -1 -1 32 2735 20 6.89349e+06 380534 586450. 2029.24 0.63 0.140514 0.124521 25474 144626 -1 2348 22 1808 2627 169139 39727 4.37429 4.37429 -148.001 -4.37429 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0294465 0.0255588 152 63 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 3.95 vpr 63.16 MiB -1 -1 0.15 18440 1 0.03 -1 -1 30276 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64680 32 32 299 247 1 190 86 17 17 289 -1 unnamed_device 23.8 MiB 1.46 1082 9347 2400 6379 568 63.2 MiB 0.11 0.00 4.40779 -123.677 -4.40779 4.40779 0.33 0.000627725 0.000584049 0.0331316 0.0308045 -1 -1 -1 -1 32 2691 28 6.89349e+06 310065 586450. 2029.24 0.67 0.112808 0.098724 25474 144626 -1 2073 23 1284 1874 184866 63739 3.5931 3.5931 -118.498 -3.5931 0 0 744469. 2576.02 0.03 0.06 0.08 -1 -1 0.03 0.0153008 0.0134022 120 29 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 3.84 vpr 62.98 MiB -1 -1 0.14 18404 1 0.03 -1 -1 30420 -1 -1 26 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64492 29 32 308 248 1 195 87 17 17 289 -1 unnamed_device 23.6 MiB 0.95 951 10263 2585 7026 652 63.0 MiB 0.11 0.00 4.86959 -129.584 -4.86959 4.86959 0.34 0.000636109 0.000591613 0.0361167 0.0335751 -1 -1 -1 -1 28 3005 28 6.89349e+06 366440 531479. 1839.03 0.68 0.120664 0.105707 24610 126494 -1 2203 22 1625 2536 169563 42479 3.88885 3.88885 -122.914 -3.88885 0 0 648988. 2245.63 0.03 0.08 0.12 -1 -1 0.03 0.0270146 0.0233596 129 31 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 4.59 vpr 63.03 MiB -1 -1 0.12 18460 1 0.03 -1 -1 30380 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64540 32 32 336 268 1 211 89 17 17 289 -1 unnamed_device 23.9 MiB 1.80 1050 7811 1753 4971 1087 63.0 MiB 0.10 0.00 5.38315 -149.438 -5.38315 5.38315 0.33 0.000688856 0.000640885 0.0290867 0.0270305 -1 -1 -1 -1 32 3345 25 6.89349e+06 352346 586450. 2029.24 0.86 0.115075 0.10053 25474 144626 -1 2357 19 1556 2826 200073 48417 4.30019 4.30019 -144.205 -4.30019 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0260687 0.0227533 142 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 6.50 vpr 63.19 MiB -1 -1 0.24 18468 1 0.03 -1 -1 30296 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64704 32 32 366 295 1 231 100 17 17 289 -1 unnamed_device 24.2 MiB 1.97 1368 19124 5354 11242 2528 63.2 MiB 0.20 0.00 3.9181 -129.371 -3.9181 3.9181 0.33 0.000727192 0.000668329 0.0619439 0.0572887 -1 -1 -1 -1 34 3191 49 6.89349e+06 507378 618332. 2139.56 2.36 0.313352 0.271209 25762 151098 -1 2602 18 1437 2357 160277 38051 3.77285 3.77285 -129.656 -3.77285 0 0 787024. 2723.27 0.03 0.07 0.13 -1 -1 0.03 0.0257907 0.022465 160 58 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 3.66 vpr 63.09 MiB -1 -1 0.24 18076 1 0.03 -1 -1 30616 -1 -1 23 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 27 32 259 221 1 159 82 17 17 289 -1 unnamed_device 23.4 MiB 1.06 879 11118 3409 5655 2054 63.1 MiB 0.10 0.00 4.18543 -114.454 -4.18543 4.18543 0.33 0.000554655 0.000516362 0.0367097 0.0341929 -1 -1 -1 -1 32 1862 23 6.89349e+06 324158 586450. 2029.24 0.56 0.103219 0.0906636 25474 144626 -1 1552 19 1103 1617 122140 28845 3.05681 3.05681 -101.377 -3.05681 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.020955 0.0181463 104 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 3.23 vpr 63.25 MiB -1 -1 0.24 17896 1 0.03 -1 -1 30072 -1 -1 33 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64768 31 32 271 219 1 164 96 17 17 289 -1 unnamed_device 23.7 MiB 0.69 1005 15426 4709 8488 2229 63.2 MiB 0.13 0.00 3.4228 -103.716 -3.4228 3.4228 0.34 0.000604642 0.000562503 0.0441211 0.0409163 -1 -1 -1 -1 28 2278 18 6.89349e+06 465097 531479. 1839.03 0.59 0.113764 0.100286 24610 126494 -1 1960 21 984 1876 126536 30064 2.74275 2.74275 -99.134 -2.74275 0 0 648988. 2245.63 0.03 0.07 0.11 -1 -1 0.03 0.024197 0.0208898 119 4 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 4.33 vpr 62.99 MiB -1 -1 0.25 18400 1 0.03 -1 -1 30104 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64504 31 32 317 271 1 207 86 17 17 289 -1 unnamed_device 23.9 MiB 1.34 1155 7268 1767 5042 459 63.0 MiB 0.09 0.00 3.82142 -128.247 -3.82142 3.82142 0.35 0.000636774 0.000591731 0.0269233 0.0250475 -1 -1 -1 -1 26 2876 45 6.89349e+06 324158 503264. 1741.40 0.90 0.132233 0.114585 24322 120374 -1 2342 20 1613 2208 151619 36411 3.18635 3.18635 -129.05 -3.18635 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0244437 0.0211571 127 64 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 3.73 vpr 63.29 MiB -1 -1 0.23 18084 1 0.03 -1 -1 30100 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64812 32 32 298 248 1 185 83 17 17 289 -1 unnamed_device 23.5 MiB 1.32 1016 13403 3997 7277 2129 63.3 MiB 0.13 0.00 4.04458 -135.099 -4.04458 4.04458 0.33 0.000622664 0.000578974 0.0484274 0.0450254 -1 -1 -1 -1 32 2225 21 6.89349e+06 267783 586450. 2029.24 0.44 0.0955189 0.085246 25474 144626 -1 1903 22 1388 1865 125529 29254 3.16081 3.16081 -123.282 -3.16081 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0257408 0.0222766 115 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 4.16 vpr 63.26 MiB -1 -1 0.24 18540 1 0.03 -1 -1 30356 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64780 30 32 303 262 1 191 84 17 17 289 -1 unnamed_device 23.8 MiB 1.30 1088 5025 984 3702 339 63.3 MiB 0.07 0.00 4.60737 -131.829 -4.60737 4.60737 0.34 0.000620433 0.000577956 0.0189258 0.0176171 -1 -1 -1 -1 28 2554 23 6.89349e+06 310065 531479. 1839.03 0.73 0.0965181 0.0836945 24610 126494 -1 2229 56 2310 3097 367190 160866 3.73355 3.73355 -130.996 -3.73355 0 0 648988. 2245.63 0.03 0.20 0.11 -1 -1 0.03 0.0586778 0.0500746 121 63 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 3.13 vpr 63.18 MiB -1 -1 0.13 18280 1 0.03 -1 -1 30140 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64692 32 32 276 237 1 171 82 17 17 289 -1 unnamed_device 23.6 MiB 1.10 901 12008 3961 5948 2099 63.2 MiB 0.06 0.00 3.74726 -113.02 -3.74726 3.74726 0.25 0.00026857 0.000246966 0.0193216 0.0178049 -1 -1 -1 -1 32 2217 28 6.89349e+06 253689 586450. 2029.24 0.42 0.0559151 0.0489354 25474 144626 -1 1652 16 887 1232 89434 21858 2.94461 2.94461 -102.907 -2.94461 0 0 744469. 2576.02 0.03 0.05 0.13 -1 -1 0.03 0.0193158 0.016865 103 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 4.97 vpr 63.11 MiB -1 -1 0.25 18540 1 0.03 -1 -1 30284 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64620 32 32 344 272 1 209 89 17 17 289 -1 unnamed_device 23.9 MiB 1.57 1074 17909 6040 8736 3133 63.1 MiB 0.19 0.00 4.12632 -131.306 -4.12632 4.12632 0.33 0.000711974 0.000656424 0.0666116 0.0619691 -1 -1 -1 -1 34 2530 24 6.89349e+06 352346 618332. 2139.56 1.19 0.206474 0.181297 25762 151098 -1 2158 21 1742 2755 187996 44706 3.06371 3.06371 -119.293 -3.06371 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0278169 0.0241449 140 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 4.61 vpr 63.06 MiB -1 -1 0.26 18368 1 0.03 -1 -1 30416 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64576 32 32 363 295 1 232 90 17 17 289 -1 unnamed_device 23.8 MiB 1.69 1317 8934 2260 6054 620 63.1 MiB 0.11 0.00 5.47091 -159.697 -5.47091 5.47091 0.34 0.000714498 0.000664629 0.034308 0.0318658 -1 -1 -1 -1 30 3054 31 6.89349e+06 366440 556674. 1926.21 0.79 0.134776 0.118237 25186 138497 -1 2456 20 1444 1896 112257 27701 4.29299 4.29299 -145.544 -4.29299 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0276819 0.0241024 150 61 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 3.83 vpr 63.12 MiB -1 -1 0.23 17984 1 0.03 -1 -1 30328 -1 -1 21 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64632 29 32 248 215 1 160 82 17 17 289 -1 unnamed_device 23.9 MiB 1.19 800 6312 1422 4248 642 63.1 MiB 0.07 0.00 3.24338 -96.3619 -3.24338 3.24338 0.34 0.000543947 0.000506693 0.0209547 0.0194873 -1 -1 -1 -1 26 2192 20 6.89349e+06 295971 503264. 1741.40 0.54 0.0836996 0.0728293 24322 120374 -1 1835 19 1035 1404 99484 24827 3.30421 3.30421 -105.89 -3.30421 0 0 618332. 2139.56 0.03 0.06 0.10 -1 -1 0.03 0.0205603 0.0178196 99 27 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 5.15 vpr 63.16 MiB -1 -1 0.25 18360 1 0.03 -1 -1 30420 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64676 32 32 370 297 1 234 91 17 17 289 -1 unnamed_device 24.0 MiB 2.18 1431 13351 3686 8381 1284 63.2 MiB 0.16 0.00 4.1691 -138.277 -4.1691 4.1691 0.34 0.000725196 0.000673597 0.0499479 0.0463746 -1 -1 -1 -1 32 3294 30 6.89349e+06 380534 586450. 2029.24 0.77 0.151269 0.133213 25474 144626 -1 2734 21 1994 3084 228982 52255 3.74455 3.74455 -136.57 -3.74455 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0292561 0.0254045 157 58 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 4.44 vpr 63.09 MiB -1 -1 0.15 18504 1 0.03 -1 -1 30092 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64604 32 32 338 269 1 205 89 17 17 289 -1 unnamed_device 23.9 MiB 1.60 1160 9593 2673 5745 1175 63.1 MiB 0.11 0.00 4.11158 -133.367 -4.11158 4.11158 0.33 0.000685651 0.000637337 0.0352935 0.0328014 -1 -1 -1 -1 34 2547 20 6.89349e+06 352346 618332. 2139.56 0.97 0.173434 0.15089 25762 151098 -1 2202 19 1226 1793 150582 33445 2.96516 2.96516 -117.404 -2.96516 0 0 787024. 2723.27 0.03 0.04 0.09 -1 -1 0.03 0.0144492 0.0127946 138 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 5.42 vpr 62.96 MiB -1 -1 0.14 18316 1 0.03 -1 -1 30408 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64476 32 32 323 276 1 215 88 17 17 289 -1 unnamed_device 23.8 MiB 1.51 1219 7888 1702 5732 454 63.0 MiB 0.10 0.00 3.59345 -126.377 -3.59345 3.59345 0.34 0.000652743 0.000606899 0.0293208 0.0272933 -1 -1 -1 -1 30 2682 34 6.89349e+06 338252 556674. 1926.21 1.97 0.239653 0.205887 25186 138497 -1 2052 17 1244 1673 101028 24727 2.79796 2.79796 -117.425 -2.79796 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0223332 0.0194694 128 65 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 3.07 vpr 63.13 MiB -1 -1 0.20 18224 1 0.03 -1 -1 30144 -1 -1 16 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64644 30 32 222 206 1 141 78 17 17 289 -1 unnamed_device 23.7 MiB 0.69 830 12694 4493 6588 1613 63.1 MiB 0.10 0.00 2.70263 -92.4151 -2.70263 2.70263 0.33 0.000497119 0.000462474 0.0395541 0.0367909 -1 -1 -1 -1 26 1667 21 6.89349e+06 225501 503264. 1741.40 0.57 0.098353 0.0869129 24322 120374 -1 1469 15 644 725 56762 13692 2.10807 2.10807 -91.092 -2.10807 0 0 618332. 2139.56 0.03 0.04 0.10 -1 -1 0.03 0.0154699 0.0134615 79 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 4.34 vpr 63.45 MiB -1 -1 0.12 18396 1 0.03 -1 -1 30352 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64972 31 32 291 243 1 179 84 17 17 289 -1 unnamed_device 23.8 MiB 1.54 893 15822 5900 7652 2270 63.4 MiB 0.16 0.00 4.76892 -141.867 -4.76892 4.76892 0.33 0.00061535 0.000572916 0.0553325 0.0514682 -1 -1 -1 -1 30 2276 47 6.89349e+06 295971 556674. 1926.21 0.89 0.152349 0.134171 25186 138497 -1 1693 19 941 1425 99203 23814 3.59095 3.59095 -125.815 -3.59095 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0232762 0.0202107 115 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 4.49 vpr 63.07 MiB -1 -1 0.24 18576 1 0.03 -1 -1 30444 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64584 32 32 342 271 1 207 99 17 17 289 -1 unnamed_device 23.9 MiB 1.31 1197 19479 5549 11862 2068 63.1 MiB 0.19 0.00 4.72649 -149.912 -4.72649 4.72649 0.37 0.000706398 0.000656772 0.0619063 0.0575159 -1 -1 -1 -1 34 2608 22 6.89349e+06 493284 618332. 2139.56 1.01 0.197307 0.172912 25762 151098 -1 2194 20 1354 2047 139470 34278 4.03544 4.03544 -144.363 -4.03544 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0275815 0.0240026 150 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 4.77 vpr 63.10 MiB -1 -1 0.25 18376 1 0.03 -1 -1 30376 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64612 32 32 372 300 1 229 89 17 17 289 -1 unnamed_device 23.9 MiB 1.25 1325 9791 2524 6598 669 63.1 MiB 0.13 0.00 4.60648 -139.803 -4.60648 4.60648 0.33 0.000731497 0.000680237 0.0409879 0.0380157 -1 -1 -1 -1 26 3361 41 6.89349e+06 352346 503264. 1741.40 1.33 0.161648 0.141511 24322 120374 -1 2926 25 2536 3825 316091 89851 3.9097 3.9097 -143.697 -3.9097 0 0 618332. 2139.56 0.03 0.12 0.10 -1 -1 0.03 0.0337451 0.0292678 154 62 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 3.15 vpr 63.02 MiB -1 -1 0.21 18180 1 0.02 -1 -1 30560 -1 -1 19 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64532 26 32 190 182 1 126 77 17 17 289 -1 unnamed_device 23.6 MiB 0.72 539 10346 4260 5337 749 63.0 MiB 0.07 0.00 2.69961 -73.3828 -2.69961 2.69961 0.34 0.000428627 0.000398029 0.0284074 0.0263245 -1 -1 -1 -1 28 1430 47 6.89349e+06 267783 531479. 1839.03 0.60 0.100975 0.0884533 24610 126494 -1 1019 14 577 682 43677 12108 2.11835 2.11835 -70.8739 -2.11835 0 0 648988. 2245.63 0.02 0.02 0.07 -1 -1 0.02 0.0077792 0.00694829 72 30 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 4.58 vpr 63.12 MiB -1 -1 0.23 17996 1 0.03 -1 -1 30308 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64640 32 32 285 227 1 169 87 17 17 289 -1 unnamed_device 23.6 MiB 0.98 1063 11799 3182 7057 1560 63.1 MiB 0.12 0.00 4.60563 -130.083 -4.60563 4.60563 0.33 0.000618593 0.000574802 0.0400595 0.0372659 -1 -1 -1 -1 32 2381 24 6.89349e+06 324158 586450. 2029.24 1.59 0.232922 0.201157 25474 144626 -1 1999 22 1208 2278 146746 35126 3.61225 3.61225 -118.524 -3.61225 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0317339 0.0274249 119 3 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 3.02 vpr 62.83 MiB -1 -1 0.21 17648 1 0.02 -1 -1 30064 -1 -1 12 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64340 32 32 173 169 1 114 76 17 17 289 -1 unnamed_device 23.6 MiB 0.50 458 9356 2990 4431 1935 62.8 MiB 0.06 0.00 2.39862 -72.6001 -2.39862 2.39862 0.33 0.000423472 0.000392915 0.0258794 0.024019 -1 -1 -1 -1 28 1318 45 6.89349e+06 169126 531479. 1839.03 0.63 0.0914474 0.079783 24610 126494 -1 1010 26 617 760 72909 29156 2.06796 2.06796 -77.4474 -2.06796 0 0 648988. 2245.63 0.03 0.06 0.10 -1 -1 0.03 0.0206634 0.0178415 65 3 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 4.11 vpr 63.18 MiB -1 -1 0.24 18204 1 0.03 -1 -1 30152 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64696 32 32 300 245 1 187 86 17 17 289 -1 unnamed_device 23.8 MiB 1.33 1053 11993 3304 7573 1116 63.2 MiB 0.13 0.00 4.96363 -136.721 -4.96363 4.96363 0.33 0.000634294 0.000590454 0.0424028 0.0394305 -1 -1 -1 -1 26 2626 25 6.89349e+06 310065 503264. 1741.40 0.71 0.126284 0.111236 24322 120374 -1 2255 19 1275 1846 130434 31937 4.03626 4.03626 -132.507 -4.03626 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0237551 0.0206724 121 24 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 4.10 vpr 63.39 MiB -1 -1 0.13 17964 1 0.03 -1 -1 30384 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64916 32 32 297 233 1 177 95 17 17 289 -1 unnamed_device 23.7 MiB 0.72 1102 17591 5006 10257 2328 63.4 MiB 0.16 0.00 3.451 -111.885 -3.451 3.451 0.33 0.00063647 0.000592222 0.0539327 0.050037 -1 -1 -1 -1 28 2445 37 6.89349e+06 436909 531479. 1839.03 0.74 0.144368 0.127087 24610 126494 -1 2122 22 1263 2289 148196 36337 2.70081 2.70081 -107.98 -2.70081 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0265493 0.0230306 130 3 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 4.69 vpr 63.19 MiB -1 -1 0.22 18324 1 0.04 -1 -1 30232 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64704 32 32 338 277 1 215 89 17 17 289 -1 unnamed_device 24.0 MiB 1.53 1243 15731 5322 7942 2467 63.2 MiB 0.16 0.00 4.85308 -135.583 -4.85308 4.85308 0.34 0.000677722 0.000629341 0.0560551 0.0520986 -1 -1 -1 -1 34 2722 22 6.89349e+06 352346 618332. 2139.56 1.01 0.191487 0.167422 25762 151098 -1 2278 31 1627 2535 251591 103662 3.88626 3.88626 -128.863 -3.88626 0 0 787024. 2723.27 0.03 0.13 0.12 -1 -1 0.03 0.0378982 0.03271 137 50 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 4.12 vpr 63.19 MiB -1 -1 0.24 18212 1 0.03 -1 -1 30176 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64704 32 32 284 241 1 177 84 17 17 289 -1 unnamed_device 23.5 MiB 1.50 858 7404 1661 5300 443 63.2 MiB 0.08 0.00 3.57057 -118.05 -3.57057 3.57057 0.33 0.000603773 0.000561645 0.0263248 0.0244661 -1 -1 -1 -1 30 2159 29 6.89349e+06 281877 556674. 1926.21 0.61 0.10458 0.0911146 25186 138497 -1 1647 24 1045 1462 82574 21562 2.89411 2.89411 -113.44 -2.89411 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.027174 0.023497 111 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 3.96 vpr 63.05 MiB -1 -1 0.23 18120 1 0.03 -1 -1 30204 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64560 30 32 262 227 1 161 81 17 17 289 -1 unnamed_device 23.5 MiB 1.22 853 8831 2240 5845 746 63.0 MiB 0.09 0.00 4.14292 -115.815 -4.14292 4.14292 0.34 0.000568229 0.000527448 0.0307247 0.0285885 -1 -1 -1 -1 32 2011 26 6.89349e+06 267783 586450. 2029.24 0.56 0.102284 0.0894845 25474 144626 -1 1708 19 771 1233 86550 20089 3.0851 3.0851 -107.118 -3.0851 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0214461 0.0185758 102 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 3.94 vpr 63.12 MiB -1 -1 0.24 18036 1 0.03 -1 -1 30032 -1 -1 25 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64632 28 32 260 223 1 163 85 17 17 289 -1 unnamed_device 23.6 MiB 1.23 910 14593 5077 7414 2102 63.1 MiB 0.13 0.00 4.29929 -120.332 -4.29929 4.29929 0.34 0.000557357 0.000518617 0.0461162 0.0428912 -1 -1 -1 -1 32 2100 20 6.89349e+06 352346 586450. 2029.24 0.56 0.111197 0.098241 25474 144626 -1 1696 21 895 1529 95985 22999 3.23235 3.23235 -110.54 -3.23235 0 0 744469. 2576.02 0.03 0.03 0.08 -1 -1 0.03 0.0122668 0.0107644 108 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 3.76 vpr 63.04 MiB -1 -1 0.23 17900 1 0.03 -1 -1 30224 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64548 32 32 253 210 1 156 82 17 17 289 -1 unnamed_device 23.5 MiB 1.06 749 9160 2232 6447 481 63.0 MiB 0.09 0.00 3.86328 -116.366 -3.86328 3.86328 0.33 0.000573773 0.000532341 0.031373 0.0291874 -1 -1 -1 -1 28 2273 28 6.89349e+06 253689 531479. 1839.03 0.68 0.104099 0.0910894 24610 126494 -1 1785 34 1750 3035 275769 99959 2.87716 2.87716 -113.369 -2.87716 0 0 648988. 2245.63 0.03 0.12 0.10 -1 -1 0.03 0.0338085 0.0290584 101 3 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 3.63 vpr 63.10 MiB -1 -1 0.24 18240 1 0.03 -1 -1 30132 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64612 31 32 271 231 1 172 84 17 17 289 -1 unnamed_device 23.5 MiB 1.03 896 7770 1848 5501 421 63.1 MiB 0.09 0.00 3.62655 -110.965 -3.62655 3.62655 0.33 0.000583477 0.000543265 0.0267762 0.0249136 -1 -1 -1 -1 26 2417 31 6.89349e+06 295971 503264. 1741.40 0.65 0.104233 0.0908852 24322 120374 -1 1997 26 1435 2066 169243 52516 2.81636 2.81636 -107.029 -2.81636 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0282252 0.024338 105 30 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 4.52 vpr 63.24 MiB -1 -1 0.24 18424 1 0.03 -1 -1 30452 -1 -1 24 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64760 29 32 291 250 1 185 85 17 17 289 -1 unnamed_device 23.9 MiB 1.72 987 11989 3361 6675 1953 63.2 MiB 0.11 0.00 3.73533 -108.087 -3.73533 3.73533 0.34 0.000596376 0.000554792 0.0403117 0.0374762 -1 -1 -1 -1 26 2360 26 6.89349e+06 338252 503264. 1741.40 0.89 0.122772 0.107939 24322 120374 -1 2030 21 1210 1703 126678 30193 2.95146 2.95146 -109.609 -2.95146 0 0 618332. 2139.56 0.02 0.04 0.07 -1 -1 0.02 0.0132468 0.0116048 117 54 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 5.23 vpr 63.10 MiB -1 -1 0.24 18260 1 0.03 -1 -1 30420 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64616 32 32 367 282 1 224 92 17 17 289 -1 unnamed_device 23.9 MiB 1.16 1265 10649 3041 6726 882 63.1 MiB 0.13 0.00 4.57545 -131.234 -4.57545 4.57545 0.33 0.000735448 0.000683785 0.0403333 0.0374629 -1 -1 -1 -1 28 3232 44 6.89349e+06 394628 531479. 1839.03 2.16 0.272148 0.23506 24610 126494 -1 2714 22 1537 2644 200999 47427 3.7547 3.7547 -129.761 -3.7547 0 0 648988. 2245.63 0.02 0.05 0.07 -1 -1 0.02 0.0172363 0.0151812 155 29 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 4.75 vpr 63.11 MiB -1 -1 0.25 18380 1 0.03 -1 -1 30400 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64628 32 32 391 311 1 250 93 17 17 289 -1 unnamed_device 24.1 MiB 1.73 1433 15423 4226 9063 2134 63.1 MiB 0.18 0.00 4.56723 -154.163 -4.56723 4.56723 0.33 0.000759097 0.000705105 0.0586858 0.0546043 -1 -1 -1 -1 30 3141 34 6.89349e+06 408721 556674. 1926.21 0.84 0.164331 0.145039 25186 138497 -1 2546 22 2111 2906 187308 44776 3.63025 3.63025 -138.661 -3.63025 0 0 706193. 2443.58 0.03 0.09 0.11 -1 -1 0.03 0.0322373 0.0280504 162 65 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 3.71 vpr 63.12 MiB -1 -1 0.24 18508 1 0.03 -1 -1 30236 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64632 31 32 279 237 1 166 81 17 17 289 -1 unnamed_device 23.6 MiB 1.01 863 12331 3145 7318 1868 63.1 MiB 0.12 0.00 4.00748 -119.789 -4.00748 4.00748 0.34 0.000603096 0.000561509 0.0437123 0.0406478 -1 -1 -1 -1 32 1949 21 6.89349e+06 253689 586450. 2029.24 0.58 0.11396 0.100554 25474 144626 -1 1645 19 873 1326 100623 24502 3.22455 3.22455 -109.63 -3.22455 0 0 744469. 2576.02 0.03 0.06 0.14 -1 -1 0.03 0.0229871 0.0200214 106 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 4.53 vpr 63.14 MiB -1 -1 0.28 18500 1 0.03 -1 -1 30392 -1 -1 28 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64656 31 32 370 297 1 235 91 17 17 289 -1 unnamed_device 24.2 MiB 1.85 1334 16615 5524 9183 1908 63.1 MiB 0.18 0.00 4.35803 -138.286 -4.35803 4.35803 0.33 0.000727677 0.000676594 0.0611783 0.0568038 -1 -1 -1 -1 32 3139 23 6.89349e+06 394628 586450. 2029.24 0.64 0.148113 0.131113 25474 144626 -1 2593 17 1393 2113 153401 34955 3.66925 3.66925 -132.64 -3.66925 0 0 744469. 2576.02 0.03 0.04 0.08 -1 -1 0.03 0.0137367 0.0121843 154 61 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 4.31 vpr 63.20 MiB -1 -1 0.26 18304 1 0.03 -1 -1 30332 -1 -1 28 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64720 31 32 377 302 1 241 91 17 17 289 -1 unnamed_device 24.1 MiB 1.60 1343 10699 2924 7083 692 63.2 MiB 0.07 0.00 5.62498 -166.87 -5.62498 5.62498 0.26 0.000327803 0.000302305 0.0189607 0.0174255 -1 -1 -1 -1 34 3178 21 6.89349e+06 394628 618332. 2139.56 0.88 0.100962 0.0873837 25762 151098 -1 2774 21 2014 3034 249717 56521 4.87549 4.87549 -160.742 -4.87549 0 0 787024. 2723.27 0.03 0.09 0.12 -1 -1 0.03 0.0301309 0.0261619 159 64 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 4.99 vpr 63.14 MiB -1 -1 0.26 18304 1 0.03 -1 -1 30548 -1 -1 30 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64652 31 32 383 305 1 240 93 17 17 289 -1 unnamed_device 24.1 MiB 2.16 1180 16893 5958 8269 2666 63.1 MiB 0.18 0.00 5.57018 -172.63 -5.57018 5.57018 0.33 0.000739064 0.000686488 0.0616354 0.0572376 -1 -1 -1 -1 32 2992 25 6.89349e+06 422815 586450. 2029.24 0.67 0.153088 0.135511 25474 144626 -1 2271 22 1773 2638 157146 39758 4.86068 4.86068 -161.708 -4.86068 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0314788 0.0273736 163 64 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 4.16 vpr 63.20 MiB -1 -1 0.26 18440 1 0.03 -1 -1 30376 -1 -1 27 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64720 31 32 352 285 1 223 90 17 17 289 -1 unnamed_device 24.0 MiB 1.25 1293 12150 3370 7532 1248 63.2 MiB 0.14 0.00 4.06478 -128.581 -4.06478 4.06478 0.34 0.000699316 0.000650208 0.0445193 0.0413753 -1 -1 -1 -1 30 2866 44 6.89349e+06 380534 556674. 1926.21 0.76 0.154584 0.135395 25186 138497 -1 2238 19 1372 2024 123195 28998 2.85031 2.85031 -111.874 -2.85031 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.026008 0.0226341 146 55 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 3.87 vpr 63.28 MiB -1 -1 0.15 18076 1 0.03 -1 -1 30476 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64796 32 32 291 242 1 188 86 17 17 289 -1 unnamed_device 23.7 MiB 1.37 1096 9347 2440 6352 555 63.3 MiB 0.10 0.00 4.52484 -122.151 -4.52484 4.52484 0.34 0.000629764 0.000586024 0.0325575 0.0302635 -1 -1 -1 -1 26 2789 28 6.89349e+06 310065 503264. 1741.40 0.59 0.119867 0.104736 24322 120374 -1 2323 23 1271 1766 129816 31818 4.20376 4.20376 -129.093 -4.20376 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0269782 0.0233675 114 27 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 5.49 vpr 63.48 MiB -1 -1 0.28 18520 1 0.03 -1 -1 30440 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65000 32 32 457 356 1 296 99 17 17 289 -1 unnamed_device 24.4 MiB 2.27 1650 16971 5334 10464 1173 63.5 MiB 0.21 0.00 5.33145 -171.907 -5.33145 5.33145 0.33 0.000866659 0.000806268 0.0674064 0.0626669 -1 -1 -1 -1 30 4216 33 6.89349e+06 493284 556674. 1926.21 0.96 0.188556 0.166125 25186 138497 -1 3338 24 2618 3983 274830 62997 4.22004 4.22004 -160.102 -4.22004 0 0 706193. 2443.58 0.03 0.11 0.11 -1 -1 0.03 0.038707 0.0334571 198 87 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 3.45 vpr 63.15 MiB -1 -1 0.22 18152 1 0.03 -1 -1 30152 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64668 31 32 261 225 1 171 82 17 17 289 -1 unnamed_device 23.6 MiB 0.92 942 13966 3994 8618 1354 63.2 MiB 0.13 0.00 3.7719 -110.938 -3.7719 3.7719 0.34 0.00056775 0.000527039 0.0461714 0.0428804 -1 -1 -1 -1 32 2071 21 6.89349e+06 267783 586450. 2029.24 0.55 0.112744 0.0995375 25474 144626 -1 1805 18 1021 1430 85739 21011 2.97291 2.97291 -107.69 -2.97291 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0206187 0.0179139 101 28 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 4.28 vpr 63.04 MiB -1 -1 0.25 18404 1 0.03 -1 -1 30324 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64556 31 32 337 267 1 207 88 17 17 289 -1 unnamed_device 23.9 MiB 1.40 1128 5158 1029 3935 194 63.0 MiB 0.07 0.00 4.79572 -142.454 -4.79572 4.79572 0.33 0.000682908 0.000635379 0.020152 0.018729 -1 -1 -1 -1 30 3093 24 6.89349e+06 352346 556674. 1926.21 0.79 0.10569 0.0919385 25186 138497 -1 2432 21 1436 2183 149532 35823 3.8035 3.8035 -133.873 -3.8035 0 0 706193. 2443.58 0.03 0.10 0.11 -1 -1 0.03 0.0356862 0.030933 139 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 4.46 vpr 62.96 MiB -1 -1 0.24 18576 1 0.03 -1 -1 30268 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64468 32 32 349 284 1 222 90 17 17 289 -1 unnamed_device 23.8 MiB 1.47 1260 16572 5549 8089 2934 63.0 MiB 0.18 0.00 4.31681 -131.797 -4.31681 4.31681 0.33 0.000692411 0.000641627 0.0595607 0.0553191 -1 -1 -1 -1 30 3482 26 6.89349e+06 366440 556674. 1926.21 0.88 0.149362 0.132119 25186 138497 -1 2487 19 1298 2160 137638 32282 3.5623 3.5623 -128.005 -3.5623 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0258789 0.0225371 144 53 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 3.55 vpr 63.14 MiB -1 -1 0.22 17968 1 0.03 -1 -1 30124 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64660 32 32 291 230 1 175 91 17 17 289 -1 unnamed_device 23.5 MiB 0.86 1024 12535 4004 6635 1896 63.1 MiB 0.12 0.00 4.24939 -127.739 -4.24939 4.24939 0.34 0.000626882 0.000582042 0.0404428 0.0375685 -1 -1 -1 -1 30 2604 24 6.89349e+06 380534 556674. 1926.21 0.80 0.121426 0.106891 25186 138497 -1 2002 21 1172 2290 138411 33291 3.7797 3.7797 -124.432 -3.7797 0 0 706193. 2443.58 0.03 0.04 0.08 -1 -1 0.03 0.0133723 0.0117291 123 3 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 4.77 vpr 63.05 MiB -1 -1 0.28 18268 1 0.03 -1 -1 30436 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64568 32 32 353 287 1 220 90 17 17 289 -1 unnamed_device 23.9 MiB 1.62 1205 14160 4623 7104 2433 63.1 MiB 0.15 0.00 4.53365 -132.672 -4.53365 4.53365 0.33 0.000702424 0.000652946 0.0516625 0.047998 -1 -1 -1 -1 34 2570 25 6.89349e+06 366440 618332. 2139.56 0.99 0.192065 0.16748 25762 151098 -1 2196 20 1406 1993 141307 33538 2.97461 2.97461 -113.427 -2.97461 0 0 787024. 2723.27 0.03 0.08 0.14 -1 -1 0.03 0.0287041 0.0250712 144 55 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 4.25 vpr 62.99 MiB -1 -1 0.20 18388 1 0.03 -1 -1 30456 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64500 32 32 361 291 1 231 91 17 17 289 -1 unnamed_device 23.8 MiB 1.62 1319 11719 3360 7306 1053 63.0 MiB 0.13 0.00 4.35427 -138.392 -4.35427 4.35427 0.34 0.00071535 0.000665097 0.0433557 0.0402936 -1 -1 -1 -1 32 3081 26 6.89349e+06 380534 586450. 2029.24 0.66 0.134295 0.118074 25474 144626 -1 2546 19 1445 2296 155633 36953 3.5784 3.5784 -132.657 -3.5784 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0272115 0.0237062 150 55 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 4.45 vpr 63.27 MiB -1 -1 0.15 18284 1 0.03 -1 -1 30272 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64784 32 32 382 305 1 243 93 17 17 289 -1 unnamed_device 24.2 MiB 1.82 1354 11013 2770 7342 901 63.3 MiB 0.14 0.00 4.10168 -137.131 -4.10168 4.10168 0.34 0.000748223 0.000695404 0.0415654 0.0385876 -1 -1 -1 -1 30 3073 25 6.89349e+06 408721 556674. 1926.21 0.66 0.13636 0.119723 25186 138497 -1 2540 20 1947 2716 173555 41121 3.04971 3.04971 -124.464 -3.04971 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0287943 0.0250355 159 62 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 3.92 vpr 63.27 MiB -1 -1 0.23 18164 1 0.03 -1 -1 30292 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64788 32 32 306 248 1 188 87 17 17 289 -1 unnamed_device 23.9 MiB 1.18 1147 11415 2989 7053 1373 63.3 MiB 0.13 0.00 4.54599 -133.889 -4.54599 4.54599 0.37 0.000644979 0.000600797 0.0406247 0.0377905 -1 -1 -1 -1 32 2476 35 6.89349e+06 324158 586450. 2029.24 0.69 0.129621 0.113845 25474 144626 -1 2005 18 990 1620 98880 24505 3.75136 3.75136 -128.852 -3.75136 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0228982 0.0199258 123 24 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 3.57 vpr 62.88 MiB -1 -1 0.25 18484 1 0.03 -1 -1 30248 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64392 32 32 319 257 1 203 87 17 17 289 -1 unnamed_device 23.8 MiB 1.09 1148 6615 1319 4717 579 62.9 MiB 0.08 0.00 4.91833 -140.754 -4.91833 4.91833 0.33 0.000637588 0.000591183 0.024425 0.0226801 -1 -1 -1 -1 30 2668 20 6.89349e+06 324158 556674. 1926.21 0.52 0.0904977 0.0791909 25186 138497 -1 2236 17 1169 1725 100590 25384 3.88906 3.88906 -133.285 -3.88906 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0236237 0.0206546 131 29 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 4.35 vpr 63.11 MiB -1 -1 0.13 18300 1 0.03 -1 -1 30220 -1 -1 26 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64620 31 32 373 299 1 227 89 17 17 289 -1 unnamed_device 23.9 MiB 1.37 1306 13157 4083 6906 2168 63.1 MiB 0.17 0.00 5.25751 -154.663 -5.25751 5.25751 0.34 0.000721686 0.000669571 0.0502774 0.0466585 -1 -1 -1 -1 32 3764 25 6.89349e+06 366440 586450. 2029.24 0.85 0.145706 0.128385 25474 144626 -1 2751 21 1664 2716 207648 48307 4.15579 4.15579 -142.835 -4.15579 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0297176 0.0258399 155 62 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 5.33 vpr 63.47 MiB -1 -1 0.25 18388 1 0.03 -1 -1 30332 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64992 32 32 387 315 1 249 91 17 17 289 -1 unnamed_device 24.4 MiB 2.16 1369 10699 2773 7321 605 63.5 MiB 0.13 0.00 4.4039 -135.938 -4.4039 4.4039 0.34 0.000745108 0.000692475 0.0415056 0.03857 -1 -1 -1 -1 30 3425 47 6.89349e+06 380534 556674. 1926.21 0.90 0.159767 0.139571 25186 138497 -1 2692 20 1852 2783 171746 42117 3.78786 3.78786 -132.451 -3.78786 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0292884 0.0254611 160 77 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 3.68 vpr 63.38 MiB -1 -1 0.22 18284 1 0.03 -1 -1 30324 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64904 32 32 251 219 1 156 80 17 17 289 -1 unnamed_device 23.9 MiB 1.15 968 9884 3170 5972 742 63.4 MiB 0.10 0.00 3.65338 -113.663 -3.65338 3.65338 0.34 0.000557214 0.000519308 0.0338722 0.0315232 -1 -1 -1 -1 26 2145 22 6.89349e+06 225501 503264. 1741.40 0.55 0.101291 0.0888997 24322 120374 -1 1959 19 996 1479 106757 25612 2.85716 2.85716 -108.907 -2.85716 0 0 618332. 2139.56 0.03 0.06 0.10 -1 -1 0.03 0.0212693 0.0184118 93 23 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 4.22 vpr 63.04 MiB -1 -1 0.24 18256 1 0.03 -1 -1 30104 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64552 32 32 341 285 1 219 87 17 17 289 -1 unnamed_device 23.9 MiB 1.46 1204 15063 4606 8153 2304 63.0 MiB 0.16 0.00 4.24829 -145.937 -4.24829 4.24829 0.34 0.000655336 0.000607838 0.0545409 0.0505648 -1 -1 -1 -1 32 2744 26 6.89349e+06 324158 586450. 2029.24 0.69 0.140808 0.124229 25474 144626 -1 2232 22 1780 2381 178535 41142 3.41065 3.41065 -135.918 -3.41065 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0286557 0.0248215 136 65 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 4.37 vpr 63.22 MiB -1 -1 0.14 18388 1 0.03 -1 -1 30348 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64736 32 32 387 293 1 236 92 17 17 289 -1 unnamed_device 24.1 MiB 1.35 1443 17066 4876 10038 2152 63.2 MiB 0.22 0.00 5.64443 -167.498 -5.64443 5.64443 0.34 0.000765852 0.000709055 0.0743247 0.0688831 -1 -1 -1 -1 30 3359 23 6.89349e+06 394628 556674. 1926.21 0.95 0.173139 0.153793 25186 138497 -1 2667 19 1557 2441 145588 35515 4.518 4.518 -152.271 -4.518 0 0 706193. 2443.58 0.03 0.07 0.12 -1 -1 0.03 0.0286564 0.0249778 165 31 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 3.82 vpr 62.89 MiB -1 -1 0.23 18300 1 0.03 -1 -1 30372 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64404 32 32 340 270 1 212 88 17 17 289 -1 unnamed_device 23.7 MiB 1.21 1010 9253 2367 6211 675 62.9 MiB 0.11 0.00 4.52842 -139.562 -4.52842 4.52842 0.33 0.000695565 0.000647443 0.0350358 0.0325681 -1 -1 -1 -1 32 2815 22 6.89349e+06 338252 586450. 2029.24 0.62 0.11666 0.102399 25474 144626 -1 2068 20 1496 2142 129245 33747 3.14581 3.14581 -124.156 -3.14581 0 0 744469. 2576.02 0.03 0.04 0.08 -1 -1 0.03 0.0147189 0.013011 138 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 3.76 vpr 63.19 MiB -1 -1 0.22 18128 1 0.03 -1 -1 30292 -1 -1 32 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64704 30 32 278 235 1 175 94 17 17 289 -1 unnamed_device 23.5 MiB 1.14 1024 12874 3468 8240 1166 63.2 MiB 0.12 0.00 4.47049 -132.736 -4.47049 4.47049 0.34 0.000591147 0.000550387 0.0376377 0.035018 -1 -1 -1 -1 30 2105 29 6.89349e+06 451003 556674. 1926.21 0.65 0.114659 0.100534 25186 138497 -1 1762 16 808 1327 79604 19215 3.28235 3.28235 -119.718 -3.28235 0 0 706193. 2443.58 0.03 0.03 0.08 -1 -1 0.03 0.0110994 0.00986156 118 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 6.75 vpr 63.58 MiB -1 -1 0.27 18564 1 0.03 -1 -1 30328 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65108 32 32 431 332 1 270 94 17 17 289 -1 unnamed_device 24.4 MiB 2.13 1619 17986 5403 10561 2022 63.6 MiB 0.24 0.00 6.59551 -192.054 -6.59551 6.59551 0.33 0.000831495 0.000773267 0.0731955 0.0679824 -1 -1 -1 -1 28 4388 40 6.89349e+06 422815 531479. 1839.03 1.97 0.200701 0.177309 24610 126494 -1 3470 20 2642 4025 308722 70368 5.71403 5.71403 -194.029 -5.71403 0 0 648988. 2245.63 0.03 0.11 0.10 -1 -1 0.03 0.032305 0.0280885 182 65 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 3.81 vpr 63.02 MiB -1 -1 0.25 18456 1 0.03 -1 -1 30388 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64536 32 32 336 268 1 205 88 17 17 289 -1 unnamed_device 23.9 MiB 1.08 1137 10813 2763 7196 854 63.0 MiB 0.12 0.00 4.72832 -143.926 -4.72832 4.72832 0.33 0.000692091 0.000644121 0.0406228 0.0377437 -1 -1 -1 -1 32 2643 21 6.89349e+06 338252 586450. 2029.24 0.61 0.120863 0.106453 25474 144626 -1 2018 21 1352 1915 128396 31135 3.77496 3.77496 -130.281 -3.77496 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0278993 0.0242939 137 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 3.51 vpr 62.98 MiB -1 -1 0.21 17716 1 0.03 -1 -1 30348 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64496 32 32 231 199 1 142 92 17 17 289 -1 unnamed_device 23.5 MiB 0.66 899 10442 2539 7234 669 63.0 MiB 0.09 0.00 3.74796 -106.15 -3.74796 3.74796 0.34 0.000537312 0.000498943 0.0288017 0.0267915 -1 -1 -1 -1 26 2030 41 6.89349e+06 394628 503264. 1741.40 0.74 0.111582 0.0973333 24322 120374 -1 1784 16 857 1521 132124 30767 2.76611 2.76611 -102.751 -2.76611 0 0 618332. 2139.56 0.02 0.03 0.07 -1 -1 0.02 0.00972577 0.00858825 96 3 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 4.60 vpr 63.09 MiB -1 -1 0.24 18380 1 0.03 -1 -1 30100 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64600 32 32 349 273 1 214 90 17 17 289 -1 unnamed_device 23.9 MiB 1.33 1355 16170 4932 9466 1772 63.1 MiB 0.19 0.00 5.58068 -148.576 -5.58068 5.58068 0.33 0.000719063 0.000665538 0.059174 0.0549681 -1 -1 -1 -1 32 3180 29 6.89349e+06 366440 586450. 2029.24 0.69 0.151357 0.133795 25474 144626 -1 2518 19 1325 2433 219000 66008 4.4206 4.4206 -142.493 -4.4206 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0263949 0.023001 146 29 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 3.67 vpr 63.05 MiB -1 -1 0.21 17800 1 0.03 -1 -1 30244 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64568 32 32 247 207 1 153 85 17 17 289 -1 unnamed_device 23.5 MiB 0.87 891 15337 5125 8270 1942 63.1 MiB 0.13 0.00 3.52535 -111.929 -3.52535 3.52535 0.33 0.000559146 0.000520281 0.0482944 0.0449195 -1 -1 -1 -1 26 2290 19 6.89349e+06 295971 503264. 1741.40 0.72 0.114782 0.101391 24322 120374 -1 1920 21 1302 2203 154418 36179 3.04446 3.04446 -113.324 -3.04446 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0227125 0.0196338 99 3 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 3.64 vpr 63.23 MiB -1 -1 0.24 18144 1 0.03 -1 -1 30288 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64744 30 32 278 235 1 175 84 17 17 289 -1 unnamed_device 23.6 MiB 0.98 917 10881 3295 5387 2199 63.2 MiB 0.11 0.00 4.06868 -118.013 -4.06868 4.06868 0.33 0.000597679 0.000556849 0.0374305 0.0348579 -1 -1 -1 -1 32 2116 27 6.89349e+06 310065 586450. 2029.24 0.61 0.112829 0.0991106 25474 144626 -1 1713 20 1160 1632 117204 27751 2.95736 2.95736 -107.161 -2.95736 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0230017 0.0199624 109 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 4.84 vpr 63.07 MiB -1 -1 0.28 18368 1 0.03 -1 -1 30332 -1 -1 28 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64588 29 32 355 287 1 223 89 17 17 289 -1 unnamed_device 23.9 MiB 1.72 1229 6425 1379 4495 551 63.1 MiB 0.08 0.00 4.8249 -138.276 -4.8249 4.8249 0.34 0.000700395 0.000650932 0.0248723 0.0231064 -1 -1 -1 -1 26 3328 33 6.89349e+06 394628 503264. 1741.40 0.88 0.125183 0.109068 24322 120374 -1 2799 24 2068 3062 210909 50490 3.7379 3.7379 -132.702 -3.7379 0 0 618332. 2139.56 0.03 0.09 0.10 -1 -1 0.03 0.0314127 0.027215 152 62 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 4.54 vpr 63.60 MiB -1 -1 0.24 18384 1 0.03 -1 -1 30424 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65124 32 32 358 289 1 230 91 17 17 289 -1 unnamed_device 23.8 MiB 1.66 1245 10291 2585 6901 805 63.6 MiB 0.12 0.00 4.97429 -154.181 -4.97429 4.97429 0.33 0.000704582 0.000654569 0.0379535 0.035253 -1 -1 -1 -1 28 3175 41 6.89349e+06 380534 531479. 1839.03 0.85 0.143398 0.125254 24610 126494 -1 2476 20 1870 2854 177942 48948 4.35429 4.35429 -156.801 -4.35429 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.027464 0.0238779 149 54 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 4.93 vpr 63.02 MiB -1 -1 0.25 18324 1 0.03 -1 -1 30260 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64532 32 32 353 285 1 227 90 17 17 289 -1 unnamed_device 23.8 MiB 1.82 1210 8733 1986 6236 511 63.0 MiB 0.10 0.00 5.34202 -151.585 -5.34202 5.34202 0.34 0.000705474 0.000656524 0.0328942 0.0305707 -1 -1 -1 -1 26 3649 31 6.89349e+06 366440 503264. 1741.40 1.03 0.132915 0.116362 24322 120374 -1 2740 21 1884 2686 230511 56296 4.53469 4.53469 -147.361 -4.53469 0 0 618332. 2139.56 0.03 0.09 0.10 -1 -1 0.03 0.0292088 0.0253831 146 51 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 3.73 vpr 63.15 MiB -1 -1 0.13 18188 1 0.03 -1 -1 30032 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64668 32 32 276 237 1 165 81 17 17 289 -1 unnamed_device 23.5 MiB 1.15 871 3931 655 3141 135 63.2 MiB 0.06 0.00 4.46017 -120.461 -4.46017 4.46017 0.34 0.000593021 0.000552445 0.0156305 0.0145703 -1 -1 -1 -1 30 2086 27 6.89349e+06 239595 556674. 1926.21 0.68 0.0928547 0.0804175 25186 138497 -1 1729 16 784 1086 70234 17238 3.25235 3.25235 -112.221 -3.25235 0 0 706193. 2443.58 0.03 0.05 0.11 -1 -1 0.03 0.01966 0.0171497 103 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 4.36 vpr 63.02 MiB -1 -1 0.25 18380 1 0.03 -1 -1 30444 -1 -1 22 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64532 31 32 319 272 1 203 85 17 17 289 -1 unnamed_device 23.9 MiB 1.54 1210 15151 5151 8255 1745 63.0 MiB 0.15 0.00 3.66845 -123.484 -3.66845 3.66845 0.33 0.00063923 0.000594111 0.0541146 0.0502601 -1 -1 -1 -1 32 2715 35 6.89349e+06 310065 586450. 2029.24 0.72 0.143233 0.12627 25474 144626 -1 2185 19 1447 2045 164947 37343 3.05026 3.05026 -122.595 -3.05026 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0238382 0.0207107 127 64 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 4.40 vpr 63.01 MiB -1 -1 0.15 18448 1 0.03 -1 -1 30356 -1 -1 28 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64524 30 32 329 273 1 213 90 17 17 289 -1 unnamed_device 23.8 MiB 1.49 1234 14763 4989 7695 2079 63.0 MiB 0.15 0.00 3.80105 -113.334 -3.80105 3.80105 0.34 0.000656327 0.000609934 0.0504816 0.0468668 -1 -1 -1 -1 30 2615 23 6.89349e+06 394628 556674. 1926.21 0.70 0.132708 0.117104 25186 138497 -1 2145 19 1352 2014 134738 31173 2.97966 2.97966 -109.442 -2.97966 0 0 706193. 2443.58 0.03 0.04 0.09 -1 -1 0.03 0.0138568 0.0122877 138 57 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 3.69 vpr 63.15 MiB -1 -1 0.13 18208 1 0.03 -1 -1 30420 -1 -1 25 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64668 28 32 277 229 1 171 85 17 17 289 -1 unnamed_device 23.5 MiB 0.99 923 11245 3753 5730 1762 63.2 MiB 0.11 0.00 4.46115 -110.761 -4.46115 4.46115 0.33 0.00060266 0.000561416 0.0376426 0.0350269 -1 -1 -1 -1 28 2469 30 6.89349e+06 352346 531479. 1839.03 0.86 0.117551 0.103027 24610 126494 -1 1900 22 1381 2326 186866 44119 3.83606 3.83606 -113.041 -3.83606 0 0 648988. 2245.63 0.03 0.08 0.11 -1 -1 0.03 0.0250041 0.0216064 115 27 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 4.79 vpr 62.96 MiB -1 -1 0.24 18256 1 0.03 -1 -1 30104 -1 -1 23 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64468 30 32 317 269 1 202 85 17 17 289 -1 unnamed_device 23.9 MiB 1.68 1124 13291 3381 8166 1744 63.0 MiB 0.14 0.00 4.63488 -140.051 -4.63488 4.63488 0.34 0.000636406 0.000592078 0.0477161 0.0443528 -1 -1 -1 -1 32 2429 23 6.89349e+06 324158 586450. 2029.24 0.61 0.124909 0.110186 25474 144626 -1 1995 19 1301 1790 109578 27087 3.6673 3.6673 -130.434 -3.6673 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0240123 0.0208538 128 63 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 4.21 vpr 63.02 MiB -1 -1 0.13 18384 1 0.03 -1 -1 30192 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64536 32 32 335 282 1 222 88 17 17 289 -1 unnamed_device 23.8 MiB 1.47 1239 12568 3430 7475 1663 63.0 MiB 0.13 0.00 3.7742 -133.009 -3.7742 3.7742 0.33 0.000664956 0.000618415 0.0447926 0.0416428 -1 -1 -1 -1 32 2833 27 6.89349e+06 338252 586450. 2029.24 0.70 0.131488 0.115631 25474 144626 -1 2413 20 1588 2181 168563 38088 3.18355 3.18355 -131.632 -3.18355 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0256674 0.0222751 133 65 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 3.51 vpr 63.45 MiB -1 -1 0.22 17972 1 0.03 -1 -1 30312 -1 -1 33 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64972 31 32 293 230 1 175 96 17 17 289 -1 unnamed_device 23.8 MiB 0.77 1103 14112 3589 8517 2006 63.4 MiB 0.14 0.00 4.63486 -133.995 -4.63486 4.63486 0.33 0.00063778 0.000594069 0.0425839 0.0395444 -1 -1 -1 -1 28 2576 21 6.89349e+06 465097 531479. 1839.03 0.72 0.118561 0.104437 24610 126494 -1 2268 21 1305 2452 192766 43424 3.62795 3.62795 -125.412 -3.62795 0 0 648988. 2245.63 0.03 0.08 0.11 -1 -1 0.03 0.025889 0.022415 130 4 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 4.35 vpr 63.03 MiB -1 -1 0.24 18408 1 0.03 -1 -1 30516 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64544 32 32 350 275 1 214 89 17 17 289 -1 unnamed_device 23.9 MiB 1.58 1204 14147 4115 8000 2032 63.0 MiB 0.16 0.00 4.81472 -152.879 -4.81472 4.81472 0.33 0.000713612 0.00066296 0.0527005 0.0489823 -1 -1 -1 -1 32 2800 23 6.89349e+06 352346 586450. 2029.24 0.65 0.137284 0.121308 25474 144626 -1 2317 21 1635 2522 187160 42770 3.8758 3.8758 -140.975 -3.8758 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0281242 0.0243733 143 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 4.98 vpr 63.16 MiB -1 -1 0.25 18400 1 0.03 -1 -1 30216 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64676 32 32 385 308 1 244 92 17 17 289 -1 unnamed_device 24.2 MiB 1.68 1347 9407 2294 6525 588 63.2 MiB 0.12 0.00 5.6895 -177.24 -5.6895 5.6895 0.33 0.000746964 0.000693943 0.0373846 0.0346814 -1 -1 -1 -1 28 3596 30 6.89349e+06 394628 531479. 1839.03 1.17 0.140526 0.123176 24610 126494 -1 2869 20 2183 3007 233547 53265 4.69799 4.69799 -165.692 -4.69799 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0293827 0.0255822 161 65 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 5.06 vpr 63.14 MiB -1 -1 0.26 18460 1 0.03 -1 -1 30344 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64660 32 32 387 309 1 248 93 17 17 289 -1 unnamed_device 24.1 MiB 1.83 1466 18573 6017 10061 2495 63.1 MiB 0.21 0.00 4.98426 -161.513 -4.98426 4.98426 0.33 0.000745137 0.000692261 0.0686335 0.0637203 -1 -1 -1 -1 32 3734 46 6.89349e+06 408721 586450. 2029.24 0.94 0.186493 0.164388 25474 144626 -1 2791 30 1829 2643 232893 69888 3.80764 3.80764 -144.244 -3.80764 0 0 744469. 2576.02 0.03 0.11 0.12 -1 -1 0.03 0.0401642 0.0346113 163 65 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 3.84 vpr 63.10 MiB -1 -1 0.23 17964 1 0.03 -1 -1 30168 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64616 30 32 272 232 1 175 83 17 17 289 -1 unnamed_device 23.4 MiB 1.16 864 9623 2791 6175 657 63.1 MiB 0.10 0.00 4.24433 -123.741 -4.24433 4.24433 0.34 0.000589478 0.000548752 0.0331759 0.0308786 -1 -1 -1 -1 28 2246 22 6.89349e+06 295971 531479. 1839.03 0.70 0.105201 0.0923129 24610 126494 -1 2113 23 1215 1799 157163 37079 3.2147 3.2147 -116.086 -3.2147 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0250651 0.0216604 107 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 4.75 vpr 63.14 MiB -1 -1 0.26 18540 1 0.03 -1 -1 30432 -1 -1 28 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64652 30 32 375 299 1 236 90 17 17 289 -1 unnamed_device 23.9 MiB 1.88 1308 14160 4517 7515 2128 63.1 MiB 0.16 0.00 5.42371 -164.677 -5.42371 5.42371 0.33 0.000721813 0.000670845 0.0531936 0.0494265 -1 -1 -1 -1 30 2972 24 6.89349e+06 394628 556674. 1926.21 0.73 0.143432 0.126451 25186 138497 -1 2403 23 1699 2389 185688 50884 4.29135 4.29135 -150.641 -4.29135 0 0 706193. 2443.58 0.03 0.09 0.11 -1 -1 0.03 0.0317448 0.0275301 158 63 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 3.20 vpr 62.95 MiB -1 -1 0.26 18268 1 0.03 -1 -1 30276 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64464 32 32 340 270 1 204 89 17 17 289 -1 unnamed_device 23.8 MiB 0.94 1210 12365 3326 7764 1275 63.0 MiB 0.08 0.00 5.21531 -154.715 -5.21531 5.21531 0.26 0.000308828 0.000284704 0.0209834 0.0193316 -1 -1 -1 -1 30 2780 24 6.89349e+06 352346 556674. 1926.21 0.47 0.0641112 0.0562922 25186 138497 -1 2260 20 1189 2085 141591 32513 3.706 3.706 -133.226 -3.706 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0265655 0.0231263 137 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 4.42 vpr 63.12 MiB -1 -1 0.25 18396 1 0.03 -1 -1 30196 -1 -1 27 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64640 31 32 340 275 1 211 90 17 17 289 -1 unnamed_device 23.9 MiB 1.73 1088 9336 2201 6577 558 63.1 MiB 0.11 0.00 5.04444 -145.956 -5.04444 5.04444 0.33 0.000682831 0.000634779 0.0339186 0.0315045 -1 -1 -1 -1 30 3021 26 6.89349e+06 380534 556674. 1926.21 0.72 0.119856 0.104908 25186 138497 -1 2171 23 1498 2362 145453 36505 4.09269 4.09269 -138.021 -4.09269 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0293748 0.0254617 142 47 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 4.58 vpr 63.17 MiB -1 -1 0.14 18380 1 0.03 -1 -1 30344 -1 -1 31 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64688 30 32 377 310 1 239 93 17 17 289 -1 unnamed_device 24.1 MiB 2.01 1323 12063 3354 7850 859 63.2 MiB 0.14 0.00 4.83716 -144.714 -4.83716 4.83716 0.33 0.000719058 0.000668266 0.0438683 0.0407063 -1 -1 -1 -1 32 2950 22 6.89349e+06 436909 586450. 2029.24 0.66 0.130212 0.114357 25474 144626 -1 2460 21 1800 2541 167992 39051 3.90729 3.90729 -133.383 -3.90729 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0291132 0.0252641 162 83 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 5.99 vpr 63.04 MiB -1 -1 0.25 18364 1 0.03 -1 -1 30288 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64548 32 32 365 294 1 230 90 17 17 289 -1 unnamed_device 23.8 MiB 1.86 1092 15567 4590 6963 4014 63.0 MiB 0.16 0.00 5.6409 -158.927 -5.6409 5.6409 0.34 0.000720219 0.000668988 0.0581987 0.0540796 -1 -1 -1 -1 34 3619 33 6.89349e+06 366440 618332. 2139.56 1.95 0.221661 0.193481 25762 151098 -1 2572 25 1984 2947 239771 67911 4.98165 4.98165 -156.918 -4.98165 0 0 787024. 2723.27 0.03 0.10 0.12 -1 -1 0.03 0.0334628 0.0290116 151 57 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 4.92 vpr 63.19 MiB -1 -1 0.25 18304 1 0.03 -1 -1 30296 -1 -1 31 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64708 29 32 378 310 1 246 92 17 17 289 -1 unnamed_device 24.2 MiB 1.69 1339 9614 2583 6226 805 63.2 MiB 0.12 0.00 4.41229 -132.994 -4.41229 4.41229 0.33 0.000723843 0.000673123 0.0356484 0.0331262 -1 -1 -1 -1 26 3357 38 6.89349e+06 436909 503264. 1741.40 0.83 0.139778 0.121811 24322 120374 -1 2824 21 1988 2689 179674 43789 3.8739 3.8739 -130.747 -3.8739 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0292634 0.0254058 162 85 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 3.65 vpr 63.10 MiB -1 -1 0.22 17748 1 0.03 -1 -1 30352 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64616 32 32 243 205 1 149 82 17 17 289 -1 unnamed_device 23.6 MiB 0.87 838 12898 4054 7091 1753 63.1 MiB 0.11 0.00 4.02268 -119.775 -4.02268 4.02268 0.33 0.000557295 0.000517941 0.0420267 0.0390778 -1 -1 -1 -1 26 1995 21 6.89349e+06 253689 503264. 1741.40 0.68 0.108061 0.0954187 24322 120374 -1 1750 22 1141 1815 129271 32121 3.18261 3.18261 -113.015 -3.18261 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0232934 0.0201544 96 3 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 4.55 vpr 63.14 MiB -1 -1 0.24 18560 1 0.03 -1 -1 30352 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64660 32 32 373 302 1 241 92 17 17 289 -1 unnamed_device 24.1 MiB 1.47 1291 10235 2595 6457 1183 63.1 MiB 0.12 0.00 5.77588 -165.464 -5.77588 5.77588 0.34 0.000729409 0.00067721 0.038424 0.0356736 -1 -1 -1 -1 30 3322 35 6.89349e+06 394628 556674. 1926.21 1.05 0.14557 0.1274 25186 138497 -1 2519 21 1710 2466 183371 42664 4.33599 4.33599 -150.293 -4.33599 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0296157 0.0257431 155 65 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 5.19 vpr 63.13 MiB -1 -1 0.25 18448 1 0.04 -1 -1 30336 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64648 32 32 397 314 1 256 92 17 17 289 -1 unnamed_device 24.2 MiB 2.23 1407 8786 2137 6079 570 63.1 MiB 0.12 0.00 5.27081 -172.748 -5.27081 5.27081 0.33 0.000770991 0.000716489 0.03513 0.0325996 -1 -1 -1 -1 32 3621 24 6.89349e+06 394628 586450. 2029.24 0.79 0.130154 0.113934 25474 144626 -1 2864 22 2168 3080 220520 52161 4.84749 4.84749 -175.594 -4.84749 0 0 744469. 2576.02 0.03 0.09 0.13 -1 -1 0.03 0.0320689 0.0278814 166 65 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 3.80 vpr 62.94 MiB -1 -1 0.24 17984 1 0.03 -1 -1 30408 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64452 32 32 269 231 1 172 82 17 17 289 -1 unnamed_device 23.3 MiB 1.21 899 11118 3315 7044 759 62.9 MiB 0.11 0.00 4.18332 -118.029 -4.18332 4.18332 0.34 0.000692468 0.000644391 0.0384675 0.0357526 -1 -1 -1 -1 28 2251 26 6.89349e+06 253689 531479. 1839.03 0.61 0.112145 0.0985028 24610 126494 -1 1976 18 1203 1615 120050 29053 3.0427 3.0427 -111.888 -3.0427 0 0 648988. 2245.63 0.02 0.03 0.07 -1 -1 0.02 0.0115051 0.0101418 105 29 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 3.42 vpr 63.11 MiB -1 -1 0.15 18008 1 0.03 -1 -1 30428 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64620 31 32 245 205 1 153 84 17 17 289 -1 unnamed_device 23.6 MiB 0.92 877 14358 4451 8131 1776 63.1 MiB 0.13 0.00 3.85018 -115.459 -3.85018 3.85018 0.33 0.000550858 0.000512522 0.0450623 0.0419209 -1 -1 -1 -1 28 2049 19 6.89349e+06 295971 531479. 1839.03 0.53 0.108923 0.096296 24610 126494 -1 1856 18 1120 1831 134085 31948 2.85656 2.85656 -108.983 -2.85656 0 0 648988. 2245.63 0.03 0.06 0.11 -1 -1 0.03 0.0197642 0.0171304 100 4 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 4.34 vpr 63.12 MiB -1 -1 0.25 18396 1 0.03 -1 -1 30436 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64640 32 32 348 274 1 215 88 17 17 289 -1 unnamed_device 23.9 MiB 1.47 1149 12373 3613 6249 2511 63.1 MiB 0.13 0.00 4.70278 -145.116 -4.70278 4.70278 0.34 0.000707734 0.00065781 0.0467155 0.0434349 -1 -1 -1 -1 32 3020 24 6.89349e+06 338252 586450. 2029.24 0.73 0.135011 0.118726 25474 144626 -1 2279 24 1755 2520 205609 47921 3.7285 3.7285 -135.021 -3.7285 0 0 744469. 2576.02 0.04 0.09 0.12 -1 -1 0.04 0.031859 0.0275796 142 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 4.35 vpr 62.97 MiB -1 -1 0.26 18404 1 0.03 -1 -1 30308 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64480 32 32 356 289 1 224 90 17 17 289 -1 unnamed_device 23.8 MiB 1.71 1387 15768 4665 9408 1695 63.0 MiB 0.16 0.00 4.93824 -150.865 -4.93824 4.93824 0.33 0.000707307 0.00065752 0.0579457 0.0538599 -1 -1 -1 -1 32 2960 23 6.89349e+06 366440 586450. 2029.24 0.61 0.142278 0.12601 25474 144626 -1 2441 19 1502 2031 146713 34429 4.31335 4.31335 -145.674 -4.31335 0 0 744469. 2576.02 0.03 0.04 0.08 -1 -1 0.03 0.0149205 0.0132196 146 56 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 3.84 vpr 62.96 MiB -1 -1 0.24 18176 1 0.03 -1 -1 30164 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64468 32 32 349 260 1 204 100 17 17 289 -1 unnamed_device 23.8 MiB 0.63 1297 14020 4001 8993 1026 63.0 MiB 0.16 0.00 5.06861 -145.864 -5.06861 5.06861 0.34 0.000718071 0.000667292 0.0458058 0.0424592 -1 -1 -1 -1 34 2833 23 6.89349e+06 507378 618332. 2139.56 1.07 0.190472 0.165979 25762 151098 -1 2405 23 1609 3278 247297 58434 4.21774 4.21774 -141.15 -4.21774 0 0 787024. 2723.27 0.03 0.10 0.12 -1 -1 0.03 0.0317497 0.0275213 157 3 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 4.18 vpr 62.96 MiB -1 -1 0.24 18544 1 0.03 -1 -1 30348 -1 -1 28 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64476 30 32 316 264 1 208 90 17 17 289 -1 unnamed_device 23.8 MiB 1.38 1151 9537 2188 6582 767 63.0 MiB 0.10 0.00 3.84589 -112.336 -3.84589 3.84589 0.35 0.000639828 0.000595437 0.0323691 0.0300937 -1 -1 -1 -1 28 2906 29 6.89349e+06 394628 531479. 1839.03 0.71 0.116019 0.101378 24610 126494 -1 2387 21 1722 2519 171565 40702 3.44771 3.44771 -115.179 -3.44771 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0258409 0.0224091 132 52 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 3.23 vpr 63.04 MiB -1 -1 0.18 18184 1 0.03 -1 -1 30320 -1 -1 24 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64556 27 32 255 219 1 162 83 17 17 289 -1 unnamed_device 23.5 MiB 0.98 926 13583 4648 7594 1341 63.0 MiB 0.06 0.00 4.47779 -119.514 -4.47779 4.47779 0.26 0.000247066 0.000227214 0.019932 0.0183537 -1 -1 -1 -1 32 1959 29 6.89349e+06 338252 586450. 2029.24 0.40 0.0556146 0.0486965 25474 144626 -1 1697 17 910 1320 100724 23559 3.7556 3.7556 -118.676 -3.7556 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.018915 0.016398 106 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 5.54 vpr 63.31 MiB -1 -1 0.26 18612 1 0.03 -1 -1 30436 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64828 32 32 421 327 1 271 94 17 17 289 -1 unnamed_device 24.2 MiB 1.97 1509 10957 2826 6796 1335 63.3 MiB 0.14 0.00 4.63892 -149.381 -4.63892 4.63892 0.33 0.00080454 0.000748076 0.043944 0.0407989 -1 -1 -1 -1 28 4136 42 6.89349e+06 422815 531479. 1839.03 1.38 0.170393 0.149095 24610 126494 -1 3075 22 2130 3307 228365 66158 4.43289 4.43289 -149.976 -4.43289 0 0 648988. 2245.63 0.03 0.10 0.11 -1 -1 0.03 0.0340225 0.0295636 180 65 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 4.62 vpr 63.55 MiB -1 -1 0.15 18416 1 0.03 -1 -1 30312 -1 -1 26 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65080 31 32 365 296 1 233 89 17 17 289 -1 unnamed_device 23.8 MiB 1.82 1244 11771 3259 7075 1437 63.6 MiB 0.13 0.00 5.7998 -165.192 -5.7998 5.7998 0.33 0.000716053 0.000665573 0.0448739 0.0416641 -1 -1 -1 -1 32 2788 40 6.89349e+06 366440 586450. 2029.24 0.77 0.149219 0.130667 25474 144626 -1 2412 21 1884 2692 188955 47019 4.67615 4.67615 -156.175 -4.67615 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0298131 0.0259191 151 64 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 4.36 vpr 63.19 MiB -1 -1 0.24 18252 1 0.03 -1 -1 30300 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64708 32 32 331 280 1 221 87 17 17 289 -1 unnamed_device 24.0 MiB 1.50 1210 16215 5301 8723 2191 63.2 MiB 0.16 0.00 4.58923 -148.326 -4.58923 4.58923 0.33 0.0006606 0.000613763 0.0578794 0.0537729 -1 -1 -1 -1 32 2913 35 6.89349e+06 324158 586450. 2029.24 0.73 0.148838 0.131354 25474 144626 -1 2294 21 1390 1919 151908 35287 3.53034 3.53034 -135.127 -3.53034 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0263792 0.022873 133 65 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 4.18 vpr 62.95 MiB -1 -1 0.22 18340 1 0.03 -1 -1 30340 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64464 32 32 326 263 1 203 87 17 17 289 -1 unnamed_device 23.8 MiB 1.50 1177 10839 2952 6847 1040 63.0 MiB 0.12 0.00 5.3443 -147.376 -5.3443 5.3443 0.34 0.000668278 0.000621623 0.0399339 0.0371137 -1 -1 -1 -1 28 2718 26 6.89349e+06 324158 531479. 1839.03 0.63 0.125826 0.110548 24610 126494 -1 2267 19 1287 1917 125964 31009 3.99916 3.99916 -137.804 -3.99916 0 0 648988. 2245.63 0.02 0.04 0.07 -1 -1 0.02 0.013763 0.0121788 131 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 4.05 vpr 63.06 MiB -1 -1 0.26 18404 1 0.03 -1 -1 30484 -1 -1 28 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64576 31 32 373 294 1 231 91 17 17 289 -1 unnamed_device 23.8 MiB 1.46 1232 10291 2595 7126 570 63.1 MiB 0.13 0.00 4.53972 -131.904 -4.53972 4.53972 0.33 0.000731723 0.000679966 0.0398017 0.0369928 -1 -1 -1 -1 30 2836 17 6.89349e+06 394628 556674. 1926.21 0.57 0.120718 0.106209 25186 138497 -1 2405 21 1646 2522 144904 35098 3.7514 3.7514 -127.877 -3.7514 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0296711 0.0258196 158 50 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 4.41 vpr 63.09 MiB -1 -1 0.25 18444 1 0.03 -1 -1 30376 -1 -1 26 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 30 32 325 268 1 210 88 17 17 289 -1 unnamed_device 23.9 MiB 1.46 1228 15493 4762 8305 2426 63.1 MiB 0.16 0.00 4.32549 -122.97 -4.32549 4.32549 0.33 0.000656747 0.000610369 0.0543623 0.0505311 -1 -1 -1 -1 32 2960 24 6.89349e+06 366440 586450. 2029.24 0.62 0.134116 0.118568 25474 144626 -1 2431 20 1284 2055 142826 33487 3.4732 3.4732 -117.819 -3.4732 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.025544 0.0221956 135 51 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 4.78 vpr 63.11 MiB -1 -1 0.23 18348 1 0.03 -1 -1 30356 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64620 32 32 350 275 1 215 88 17 17 289 -1 unnamed_device 23.9 MiB 1.99 1263 13738 4130 7532 2076 63.1 MiB 0.15 0.00 4.94548 -156.272 -4.94548 4.94548 0.34 0.000704088 0.000654957 0.0519574 0.0483078 -1 -1 -1 -1 30 3289 25 6.89349e+06 338252 556674. 1926.21 0.72 0.141395 0.124798 25186 138497 -1 2682 20 1660 2612 206101 48392 4.08826 4.08826 -148.158 -4.08826 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.027773 0.0241643 143 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 4.90 vpr 63.29 MiB -1 -1 0.26 18364 1 0.03 -1 -1 29992 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64812 32 32 386 307 1 246 93 17 17 289 -1 unnamed_device 24.2 MiB 2.08 1385 10173 2853 6391 929 63.3 MiB 0.13 0.00 4.14004 -138.199 -4.14004 4.14004 0.34 0.000745923 0.000693144 0.0389369 0.0361605 -1 -1 -1 -1 32 2986 22 6.89349e+06 408721 586450. 2029.24 0.69 0.136751 0.120104 25474 144626 -1 2555 21 1564 2245 157911 36196 3.16905 3.16905 -127.104 -3.16905 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0306084 0.0266787 160 62 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 3.55 vpr 63.16 MiB -1 -1 0.23 18076 1 0.03 -1 -1 30300 -1 -1 22 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64672 29 32 269 229 1 173 83 17 17 289 -1 unnamed_device 23.6 MiB 1.04 921 15203 4495 9007 1701 63.2 MiB 0.07 0.00 4.26549 -127.928 -4.26549 4.26549 0.26 0.000257923 0.000237812 0.0232568 0.0214446 -1 -1 -1 -1 32 1876 20 6.89349e+06 310065 586450. 2029.24 0.36 0.0570895 0.0503884 25474 144626 -1 1616 18 1054 1422 95047 22199 3.09471 3.09471 -112.522 -3.09471 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0207153 0.018007 108 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 4.02 vpr 63.02 MiB -1 -1 0.25 18392 1 0.03 -1 -1 30292 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64528 32 32 310 266 1 198 85 17 17 289 -1 unnamed_device 23.9 MiB 1.24 1127 10501 2841 7009 651 63.0 MiB 0.12 0.00 4.32781 -135.016 -4.32781 4.32781 0.34 0.000627421 0.000582935 0.0377596 0.0350767 -1 -1 -1 -1 32 2500 22 6.89349e+06 295971 586450. 2029.24 0.69 0.114651 0.100669 25474 144626 -1 2074 20 1415 1937 138914 33147 3.5422 3.5422 -128.549 -3.5422 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0241437 0.0209333 121 58 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 4.86 vpr 63.02 MiB -1 -1 0.25 18412 1 0.03 -1 -1 30448 -1 -1 26 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64536 31 32 326 261 1 204 89 17 17 289 -1 unnamed_device 23.9 MiB 1.17 1108 10583 2739 6769 1075 63.0 MiB 0.12 0.00 5.02183 -139.303 -5.02183 5.02183 0.33 0.000666098 0.000619577 0.0377341 0.0350695 -1 -1 -1 -1 36 2340 22 6.89349e+06 366440 648988. 2245.63 1.15 0.169204 0.14718 26050 158493 -1 2066 18 1163 1883 137081 32533 3.75856 3.75856 -127.625 -3.75856 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0242178 0.0211161 134 33 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 4.07 vpr 63.09 MiB -1 -1 0.25 18156 1 0.03 -1 -1 30312 -1 -1 20 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64600 29 32 262 224 1 168 81 17 17 289 -1 unnamed_device 23.5 MiB 1.30 845 8306 2095 5417 794 63.1 MiB 0.09 0.00 4.25195 -113.857 -4.25195 4.25195 0.33 0.000574 0.000535503 0.0287148 0.0267542 -1 -1 -1 -1 28 2177 33 6.89349e+06 281877 531479. 1839.03 0.68 0.104971 0.0914314 24610 126494 -1 1920 28 1357 1777 186903 65337 3.169 3.169 -109.113 -3.169 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0289744 0.0249371 104 31 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 4.81 vpr 63.11 MiB -1 -1 0.23 18156 1 0.03 -1 -1 30064 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64620 32 32 278 238 1 182 84 17 17 289 -1 unnamed_device 23.5 MiB 1.41 1019 13809 4194 7374 2241 63.1 MiB 0.13 0.00 4.20123 -130.77 -4.20123 4.20123 0.34 0.000595178 0.00055434 0.0468899 0.0436464 -1 -1 -1 -1 28 2671 42 6.89349e+06 281877 531479. 1839.03 0.95 0.140562 0.123393 24610 126494 -1 2173 24 1552 2167 196263 44101 3.30321 3.30321 -128.538 -3.30321 0 0 648988. 2245.63 0.03 0.08 0.11 -1 -1 0.03 0.0270876 0.0233899 109 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 4.58 vpr 63.64 MiB -1 -1 0.26 18424 1 0.03 -1 -1 30380 -1 -1 28 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65164 31 32 373 300 1 237 91 17 17 289 -1 unnamed_device 23.8 MiB 1.80 1293 14983 4153 9099 1731 63.6 MiB 0.17 0.00 4.61837 -148.41 -4.61837 4.61837 0.33 0.00072392 0.000673027 0.0559176 0.0519563 -1 -1 -1 -1 28 3195 26 6.89349e+06 394628 531479. 1839.03 0.65 0.14627 0.129198 24610 126494 -1 2553 20 1986 2698 186531 44431 3.81965 3.81965 -141.172 -3.81965 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0281923 0.0245186 155 64 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 3.60 vpr 63.05 MiB -1 -1 0.23 18092 1 0.03 -1 -1 30472 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64568 31 32 265 230 1 175 82 17 17 289 -1 unnamed_device 23.5 MiB 1.02 883 8804 2413 5751 640 63.1 MiB 0.09 0.00 3.61555 -111.504 -3.61555 3.61555 0.33 0.00057191 0.000532928 0.0300125 0.0279299 -1 -1 -1 -1 30 2116 21 6.89349e+06 267783 556674. 1926.21 0.54 0.0967442 0.0847373 25186 138497 -1 1746 22 1000 1418 88147 21633 2.90311 2.90311 -110.877 -2.90311 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0237371 0.0205404 104 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 4.24 vpr 63.06 MiB -1 -1 0.15 18416 1 0.03 -1 -1 30020 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64576 32 32 349 286 1 221 89 17 17 289 -1 unnamed_device 23.8 MiB 1.50 1245 11969 3140 7616 1213 63.1 MiB 0.13 0.00 4.39413 -130.035 -4.39413 4.39413 0.34 0.000697558 0.00064848 0.0442265 0.0410941 -1 -1 -1 -1 30 2800 29 6.89349e+06 352346 556674. 1926.21 0.70 0.136708 0.120083 25186 138497 -1 2259 17 1056 1557 104303 24463 3.1503 3.1503 -117.968 -3.1503 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0240403 0.021017 142 57 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 5.19 vpr 63.09 MiB -1 -1 0.29 18380 1 0.03 -1 -1 30260 -1 -1 30 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 31 32 396 325 1 259 93 17 17 289 -1 unnamed_device 24.0 MiB 2.12 1311 17313 4833 10070 2410 63.1 MiB 0.19 0.00 4.94622 -159.495 -4.94622 4.94622 0.33 0.000741991 0.000685776 0.0638633 0.0592945 -1 -1 -1 -1 32 3396 38 6.89349e+06 422815 586450. 2029.24 0.81 0.172103 0.15195 25474 144626 -1 2671 23 1970 2785 193766 45890 4.04249 4.04249 -151.176 -4.04249 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0322965 0.0279992 166 91 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 4.65 vpr 63.05 MiB -1 -1 0.25 18472 1 0.03 -1 -1 30280 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64560 32 32 303 262 1 200 85 17 17 289 -1 unnamed_device 23.9 MiB 1.42 1134 7153 1649 5156 348 63.0 MiB 0.09 0.00 3.69791 -119.314 -3.69791 3.69791 0.33 0.000617724 0.000574295 0.0263615 0.0244812 -1 -1 -1 -1 26 3014 50 6.89349e+06 295971 503264. 1741.40 0.74 0.12603 0.109223 24322 120374 -1 2497 19 1652 2257 182227 43841 3.70126 3.70126 -131.815 -3.70126 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0236811 0.0205417 121 57 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 3.82 vpr 63.18 MiB -1 -1 0.22 18576 1 0.03 -1 -1 30256 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64700 32 32 290 244 1 176 83 17 17 289 -1 unnamed_device 23.6 MiB 1.05 898 9983 2681 6247 1055 63.2 MiB 0.12 0.00 4.17923 -126.577 -4.17923 4.17923 0.38 0.000618611 0.000576399 0.0436637 0.0406308 -1 -1 -1 -1 32 2248 27 6.89349e+06 267783 586450. 2029.24 0.63 0.121099 0.106383 25474 144626 -1 1913 21 1176 1778 129091 30495 3.09046 3.09046 -115.484 -3.09046 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0244933 0.0211951 111 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 3.94 vpr 63.05 MiB -1 -1 0.25 18588 1 0.03 -1 -1 30212 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64560 32 32 318 257 1 197 86 17 17 289 -1 unnamed_device 23.9 MiB 1.27 1186 12749 3185 7710 1854 63.0 MiB 0.14 0.00 4.93863 -137.49 -4.93863 4.93863 0.33 0.000656922 0.000610981 0.0463924 0.0431523 -1 -1 -1 -1 32 2500 21 6.89349e+06 310065 586450. 2029.24 0.56 0.123594 0.109192 25474 144626 -1 2078 17 1022 1495 99056 24002 3.94516 3.94516 -130.465 -3.94516 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0227076 0.0198018 129 30 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 3.94 vpr 63.00 MiB -1 -1 0.14 18364 1 0.03 -1 -1 30024 -1 -1 28 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64516 29 32 324 268 1 207 89 17 17 289 -1 unnamed_device 23.9 MiB 1.34 1163 13949 4509 7225 2215 63.0 MiB 0.14 0.00 4.06068 -113.604 -4.06068 4.06068 0.36 0.000648988 0.000603481 0.0483598 0.0449987 -1 -1 -1 -1 32 2498 22 6.89349e+06 394628 586450. 2029.24 0.57 0.125864 0.111182 25474 144626 -1 2113 18 1091 1529 102409 24986 3.1726 3.1726 -107.969 -3.1726 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0235466 0.0205043 136 55 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 5.04 vpr 63.17 MiB -1 -1 0.26 18540 1 0.03 -1 -1 30420 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64684 32 32 393 312 1 243 90 17 17 289 -1 unnamed_device 24.1 MiB 2.00 1197 8532 1944 6080 508 63.2 MiB 0.11 0.00 5.6615 -176.256 -5.6615 5.6615 0.33 0.000765182 0.000711071 0.034673 0.0322116 -1 -1 -1 -1 32 3572 28 6.89349e+06 366440 586450. 2029.24 0.87 0.132281 0.11584 25474 144626 -1 2640 22 1876 2860 206960 49868 4.38809 4.38809 -163.546 -4.38809 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0314949 0.0273942 161 65 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 3.73 vpr 63.07 MiB -1 -1 0.23 17908 1 0.03 -1 -1 30152 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64588 31 32 229 197 1 143 81 17 17 289 -1 unnamed_device 23.5 MiB 0.88 858 12156 3731 6704 1721 63.1 MiB 0.11 0.00 3.31865 -102.092 -3.31865 3.31865 0.33 0.000531063 0.000495106 0.0385232 0.0358846 -1 -1 -1 -1 30 1864 18 6.89349e+06 253689 556674. 1926.21 0.52 0.0984705 0.0870618 25186 138497 -1 1477 21 802 1292 78949 19537 2.35985 2.35985 -93.6869 -2.35985 0 0 706193. 2443.58 0.03 0.05 0.11 -1 -1 0.03 0.0215092 0.0186059 93 4 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 4.40 vpr 63.87 MiB -1 -1 0.25 18480 1 0.03 -1 -1 30404 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65400 32 32 412 334 1 269 94 17 17 289 -1 unnamed_device 24.2 MiB 1.70 1511 17773 5393 10213 2167 63.9 MiB 0.19 0.00 5.64972 -177.297 -5.64972 5.64972 0.33 0.000351025 0.000323302 0.0594056 0.0549061 -1 -1 -1 -1 32 3132 28 6.89349e+06 422815 586450. 2029.24 0.51 0.130668 0.115927 25474 144626 -1 2549 18 1654 2142 127890 31978 4.60024 4.60024 -163.543 -4.60024 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0277509 0.0242359 173 90 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 4.89 vpr 63.25 MiB -1 -1 0.15 18432 1 0.03 -1 -1 30176 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64764 32 32 376 318 1 259 92 17 17 289 -1 unnamed_device 24.2 MiB 1.84 1293 9614 2367 6489 758 63.2 MiB 0.12 0.00 4.89568 -164.328 -4.89568 4.89568 0.33 0.000704531 0.000653159 0.0352242 0.0326131 -1 -1 -1 -1 32 3167 23 6.89349e+06 394628 586450. 2029.24 0.73 0.122092 0.106854 25474 144626 -1 2517 22 2283 2786 179066 44397 4.21384 4.21384 -161.857 -4.21384 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0296871 0.0257565 155 96 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 4.27 vpr 63.02 MiB -1 -1 0.25 18248 1 0.03 -1 -1 30208 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64532 32 32 360 293 1 226 91 17 17 289 -1 unnamed_device 23.8 MiB 1.40 1307 13759 4403 8202 1154 63.0 MiB 0.17 0.00 4.10168 -130.557 -4.10168 4.10168 0.33 0.000711311 0.00066117 0.0570836 0.0530446 -1 -1 -1 -1 32 2791 48 6.89349e+06 380534 586450. 2029.24 0.71 0.169513 0.148957 25474 144626 -1 2228 17 1439 1975 125236 30124 2.97891 2.97891 -117.401 -2.97891 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0245511 0.0214454 147 60 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 5.73 vpr 63.15 MiB -1 -1 0.14 18740 1 0.03 -1 -1 30328 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64668 32 32 396 299 1 240 92 17 17 289 -1 unnamed_device 24.1 MiB 2.03 1350 12098 3111 7804 1183 63.2 MiB 0.17 0.00 5.93815 -178.759 -5.93815 5.93815 0.34 0.000774961 0.000720753 0.0484735 0.0450489 -1 -1 -1 -1 30 3179 30 6.89349e+06 394628 556674. 1926.21 1.07 0.154751 0.136253 25186 138497 -1 2629 22 1591 2517 184543 45433 4.56655 4.56655 -159.834 -4.56655 0 0 706193. 2443.58 0.03 0.05 0.08 -1 -1 0.03 0.0173111 0.0152944 167 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 3.42 vpr 63.09 MiB -1 -1 0.18 18056 1 0.03 -1 -1 30168 -1 -1 17 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 30 32 224 207 1 138 79 17 17 289 -1 unnamed_device 23.6 MiB 0.86 744 11064 2972 6586 1506 63.1 MiB 0.09 0.00 3.06986 -93.837 -3.06986 3.06986 0.33 0.00050022 0.000465468 0.0342512 0.0318795 -1 -1 -1 -1 32 1608 21 6.89349e+06 239595 586450. 2029.24 0.51 0.0930254 0.0819585 25474 144626 -1 1362 19 746 975 64274 15732 2.15637 2.15637 -86.663 -2.15637 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0186802 0.0161973 80 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 4.09 vpr 63.19 MiB -1 -1 0.24 18064 1 0.03 -1 -1 30332 -1 -1 23 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64708 30 32 286 239 1 176 85 17 17 289 -1 unnamed_device 23.6 MiB 1.17 970 14221 4684 7353 2184 63.2 MiB 0.13 0.00 4.47457 -139.461 -4.47457 4.47457 0.33 0.000598059 0.000556233 0.0476839 0.0443531 -1 -1 -1 -1 32 2088 19 6.89349e+06 324158 586450. 2029.24 0.57 0.116239 0.102831 25474 144626 -1 1798 19 1209 1755 123419 28254 3.20405 3.20405 -123.196 -3.20405 0 0 744469. 2576.02 0.04 0.06 0.14 -1 -1 0.04 0.0213811 0.0188746 120 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 4.64 vpr 63.24 MiB -1 -1 0.14 18164 1 0.04 -1 -1 30036 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64756 32 32 296 247 1 187 89 17 17 289 -1 unnamed_device 23.9 MiB 1.81 1127 15137 4309 8906 1922 63.2 MiB 0.15 0.00 4.30299 -142.144 -4.30299 4.30299 0.33 0.000624179 0.000580082 0.0500647 0.0464926 -1 -1 -1 -1 32 2632 22 6.89349e+06 352346 586450. 2029.24 0.65 0.124241 0.109743 25474 144626 -1 2086 21 1194 2198 152487 35249 3.2979 3.2979 -129.639 -3.2979 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0252727 0.0218883 119 34 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 3.81 vpr 63.04 MiB -1 -1 0.23 18076 1 0.03 -1 -1 30284 -1 -1 22 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64552 25 32 216 194 1 138 79 17 17 289 -1 unnamed_device 23.6 MiB 0.97 598 9881 3477 4123 2281 63.0 MiB 0.07 0.00 3.7089 -85.4656 -3.7089 3.7089 0.33 0.000478077 0.000444482 0.0293864 0.0272887 -1 -1 -1 -1 36 1448 42 6.89349e+06 310065 648988. 2245.63 1.04 0.136492 0.117908 26050 158493 -1 1138 18 657 975 59260 15977 2.93981 2.93981 -80.0624 -2.93981 0 0 828058. 2865.25 0.03 0.03 0.09 -1 -1 0.03 0.00980015 0.00865384 88 29 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 4.99 vpr 63.14 MiB -1 -1 0.15 18352 1 0.03 -1 -1 30352 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64660 32 32 376 307 1 242 90 17 17 289 -1 unnamed_device 24.1 MiB 2.30 1430 16170 4616 9563 1991 63.1 MiB 0.18 0.00 4.51899 -138.857 -4.51899 4.51899 0.33 0.000721273 0.000669662 0.0603201 0.0559474 -1 -1 -1 -1 32 3301 26 6.89349e+06 366440 586450. 2029.24 0.66 0.151815 0.134188 25474 144626 -1 2662 22 1825 2779 185735 42367 3.63286 3.63286 -129.152 -3.63286 0 0 744469. 2576.02 0.03 0.05 0.08 -1 -1 0.03 0.0164302 0.0144632 156 72 -1 -1 -1 -1 + fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 5.19 vpr 63.29 MiB -1 -1 0.27 18404 1 0.03 -1 -1 30280 -1 -1 33 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64812 31 32 409 331 1 264 96 17 17 289 -1 unnamed_device 24.2 MiB 2.08 1431 11703 3152 7474 1077 63.3 MiB 0.14 0.00 4.84775 -156.008 -4.84775 4.84775 0.33 0.000772559 0.000718063 0.0435525 0.0403604 -1 -1 -1 -1 26 3450 37 6.89349e+06 465097 503264. 1741.40 0.87 0.155585 0.13616 24322 120374 -1 3021 21 2266 3099 239025 58139 4.43869 4.43869 -159.514 -4.43869 0 0 618332. 2139.56 0.03 0.09 0.10 -1 -1 0.03 0.0309079 0.0268354 175 90 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores/config/golden_results.txt index 14b02df4c6e..2aa73474bd1 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores/config/golden_results.txt @@ -1,7 +1,7 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -k6_N8_gate_boost_0.2V_22nm.xml Md5Core.v common 1864.14 vpr 957.58 MiB -1 -1 22.42 331380 27 13.20 -1 -1 142432 -1 -1 5694 641 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 980564 641 128 52026 52154 1 24058 6463 90 90 8100 clb auto 349.0 MiB 173.06 299893 8009738 3248634 4687011 74093 957.6 MiB 90.11 0.74 14.696 -38781.3 -14.696 14.696 78.67 0.0454146 0.0364574 6.41213 5.29191 66 440752 49 2.50222e+08 6.86455e+07 3.39805e+07 4195.12 1395.25 30.8998 26.3648 844532 8713078 -1 405206 17 108336 237098 17288222 3304110 13.1078 13.1078 -35765.6 -13.1078 0 0 4.24114e+07 5235.97 21.75 5.86 5.90 -1 -1 21.75 2.25901 2.0474 41833 14777 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml cordic.v common 7.58 vpr 64.93 MiB -1 -1 0.84 29792 11 0.37 -1 -1 37192 -1 -1 47 54 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66492 54 51 461 512 1 307 152 10 10 100 clb auto 26.7 MiB 0.17 2373 13922 2643 10142 1137 64.9 MiB 0.13 0.00 6.47024 -256.927 -6.47024 6.47024 0.33 0.00042886 0.000357536 0.0688225 0.0500239 54 5335 26 1.91864e+06 566585 279084. 2790.84 3.59 0.401441 0.318793 8874 64549 -1 4508 18 1941 9258 477400 107312 5.64329 5.64329 -238.143 -5.64329 0 0 343682. 3436.82 0.14 0.15 0.08 -1 -1 0.14 0.031029 0.0281091 351 351 -1 -1 -1 -1 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml Md5Core.v common 496.86 vpr 906.63 MiB -1 -1 14.92 221280 1 3.28 -1 -1 149256 -1 -1 5125 641 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 928388 641 128 55563 47815 1 19235 5894 85 85 7225 clb auto 357.9 MiB 53.49 222909 7988444 3311697 4529990 146757 906.6 MiB 158.12 1.29 7.30188 -25593.7 -7.30188 7.30188 59.85 0.0346093 0.0287273 5.37677 4.40327 72 301784 47 2.22196e+08 6.43647e+07 3.24327e+07 4488.96 129.63 18.1686 15.4729 798746 8418143 -1 279076 27 66623 107145 10680598 2015876 5.67328 5.67328 -22253.4 -5.67328 0 0 4.04835e+07 5603.25 23.02 4.40 5.91 -1 -1 23.02 2.21605 1.94489 39986 2048 -1 -1 -1 -1 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml cordic.v common 8.87 vpr 65.29 MiB -1 -1 0.79 28728 4 0.20 -1 -1 36472 -1 -1 41 54 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66852 54 51 503 502 1 302 146 10 10 100 clb auto 27.3 MiB 2.56 2020 13202 2597 9296 1309 65.3 MiB 0.11 0.00 4.8288 -228.616 -4.8288 4.8288 0.37 0.000364269 0.000298402 0.0192023 0.0163646 54 4296 26 1.94278e+06 514878 279084. 2790.84 2.89 0.263514 0.235912 9066 64687 -1 3771 16 1579 6792 333407 78376 3.86988 3.86988 -205.334 -3.86988 0 0 343682. 3436.82 0.12 0.10 0.06 -1 -1 0.12 0.0312726 0.0289765 310 279 -1 -1 -1 -1 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml Md5Core.v common 1397.07 vpr 910.60 MiB -1 -1 14.85 221360 1 3.28 -1 -1 149104 -1 -1 5176 641 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 932456 641 128 55563 47815 1 19575 5945 86 86 7396 clb auto 365.9 MiB 147.36 216449 8026913 3325604 4544181 157128 910.6 MiB 163.05 1.15 7.79808 -26173.5 -7.79808 7.79808 74.45 0.0333148 0.0256067 5.40235 4.3967 64 296093 41 2.34635e+08 6.56264e+07 3.02030e+07 4083.70 918.35 25.6673 21.649 786056 7778811 -1 273121 29 74523 108445 10800591 2118622 5.10857 5.10857 -22338.5 -5.10857 0 0 3.78329e+07 5115.32 18.90 4.37 4.97 -1 -1 18.90 2.1942 1.92409 40262 2048 -1 -1 -1 -1 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml cordic.v common 7.20 vpr 65.30 MiB -1 -1 0.75 28880 4 0.23 -1 -1 36456 -1 -1 39 54 0 0 success v8.0.0-10948-g76f6d280f release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-44-generic x86_64 2024-08-02T13:50:03 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 66864 54 51 503 502 1 307 144 10 10 100 clb auto 27.3 MiB 1.64 2063 8438 1287 6455 696 65.3 MiB 0.11 0.04 4.75191 -227.336 -4.75191 4.75191 0.39 0.0381416 0.0380647 0.0525767 0.050608 52 4645 30 1.94854e+06 494442 271502. 2715.02 1.67 0.208403 0.193565 8966 63017 -1 3899 50 1667 6383 746112 263022 3.83606 3.83606 -202.472 -3.83606 0 0 335037. 3350.37 0.15 0.37 0.15 -1 -1 0.15 0.120279 0.114452 305 279 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length + k6_N8_gate_boost_0.2V_22nm.xml Md5Core.v common 346.85 vpr 1.15 GiB -1 -1 34.22 328308 27 15.05 -1 -1 138296 -1 -1 6514 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1202304 641 128 52026 52154 1 22211 7283 96 96 9216 clb auto 299.9 MiB 22.55 298865 9039475 3705368 5259409 74698 1174.1 MiB 99.58 0.80 15.6652 -38327.4 -15.6652 15.6652 30.26 0.094057 0.0773765 12.1503 10.1571 -1 -1 -1 -1 52 436843 31 2.87242e+08 7.85314e+07 3.22264e+07 3496.79 84.03 34.4054 28.6501 876764 7891077 -1 405234 17 92350 208771 15162225 3092956 14.5295 14.5295 -35310.2 -14.5295 0 0 3.95636e+07 4292.92 2.28 8.25 6.87 -1 -1 2.28 3.81485 3.27893 44137 14777 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml cordic.v common 4.27 vpr 64.04 MiB -1 -1 0.87 26712 11 0.25 -1 -1 33516 -1 -1 51 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65580 54 51 461 512 1 281 156 11 11 121 clb auto 24.7 MiB 0.16 2356 11469 1919 8714 836 64.0 MiB 0.12 0.00 5.64506 -244.834 -5.64506 5.64506 0.13 0.00146196 0.00133342 0.0458162 0.0422569 -1 -1 -1 -1 48 5066 26 2.09946e+06 614805 317060. 2620.33 1.31 0.36651 0.319953 10252 71876 -1 4625 16 1697 8023 407060 95904 5.13857 5.13857 -230.591 -5.13857 0 0 382250. 3159.09 0.01 0.15 0.06 -1 -1 0.01 0.0499744 0.0448408 351 351 -1 -1 -1 -1 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml Md5Core.v common 399.84 vpr 1.07 GiB -1 -1 21.81 218380 1 3.70 -1 -1 145176 -1 -1 5511 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1117152 641 128 55563 47815 1 19087 6280 89 89 7921 clb auto 300.2 MiB 18.05 230047 8461724 3459022 4875110 127592 1091.0 MiB 204.82 1.70 7.09259 -24894.9 -7.09259 7.09259 27.46 0.0722137 0.0620773 11.1205 9.22983 -1 -1 -1 -1 66 309563 40 2.46893e+08 6.92128e+07 3.31523e+07 4185.37 68.55 29.6499 24.5503 846610 8512169 -1 289933 30 70505 114345 10785585 2091912 4.98188 4.98188 -21717.1 -4.98188 0 0 4.13768e+07 5223.69 2.61 7.93 7.55 -1 -1 2.61 4.60052 3.86111 40340 2050 -1 -1 -1 -1 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml cordic.v common 5.46 vpr 64.67 MiB -1 -1 0.88 25784 4 0.13 -1 -1 33088 -1 -1 47 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66224 54 51 503 502 1 293 152 10 10 100 clb auto 25.4 MiB 1.53 2207 8657 1268 6725 664 64.7 MiB 0.12 0.00 4.72142 -243.243 -4.72142 4.72142 0.10 0.00138683 0.00126889 0.0371909 0.0344533 -1 -1 -1 -1 44 4688 46 1.94278e+06 590226 231289. 2312.89 1.36 0.376354 0.326721 8470 54129 -1 4028 17 1662 7146 368098 92041 3.78868 3.78868 -208.146 -3.78868 0 0 291571. 2915.71 0.01 0.14 0.05 -1 -1 0.01 0.0559049 0.0498459 310 281 -1 -1 -1 -1 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml Md5Core.v common 415.20 vpr 1.04 GiB -1 -1 21.75 218308 1 4.05 -1 -1 145180 -1 -1 5620 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1088640 641 128 55563 47815 1 19638 6389 89 89 7921 clb auto 308.1 MiB 52.99 226704 9072863 3788850 5116203 167810 1063.1 MiB 189.74 1.45 7.32093 -25503.3 -7.32093 7.32093 27.00 0.0695794 0.0596563 11.1854 9.32085 -1 -1 -1 -1 62 301435 41 2.47551e+08 7.12563e+07 3.13221e+07 3954.32 64.63 30.1669 25.0298 822850 7925305 -1 280695 33 74632 110486 9460418 1906659 4.74051 4.74051 -21753 -4.74051 0 0 3.86383e+07 4877.96 2.36 8.35 6.62 -1 -1 2.36 5.11529 4.30965 40780 2050 -1 -1 -1 -1 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml cordic.v common 5.14 vpr 64.61 MiB -1 -1 0.49 25988 4 0.16 -1 -1 33144 -1 -1 50 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66164 54 51 503 502 1 302 155 11 11 121 clb auto 25.5 MiB 0.55 2264 11803 2091 8793 919 64.6 MiB 0.15 0.01 4.6074 -231.734 -4.6074 4.6074 0.13 0.00143538 0.00131584 0.0463156 0.0428406 -1 -1 -1 -1 46 4761 36 2.13871e+06 633900 304223. 2514.24 1.58 0.37716 0.328993 10384 69934 -1 4032 15 1433 6356 300467 73316 3.80829 3.80829 -202.73 -3.80829 0 0 371547. 3070.64 0.01 0.12 0.06 -1 -1 0.01 0.0507242 0.0453634 307 281 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores_frac/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores_frac/config/golden_results.txt index 36a72a857e2..e0e23492818 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores_frac/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/arithmetic_tasks/open_cores_frac/config/golden_results.txt @@ -1,11 +1,11 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - k6_frac_2ripple_N8_22nm.xml Md5Core.v common 967.55 vpr 763.48 MiB -1 -1 21.42 218260 1 3.55 -1 -1 145252 -1 -1 2765 641 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 781804 641 128 55563 47815 1 18442 3534 63 63 3969 clb auto 281.1 MiB 538.53 193346 3958863 1550116 2317083 91664 724.9 MiB 91.43 0.78 7.15591 -24783.9 -7.15591 7.15591 35.35 0.069551 0.0596698 11.0083 9.1981 -1 -1 -1 -1 100 265108 28 1.26034e+08 4.00259e+07 2.46425e+07 6208.74 216.16 44.9729 37.3415 555724 6871176 -1 241564 19 87044 109997 12783883 2167446 5.78182 5.78182 -22734.5 -5.78182 0 0 3.14324e+07 7919.47 11.63 6.19 5.58 -1 -1 11.63 3.3327 2.85451 20869 2050 -1 -1 -1 -1 - k6_frac_2ripple_N8_22nm.xml cordic.v common 8.95 vpr 64.59 MiB -1 -1 0.89 26192 4 0.16 -1 -1 33384 -1 -1 31 54 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 66140 54 51 503 502 1 316 136 9 9 81 clb auto 25.8 MiB 3.67 1981 10606 1997 7706 903 64.6 MiB 0.14 0.01 4.17161 -228.336 -4.17161 4.17161 0.15 0.00186072 0.00171427 0.0514286 0.0475525 -1 -1 -1 -1 64 3792 30 1.45065e+06 448746 257695. 3181.41 2.54 0.574843 0.497531 8184 62525 -1 3344 18 1920 7095 335845 82840 3.72075 3.72075 -206.153 -3.72075 0 0 325495. 4018.46 0.07 0.13 0.06 -1 -1 0.07 0.0566057 0.0503365 223 281 -1 -1 -1 -1 - k6_frac_2uripple_N8_22nm.xml Md5Core.v common 1559.25 vpr 722.84 MiB -1 -1 21.49 218496 1 3.59 -1 -1 145220 -1 -1 2755 641 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 740192 641 128 55563 47815 1 18420 3524 63 63 3969 clb auto 275.9 MiB 401.16 189162 3756364 1484461 2184211 87692 722.8 MiB 82.41 0.75 6.93106 -24149.1 -6.93106 6.93106 33.22 0.0717932 0.0614288 10.2573 8.56904 -1 -1 -1 -1 96 272845 49 1.26708e+08 4.05424e+07 2.39085e+07 6023.80 957.73 60.3389 49.6676 543820 6559920 -1 240871 21 88185 112391 12448748 2170100 5.42465 5.42465 -22151.3 -5.42465 0 0 2.98904e+07 7530.95 11.16 6.44 5.45 -1 -1 11.16 3.58618 3.05396 20824 2050 -1 -1 -1 -1 - k6_frac_2uripple_N8_22nm.xml cordic.v common 4.56 vpr 64.47 MiB -1 -1 0.86 25908 4 0.16 -1 -1 33380 -1 -1 31 54 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 66020 54 51 503 502 1 317 136 9 9 81 clb auto 25.8 MiB 0.24 1985 6767 1049 5344 374 64.5 MiB 0.10 0.00 4.17356 -220.898 -4.17356 4.17356 0.15 0.00138081 0.00127221 0.0330425 0.0306558 -1 -1 -1 -1 60 3856 40 1.45905e+06 456186 242836. 2997.97 1.76 0.429337 0.371533 7944 58396 -1 3350 17 1679 5954 301000 77818 3.8968 3.8968 -205.243 -3.8968 0 0 304930. 3764.57 0.07 0.12 0.06 -1 -1 0.07 0.0544767 0.0485746 223 281 -1 -1 -1 -1 - k6_frac_N8_22nm.xml Md5Core.v common 1120.51 vpr 806.71 MiB -1 -1 35.59 328120 27 14.77 -1 -1 138400 -1 -1 3317 641 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 826068 641 128 52026 52154 1 23512 4086 69 69 4761 clb auto 272.8 MiB 754.36 261133 4150890 1586658 2510234 53998 806.7 MiB 66.92 0.57 15.1822 -39318.8 -15.1822 15.1822 40.15 0.0882437 0.0769439 12.042 10.1836 -1 -1 -1 -1 86 398717 44 1.50071e+08 4.46866e+07 2.62257e+07 5508.44 135.32 40.4871 34.0228 609466 7129297 -1 363314 18 111266 235135 19285517 3561010 13.209 13.209 -36299.7 -13.209 0 0 3.28825e+07 6906.63 13.30 9.39 5.66 -1 -1 13.30 4.36645 3.79962 24398 14777 -1 -1 -1 -1 - k6_frac_N8_22nm.xml cordic.v common 5.30 vpr 64.01 MiB -1 -1 0.90 26384 11 0.25 -1 -1 33576 -1 -1 32 54 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 65548 54 51 461 512 1 320 137 9 9 81 clb auto 24.8 MiB 0.23 2288 7197 1051 5690 456 64.0 MiB 0.10 0.00 6.24973 -250.56 -6.24973 6.24973 0.15 0.00144607 0.00132918 0.0370165 0.0342281 -1 -1 -1 -1 68 4737 28 1.41552e+06 431104 273575. 3377.47 2.36 0.459262 0.397505 8204 65576 -1 4227 16 2032 7777 430507 103502 5.511 5.511 -231.556 -5.511 0 0 341260. 4213.08 0.07 0.09 0.04 -1 -1 0.07 0.0317702 0.0289934 248 351 -1 -1 -1 -1 - k6_frac_ripple_N8_22nm.xml Md5Core.v common 1137.63 vpr 796.55 MiB -1 -1 21.77 218484 1 3.71 -1 -1 145332 -1 -1 3347 641 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 815668 641 128 55563 47815 1 19485 4116 69 69 4761 clb auto 265.0 MiB 674.41 209187 4719112 1762947 2769356 186809 796.6 MiB 133.07 1.12 7.50454 -24350.9 -7.50454 7.50454 41.02 0.0732288 0.0593247 10.5586 8.73373 -1 -1 -1 -1 78 280465 38 1.51752e+08 4.6769e+07 2.40314e+07 5047.55 201.27 45.7702 37.9151 599066 6522637 -1 262949 17 86466 116965 11441653 2162489 4.92975 4.92975 -21271.4 -4.92975 0 0 3.01399e+07 6330.58 12.77 5.93 5.15 -1 -1 12.77 3.01721 2.57504 25690 2050 -1 -1 -1 -1 - k6_frac_ripple_N8_22nm.xml cordic.v common 6.89 vpr 64.07 MiB -1 -1 0.89 25656 4 0.16 -1 -1 33436 -1 -1 31 54 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 65612 54 51 503 502 1 304 136 9 9 81 clb auto 25.2 MiB 2.05 1922 8163 1239 6107 817 64.1 MiB 0.12 0.01 4.46634 -227.611 -4.46634 4.46634 0.15 0.00138637 0.00127855 0.0380722 0.0352824 -1 -1 -1 -1 58 4010 35 1.43308e+06 433189 237595. 2933.27 2.17 0.473652 0.409252 7864 57025 -1 3504 19 1914 6815 355293 91574 4.00986 4.00986 -203.926 -4.00986 0 0 298762. 3688.42 0.06 0.14 0.06 -1 -1 0.06 0.0573607 0.0506837 231 281 -1 -1 -1 -1 - k6_frac_uripple_N8_22nm.xml Md5Core.v common 725.64 vpr 847.35 MiB -1 -1 21.61 218272 1 3.62 -1 -1 145224 -1 -1 3391 641 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 867688 641 128 55563 47815 1 19453 4160 70 70 4900 clb auto 257.6 MiB 242.61 229476 4786509 1811673 2839137 135699 847.4 MiB 144.98 1.15 8.45033 -26255.9 -8.45033 8.45033 44.55 0.0706407 0.0605663 9.85709 8.24084 -1 -1 -1 -1 74 309564 47 1.56988e+08 4.77907e+07 2.37944e+07 4855.99 205.74 40.3572 33.4092 603630 6360748 -1 281191 24 82458 102666 12068393 2210419 5.62486 5.62486 -22699.9 -5.62486 0 0 2.96071e+07 6042.26 11.42 6.73 5.01 -1 -1 11.42 3.76274 3.16053 25717 2050 -1 -1 -1 -1 - k6_frac_uripple_N8_22nm.xml cordic.v common 5.09 vpr 64.27 MiB -1 -1 0.90 25612 4 0.16 -1 -1 33360 -1 -1 33 54 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 65812 54 51 503 502 1 307 138 9 9 81 clb auto 25.3 MiB 0.70 2035 12242 2410 8614 1218 64.3 MiB 0.15 0.00 4.64981 -235.444 -4.64981 4.64981 0.15 0.00138199 0.00127842 0.0546169 0.0504723 -1 -1 -1 -1 54 4324 35 1.43728e+06 465097 226270. 2793.45 1.66 0.427278 0.370182 7624 52756 -1 3604 19 1920 6934 318277 82324 3.81736 3.81736 -208.354 -3.81736 0 0 280165. 3458.82 0.06 0.13 0.05 -1 -1 0.06 0.0571091 0.0505347 247 281 -1 -1 -1 -1 + k6_frac_2ripple_N8_22nm.xml Md5Core.v common 541.33 vpr 770.48 MiB -1 -1 21.57 219052 1 3.94 -1 -1 145160 -1 -1 2904 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 788976 641 128 55563 47815 1 17837 3673 65 65 4225 clb auto 278.4 MiB 280.64 186842 4139415 1628153 2426823 84439 770.5 MiB 80.11 0.65 6.85172 -24425.5 -6.85172 6.85172 17.37 0.0707807 0.0606116 10.8556 9.00171 -1 -1 -1 -1 86 266896 42 1.34217e+08 4.20381e+07 2.31978e+07 5490.61 95.85 37.0767 30.5473 551762 6310377 -1 239670 19 85960 107325 12022295 2121435 5.37037 5.37037 -22498.9 -5.37037 0 0 2.90884e+07 6884.83 1.53 5.92 5.28 -1 -1 1.53 3.24584 2.77988 21038 2050 -1 -1 -1 -1 + k6_frac_2ripple_N8_22nm.xml cordic.v common 7.58 vpr 65.10 MiB -1 -1 0.88 26100 4 0.16 -1 -1 33024 -1 -1 33 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66664 54 51 503 502 1 316 138 9 9 81 clb auto 26.1 MiB 3.78 1956 11174 2060 8307 807 65.1 MiB 0.13 0.00 4.40854 -233.833 -4.40854 4.40854 0.09 0.00139097 0.00128641 0.0504025 0.0467374 -1 -1 -1 -1 56 4041 27 1.45065e+06 477698 231774. 2861.41 1.17 0.353028 0.308187 7704 54090 -1 3517 18 1771 6187 313268 82102 4.02896 4.02896 -216.237 -4.02896 0 0 286113. 3532.26 0.01 0.13 0.05 -1 -1 0.01 0.0573414 0.0511544 225 281 -1 -1 -1 -1 + k6_frac_2uripple_N8_22nm.xml Md5Core.v common 445.49 vpr 768.55 MiB -1 -1 21.93 218372 1 4.17 -1 -1 145216 -1 -1 2904 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 787000 641 128 55563 47815 1 17906 3673 65 65 4225 clb auto 273.5 MiB 187.96 185308 3941126 1565098 2300167 75861 768.6 MiB 89.53 0.80 6.8947 -23916.3 -6.8947 6.8947 17.72 0.0715102 0.0611769 10.6329 8.87437 -1 -1 -1 -1 86 262207 37 1.34928e+08 4.2735e+07 2.31978e+07 5490.61 81.66 34.9922 28.9773 551762 6310377 -1 236506 17 80887 101963 10950479 1939027 5.59715 5.59715 -21989.7 -5.59715 0 0 2.90884e+07 6884.83 1.64 5.62 5.31 -1 -1 1.64 3.04009 2.59505 20989 2050 -1 -1 -1 -1 + k6_frac_2uripple_N8_22nm.xml cordic.v common 5.96 vpr 64.87 MiB -1 -1 0.87 26208 4 0.16 -1 -1 33076 -1 -1 33 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66424 54 51 503 502 1 318 138 9 9 81 clb auto 25.9 MiB 0.40 1964 10462 1843 7559 1060 64.9 MiB 0.13 0.00 4.28518 -225.617 -4.28518 4.28518 0.09 0.00139646 0.00129195 0.0476616 0.0441834 -1 -1 -1 -1 56 4128 31 1.45905e+06 485618 231774. 2861.41 2.57 0.547183 0.474234 7704 54090 -1 3583 18 1731 6262 331465 85566 3.8968 3.8968 -212.026 -3.8968 0 0 286113. 3532.26 0.01 0.14 0.05 -1 -1 0.01 0.058103 0.0518196 225 281 -1 -1 -1 -1 + k6_frac_N8_22nm.xml Md5Core.v common 523.72 vpr 809.32 MiB -1 -1 35.88 328152 27 14.52 -1 -1 138636 -1 -1 3446 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 828744 641 128 52026 52154 1 22420 4215 70 70 4900 clb auto 269.8 MiB 211.66 265423 4326406 1648229 2614689 63488 809.3 MiB 79.80 0.65 14.952 -39387.3 -14.952 14.952 20.28 0.0925834 0.0810563 12.6496 10.7217 -1 -1 -1 -1 84 394620 43 1.54829e+08 4.64245e+07 2.64571e+07 5399.40 108.95 44.8309 37.5465 624050 7235563 -1 356794 20 108067 230531 16879775 3154299 13.0725 13.0725 -36269.1 -13.0725 0 0 3.34846e+07 6833.59 1.94 9.36 5.72 -1 -1 1.94 4.65498 4.01829 24663 14777 -1 -1 -1 -1 + k6_frac_N8_22nm.xml cordic.v common 4.95 vpr 64.28 MiB -1 -1 0.95 26424 11 0.25 -1 -1 33660 -1 -1 34 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65824 54 51 461 512 1 317 139 9 9 81 clb auto 25.0 MiB 0.23 2204 9473 1828 7093 552 64.3 MiB 0.12 0.00 6.42199 -256.014 -6.42199 6.42199 0.09 0.00144714 0.00133734 0.0443724 0.0410787 -1 -1 -1 -1 62 4693 48 1.41552e+06 458048 249781. 3083.72 1.55 0.41392 0.360399 7884 59488 -1 3923 19 2092 8192 403186 97926 5.39904 5.39904 -231.32 -5.39904 0 0 310465. 3832.90 0.01 0.10 0.04 -1 -1 0.01 0.0365187 0.0331706 252 351 -1 -1 -1 -1 + k6_frac_ripple_N8_22nm.xml Md5Core.v common 968.02 vpr 871.61 MiB -1 -1 22.34 218328 1 3.90 -1 -1 145312 -1 -1 3580 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 892524 641 128 55563 47815 1 19465 4349 71 71 5041 clb auto 262.5 MiB 670.29 210279 5114045 1917429 3043210 153406 871.6 MiB 143.83 0.80 7.61884 -24559.3 -7.61884 7.61884 21.38 0.0719772 0.0594682 10.7407 8.89088 -1 -1 -1 -1 72 286581 46 1.58244e+08 5.00245e+07 2.39867e+07 4758.32 62.14 31.0052 25.5746 615390 6416121 -1 266321 24 92304 125385 12396060 2405052 4.93927 4.93927 -21502.9 -4.93927 0 0 3.00078e+07 5952.75 1.71 7.10 5.50 -1 -1 1.71 3.83741 3.21004 25723 2050 -1 -1 -1 -1 + k6_frac_ripple_N8_22nm.xml cordic.v common 5.83 vpr 64.57 MiB -1 -1 0.88 26136 4 0.16 -1 -1 33044 -1 -1 33 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66124 54 51 503 502 1 304 138 9 9 81 clb auto 25.3 MiB 2.08 2002 12954 2480 9062 1412 64.6 MiB 0.20 0.01 4.43013 -227.708 -4.43013 4.43013 0.09 0.001451 0.0013363 0.0685664 0.0632597 -1 -1 -1 -1 56 4228 32 1.43308e+06 461137 231774. 2861.41 1.26 0.391145 0.341818 7704 54090 -1 3725 17 1917 7166 373067 93632 3.65072 3.65072 -196.427 -3.65072 0 0 286113. 3532.26 0.01 0.09 0.03 -1 -1 0.01 0.0324143 0.0294926 234 281 -1 -1 -1 -1 + k6_frac_uripple_N8_22nm.xml Md5Core.v common 472.40 vpr 866.96 MiB -1 -1 22.33 218376 1 4.13 -1 -1 145204 -1 -1 3485 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 887772 641 128 55563 47815 1 18425 4254 71 71 5041 clb auto 256.6 MiB 191.79 205408 4896722 1844424 2914068 138230 867.0 MiB 129.30 1.04 8.03131 -25587.9 -8.03131 8.03131 21.08 0.0733517 0.0590529 10.6832 8.73949 -1 -1 -1 -1 64 273108 50 1.5868e+08 4.91153e+07 2.16513e+07 4295.04 61.30 30.7872 25.3336 590190 5755241 -1 250871 18 71120 89065 8566793 1619750 5.5524 5.5524 -21928.1 -5.5524 0 0 2.72404e+07 5403.77 1.82 6.19 4.71 -1 -1 1.82 3.42355 2.88442 25749 2050 -1 -1 -1 -1 + k6_frac_uripple_N8_22nm.xml cordic.v common 4.49 vpr 64.21 MiB -1 -1 0.92 26136 4 0.16 -1 -1 33152 -1 -1 35 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65752 54 51 503 502 1 303 140 9 9 81 clb auto 25.4 MiB 0.68 1940 13934 2921 9841 1172 64.2 MiB 0.17 0.00 4.47128 -234.847 -4.47128 4.47128 0.09 0.00140285 0.00129011 0.0597002 0.0551005 -1 -1 -1 -1 56 3999 30 1.43728e+06 493284 231774. 2861.41 1.11 0.375638 0.327858 7704 54090 -1 3545 17 1758 6326 315468 81095 3.68106 3.68106 -203.624 -3.68106 0 0 286113. 3532.26 0.01 0.13 0.05 -1 -1 0.01 0.0539124 0.0479125 251 281 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt index f58fc23a7d9..bc4a9702b59 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_arch_list/config/golden_results.txt @@ -1,31 +1,31 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.76 vpr 64.06 MiB -1 -1 0.45 18428 3 0.09 -1 -1 33228 -1 53036 68 99 1 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 65596 99 130 344 474 1 226 298 12 12 144 clb auto 24.8 MiB 0.08 690 66963 18463 34948 13552 64.1 MiB 0.23 0.00 1.84453 -119.387 -1.84453 1.84453 0.26 0.00128301 0.00121619 0.0902368 0.085465 -1 -1 -1 -1 48 1235 27 5.66058e+06 4.21279e+06 394078. 2736.65 0.88 0.373857 0.343183 13382 75762 -1 1211 8 384 646 27249 8414 1.92052 1.92052 -141.203 -1.92052 -0.22504 -0.106568 503207. 3494.49 0.11 0.04 0.07 -1 -1 0.11 0.027115 0.0250754 0.01051 0.26 0.08082 0.6592 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 14.27 vpr 67.39 MiB -1 -1 0.71 23632 15 0.35 -1 -1 34492 -1 54792 39 162 0 5 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 69008 162 96 1009 950 1 711 302 16 16 256 mult_36 auto 28.2 MiB 0.26 5690 95430 30621 57523 7286 67.4 MiB 0.71 0.01 21.0118 -1578.46 -21.0118 21.0118 0.51 0.00329249 0.00309405 0.327743 0.307697 -1 -1 -1 -1 48 13017 37 1.21132e+07 4.08187e+06 756778. 2956.16 7.85 1.49988 1.37661 25228 149258 -1 10215 19 3466 7106 912370 260096 21.8757 21.8757 -1697.9 -21.8757 0 0 968034. 3781.38 0.22 0.33 0.13 -1 -1 0.22 0.146899 0.13583 0.007773 0.3631 0.01709 0.6198 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 401.39 vpr 460.05 MiB -1 -1 83.22 343248 123 58.49 -1 -1 79500 -1 117196 1366 114 45 8 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 471088 114 102 21994 21904 1 11836 1635 50 50 2500 memory auto 154.8 MiB 20.21 157807 1070343 385976 662554 21813 460.0 MiB 25.59 0.21 79.4041 -53458.1 -79.4041 79.4041 19.31 0.0604453 0.0527448 7.45004 6.20215 -1 -1 -1 -1 94 240754 50 1.47946e+08 1.0145e+08 1.55181e+07 6207.23 114.06 27.7995 22.9975 341268 3271592 -1 215929 21 44770 168610 10181079 1913380 79.6681 79.6681 -67309 -79.6681 -34.1184 -0.295467 1.95446e+07 7817.85 7.00 6.34 2.88 -1 -1 7.00 3.52242 3.0254 0.08167 0.4293 0.0115 0.5592 - k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 4.68 vpr 64.21 MiB -1 -1 0.49 18412 3 0.09 -1 -1 33192 -1 53180 68 99 1 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 65752 99 130 344 474 1 226 298 12 12 144 clb auto 25.2 MiB 0.10 819 72933 24290 33841 14802 64.2 MiB 0.24 0.00 1.91347 -122.834 -1.91347 1.91347 0.26 0.00128041 0.00121074 0.0975158 0.0923199 -1 -1 -1 -1 46 1427 13 5.66058e+06 4.21279e+06 378970. 2631.74 1.69 0.37035 0.339938 13238 73581 -1 1364 9 400 647 30525 8792 1.87624 1.87624 -136.601 -1.87624 -1.17589 -0.322548 486261. 3376.82 0.10 0.05 0.07 -1 -1 0.10 0.029177 0.0269441 0.01251 0.2572 0.06872 0.6741 - k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml diffeq1.v common 13.20 vpr 67.45 MiB -1 -1 0.72 23304 15 0.35 -1 -1 34404 -1 54880 39 162 0 5 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 69064 162 96 1009 950 1 711 302 16 16 256 mult_36 auto 28.2 MiB 0.33 5690 95430 30623 57523 7284 67.4 MiB 0.72 0.01 21.0118 -1578.35 -21.0118 21.0118 0.52 0.00334815 0.00314845 0.334671 0.314364 -1 -1 -1 -1 48 13572 38 1.21132e+07 4.08187e+06 756778. 2956.16 6.63 1.3536 1.2446 25228 149258 -1 10088 17 3403 6982 882550 253315 21.8901 21.8901 -1680.47 -21.8901 0 0 968034. 3781.38 0.22 0.31 0.13 -1 -1 0.22 0.135825 0.125866 0.008049 0.3475 0.01649 0.636 - k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml LU8PEEng.v common 408.55 vpr 462.37 MiB -1 -1 83.91 346936 123 56.59 -1 -1 79516 -1 117232 1283 114 45 8 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 473464 114 102 21994 21904 1 11396 1552 50 50 2500 memory auto 158.9 MiB 48.19 155931 971608 341857 610635 19116 462.4 MiB 25.21 0.21 80.3837 -53565.2 -80.3837 80.3837 20.66 0.0618498 0.0542286 7.48256 6.24492 -1 -1 -1 -1 96 229667 22 1.47946e+08 9.69764e+07 1.58254e+07 6330.17 92.97 25.1374 20.8491 343768 3324272 -1 208496 21 41726 161181 9376663 1766124 80.5561 80.5561 -67304.6 -80.5561 -13.3147 -0.295467 1.97871e+07 7914.84 6.32 5.96 2.94 -1 -1 6.32 3.48565 2.98525 0.08322 0.4235 0.01132 0.5652 - k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 4.77 vpr 64.30 MiB -1 -1 0.47 18588 3 0.09 -1 -1 33172 -1 53072 68 99 1 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 65844 99 130 344 474 1 226 298 12 12 144 clb auto 25.1 MiB 0.15 699 66963 17966 34693 14304 64.3 MiB 0.23 0.00 1.84343 -124.37 -1.84343 1.84343 0.27 0.00123085 0.00116207 0.0901875 0.0853725 -1 -1 -1 -1 34 1396 8 5.66058e+06 4.21279e+06 307677. 2136.65 1.83 0.530992 0.483083 12584 59343 -1 1233 10 404 639 23079 7765 1.94353 1.94353 -145.674 -1.94353 -0.336499 -0.167028 377431. 2621.05 0.09 0.05 0.05 -1 -1 0.09 0.0313345 0.0289285 0.01001 0.231 0.07204 0.697 - k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 13.25 vpr 67.53 MiB -1 -1 0.72 23416 15 0.35 -1 -1 34272 -1 54808 39 162 0 5 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 69152 162 96 1009 950 1 705 302 16 16 256 mult_36 auto 28.3 MiB 0.84 5916 86322 26942 52489 6891 67.5 MiB 0.65 0.01 21.2591 -1580.87 -21.2591 21.2591 0.54 0.00333899 0.00313775 0.30219 0.283938 -1 -1 -1 -1 48 12788 29 1.21132e+07 4.08187e+06 791884. 3093.30 6.16 1.45115 1.33083 26208 159478 -1 10226 17 3178 6770 896758 257736 22.1828 22.1828 -1735.12 -22.1828 0 0 1.01413e+06 3961.44 0.24 0.32 0.13 -1 -1 0.24 0.137182 0.127174 0.008014 0.3561 0.01656 0.6273 - k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 680.75 vpr 490.74 MiB -1 -1 81.98 346216 123 60.04 -1 -1 79272 -1 117192 1288 114 45 8 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 502520 114 102 21994 21904 1 12045 1557 50 50 2500 memory auto 155.5 MiB 213.60 164488 1011797 361199 628216 22382 490.7 MiB 26.52 0.22 79.4472 -51530.1 -79.4472 79.4472 22.32 0.060741 0.0533068 7.58406 6.36451 -1 -1 -1 -1 96 243545 47 1.47946e+08 9.72458e+07 1.65526e+07 6621.02 194.25 27.7583 23.1223 355864 3561008 -1 217786 21 41299 157335 9832993 1863421 80.3477 80.3477 -63213.1 -80.3477 -9.95257 -0.29436 2.06346e+07 8253.84 6.55 6.06 3.05 -1 -1 6.55 3.47074 2.99437 0.08657 0.4192 0.01142 0.5694 - k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 4.40 vpr 64.41 MiB -1 -1 0.46 18612 3 0.09 -1 -1 33252 -1 52968 68 99 1 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 65952 99 130 344 474 1 226 298 12 12 144 clb auto 25.4 MiB 0.13 692 72933 23276 35223 14434 64.4 MiB 0.24 0.00 1.86464 -118.633 -1.86464 1.86464 0.27 0.00127857 0.00121127 0.0978445 0.0926191 -1 -1 -1 -1 38 1411 12 5.66058e+06 4.21279e+06 334530. 2323.13 1.40 0.417201 0.382648 13012 66834 -1 1212 7 400 636 24860 8313 1.99361 1.99361 -136.339 -1.99361 -0.924755 -0.320482 424691. 2949.24 0.10 0.04 0.06 -1 -1 0.10 0.0250475 0.0232193 0.0114 0.2245 0.06531 0.7102 - k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 10.29 vpr 67.59 MiB -1 -1 0.73 23388 15 0.35 -1 -1 34328 -1 54912 38 162 0 5 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 69208 162 96 1009 950 1 706 301 16 16 256 mult_36 auto 28.4 MiB 0.95 5815 73885 21988 46599 5298 67.6 MiB 0.58 0.01 20.9884 -1505.17 -20.9884 20.9884 0.54 0.00334172 0.00313673 0.25816 0.242412 -1 -1 -1 -1 46 13107 32 1.21132e+07 4.02797e+06 761464. 2974.47 3.14 0.910149 0.838444 25952 154797 -1 10036 18 3102 6455 835144 242123 22.1364 22.1364 -1610.51 -22.1364 0 0 979054. 3824.43 0.23 0.31 0.13 -1 -1 0.23 0.142565 0.132103 0.008278 0.3388 0.01582 0.6453 - k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 590.93 vpr 489.86 MiB -1 -1 82.75 346848 123 58.04 -1 -1 79280 -1 117184 1193 114 45 8 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 501620 114 102 21994 21904 1 11319 1462 50 50 2500 memory auto 156.6 MiB 191.22 150803 905508 321541 566075 17892 489.9 MiB 22.88 0.19 78.1077 -50345.2 -78.1077 78.1077 21.98 0.0591849 0.0516876 7.17008 5.99965 -1 -1 -1 -1 92 228120 37 1.47946e+08 9.21257e+07 1.59225e+07 6369.02 133.10 26.7258 22.1743 350868 3451476 -1 203270 20 41572 162541 9562123 1847272 78.237 78.237 -64005.6 -78.237 -38.6467 -0.293253 2.01686e+07 8067.44 6.81 6.03 2.89 -1 -1 6.81 3.4232 2.94021 0.08721 0.4013 0.01147 0.5872 - k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.75 vpr 64.53 MiB -1 -1 0.47 18468 3 0.09 -1 -1 33276 -1 53036 68 99 1 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 66076 99 130 344 474 1 224 298 12 12 144 clb auto 25.3 MiB 0.15 684 73928 22492 35269 16167 64.5 MiB 0.25 0.00 1.84564 -120.454 -1.84564 1.84564 0.28 0.00128312 0.00121622 0.099544 0.0942601 -1 -1 -1 -1 32 1444 14 5.66058e+06 4.21279e+06 307825. 2137.67 0.69 0.279002 0.257511 12860 59602 -1 1374 12 375 565 30620 10341 2.02431 2.02431 -141.661 -2.02431 -0.372022 -0.169903 375846. 2610.04 0.09 0.05 0.05 -1 -1 0.09 0.0357317 0.0329107 0.009994 0.2606 0.06791 0.6715 - k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 11.09 vpr 67.76 MiB -1 -1 0.72 23484 15 0.35 -1 -1 34496 -1 54884 36 162 0 5 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 69384 162 96 1009 950 1 712 299 16 16 256 mult_36 auto 28.5 MiB 0.82 5783 78221 22549 49384 6288 67.8 MiB 0.60 0.01 21.2118 -1583.01 -21.2118 21.2118 0.56 0.00335661 0.00314936 0.275732 0.259044 -1 -1 -1 -1 46 13220 42 1.21132e+07 3.92018e+06 791147. 3090.42 4.06 0.996512 0.917657 26792 163197 -1 10183 19 3349 7152 989589 281475 22.2653 22.2653 -1681.46 -22.2653 0 0 1.01637e+06 3970.19 0.23 0.34 0.13 -1 -1 0.23 0.146642 0.135717 0.008056 0.352 0.01624 0.6318 - k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 685.71 vpr 541.36 MiB -1 -1 82.72 346304 123 60.41 -1 -1 79620 -1 117176 1274 114 45 8 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 554352 114 102 21994 21904 1 11815 1543 50 50 2500 memory auto 156.5 MiB 261.00 166633 946215 318795 604305 23115 541.4 MiB 23.62 0.21 80.1279 -54074.7 -80.1279 80.1279 26.36 0.0593802 0.0519284 6.93138 5.84084 -1 -1 -1 -1 94 248407 44 1.47946e+08 9.64913e+07 1.68500e+07 6739.98 149.04 27.7074 23.0826 363732 3705320 -1 218597 20 39669 154060 10430670 2004897 80.2496 80.2496 -66632.5 -80.2496 -14.4798 -0.29436 2.11127e+07 8445.07 6.74 6.02 3.27 -1 -1 6.74 3.35396 2.8887 0.08961 0.4087 0.01166 0.5796 - k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 4.69 vpr 64.59 MiB -1 -1 0.47 18536 3 0.09 -1 -1 33168 -1 53016 68 99 1 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 66140 99 130 344 474 1 224 298 12 12 144 clb auto 25.6 MiB 0.14 723 72933 21131 35508 16294 64.6 MiB 0.24 0.00 1.84896 -122.984 -1.84896 1.84896 0.28 0.00129708 0.00123013 0.0982963 0.0931302 -1 -1 -1 -1 32 1546 9 5.66058e+06 4.21279e+06 307825. 2137.67 1.66 0.461106 0.422085 12860 59602 -1 1430 7 347 561 25878 8702 1.97804 1.97804 -145.694 -1.97804 -0.24122 -0.0950605 375846. 2610.04 0.09 0.04 0.06 -1 -1 0.09 0.0254919 0.0236226 0.01153 0.2343 0.06023 0.7055 - k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 11.76 vpr 68.03 MiB -1 -1 0.73 23656 15 0.35 -1 -1 34312 -1 54840 36 162 0 5 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 69664 162 96 1009 950 1 712 299 16 16 256 mult_36 auto 28.7 MiB 1.03 5783 78221 22554 49384 6283 68.0 MiB 0.61 0.01 21.2118 -1582.92 -21.2118 21.2118 0.59 0.00341236 0.003205 0.281532 0.264594 -1 -1 -1 -1 46 13227 46 1.21132e+07 3.92018e+06 791147. 3090.42 4.29 1.02224 0.94124 26792 163197 -1 10289 19 3183 6723 927239 263450 22.3978 22.3978 -1754.57 -22.3978 0 0 1.01637e+06 3970.19 0.24 0.35 0.13 -1 -1 0.24 0.152499 0.14121 0.008319 0.3396 0.01564 0.6448 - k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 710.23 vpr 546.39 MiB -1 -1 84.01 346708 123 57.00 -1 -1 79472 -1 117204 1175 114 45 8 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 559508 114 102 21994 21904 1 10942 1444 50 50 2500 memory auto 156.6 MiB 247.45 149383 890666 315853 555376 19437 546.4 MiB 24.29 0.22 78.9433 -49861.2 -78.9433 78.9433 26.06 0.0637968 0.0519727 7.43281 6.14665 -1 -1 -1 -1 92 224977 28 1.47946e+08 9.11556e+07 1.65231e+07 6609.23 189.49 27.8764 23.0735 361236 3648468 -1 197674 21 36197 148054 8655924 1696159 79.8587 79.8587 -61942.5 -79.8587 -11.4535 -0.29436 2.08892e+07 8355.67 6.76 5.76 3.02 -1 -1 6.76 3.44382 2.97064 0.08992 0.3929 0.01157 0.5955 - k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.83 vpr 64.24 MiB -1 -1 0.46 18588 3 0.09 -1 -1 33268 -1 53060 68 99 1 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 65780 99 130 344 474 1 226 298 12 12 144 clb auto 25.0 MiB 0.14 690 66963 18463 34948 13552 64.2 MiB 0.23 0.00 1.84453 -119.387 -1.84453 1.84453 0.26 0.00128966 0.00122151 0.0906009 0.0857911 -1 -1 -1 -1 48 1219 27 5.66058e+06 4.21279e+06 394078. 2736.65 0.88 0.374327 0.343576 13382 75762 -1 1213 10 380 620 26636 8291 1.92052 1.92052 -132.564 -1.92052 -0.22504 -0.106568 503207. 3494.49 0.11 0.05 0.07 -1 -1 0.11 0.031463 0.0290047 0.01061 0.2612 0.08008 0.6587 - k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 13.92 vpr 67.27 MiB -1 -1 0.74 23740 15 0.35 -1 -1 34420 -1 54776 37 162 0 5 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 68880 162 96 1009 950 1 705 300 16 16 256 mult_36 auto 28.1 MiB 0.78 5822 99597 35964 57057 6576 67.3 MiB 0.75 0.01 20.9826 -1581.32 -20.9826 20.9826 0.51 0.00340159 0.00318809 0.352654 0.330998 -1 -1 -1 -1 46 13288 46 1.21132e+07 3.97408e+06 727248. 2840.81 6.84 1.58393 1.45671 24972 144857 -1 10547 19 3468 7301 1024533 298496 22.4428 22.4428 -1807.38 -22.4428 0 0 934704. 3651.19 0.21 0.34 0.12 -1 -1 0.21 0.144733 0.133839 0.00776 0.3586 0.01653 0.6249 - k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 530.63 vpr 452.32 MiB -1 -1 84.49 343012 123 57.56 -1 -1 79496 -1 117260 1312 114 45 8 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 463172 114 102 21994 21904 1 12069 1581 50 50 2500 memory auto 155.7 MiB 153.23 156855 1014241 368235 623960 22046 452.3 MiB 24.95 0.21 79.7937 -51339.3 -79.7937 79.7937 19.16 0.0602961 0.0527292 7.34313 6.15426 -1 -1 -1 -1 96 234956 41 1.47946e+08 9.85393e+07 1.58254e+07 6330.17 110.51 24.5347 20.3374 343768 3324272 -1 213019 20 45703 171940 10043077 1887993 79.5447 79.5447 -64945.1 -79.5447 -27.0382 -0.295467 1.97871e+07 7914.84 6.68 5.96 2.93 -1 -1 6.68 3.33648 2.88206 0.08305 0.4251 0.01164 0.5633 - k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 4.60 vpr 64.32 MiB -1 -1 0.46 18460 3 0.09 -1 -1 33116 -1 52940 68 99 1 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 65868 99 130 344 474 1 226 298 12 12 144 clb auto 25.3 MiB 0.13 819 72933 24290 33841 14802 64.3 MiB 0.24 0.00 1.91347 -122.834 -1.91347 1.91347 0.26 0.00127684 0.00120774 0.0977163 0.092509 -1 -1 -1 -1 46 1423 13 5.66058e+06 4.21279e+06 378970. 2631.74 1.68 0.370142 0.339907 13238 73581 -1 1376 9 406 664 31725 9062 1.87624 1.87624 -136.482 -1.87624 -1.17589 -0.322548 486261. 3376.82 0.10 0.05 0.07 -1 -1 0.10 0.0294731 0.0272283 0.01259 0.2575 0.06826 0.6742 - k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 11.88 vpr 67.57 MiB -1 -1 0.71 23172 15 0.35 -1 -1 34336 -1 55020 38 162 0 5 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 69196 162 96 1009 950 1 712 301 16 16 256 mult_36 auto 28.4 MiB 0.90 5650 82957 25249 50207 7501 67.6 MiB 0.63 0.01 21.0836 -1553.51 -21.0836 21.0836 0.52 0.00341845 0.00321054 0.295101 0.277311 -1 -1 -1 -1 52 12449 43 1.21132e+07 4.02797e+06 805949. 3148.24 4.82 1.2104 1.11453 25992 162577 -1 9670 14 3083 6221 808658 230419 22.2502 22.2502 -1680.34 -22.2502 0 0 1.06067e+06 4143.25 0.23 0.28 0.14 -1 -1 0.23 0.11935 0.1109 0.008212 0.3529 0.01664 0.6305 - k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 567.80 vpr 459.82 MiB -1 -1 83.88 346828 123 56.93 -1 -1 79404 -1 117236 1232 114 45 8 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 470852 114 102 21994 21904 1 11410 1501 50 50 2500 memory auto 157.1 MiB 153.85 152566 937811 338282 579692 19837 459.8 MiB 23.16 0.20 79.5093 -52803.3 -79.5093 79.5093 19.01 0.0589847 0.0516048 7.12415 5.9667 -1 -1 -1 -1 94 230017 31 1.47946e+08 9.42277e+07 1.55181e+07 6207.23 151.42 27.1606 22.5407 341268 3271592 -1 206976 21 43395 164909 10164437 1931987 79.3467 79.3467 -64718.7 -79.3467 -7.07382 -0.296573 1.95446e+07 7817.85 6.05 6.03 2.95 -1 -1 6.05 3.41938 2.93997 0.08398 0.4124 0.01155 0.5761 - k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 4.79 vpr 64.62 MiB -1 -1 0.46 18580 3 0.09 -1 -1 33112 -1 53044 68 99 1 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 66176 99 130 344 474 1 224 298 12 12 144 clb auto 25.4 MiB 0.15 678 73928 21255 36531 16142 64.6 MiB 0.25 0.00 1.84343 -119.532 -1.84343 1.84343 0.27 0.00127236 0.00120574 0.0989421 0.0936831 -1 -1 -1 -1 50 1296 14 5.66058e+06 4.21279e+06 406292. 2821.48 1.82 0.448976 0.411503 13526 77840 -1 1195 11 357 532 29874 9121 1.99509 1.99509 -135.514 -1.99509 -0.544742 -0.178238 520805. 3616.70 0.11 0.05 0.07 -1 -1 0.11 0.0334864 0.0308874 0.01027 0.2615 0.07863 0.6599 - k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 10.02 vpr 67.49 MiB -1 -1 0.73 23636 15 0.35 -1 -1 34472 -1 54844 36 162 0 5 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 69108 162 96 1009 950 1 713 299 16 16 256 mult_36 auto 28.3 MiB 0.75 5721 86213 28592 52244 5377 67.5 MiB 0.66 0.01 20.8058 -1501.7 -20.8058 20.8058 0.51 0.00342119 0.00321645 0.305002 0.28669 -1 -1 -1 -1 48 13175 30 1.21132e+07 3.92018e+06 756778. 2956.16 3.01 0.967828 0.892591 25228 149258 -1 10301 18 3425 6878 942155 258318 22.3533 22.3533 -1697.63 -22.3533 0 0 968034. 3781.38 0.22 0.33 0.14 -1 -1 0.22 0.143607 0.132965 0.007929 0.3557 0.01643 0.6279 - k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 625.06 vpr 452.82 MiB -1 -1 84.98 342824 123 57.69 -1 -1 79376 -1 117200 1303 114 45 8 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 463692 114 102 21994 21904 1 11945 1572 50 50 2500 memory auto 156.6 MiB 165.49 160111 988260 342703 624333 21224 452.8 MiB 23.88 0.23 79.9872 -53373.2 -79.9872 79.9872 18.91 0.0587079 0.0512977 7.09493 5.97271 -1 -1 -1 -1 98 240200 31 1.47946e+08 9.80543e+07 1.60641e+07 6425.63 192.41 27.0374 22.491 348768 3430976 -1 216847 21 44681 169424 9942056 1841988 81.5457 81.5457 -64488 -81.5457 -39.6896 -0.29436 2.03677e+07 8147.07 6.25 5.93 3.10 -1 -1 6.25 3.40108 2.93127 0.08479 0.4269 0.01147 0.5616 - k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.82 vpr 64.68 MiB -1 -1 0.48 18524 3 0.09 -1 -1 33252 -1 53000 68 99 1 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 66236 99 130 344 474 1 224 298 12 12 144 clb auto 25.7 MiB 0.13 698 72933 20188 36234 16511 64.7 MiB 0.24 0.00 1.84564 -121.191 -1.84564 1.84564 0.26 0.00128124 0.00121391 0.0977819 0.0926146 -1 -1 -1 -1 48 1220 12 5.66058e+06 4.21279e+06 394078. 2736.65 0.84 0.349739 0.321525 13382 75762 -1 1200 11 356 571 27848 8427 1.97152 1.97152 -138.744 -1.97152 -0.366576 -0.220625 503207. 3494.49 0.11 0.05 0.07 -1 -1 0.11 0.0335359 0.030924 0.01177 0.2373 0.07035 0.6923 - k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 12.60 vpr 67.55 MiB -1 -1 0.73 23468 15 0.35 -1 -1 34400 -1 54856 36 162 0 5 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 69172 162 96 1009 950 1 713 299 16 16 256 mult_36 auto 28.4 MiB 0.93 5721 86213 28592 52244 5377 67.6 MiB 0.66 0.01 20.8058 -1501.7 -20.8058 20.8058 0.51 0.00335344 0.00314925 0.303909 0.285307 -1 -1 -1 -1 50 11869 21 1.21132e+07 3.92018e+06 780512. 3048.87 5.52 1.32663 1.21819 25484 153448 -1 10126 17 3362 6747 918313 252436 22.3405 22.3405 -1650.85 -22.3405 0 0 1.00276e+06 3917.05 0.22 0.31 0.13 -1 -1 0.22 0.137518 0.127577 0.008272 0.3466 0.01554 0.6378 - k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 598.55 vpr 491.77 MiB -1 -1 84.05 346908 123 53.64 -1 -1 79372 -1 117228 1201 114 45 8 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 503568 114 102 21994 21904 1 11170 1470 50 50 2500 memory auto 156.4 MiB 166.03 150651 903810 320390 563000 20420 459.0 MiB 22.23 0.19 78.4561 -52150.3 -78.4561 78.4561 18.73 0.058846 0.0512813 7.13124 5.98955 -1 -1 -1 -1 96 226476 38 1.47946e+08 9.25569e+07 1.58254e+07 6330.17 173.31 36.0661 29.773 343768 3324272 -1 204225 21 42293 163966 10269335 1942383 79.7709 79.7709 -64638.4 -79.7709 -5.0051 -0.29436 1.97871e+07 7914.84 6.66 6.08 2.93 -1 -1 6.66 3.42255 2.94526 0.08545 0.4092 0.0116 0.5791 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.41 vpr 66.85 MiB -1 -1 0.34 22268 3 0.10 -1 -1 37000 -1 54240 68 99 1 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 68452 99 130 344 474 1 226 298 12 12 144 clb auto 27.2 MiB 0.07 661 69948 21317 34488 14143 66.8 MiB 0.19 0.00 1.84343 -120.716 -1.84343 1.84343 0.15 0.000836305 0.000777868 0.0624432 0.0579886 -1 -1 -1 -1 48 1234 11 5.66058e+06 4.21279e+06 394078. 2736.65 1.13 0.388411 0.354166 13382 75762 -1 1152 12 449 726 34037 10619 1.91136 1.91136 -134.16 -1.91136 -1.28997 -0.320482 503207. 3494.49 0.02 0.04 0.08 -1 -1 0.02 0.0268156 0.0249636 0.01041 0.2485 0.08202 0.6695 +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 11.54 vpr 70.42 MiB -1 -1 0.52 27052 15 0.44 -1 -1 37612 -1 56036 39 162 0 5 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 72112 162 96 1009 950 1 709 302 16 16 256 mult_36 auto 30.4 MiB 0.30 5587 92394 30880 53838 7676 70.4 MiB 0.63 0.01 21.0975 -1536.06 -21.0975 21.0975 0.31 0.00264219 0.0024523 0.255431 0.237213 -1 -1 -1 -1 54 12491 42 1.21132e+07 4.08187e+06 835850. 3265.04 5.79 1.11973 1.03745 26248 167850 -1 9804 18 3129 6329 829951 256686 22.2714 22.2714 -1674.4 -22.2714 0 0 1.08614e+06 4242.72 0.05 0.30 0.16 -1 -1 0.05 0.123137 0.115878 0.007816 0.3726 0.01778 0.6096 +k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 445.10 vpr 422.13 MiB -1 -1 69.38 368528 123 78.20 -1 -1 82692 -1 118888 1375 114 45 8 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 432260 114 102 21994 21904 1 11802 1644 50 50 2500 memory auto 156.2 MiB 22.97 160790 1049136 374899 655277 18960 422.1 MiB 26.05 0.23 78.4871 -53143.2 -78.4871 78.4871 11.24 0.052813 0.0462077 6.2757 5.24155 -1 -1 -1 -1 94 237421 37 1.47946e+08 1.01935e+08 1.55181e+07 6207.23 158.08 25.6908 21.7243 341268 3271592 -1 217663 19 44811 172250 10215733 1905978 81.238 81.238 -63088.5 -81.238 -14.6885 -0.29436 1.95446e+07 7817.85 1.30 6.17 3.55 -1 -1 1.30 3.3903 2.9824 0.08135 0.4303 0.01138 0.5584 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.52 vpr 67.00 MiB -1 -1 0.34 22060 3 0.09 -1 -1 37120 -1 54184 68 99 1 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 68612 99 130 344 474 1 226 298 12 12 144 clb auto 27.4 MiB 0.11 716 68953 19729 34143 15081 67.0 MiB 0.20 0.00 1.84343 -118.985 -1.84343 1.84343 0.16 0.000884793 0.00082197 0.0659105 0.0611015 -1 -1 -1 -1 50 1307 17 5.66058e+06 4.21279e+06 406292. 2821.48 1.16 0.290646 0.265392 13526 77840 -1 1223 12 430 705 34827 10711 2.03591 2.03591 -135.117 -2.03591 -0.536858 -0.172926 520805. 3616.70 0.02 0.04 0.08 -1 -1 0.02 0.0279279 0.0259917 0.01127 0.2362 0.07017 0.6936 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml diffeq1.v common 11.30 vpr 70.10 MiB -1 -1 0.54 27172 15 0.44 -1 -1 38168 -1 56116 39 162 0 5 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 71784 162 96 1009 950 1 709 302 16 16 256 mult_36 auto 30.1 MiB 0.39 5587 92394 30879 53839 7676 70.1 MiB 0.66 0.01 21.0975 -1536.12 -21.0975 21.0975 0.31 0.00286543 0.00265289 0.276055 0.256826 -1 -1 -1 -1 56 11626 33 1.21132e+07 4.08187e+06 870502. 3400.40 5.32 1.27718 1.18421 26504 172068 -1 9678 21 2911 5904 758117 233537 22.3178 22.3178 -1651.57 -22.3178 0 0 1.11200e+06 4343.75 0.05 0.32 0.17 -1 -1 0.05 0.141995 0.133696 0.008142 0.3626 0.01671 0.6207 +k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml LU8PEEng.v common 454.81 vpr 426.46 MiB -1 -1 71.31 367564 123 81.62 -1 -1 82248 -1 118536 1291 114 45 8 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 436700 114 102 21994 21904 1 11352 1560 50 50 2500 memory auto 158.3 MiB 61.05 152483 987356 362069 607612 17675 426.5 MiB 26.52 0.22 79.1367 -50547.3 -79.1367 79.1367 11.72 0.0513453 0.0448586 6.66942 5.5865 -1 -1 -1 -1 92 232994 28 1.47946e+08 9.74075e+07 1.52089e+07 6083.58 120.41 24.0133 20.3765 338772 3221652 -1 208917 21 44965 172666 10235030 1916854 80.0387 80.0387 -62312.2 -80.0387 -21.5187 -0.29436 1.93279e+07 7731.17 1.18 6.37 3.45 -1 -1 1.18 3.59895 3.14915 0.08251 0.4177 0.01128 0.571 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.65 vpr 67.00 MiB -1 -1 0.50 22252 3 0.10 -1 -1 36688 -1 54376 68 99 1 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 68612 99 130 344 474 1 226 298 12 12 144 clb auto 27.4 MiB 0.18 657 69948 21799 34316 13833 67.0 MiB 0.21 0.00 1.84343 -120.64 -1.84343 1.84343 0.18 0.000886209 0.000824463 0.0692794 0.0642267 -1 -1 -1 -1 32 1388 26 5.66058e+06 4.21279e+06 295695. 2053.44 1.01 0.371976 0.339221 12440 56522 -1 1281 8 406 621 30008 10252 1.97803 1.97803 -144.136 -1.97803 -0.60255 -0.299894 361905. 2513.23 0.02 0.03 0.06 -1 -1 0.02 0.0222882 0.0208356 0.009961 0.2415 0.06916 0.6893 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 10.92 vpr 70.39 MiB -1 -1 0.54 27244 15 0.44 -1 -1 37732 -1 56248 40 162 0 5 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 72084 162 96 1009 950 1 696 303 16 16 256 mult_36 auto 30.4 MiB 0.86 5934 80646 26276 47788 6582 70.4 MiB 0.58 0.01 21.2251 -1508.83 -21.2251 21.2251 0.33 0.00283465 0.00263501 0.238888 0.222237 -1 -1 -1 -1 48 12517 33 1.21132e+07 4.13576e+06 791884. 3093.30 4.47 0.941674 0.874136 26208 159478 -1 10162 20 3211 6863 1007611 289801 22.7677 22.7677 -1634.2 -22.7677 0 0 1.01413e+06 3961.44 0.05 0.36 0.15 -1 -1 0.05 0.139248 0.131173 0.007873 0.3554 0.01647 0.6281 +k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 703.20 vpr 483.03 MiB -1 -1 69.41 367768 123 81.19 -1 -1 82620 -1 118468 1295 114 45 8 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 494624 114 102 21994 21904 1 11910 1564 50 50 2500 memory auto 157.6 MiB 288.91 168628 945260 315204 610145 19911 454.5 MiB 31.50 0.29 79.1157 -53153.5 -79.1157 79.1157 14.00 0.063746 0.0514653 7.06984 5.87214 -1 -1 -1 -1 98 242849 30 1.47946e+08 9.76231e+07 1.67994e+07 6719.74 134.12 33.2889 27.9425 360864 3674624 -1 218508 19 40744 157019 9540618 1798304 79.9734 79.9734 -63262.9 -79.9734 -30.1732 -0.292146 2.12220e+07 8488.81 1.35 6.10 4.17 -1 -1 1.35 3.49121 3.08435 0.08742 0.4247 0.0114 0.5639 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 2.71 vpr 67.07 MiB -1 -1 0.35 21868 3 0.09 -1 -1 36956 -1 54424 68 99 1 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 68676 99 130 344 474 1 226 298 12 12 144 clb auto 27.2 MiB 0.15 708 68953 19077 35256 14620 67.1 MiB 0.19 0.00 1.84675 -120.418 -1.84675 1.84675 0.16 0.000896212 0.000833184 0.0639544 0.0592867 -1 -1 -1 -1 32 1546 17 5.66058e+06 4.21279e+06 295695. 2053.44 0.32 0.170676 0.156606 12440 56522 -1 1380 11 395 551 27778 8974 2.00702 2.00702 -146.809 -2.00702 -0.360519 -0.100806 361905. 2513.23 0.02 0.04 0.05 -1 -1 0.02 0.0259164 0.0241103 0.01128 0.2266 0.06022 0.7132 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 10.36 vpr 70.66 MiB -1 -1 0.53 27052 15 0.44 -1 -1 37896 -1 56252 38 162 0 5 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 72360 162 96 1009 950 1 695 301 16 16 256 mult_36 auto 30.6 MiB 0.96 5610 94045 31257 55412 7376 70.7 MiB 0.66 0.01 21.0415 -1518.59 -21.0415 21.0415 0.32 0.00263298 0.00244415 0.272234 0.253005 -1 -1 -1 -1 46 12438 44 1.21132e+07 4.02797e+06 761464. 2974.47 3.78 0.861417 0.798378 25952 154797 -1 10002 19 3159 6571 902884 257450 22.3413 22.3413 -1646.84 -22.3413 0 0 979054. 3824.43 0.05 0.34 0.14 -1 -1 0.05 0.135868 0.128087 0.008214 0.3392 0.01581 0.645 +k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 744.82 vpr 455.62 MiB -1 -1 69.63 367688 123 80.93 -1 -1 82244 -1 118696 1204 114 45 8 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 466560 114 102 21994 21904 1 11268 1473 50 50 2500 memory auto 158.4 MiB 282.82 156364 872681 296759 559651 16271 455.6 MiB 29.99 0.27 78.504 -53005.8 -78.504 78.504 13.96 0.0619622 0.0510826 7.13214 5.89212 -1 -1 -1 -1 94 233798 34 1.47946e+08 9.27186e+07 1.62379e+07 6495.14 184.08 27.3186 22.8787 353364 3504872 -1 209981 21 41328 165352 10516220 1993935 81.0151 81.0151 -67028.4 -81.0151 -12.5546 -0.197657 2.03897e+07 8155.87 1.25 6.43 3.72 -1 -1 1.25 3.61333 3.17146 0.08732 0.4072 0.01132 0.5815 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 2.79 vpr 67.08 MiB -1 -1 0.34 22252 3 0.10 -1 -1 36952 -1 54144 68 99 1 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 68688 99 130 344 474 1 224 298 12 12 144 clb auto 27.6 MiB 0.19 716 70943 21060 35397 14486 67.1 MiB 0.20 0.00 1.84896 -120.96 -1.84896 1.84896 0.17 0.000862946 0.000802462 0.0670346 0.0622019 -1 -1 -1 -1 32 1428 10 5.66058e+06 4.21279e+06 307825. 2137.67 0.32 0.1682 0.154726 12860 59602 -1 1442 8 364 510 28016 9494 2.05066 2.05066 -148.698 -2.05066 -0.675425 -0.245041 375846. 2610.04 0.02 0.03 0.06 -1 -1 0.02 0.0215026 0.020136 0.009933 0.2641 0.06746 0.6684 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 11.92 vpr 70.45 MiB -1 -1 0.53 27052 15 0.44 -1 -1 37680 -1 56060 37 162 0 5 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 72144 162 96 1009 950 1 707 300 16 16 256 mult_36 auto 30.3 MiB 0.74 5704 81543 25784 48359 7400 70.5 MiB 0.57 0.01 20.9352 -1494.36 -20.9352 20.9352 0.33 0.00259033 0.00240721 0.234272 0.217801 -1 -1 -1 -1 52 12533 39 1.21132e+07 3.97408e+06 875283. 3419.07 5.55 0.936518 0.866387 27812 183157 -1 9653 22 3476 7386 893863 290905 21.9608 21.9608 -1590.11 -21.9608 0 0 1.15281e+06 4503.17 0.05 0.35 0.17 -1 -1 0.05 0.148456 0.139689 0.008189 0.3582 0.01716 0.6246 +k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 852.03 vpr 480.05 MiB -1 -1 68.54 368380 123 82.03 -1 -1 82692 -1 118908 1295 114 45 8 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 491576 114 102 21994 21904 1 11667 1564 50 50 2500 memory auto 156.9 MiB 348.48 159445 999704 349840 626772 23092 480.1 MiB 33.85 0.28 79.5508 -52717.5 -79.5508 79.5508 16.45 0.0643799 0.0538614 7.57281 6.24305 -1 -1 -1 -1 94 235648 38 1.47946e+08 9.76231e+07 1.68500e+07 6739.98 216.13 27.8936 23.4976 363732 3705320 -1 209176 21 38146 152658 9364243 1833644 80.2012 80.2012 -65372.2 -80.2012 -24.7714 -0.29436 2.11127e+07 8445.07 1.37 6.17 4.09 -1 -1 1.37 3.64236 3.22927 0.08909 0.4064 0.01173 0.5819 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.38 vpr 67.24 MiB -1 -1 0.34 22252 3 0.10 -1 -1 36940 -1 54184 68 99 1 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 68856 99 130 344 474 1 224 298 12 12 144 clb auto 27.6 MiB 0.17 685 71938 21956 34416 15566 67.2 MiB 0.20 0.00 1.86702 -120.567 -1.86702 1.86702 0.17 0.000840139 0.000780054 0.0661233 0.0614189 -1 -1 -1 -1 40 1341 11 5.66058e+06 4.21279e+06 362583. 2517.93 0.94 0.32969 0.301046 13576 72659 -1 1205 8 379 625 31544 10360 1.93189 1.93189 -137.973 -1.93189 -0.445929 -0.145548 454087. 3153.38 0.02 0.03 0.07 -1 -1 0.02 0.0218132 0.0204371 0.0117 0.221 0.06779 0.7112 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 10.17 vpr 70.94 MiB -1 -1 0.52 27052 15 0.43 -1 -1 37788 -1 56252 37 162 0 5 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 72640 162 96 1009 950 1 707 300 16 16 256 mult_36 auto 31.0 MiB 0.92 5704 81543 25785 48357 7401 70.9 MiB 0.56 0.01 20.9352 -1494.22 -20.9352 20.9352 0.34 0.00276197 0.00257238 0.229602 0.213333 -1 -1 -1 -1 56 11092 31 1.21132e+07 3.97408e+06 945639. 3693.90 3.68 0.8882 0.822144 28324 193488 -1 9420 19 3060 6353 811233 266976 22.1753 22.1753 -1612.49 -22.1753 0 0 1.20516e+06 4707.66 0.06 0.32 0.18 -1 -1 0.06 0.132099 0.124397 0.008508 0.351 0.01643 0.6325 +k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 800.27 vpr 480.31 MiB -1 -1 66.50 369244 123 80.51 -1 -1 82696 -1 118696 1188 114 45 8 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 491836 114 102 21994 21904 1 10835 1457 50 50 2500 memory auto 157.2 MiB 367.81 151297 893105 311026 558202 23877 480.3 MiB 30.19 0.25 79.0799 -49383.5 -79.0799 79.0799 16.49 0.0623296 0.0509202 7.31405 6.03031 -1 -1 -1 -1 90 226742 49 1.47946e+08 9.18562e+07 1.62125e+07 6485.01 151.43 27.118 22.6334 356236 3531108 -1 200460 19 37141 154241 9363659 1835408 78.6011 78.6011 -58698.9 -78.6011 -16.4075 -0.295467 2.01810e+07 8072.38 1.27 5.81 3.63 -1 -1 1.27 3.34286 2.96487 0.08925 0.3871 0.01177 0.6011 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.50 vpr 67.18 MiB -1 -1 0.33 21976 3 0.09 -1 -1 36952 -1 54240 68 99 1 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 68788 99 130 344 474 1 226 298 12 12 144 clb auto 27.6 MiB 0.16 661 69948 21317 34488 14143 67.2 MiB 0.19 0.00 1.84343 -120.716 -1.84343 1.84343 0.15 0.000855359 0.000794451 0.0626095 0.0580899 -1 -1 -1 -1 48 1202 11 5.66058e+06 4.21279e+06 394078. 2736.65 1.13 0.39306 0.358389 13382 75762 -1 1134 10 422 645 32033 10158 1.91136 1.91136 -130.644 -1.91136 -1.28997 -0.320482 503207. 3494.49 0.02 0.03 0.08 -1 -1 0.02 0.0244373 0.0228444 0.01049 0.2493 0.08136 0.6693 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 9.57 vpr 70.58 MiB -1 -1 0.51 26860 15 0.44 -1 -1 37852 -1 56252 39 162 0 5 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 72276 162 96 1009 950 1 697 302 16 16 256 mult_36 auto 30.6 MiB 0.83 5715 95430 31310 57647 6473 70.6 MiB 0.66 0.01 20.8518 -1552.97 -20.8518 20.8518 0.30 0.00258218 0.00239459 0.273595 0.254193 -1 -1 -1 -1 48 12084 29 1.21132e+07 4.08187e+06 756778. 2956.16 3.27 0.921586 0.854152 25228 149258 -1 9656 18 3121 6355 884412 237055 21.9917 21.9917 -1682.46 -21.9917 0 0 968034. 3781.38 0.05 0.31 0.14 -1 -1 0.05 0.127578 0.120363 0.007812 0.3548 0.01692 0.6283 +k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 593.80 vpr 464.46 MiB -1 -1 65.86 368140 123 80.41 -1 -1 82500 -1 118312 1347 114 45 8 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 475612 114 102 21994 21904 1 11941 1616 50 50 2500 memory auto 156.5 MiB 202.98 161581 1053785 380004 651181 22600 415.9 MiB 30.32 0.25 80.4223 -52301.6 -80.4223 80.4223 11.61 0.0557918 0.0487136 7.05681 5.85434 -1 -1 -1 -1 98 236041 20 1.47946e+08 1.00426e+08 1.60641e+07 6425.63 115.52 29.836 25.0276 348768 3430976 -1 215161 19 44817 170245 9640319 1795085 80.5561 80.5561 -67031.5 -80.5561 -22.6598 -0.295467 2.03677e+07 8147.07 1.37 6.20 3.86 -1 -1 1.37 3.53842 3.13834 0.08366 0.4323 0.01148 0.5562 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.48 vpr 66.52 MiB -1 -1 0.33 22064 3 0.09 -1 -1 36964 -1 54760 68 99 1 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 68120 99 130 344 474 1 226 298 12 12 144 clb auto 27.2 MiB 0.14 716 68953 19729 34143 15081 66.5 MiB 0.19 0.00 1.84343 -118.985 -1.84343 1.84343 0.15 0.000850742 0.000790759 0.0619281 0.0573987 -1 -1 -1 -1 50 1303 17 5.66058e+06 4.21279e+06 406292. 2821.48 1.13 0.284861 0.260317 13526 77840 -1 1233 9 409 653 33632 10437 2.03591 2.03591 -135.721 -2.03591 -0.536858 -0.172926 520805. 3616.70 0.02 0.03 0.08 -1 -1 0.02 0.0227263 0.0212214 0.01137 0.2373 0.06958 0.6931 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 9.16 vpr 70.54 MiB -1 -1 0.52 27100 15 0.44 -1 -1 37852 -1 56252 38 162 0 5 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 72236 162 96 1009 950 1 698 301 16 16 256 mult_36 auto 30.6 MiB 0.93 5743 95053 31171 56566 7316 70.5 MiB 0.65 0.01 20.8747 -1519.77 -20.8747 20.8747 0.30 0.00265626 0.00247508 0.263667 0.244952 -1 -1 -1 -1 50 11584 21 1.21132e+07 4.02797e+06 780512. 3048.87 2.75 0.873367 0.809368 25484 153448 -1 9840 19 3115 6410 830429 238282 22.4175 22.4175 -1627.45 -22.4175 0 0 1.00276e+06 3917.05 0.05 0.29 0.14 -1 -1 0.05 0.127592 0.120101 0.008086 0.3483 0.01585 0.6359 +k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 642.74 vpr 424.46 MiB -1 -1 67.05 367476 123 81.67 -1 -1 82216 -1 118696 1233 114 45 8 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 434644 114 102 21994 21904 1 11346 1502 50 50 2500 memory auto 157.1 MiB 204.08 152608 947282 342361 587666 17255 424.5 MiB 26.93 0.23 77.9707 -50234.9 -77.9707 77.9707 11.47 0.0552588 0.0485277 6.75993 5.66432 -1 -1 -1 -1 94 230710 41 1.47946e+08 9.42816e+07 1.55181e+07 6207.23 165.50 28.1624 23.7769 341268 3271592 -1 207523 20 44227 170381 10330402 1943997 78.529 78.529 -62339.6 -78.529 -13.269 -0.296573 1.95446e+07 7817.85 1.31 6.28 3.59 -1 -1 1.31 3.54084 3.11642 0.08416 0.4117 0.01161 0.5767 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 2.92 vpr 67.10 MiB -1 -1 0.34 21976 3 0.10 -1 -1 36508 -1 54432 68 99 1 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 68712 99 130 344 474 1 224 298 12 12 144 clb auto 27.2 MiB 0.17 706 70943 20941 35477 14525 67.1 MiB 0.20 0.00 1.84453 -119.21 -1.84453 1.84453 0.16 0.000828297 0.000769099 0.0639434 0.0592965 -1 -1 -1 -1 48 1237 11 5.66058e+06 4.21279e+06 394078. 2736.65 0.48 0.179327 0.164594 13382 75762 -1 1171 9 372 557 25031 7824 1.88715 1.88715 -132.438 -1.88715 -0.22767 -0.0807994 503207. 3494.49 0.02 0.03 0.08 -1 -1 0.02 0.0229381 0.0214536 0.01087 0.265 0.07954 0.6554 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 10.27 vpr 70.63 MiB -1 -1 0.53 27188 15 0.44 -1 -1 37784 -1 55936 39 162 0 5 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 72328 162 96 1009 950 1 696 302 16 16 256 mult_36 auto 30.6 MiB 0.67 5628 84298 25487 51591 7220 70.6 MiB 0.59 0.01 20.5614 -1538.13 -20.5614 20.5614 0.30 0.0027231 0.00253236 0.238571 0.221802 -1 -1 -1 -1 46 12426 32 1.21132e+07 4.08187e+06 727248. 2840.81 4.16 0.909927 0.842865 24972 144857 -1 10162 19 3458 7319 913728 258180 21.8808 21.8808 -1652.95 -21.8808 0 0 934704. 3651.19 0.05 0.32 0.14 -1 -1 0.05 0.131367 0.123688 0.007933 0.3493 0.01655 0.6342 +k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 743.04 vpr 413.75 MiB -1 -1 65.30 368232 123 80.19 -1 -1 82500 -1 118368 1321 114 45 8 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 423676 114 102 21994 21904 1 11865 1590 50 50 2500 memory auto 157.0 MiB 234.23 159962 1012674 360995 632974 18705 413.7 MiB 28.65 0.24 80.0347 -53799.9 -80.0347 80.0347 11.56 0.0584029 0.048305 6.87553 5.75603 -1 -1 -1 -1 98 236251 50 1.47946e+08 9.90244e+07 1.60641e+07 6425.63 236.89 27.583 23.3765 348768 3430976 -1 213518 19 44437 171946 9945264 1846943 82.1489 82.1489 -66738.4 -82.1489 -32.5879 -0.295467 2.03677e+07 8147.07 1.31 6.03 3.88 -1 -1 1.31 3.45254 3.05529 0.0845 0.427 0.01145 0.5616 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 2.93 vpr 67.35 MiB -1 -1 0.33 22060 3 0.09 -1 -1 36884 -1 54528 68 99 1 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 68968 99 130 344 474 1 224 298 12 12 144 clb auto 27.6 MiB 0.15 687 68953 19356 33866 15731 67.4 MiB 0.19 0.00 1.84343 -121.129 -1.84343 1.84343 0.16 0.00085163 0.000776737 0.0614238 0.0570225 -1 -1 -1 -1 50 1252 13 5.66058e+06 4.21279e+06 406292. 2821.48 0.55 0.231719 0.212206 13526 77840 -1 1181 11 369 581 25228 7706 1.92695 1.92695 -132.699 -1.92695 -0.484167 -0.178238 520805. 3616.70 0.02 0.04 0.08 -1 -1 0.02 0.0260129 0.0241858 0.01196 0.2348 0.06986 0.6954 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 10.78 vpr 70.93 MiB -1 -1 0.50 27244 15 0.44 -1 -1 37616 -1 56252 39 162 0 5 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 72628 162 96 1009 950 1 696 302 16 16 256 mult_36 auto 30.6 MiB 0.82 5628 84298 25487 51591 7220 70.9 MiB 0.61 0.01 20.5614 -1538.13 -20.5614 20.5614 0.31 0.00277962 0.00258393 0.2467 0.229461 -1 -1 -1 -1 48 11900 27 1.21132e+07 4.08187e+06 756778. 2956.16 4.46 1.13654 1.0514 25228 149258 -1 9893 20 3407 7154 882105 254608 22.0311 22.0311 -1692.99 -22.0311 0 0 968034. 3781.38 0.05 0.34 0.14 -1 -1 0.05 0.137752 0.129626 0.008223 0.3385 0.01605 0.6455 +k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 690.94 vpr 425.08 MiB -1 -1 65.25 367856 123 79.72 -1 -1 82696 -1 118676 1206 114 45 8 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 435280 114 102 21994 21904 1 11136 1475 50 50 2500 memory auto 157.9 MiB 235.02 149552 916312 318253 574633 23426 425.1 MiB 26.64 0.23 79.6388 -49613.8 -79.6388 79.6388 11.39 0.057808 0.048017 6.8334 5.67923 -1 -1 -1 -1 96 228699 35 1.47946e+08 9.28264e+07 1.58254e+07 6330.17 187.06 28.054 23.6791 343768 3324272 -1 203782 21 43588 169952 10265906 1938967 79.9409 79.9409 -62955.8 -79.9409 -22.6241 -0.295467 1.97871e+07 7914.84 1.28 6.23 3.62 -1 -1 1.28 3.53958 3.11283 0.08518 0.4086 0.01162 0.5798 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_circuit_list/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_circuit_list/config/golden_results.txt index cc62481848e..8d6884970eb 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_circuit_list/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/power_extended_circuit_list/config/golden_results.txt @@ -1,15 +1,15 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml bgm.v common 101.34 parmys 236.79 MiB -1 -1 60.71 242472 13 8.21 -1 -1 47864 -1 49620 321 257 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 95300 257 32 5008 5040 1 2969 610 23 23 529 clb auto 50.4 MiB 3.82 20279 215248 66110 139947 9191 93.1 MiB 3.04 0.04 8.70616 -3949.99 -8.70616 8.70616 1.18 0.0125771 0.0113805 1.0992 0.970008 -1 -1 -1 -1 56 34377 21 2.70004e+07 1.73e+07 1.92373e+06 3636.54 8.22 3.45323 3.01988 56706 387443 -1 30578 16 11916 36297 982790 202359 9.34373 9.34373 -4057.11 -9.34373 0 0 2.45466e+06 4640.18 0.60 0.82 0.32 -1 -1 0.60 0.512599 0.462114 0.01666 0.4299 0.03362 0.5365 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml blob_merge.v common 107.46 parmys 306.12 MiB -1 -1 20.79 313468 7 12.29 -1 -1 61008 -1 50612 547 36 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 159172 36 100 6778 6878 1 3152 683 30 30 900 clb auto 67.4 MiB 3.53 43003 265211 75875 171128 18208 113.8 MiB 5.03 0.06 5.7259 -2235.23 -5.7259 5.7259 2.15 0.0185179 0.0154456 1.73258 1.47032 -1 -1 -1 -1 70 65187 20 4.8774e+07 2.948e+07 4.18297e+06 4647.75 38.88 8.89422 7.5224 106732 854442 -1 61867 16 14594 66731 2752238 371387 6.2856 6.2856 -2335.12 -6.2856 0 0 5.26086e+06 5845.40 1.29 1.60 0.70 -1 -1 1.29 0.836319 0.749628 0.02599 0.3676 0.06197 0.5704 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml boundtop.v common 24.16 vpr 67.42 MiB -1 -1 17.13 32316 4 0.24 -1 -1 34324 -1 55220 50 195 1 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 69040 195 193 1087 1280 1 611 439 15 15 225 io auto 28.5 MiB 0.29 3380 103793 26292 68639 8862 67.4 MiB 0.54 0.01 2.49928 -1085.58 -2.49928 2.49928 0.45 0.00353825 0.00330455 0.231878 0.216292 -1 -1 -1 -1 38 6431 21 1.03862e+07 3.2427e+06 544128. 2418.35 1.99 1.01597 0.930332 21038 109288 -1 5638 14 1680 2547 165707 45554 2.61737 2.61737 -1202.07 -2.61737 -0.383541 -0.179345 690492. 3068.85 0.16 0.17 0.09 -1 -1 0.16 0.118647 0.10973 0.01444 0.3894 0.05366 0.5569 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.90 vpr 64.11 MiB -1 -1 0.46 18576 3 0.09 -1 -1 33260 -1 53084 68 99 1 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 65652 99 130 344 474 1 226 298 12 12 144 clb auto 24.9 MiB 0.08 690 66963 18463 34948 13552 64.1 MiB 0.23 0.00 1.84453 -119.387 -1.84453 1.84453 0.26 0.00128062 0.00121358 0.0901314 0.0853406 -1 -1 -1 -1 48 1235 27 5.66058e+06 4.21279e+06 394078. 2736.65 0.89 0.376068 0.345073 13382 75762 -1 1211 8 384 646 27249 8414 1.92052 1.92052 -141.203 -1.92052 -0.22504 -0.106568 503207. 3494.49 0.11 0.04 0.07 -1 -1 0.11 0.0270219 0.0250048 0.01051 0.26 0.08082 0.6592 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 10.77 vpr 67.23 MiB -1 -1 0.74 23536 15 0.37 -1 -1 34412 -1 54864 39 162 0 5 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 68840 162 96 1009 950 1 711 302 16 16 256 mult_36 auto 28.0 MiB 0.26 5690 95430 30621 57523 7286 67.2 MiB 0.71 0.01 21.0118 -1578.46 -21.0118 21.0118 0.51 0.00332849 0.00313083 0.328391 0.308461 -1 -1 -1 -1 48 13017 37 1.21132e+07 4.08187e+06 756778. 2956.16 4.22 1.0699 0.986615 25228 149258 -1 10215 19 3466 7106 912370 260096 21.8757 21.8757 -1697.9 -21.8757 0 0 968034. 3781.38 0.22 0.33 0.13 -1 -1 0.22 0.149551 0.138422 0.007773 0.3631 0.01709 0.6198 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq2.v common 11.72 vpr 65.73 MiB -1 -1 0.58 22544 16 0.25 -1 -1 33408 -1 53836 25 66 0 5 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 67312 66 96 616 557 1 415 192 16 16 256 mult_36 auto 26.2 MiB 0.24 3582 35584 8711 22727 4146 65.7 MiB 0.31 0.01 17.0763 -933.216 -17.0763 17.0763 0.51 0.00238059 0.00225121 0.161867 0.152888 -1 -1 -1 -1 38 9038 38 1.21132e+07 3.32735e+06 614590. 2400.74 6.60 0.921446 0.844635 23696 123034 -1 7156 21 2451 5027 1039467 341839 18.1068 18.1068 -1021.94 -18.1068 0 0 780512. 3048.87 0.18 0.32 0.11 -1 -1 0.18 0.111255 0.102688 0.007306 0.3288 0.0194 0.6518 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 412.25 vpr 459.94 MiB -1 -1 83.91 345680 123 55.18 -1 -1 79452 -1 117364 1366 114 45 8 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 470976 114 102 21994 21904 1 11836 1635 50 50 2500 memory auto 154.7 MiB 20.14 157807 1070343 385976 662554 21813 459.9 MiB 27.15 0.21 79.4041 -53458.1 -79.4041 79.4041 20.21 0.0604698 0.0528011 7.69778 6.42684 -1 -1 -1 -1 94 240754 50 1.47946e+08 1.0145e+08 1.55181e+07 6207.23 125.92 26.5625 22.0155 341268 3271592 -1 215929 21 44770 168610 10181079 1913380 79.6681 79.6681 -67309 -79.6681 -34.1184 -0.295467 1.95446e+07 7817.85 5.86 5.76 2.89 -1 -1 5.86 3.26586 2.8046 0.08167 0.4293 0.0115 0.5592 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mkDelayWorker32B.v common 90.48 vpr 331.86 MiB -1 -1 18.16 125044 5 3.21 -1 -1 56032 -1 73368 460 506 47 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 339828 506 553 3055 3608 1 2790 1566 50 50 2500 memory auto 46.0 MiB 4.79 16153 1137816 548528 407688 181600 331.9 MiB 5.44 0.07 6.67096 -2020.14 -6.67096 6.67096 19.12 0.0225934 0.0204156 2.93437 2.63134 -1 -1 -1 -1 38 23317 18 1.47946e+08 5.05479e+07 6.86584e+06 2746.33 16.87 8.18989 7.41168 251304 1421084 -1 22431 17 3841 4967 1023796 259831 7.09403 7.09403 -2394.32 -7.09403 -1.60806 -0.199574 8.69095e+06 3476.38 2.76 1.13 1.19 -1 -1 2.76 0.934502 0.861192 0.1726 0.1419 0.03943 0.8187 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mkPktMerge.v common 21.17 vpr 71.31 MiB -1 -1 1.27 25292 2 0.14 -1 -1 33632 -1 60032 26 311 15 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 73020 311 156 972 1128 1 953 508 28 28 784 memory auto 28.8 MiB 0.46 9244 197030 68488 118013 10529 71.3 MiB 1.14 0.02 4.08264 -4469.06 -4.08264 4.08264 1.88 0.00555662 0.00492709 0.564647 0.499581 -1 -1 -1 -1 36 15359 25 4.25198e+07 9.62124e+06 1.94918e+06 2486.20 9.68 2.58077 2.28028 74338 387760 -1 14127 14 3001 3471 707329 200421 4.39536 4.39536 -4930.06 -4.39536 -23.3965 -0.340786 2.40571e+06 3068.51 0.65 0.37 0.32 -1 -1 0.65 0.203975 0.183729 0.08358 0.1547 0.01721 0.828 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mkSMAdapter4B.v common 25.81 vpr 75.85 MiB -1 -1 8.75 54500 7 2.29 -1 -1 39580 -1 59092 155 193 5 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 77668 193 205 2234 2439 1 1177 558 20 20 400 memory auto 35.7 MiB 1.09 9343 230158 76067 129187 24904 75.8 MiB 1.66 0.02 4.77105 -2950.24 -4.77105 4.77105 0.85 0.00679034 0.00613812 0.712263 0.639828 -1 -1 -1 -1 48 16951 19 2.07112e+07 1.10936e+07 1.23055e+06 3076.38 4.06 1.80116 1.60396 40448 245963 -1 14947 15 4199 10676 555153 128354 5.33264 5.33264 -3131.09 -5.33264 -6.85654 -0.29768 1.57502e+06 3937.55 0.37 0.39 0.21 -1 -1 0.37 0.26107 0.236959 0.029 0.2155 0.0258 0.7587 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml or1200.v common 59.82 vpr 99.94 MiB -1 -1 7.76 67916 27 4.04 -1 -1 38688 -1 61160 230 385 2 1 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 102336 385 394 3906 4237 1 2371 1012 27 27 729 io auto 45.8 MiB 2.74 31818 549436 207916 318095 23425 88.1 MiB 5.14 0.06 13.6891 -13601.7 -13.6891 13.6891 1.80 0.015847 0.01475 2.00047 1.83115 -1 -1 -1 -1 74 52043 45 3.93038e+07 1.38876e+07 3.51708e+06 4824.52 23.64 7.06874 6.48735 88217 717307 -1 45806 15 11143 38956 2327403 423270 13.7426 13.7426 -14238.9 -13.7426 0 0 4.41327e+06 6053.86 1.15 1.18 0.59 -1 -1 1.15 0.620583 0.577856 0.02262 0.455 0.02732 0.5177 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml raygentop.v common 26.77 vpr 77.02 MiB -1 -1 6.02 45696 8 1.03 -1 -1 37700 -1 60816 132 235 1 6 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 78872 235 305 2600 2761 1 1513 679 19 19 361 io auto 36.9 MiB 2.30 12446 257217 87938 156711 12568 77.0 MiB 2.00 0.03 5.21052 -2695.25 -5.21052 5.21052 0.78 0.00833798 0.00759325 0.784503 0.720451 -1 -1 -1 -1 56 23724 29 1.72706e+07 1.0038e+07 1.27879e+06 3542.35 6.44 2.59347 2.36584 38159 255829 -1 21061 16 6083 16778 1518471 388985 5.74403 5.74403 -2918.04 -5.74403 -0.678968 -0.063748 1.63234e+06 4521.70 0.37 0.64 0.21 -1 -1 0.37 0.322829 0.298228 0.02425 0.4068 0.02614 0.567 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml sha.v common 19.90 vpr 78.46 MiB -1 -1 4.15 47060 21 2.26 -1 -1 40792 -1 45652 149 38 0 0 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 80344 38 36 2570 2606 1 1067 223 17 17 289 clb auto 37.6 MiB 1.11 9186 42135 10514 28822 2799 78.5 MiB 0.87 0.01 14.489 -2637.24 -14.489 14.489 0.59 0.00570235 0.00505996 0.410899 0.362544 -1 -1 -1 -1 48 15409 28 1.34605e+07 8.03021e+06 864508. 2991.38 3.30 1.52379 1.3128 28519 171069 -1 13295 15 4245 12043 362530 70167 15.0234 15.0234 -2942.08 -15.0234 0 0 1.10659e+06 3829.03 0.25 0.37 0.14 -1 -1 0.25 0.250361 0.222953 0.006573 0.364 0.03019 0.6058 - k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mcml.v common 5120.01 vpr 1.66 GiB -1 -1 669.92 1429688 64 3238.48 -1 -1 348532 -1 316988 6755 36 159 27 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 1745216 36 356 125423 124208 1 35759 7333 98 98 9604 clb auto 669.3 MiB 69.08 472751 9976873 4211332 5688117 77424 1704.3 MiB 166.62 1.28 62.922 -266875 -62.922 62.922 79.42 0.238495 0.197947 34.8953 29.1342 -1 -1 -1 -1 84 619524 38 5.9175e+08 4.61843e+08 5.50586e+07 5732.88 291.80 112.255 92.7458 1265060 11766760 -1 588054 18 120512 381178 21916553 4319201 63.8888 63.8888 -334021 -63.8888 0 0 6.99411e+07 7282.50 23.74 17.67 10.44 -1 -1 23.74 11.6929 10.0211 0.2761 0.3715 0.01425 0.6143 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml bgm.v common 98.48 parmys 238.48 MiB -1 -1 62.65 244200 13 8.25 -1 -1 47788 -1 49624 326 257 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 95576 257 32 5008 5040 1 2954 615 23 23 529 clb auto 50.5 MiB 2.76 20267 220191 65143 146065 8983 93.3 MiB 2.97 0.04 8.82032 -3904.7 -8.82032 8.82032 0.54 0.0109643 0.0098333 1.02884 0.902188 -1 -1 -1 -1 56 33645 24 2.70004e+07 1.75694e+07 1.92373e+06 3636.54 6.22 3.27588 2.85668 56706 387443 -1 30010 16 11550 36095 972511 199422 9.40635 9.40635 -3964.62 -9.40635 0 0 2.45466e+06 4640.18 0.09 0.82 0.33 -1 -1 0.09 0.508209 0.459137 0.01648 0.4272 0.03377 0.5391 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml blob_merge.v common 94.21 parmys 306.69 MiB -1 -1 21.16 314052 7 13.24 -1 -1 60844 -1 50612 549 36 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 136868 36 100 6778 6878 1 3144 685 30 30 900 clb auto 67.3 MiB 3.50 42466 287395 83633 184471 19291 113.8 MiB 5.19 0.06 5.74861 -2247.23 -5.74861 5.74861 1.03 0.0222208 0.0200972 1.955 1.66503 -1 -1 -1 -1 66 68658 45 4.8774e+07 2.95878e+07 3.99156e+06 4435.07 27.65 7.52931 6.32406 104036 803752 -1 60922 16 14883 67597 2789200 373708 5.76735 5.76735 -2377.78 -5.76735 0 0 4.95347e+06 5503.86 0.20 1.71 0.69 -1 -1 0.20 0.908064 0.803825 0.02605 0.351 0.06511 0.5839 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml boundtop.v common 24.14 vpr 68.57 MiB -1 -1 17.73 31664 4 0.24 -1 -1 34328 -1 55248 53 195 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70220 195 193 1087 1280 1 610 442 15 15 225 io auto 28.4 MiB 0.31 3111 131716 33861 86359 11496 68.6 MiB 0.67 0.01 2.49928 -1087.72 -2.49928 2.49928 0.23 0.00362287 0.00338275 0.298018 0.277768 -1 -1 -1 -1 40 5849 18 1.03862e+07 3.40438e+06 568276. 2525.67 1.78 1.09849 1.00863 21262 112936 -1 5416 11 1616 2529 155491 45590 2.72859 2.72859 -1209.59 -2.72859 -0.959406 -0.246 712852. 3168.23 0.02 0.09 0.07 -1 -1 0.02 0.0607763 0.057325 0.01365 0.3769 0.05606 0.567 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 4.23 vpr 63.89 MiB -1 -1 0.49 18176 3 0.10 -1 -1 33044 -1 53092 68 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65428 99 130 344 474 1 226 298 12 12 144 clb auto 24.1 MiB 0.08 678 66963 19395 33485 14083 63.9 MiB 0.23 0.00 1.86362 -122.41 -1.86362 1.86362 0.14 0.00130445 0.00123497 0.0907109 0.085881 -1 -1 -1 -1 52 1254 11 5.66058e+06 4.21279e+06 419432. 2912.72 0.65 0.338628 0.310581 13810 82561 -1 1175 7 368 599 29659 8862 1.9806 1.9806 -135.825 -1.9806 -0.309826 -0.0782318 551878. 3832.49 0.02 0.04 0.08 -1 -1 0.02 0.0250196 0.0231993 0.01031 0.263 0.08354 0.6535 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 10.16 vpr 68.16 MiB -1 -1 0.74 23332 15 0.35 -1 -1 34092 -1 54824 39 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69796 162 96 1009 950 1 709 302 16 16 256 mult_36 auto 28.2 MiB 0.19 5587 92394 30880 53838 7676 68.2 MiB 0.69 0.01 21.0975 -1536.06 -21.0975 21.0975 0.26 0.00337966 0.00317219 0.323022 0.303359 -1 -1 -1 -1 56 11812 30 1.21132e+07 4.08187e+06 870502. 3400.40 4.17 1.16452 1.07293 26504 172068 -1 9609 16 2935 5927 775463 239759 22.3005 22.3005 -1636.88 -22.3005 0 0 1.11200e+06 4343.75 0.04 0.29 0.15 -1 -1 0.04 0.13141 0.122082 0.007854 0.3765 0.01734 0.6061 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq2.v common 7.88 vpr 65.00 MiB -1 -1 0.61 21868 16 0.27 -1 -1 33428 -1 53744 25 66 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66560 66 96 616 557 1 415 192 16 16 256 mult_36 auto 25.5 MiB 0.17 3625 36690 9459 22826 4405 65.0 MiB 0.32 0.01 17.203 -935.064 -17.203 17.203 0.26 0.00236496 0.0022302 0.166486 0.157037 -1 -1 -1 -1 40 8373 37 1.21132e+07 3.32735e+06 642278. 2508.90 3.53 0.766237 0.703647 23952 127161 -1 7217 17 2534 5167 951988 292757 18.0101 18.0101 -1019.64 -18.0101 0 0 805949. 3148.24 0.02 0.19 0.08 -1 -1 0.02 0.05209 0.0486566 0.007411 0.3353 0.01978 0.6449 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 440.60 vpr 461.59 MiB -1 -1 84.00 349248 123 64.46 -1 -1 78572 -1 117272 1375 114 45 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 472672 114 102 21994 21904 1 11802 1644 50 50 2500 memory auto 155.4 MiB 20.55 160790 1049136 374899 655277 18960 461.6 MiB 26.29 0.22 78.4871 -53143.2 -78.4871 78.4871 9.75 0.0621978 0.0545143 7.35439 6.13837 -1 -1 -1 -1 94 240662 29 1.47946e+08 1.01935e+08 1.55181e+07 6207.23 162.29 28.9908 23.8912 341268 3271592 -1 217509 21 44132 167614 9994098 1867129 81.2261 81.2261 -64082.4 -81.2261 -12.841 -0.29436 1.95446e+07 7817.85 0.86 6.13 3.06 -1 -1 0.86 3.42933 2.93557 0.08134 0.4302 0.01139 0.5585 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mkDelayWorker32B.v common 70.13 vpr 332.00 MiB -1 -1 18.29 128412 5 3.25 -1 -1 56812 -1 73340 470 506 47 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 339964 506 553 3055 3608 1 2790 1576 50 50 2500 memory auto 45.7 MiB 4.81 15429 1147451 561958 401565 183928 332.0 MiB 5.51 0.07 7.14518 -1819.3 -7.14518 7.14518 9.87 0.0227758 0.020582 2.93349 2.63053 -1 -1 -1 -1 38 22365 17 1.47946e+08 5.10868e+07 6.86584e+06 2746.33 11.85 8.32526 7.54939 251304 1421084 -1 21433 18 3916 5150 995348 263030 7.69019 7.69019 -2049.85 -7.69019 -5.04137 -0.293253 8.69095e+06 3476.38 0.41 1.18 1.23 -1 -1 0.41 0.985049 0.907039 0.1604 0.1419 0.03923 0.8189 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mkPktMerge.v common 13.31 vpr 71.36 MiB -1 -1 1.63 25356 2 0.13 -1 -1 33796 -1 60140 29 311 15 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73068 311 156 972 1128 1 953 511 28 28 784 memory auto 29.5 MiB 0.51 9032 192459 70202 112997 9260 71.4 MiB 1.14 0.02 4.00429 -4585.85 -4.00429 4.00429 0.90 0.00569369 0.00505114 0.564395 0.499447 -1 -1 -1 -1 36 14754 13 4.25198e+07 9.78293e+06 1.94918e+06 2486.20 3.93 1.82677 1.61944 74338 387760 -1 13894 15 2784 3327 698932 209763 4.39426 4.39426 -5070.29 -4.39426 -12.8598 -0.360359 2.40571e+06 3068.51 0.10 0.36 0.33 -1 -1 0.10 0.20904 0.188673 0.0833 0.1522 0.01727 0.8306 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mkSMAdapter4B.v common 28.03 vpr 76.09 MiB -1 -1 9.24 55960 7 2.30 -1 -1 37416 -1 59040 157 193 5 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77920 193 205 2234 2439 1 1179 560 20 20 400 memory auto 35.6 MiB 1.10 9842 228953 80249 124571 24133 76.1 MiB 1.72 0.02 5.08439 -2926.08 -5.08439 5.08439 0.43 0.00685575 0.00620383 0.738776 0.665656 -1 -1 -1 -1 48 17744 33 2.07112e+07 1.12014e+07 1.23055e+06 3076.38 6.37 2.5483 2.26983 40448 245963 -1 15329 16 4566 11562 627458 139494 5.47739 5.47739 -3135.16 -5.47739 -11.584 -0.360359 1.57502e+06 3937.55 0.06 0.44 0.22 -1 -1 0.06 0.280677 0.254763 0.02856 0.2221 0.02552 0.7523 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml or1200.v common 63.07 vpr 106.00 MiB -1 -1 7.93 68908 27 4.13 -1 -1 38580 -1 61144 234 385 2 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 108548 385 394 3906 4237 1 2373 1016 27 27 729 io auto 46.0 MiB 2.92 31525 572776 227317 322407 23052 88.3 MiB 5.07 0.06 14.4133 -13461.7 -14.4133 14.4133 0.83 0.0160926 0.0150256 1.89286 1.74364 -1 -1 -1 -1 78 51645 44 3.93038e+07 1.41032e+07 3.65949e+06 5019.88 29.17 7.69214 7.06424 90401 760319 -1 44396 14 10279 35511 1944665 349659 14.8103 14.8103 -13960.4 -14.8103 0 0 4.63207e+06 6354.00 0.16 1.07 0.66 -1 -1 0.16 0.591618 0.549921 0.02224 0.4637 0.02666 0.5097 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml raygentop.v common 25.27 vpr 77.84 MiB -1 -1 6.25 46808 8 0.90 -1 -1 37872 -1 60796 133 235 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79708 235 305 2600 2761 1 1501 680 19 19 361 io auto 36.8 MiB 2.09 12500 263712 91208 158948 13556 77.8 MiB 1.99 0.03 5.38636 -2731.89 -5.38636 5.38636 0.39 0.00825643 0.00765916 0.774605 0.712384 -1 -1 -1 -1 56 23995 47 1.72706e+07 1.00919e+07 1.27879e+06 3542.35 6.04 2.65451 2.42437 38159 255829 -1 20300 15 5879 16147 1393547 361528 5.87661 5.87661 -3009.11 -5.87661 -1.26427 -0.201639 1.63234e+06 4521.70 0.07 0.66 0.22 -1 -1 0.07 0.333764 0.30935 0.02386 0.4083 0.02598 0.5657 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml sha.v common 19.44 vpr 78.34 MiB -1 -1 4.20 47476 21 2.24 -1 -1 40644 -1 45784 147 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80220 38 36 2570 2606 1 1050 221 17 17 289 clb auto 37.3 MiB 1.10 9478 36239 7889 25786 2564 78.3 MiB 0.76 0.01 14.6977 -2591.44 -14.6977 14.6977 0.30 0.00627054 0.00560905 0.378906 0.335347 -1 -1 -1 -1 46 16543 25 1.34605e+07 7.92242e+06 830882. 2875.03 3.43 1.51759 1.31374 28231 166010 -1 13884 15 4024 11917 367875 68444 15.3347 15.3347 -2866.69 -15.3347 0 0 1.06831e+06 3696.59 0.04 0.39 0.14 -1 -1 0.04 0.261218 0.233045 0.006476 0.3635 0.02972 0.6068 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mcml.v common 5304.23 vpr 1.66 GiB -1 -1 692.05 1442556 64 3350.31 -1 -1 347144 -1 317188 6851 36 159 27 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1741944 36 356 125423 124208 1 34881 7429 98 98 9604 clb auto 660.2 MiB 73.61 461903 10223866 4318975 5823833 81058 1701.1 MiB 186.47 1.32 63.3139 -299631 -63.3139 63.3139 39.62 0.235404 0.202231 35.8753 30.2278 -1 -1 -1 -1 80 612389 32 5.9175e+08 4.67016e+08 5.28775e+07 5505.77 350.18 119.658 98.779 1236252 11146124 -1 575490 18 116226 373166 21552241 4233065 64.9427 64.9427 -370561 -64.9427 0 0 6.66202e+07 6936.71 3.07 14.93 8.56 -1 -1 3.07 9.99565 8.64031 0.27 0.3629 0.01392 0.6231 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vpr_reg_mcnc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vpr_reg_mcnc/config/golden_results.txt index 829c3da90f8..afc7702ee1c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vpr_reg_mcnc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vpr_reg_mcnc/config/golden_results.txt @@ -1,21 +1,21 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_40nm.xml alu4.pre-vpr.blif common 4.75 vpr 64.49 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 78 14 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66040 14 8 926 934 0 490 100 11 11 121 clb auto 25.2 MiB 0.88 4540 5436 746 4365 325 64.5 MiB 0.14 0.01 4.4958 -31.528 -4.4958 nan 0.22 0.00269051 0.00235509 0.0723924 0.065535 52 7157 39 4.36541e+06 4.20373e+06 379421. 3135.71 1.97 0.690855 0.589901 12531 77429 -1 6624 17 3168 14393 437125 83566 4.8594 nan -34.0978 -4.8594 0 0 499620. 4129.09 0.10 0.24 0.06 -1 -1 0.10 0.121922 0.109158 -k6_frac_N10_40nm.xml apex2.pre-vpr.blif common 7.80 vpr 66.20 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 103 38 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 67788 38 3 1113 1116 0 662 144 13 13 169 clb auto 26.6 MiB 1.54 7370 11454 1699 8583 1172 66.2 MiB 0.23 0.01 5.6032 -16.0295 -5.6032 nan 0.33 0.00275482 0.00242692 0.106884 0.0963609 66 12625 26 6.52117e+06 5.55108e+06 710325. 4203.11 3.61 0.817941 0.70317 19379 142405 -1 11924 18 4624 23024 803122 126844 5.75407 nan -16.4608 -5.75407 0 0 879032. 5201.38 0.18 0.39 0.11 -1 -1 0.18 0.166312 0.14818 -k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 6.51 vpr 64.48 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 82 9 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66024 9 19 897 916 0 556 110 12 12 144 clb auto 25.2 MiB 1.39 6155 6948 1152 5347 449 64.5 MiB 0.17 0.01 4.85584 -79.8781 -4.85584 nan 0.27 0.00237621 0.00210092 0.0795533 0.0721333 64 10771 28 5.3894e+06 4.41931e+06 575115. 3993.85 2.93 0.728841 0.623494 16224 115365 -1 9685 19 3941 19896 705511 115372 5.17072 nan -84.2996 -5.17072 0 0 716128. 4973.11 0.14 0.31 0.09 -1 -1 0.14 0.135737 0.121121 -k6_frac_N10_40nm.xml bigkey.pre-vpr.blif common 6.82 vpr 65.65 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 71 229 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 67224 229 197 1364 1561 1 539 497 16 16 256 io auto 26.1 MiB 0.79 3888 151956 45040 98819 8097 65.6 MiB 0.75 0.01 3.01736 -656.133 -3.01736 3.01736 0.55 0.00417928 0.00388998 0.341259 0.317282 38 7443 37 1.05632e+07 3.82647e+06 667532. 2607.55 2.64 1.415 1.29599 25328 137766 -1 6882 14 1605 4348 206877 47610 3.0708 3.0708 -728.475 -3.0708 0 0 843755. 3295.92 0.20 0.21 0.11 -1 -1 0.20 0.154931 0.143977 -k6_frac_N10_40nm.xml clma.pre-vpr.blif common 31.66 vpr 89.25 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 307 62 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 91388 62 82 3672 3754 1 2344 451 20 20 400 clb auto 45.3 MiB 3.95 29194 126595 33709 86071 6815 89.2 MiB 2.28 0.03 7.83344 -342.752 -7.83344 7.83344 0.92 0.0100177 0.00886659 0.857361 0.741308 92 46721 44 1.74617e+07 1.65455e+07 2.37849e+06 5946.23 18.28 3.97352 3.38216 54288 506964 -1 42503 16 14653 63396 2356990 367690 8.12752 8.12752 -355.073 -8.12752 0 0 3.01539e+06 7538.48 0.69 1.19 0.43 -1 -1 0.69 0.511744 0.462774 -k6_frac_N10_40nm.xml des.pre-vpr.blif common 7.12 vpr 62.94 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 51 256 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64448 256 245 954 1199 0 578 552 18 18 324 io auto 23.8 MiB 0.33 4966 131806 34568 89483 7755 62.9 MiB 0.48 0.01 3.70962 -712.314 -3.70962 nan 0.74 0.00414551 0.00395387 0.186169 0.176197 36 9086 34 1.37969e+07 2.74859e+06 824466. 2544.65 3.31 1.24102 1.16598 31748 166456 -1 8145 16 2076 4578 247499 55677 4.28288 nan -799.816 -4.28288 0 0 1.01518e+06 3133.28 0.26 0.23 0.13 -1 -1 0.26 0.156872 0.14849 -k6_frac_N10_40nm.xml diffeq.pre-vpr.blif common 3.87 vpr 64.36 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 65 64 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65904 64 39 1371 1410 1 542 168 11 11 121 clb auto 25.2 MiB 0.48 3387 16373 2957 12425 991 64.4 MiB 0.23 0.01 5.33717 -1012.06 -5.33717 5.33717 0.22 0.00291587 0.00263997 0.121115 0.109984 46 5978 20 4.36541e+06 3.50311e+06 343362. 2837.71 1.41 0.597762 0.523358 12051 69045 -1 5146 15 1964 5478 158754 33013 5.81148 5.81148 -1104.11 -5.81148 0 0 440296. 3638.81 0.09 0.18 0.06 -1 -1 0.09 0.122268 0.110374 -k6_frac_N10_40nm.xml dsip.pre-vpr.blif common 10.46 vpr 65.73 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 70 229 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 67312 229 197 1362 1559 1 570 496 16 16 256 io auto 26.2 MiB 0.94 4585 165304 49025 107137 9142 65.7 MiB 0.87 0.01 3.10283 -686.218 -3.10283 3.10283 0.55 0.00440835 0.0040858 0.392973 0.365233 34 9041 35 1.05632e+07 3.77258e+06 613832. 2397.78 5.94 1.7491 1.60157 24564 122629 -1 7970 15 1915 4990 281238 63267 3.70768 3.70768 -756.66 -3.70768 0 0 751777. 2936.63 0.21 0.24 0.11 -1 -1 0.21 0.164797 0.15335 -k6_frac_N10_40nm.xml elliptic.pre-vpr.blif common 13.78 vpr 77.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 161 131 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79272 131 114 3421 3535 1 1168 406 15 15 225 clb auto 36.3 MiB 3.29 10160 93530 25863 63124 4543 77.4 MiB 1.04 0.02 7.58521 -4229.27 -7.58521 7.58521 0.47 0.00681887 0.0060738 0.483787 0.429172 62 17318 36 9.10809e+06 8.67693e+06 909814. 4043.62 5.50 2.14101 1.8583 25483 182909 -1 15258 16 4942 20250 696601 116792 7.60811 7.60811 -4446.67 -7.60811 0 0 1.12687e+06 5008.33 0.25 0.52 0.14 -1 -1 0.25 0.332685 0.300154 -k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 26.38 vpr 82.02 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 285 10 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83988 10 10 2659 2669 0 1401 305 19 19 361 clb auto 39.4 MiB 4.50 25941 47501 11839 33920 1742 82.0 MiB 1.05 0.02 6.51363 -63.125 -6.51363 nan 0.83 0.00815917 0.00686806 0.445687 0.382825 90 44429 42 1.55754e+07 1.53598e+07 2.09179e+06 5794.43 14.64 2.73792 2.30803 48131 439069 -1 39612 19 10083 62912 2759594 360291 6.79856 nan -65.1978 -6.79856 0 0 2.60973e+06 7229.16 0.60 1.17 0.37 -1 -1 0.60 0.421295 0.376006 -k6_frac_N10_40nm.xml ex5p.pre-vpr.blif common 5.76 vpr 62.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 63 8 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64416 8 63 761 824 0 435 134 10 10 100 clb auto 23.8 MiB 0.76 4014 9710 1704 7314 692 62.9 MiB 0.16 0.00 3.75572 -169.726 -3.75572 nan 0.17 0.00208483 0.00185881 0.0738386 0.0671778 62 6635 29 3.44922e+06 3.39532e+06 366588. 3665.88 3.12 0.826205 0.709947 10808 71624 -1 6116 15 2439 10242 331401 61427 4.36433 nan -187.408 -4.36433 0 0 454102. 4541.02 0.09 0.18 0.06 -1 -1 0.09 0.0960449 0.0869805 -k6_frac_N10_40nm.xml frisc.pre-vpr.blif common 19.39 vpr 77.78 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 169 20 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79644 20 116 3175 3291 1 1343 305 15 15 225 clb auto 36.4 MiB 3.14 14309 61865 15386 41962 4517 77.8 MiB 0.99 0.02 8.94586 -4514.97 -8.94586 8.94586 0.47 0.00664227 0.00593976 0.462715 0.412777 84 23545 27 9.10809e+06 9.10809e+06 1.17394e+06 5217.51 11.07 3.11664 2.70562 28843 248089 -1 20679 14 6058 24388 1043623 169310 9.40485 9.40485 -4707.1 -9.40485 0 0 1.49163e+06 6629.45 0.32 0.55 0.21 -1 -1 0.32 0.299629 0.272019 -k6_frac_N10_40nm.xml misex3.pre-vpr.blif common 6.95 vpr 63.61 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 71 14 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65140 14 14 828 842 0 475 99 11 11 121 clb auto 24.3 MiB 0.91 4503 7167 1163 5436 568 63.6 MiB 0.17 0.00 4.27418 -57.174 -4.27418 nan 0.22 0.00222792 0.00198385 0.0870609 0.0789648 56 7808 29 4.36541e+06 3.82647e+06 409660. 3385.62 3.92 0.955525 0.817335 12771 81981 -1 7041 18 3260 15213 511376 89461 4.64181 nan -60.1449 -4.64181 0 0 523260. 4324.46 0.10 0.25 0.07 -1 -1 0.10 0.121252 0.108843 -k6_frac_N10_40nm.xml pdc.pre-vpr.blif common 25.18 vpr 82.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 272 16 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84484 16 40 2839 2879 0 1511 328 19 19 361 clb auto 39.9 MiB 3.48 23474 61348 15718 43195 2435 82.5 MiB 1.20 0.02 6.39129 -239.535 -6.39129 nan 0.82 0.00760136 0.00646815 0.472649 0.408659 86 38675 34 1.55754e+07 1.46592e+07 2.00874e+06 5564.38 14.28 3.16721 2.67858 47411 425437 -1 35089 17 9872 54697 2130011 304209 6.65044 nan -240.312 -6.65044 0 0 2.53507e+06 7022.34 0.56 0.98 0.35 -1 -1 0.56 0.397886 0.356682 -k6_frac_N10_40nm.xml s298.pre-vpr.blif common 4.12 vpr 62.25 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 63 4 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63740 4 6 726 732 1 398 73 10 10 100 clb auto 23.2 MiB 0.75 3666 3417 417 2860 140 62.2 MiB 0.11 0.00 6.02354 -48.3456 -6.02354 6.02354 0.18 0.00201694 0.00183365 0.0626085 0.0572741 50 5820 31 3.44922e+06 3.39532e+06 295697. 2956.97 1.63 0.53661 0.462064 10016 58256 -1 5144 15 2315 9199 280618 50632 6.26562 6.26562 -52.0008 -6.26562 0 0 379824. 3798.24 0.08 0.17 0.05 -1 -1 0.08 0.0972111 0.0881692 -k6_frac_N10_40nm.xml s38417.pre-vpr.blif common 15.85 vpr 87.19 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 249 29 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89280 29 106 4782 4888 1 2019 384 18 18 324 clb auto 44.7 MiB 2.35 13216 107799 27485 70375 9939 87.2 MiB 1.53 0.02 5.18654 -3584.37 -5.18654 5.18654 0.72 0.00820093 0.00716198 0.7235 0.630423 52 21960 50 1.37969e+07 1.34196e+07 1.12378e+06 3468.47 6.68 2.99225 2.56134 35300 236012 -1 19626 15 6767 19136 685005 132226 5.32162 5.32162 -3691.42 -5.32162 0 0 1.48031e+06 4568.86 0.34 0.58 0.19 -1 -1 0.34 0.406682 0.365814 -k6_frac_N10_40nm.xml s38584.1.pre-vpr.blif common 16.40 vpr 86.38 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 226 38 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88452 38 304 4422 4726 1 2052 568 18 18 324 clb auto 43.6 MiB 2.25 13975 176893 52423 113746 10724 86.4 MiB 1.91 0.03 4.9343 -2945.33 -4.9343 4.9343 0.73 0.00969334 0.00838775 0.813742 0.706473 60 25004 43 1.37969e+07 1.218e+07 1.30451e+06 4026.26 6.72 2.8783 2.49073 36916 268072 -1 20989 21 6867 18700 649546 132224 5.09646 5.09646 -3105.32 -5.09646 0 0 1.63833e+06 5056.57 0.40 0.77 0.22 -1 -1 0.40 0.553873 0.495694 -k6_frac_N10_40nm.xml seq.pre-vpr.blif common 8.76 vpr 65.11 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 84 41 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66676 41 35 1006 1041 0 604 160 12 12 144 clb auto 25.5 MiB 1.20 6526 13180 2280 9516 1384 65.1 MiB 0.22 0.01 4.5556 -135.416 -4.5556 nan 0.27 0.00276105 0.00245221 0.101939 0.092468 64 11365 46 5.3894e+06 4.5271e+06 575115. 3993.85 5.04 1.12569 0.968264 16224 115365 -1 10143 18 4137 19881 679868 115960 4.93645 nan -144.738 -4.93645 0 0 716128. 4973.11 0.14 0.30 0.09 -1 -1 0.14 0.134899 0.121301 -k6_frac_N10_40nm.xml spla.pre-vpr.blif common 16.32 vpr 76.82 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 216 16 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78668 16 46 2232 2278 0 1170 278 17 17 289 clb auto 35.3 MiB 2.69 15884 45628 10574 32142 2912 76.8 MiB 0.90 0.02 5.95671 -204.452 -5.95671 nan 0.66 0.00655055 0.00559031 0.393901 0.343227 74 25546 32 1.21262e+07 1.16411e+07 1.39325e+06 4820.95 7.80 2.00641 1.71174 35379 286977 -1 24647 19 7675 42760 1737904 249467 6.14143 nan -217.643 -6.14143 0 0 1.74421e+06 6035.33 0.38 0.81 0.26 -1 -1 0.38 0.340644 0.303738 -k6_frac_N10_40nm.xml tseng.pre-vpr.blif common 3.98 vpr 65.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 61 52 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66596 52 122 1461 1583 1 474 235 10 10 100 clb auto 26.0 MiB 0.54 2490 35711 8852 25144 1715 65.0 MiB 0.32 0.01 4.73051 -1125.66 -4.73051 4.73051 0.18 0.00299119 0.00271471 0.1633 0.148553 46 4691 25 3.44922e+06 3.28753e+06 276332. 2763.32 1.35 0.707889 0.622607 9816 55112 -1 4036 15 1411 3526 118896 27892 5.08546 5.08546 -1209.63 -5.08546 0 0 354105. 3541.05 0.07 0.15 0.04 -1 -1 0.07 0.117472 0.106373 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_40nm.xml alu4.pre-vpr.blif common 4.59 vpr 64.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 78 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65868 14 8 926 934 0 490 100 11 11 121 clb auto 24.6 MiB 0.91 4639 5668 847 4419 402 64.3 MiB 0.16 0.01 4.54815 -31.8355 -4.54815 nan 0.11 0.00249412 0.00221472 0.083083 0.0754962 -1 -1 -1 -1 48 7214 49 4.36541e+06 4.20373e+06 357017. 2950.55 2.04 0.729015 0.623693 12171 71069 -1 6577 19 3324 15359 408061 81134 4.87162 nan -34.7178 -4.87162 0 0 455885. 3767.64 0.01 0.26 0.06 -1 -1 0.01 0.140142 0.125126 + k6_frac_N10_40nm.xml apex2.pre-vpr.blif common 6.95 vpr 65.87 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 103 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67448 38 3 1113 1116 0 662 144 13 13 169 clb auto 26.3 MiB 1.53 7442 11831 1926 8689 1216 65.9 MiB 0.29 0.01 5.59822 -16.3249 -5.59822 nan 0.16 0.00306728 0.00270237 0.126883 0.113876 -1 -1 -1 -1 64 12841 39 6.52117e+06 5.55108e+06 687872. 4070.25 3.20 0.976549 0.840439 19211 138678 -1 11425 17 4712 23613 728373 121323 5.82519 nan -16.8677 -5.82519 0 0 856291. 5066.81 0.03 0.36 0.11 -1 -1 0.03 0.160453 0.144609 + k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 5.91 vpr 64.35 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 82 9 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65892 9 19 897 916 0 556 110 12 12 144 clb auto 24.8 MiB 1.46 6252 7474 1266 5720 488 64.3 MiB 0.20 0.01 4.74237 -77.8307 -4.74237 nan 0.14 0.00271417 0.00242922 0.0925149 0.0843887 -1 -1 -1 -1 62 10739 47 5.3894e+06 4.41931e+06 554770. 3852.57 2.59 0.760237 0.654515 15940 110000 -1 9728 17 4391 21118 675575 120995 5.20821 nan -84.4166 -5.20821 0 0 687181. 4772.09 0.02 0.31 0.09 -1 -1 0.02 0.1315 0.118528 + k6_frac_N10_40nm.xml bigkey.pre-vpr.blif common 6.12 vpr 65.81 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 71 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67388 229 197 1364 1561 1 539 497 16 16 256 io auto 26.1 MiB 0.83 4504 148022 42306 97632 8084 65.8 MiB 0.82 0.01 2.97254 -656.061 -2.97254 2.97254 0.27 0.00439332 0.00408702 0.35847 0.333653 -1 -1 -1 -1 36 7822 26 1.05632e+07 3.82647e+06 638738. 2495.07 2.60 1.40665 1.28851 24820 128426 -1 7098 11 1611 3987 187232 44731 3.15649 3.15649 -738.429 -3.15649 0 0 786978. 3074.13 0.03 0.19 0.08 -1 -1 0.03 0.132772 0.123936 + k6_frac_N10_40nm.xml clma.pre-vpr.blif common 28.51 vpr 89.36 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 316 62 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91500 62 82 3672 3754 1 2348 460 20 20 400 clb auto 45.2 MiB 3.83 29602 121160 32274 82250 6636 89.4 MiB 2.46 0.04 7.97523 -360.045 -7.97523 7.97523 0.44 0.0115817 0.00968577 0.858962 0.732885 -1 -1 -1 -1 92 48575 45 1.74617e+07 1.70305e+07 2.37849e+06 5946.23 16.44 4.93267 4.15445 54288 506964 -1 43403 17 15069 65645 2357098 375873 8.16272 8.16272 -366.296 -8.16272 0 0 3.01539e+06 7538.48 0.10 1.28 0.42 -1 -1 0.10 0.57067 0.510695 + k6_frac_N10_40nm.xml des.pre-vpr.blif common 5.68 vpr 62.96 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 51 256 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64476 256 245 954 1199 0 578 552 18 18 324 io auto 23.6 MiB 0.23 5523 134069 36344 90454 7271 63.0 MiB 0.66 0.01 3.66288 -710.092 -3.66288 nan 0.35 0.00435575 0.00415707 0.270866 0.258189 -1 -1 -1 -1 36 9376 41 1.37969e+07 2.74859e+06 824466. 2544.65 2.93 1.43298 1.34768 31748 166456 -1 8164 13 2250 4803 238712 56339 4.07339 nan -791.038 -4.07339 0 0 1.01518e+06 3133.28 0.04 0.21 0.14 -1 -1 0.04 0.139599 0.132291 + k6_frac_N10_40nm.xml diffeq.pre-vpr.blif common 3.71 vpr 64.52 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 64 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66072 64 39 1371 1410 1 541 167 10 10 100 clb auto 25.0 MiB 0.50 3623 18986 4100 13819 1067 64.5 MiB 0.29 0.01 5.32461 -1004.72 -5.32461 5.32461 0.09 0.00297013 0.00265425 0.142744 0.129291 -1 -1 -1 -1 50 5495 30 3.44922e+06 3.44922e+06 295697. 2956.97 1.53 0.822979 0.71402 10016 58256 -1 4877 17 1881 5375 141590 30815 5.49357 5.49357 -1059.83 -5.49357 0 0 379824. 3798.24 0.01 0.18 0.05 -1 -1 0.01 0.130986 0.117787 + k6_frac_N10_40nm.xml dsip.pre-vpr.blif common 6.57 vpr 65.39 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 70 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66956 229 197 1362 1559 1 570 496 16 16 256 io auto 25.8 MiB 0.92 5066 137836 36889 92766 8181 65.4 MiB 0.78 0.01 2.91431 -671.379 -2.91431 2.91431 0.27 0.00438808 0.00409538 0.327876 0.305574 -1 -1 -1 -1 36 8862 27 1.05632e+07 3.77258e+06 638738. 2495.07 2.96 1.41434 1.29776 24820 128426 -1 7675 13 1986 5211 265646 63312 3.18697 3.18697 -739.19 -3.18697 0 0 786978. 3074.13 0.03 0.23 0.10 -1 -1 0.03 0.155656 0.14507 + k6_frac_N10_40nm.xml elliptic.pre-vpr.blif common 12.40 vpr 77.56 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 171 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79424 131 114 3421 3535 1 1164 416 16 16 256 clb auto 36.2 MiB 3.40 10474 95088 26501 63930 4657 77.6 MiB 1.19 0.02 7.51043 -4391.12 -7.51043 7.51043 0.26 0.00746347 0.00670247 0.527413 0.462699 -1 -1 -1 -1 56 18313 31 1.05632e+07 9.21587e+06 942187. 3680.42 4.59 2.05209 1.78067 28136 192436 -1 15484 16 5183 22017 710369 128360 7.51944 7.51944 -4543.65 -7.51944 0 0 1.20185e+06 4694.72 0.04 0.55 0.15 -1 -1 0.04 0.349204 0.315387 + k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 24.75 vpr 82.02 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 285 10 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83992 10 10 2659 2669 0 1401 305 19 19 361 clb auto 39.3 MiB 4.57 26220 51605 13492 36245 1868 82.0 MiB 1.25 0.02 6.59302 -61.9652 -6.59302 nan 0.39 0.00827542 0.00731978 0.484089 0.41329 -1 -1 -1 -1 90 42829 31 1.55754e+07 1.53598e+07 2.09179e+06 5794.43 14.00 3.32602 2.79995 48131 439069 -1 39158 17 9518 58062 2365838 328796 6.83753 nan -64.8858 -6.83753 0 0 2.60973e+06 7229.16 0.08 1.03 0.29 -1 -1 0.08 0.41705 0.373851 + k6_frac_N10_40nm.xml ex5p.pre-vpr.blif common 3.76 vpr 63.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 63 8 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64588 8 63 761 824 0 435 134 10 10 100 clb auto 23.7 MiB 0.68 3999 11420 2063 8488 869 63.1 MiB 0.20 0.01 3.77984 -169.82 -3.77984 nan 0.09 0.00233479 0.00210219 0.0905659 0.0824737 -1 -1 -1 -1 58 6565 28 3.44922e+06 3.39532e+06 342720. 3427.20 1.56 0.557203 0.48462 10608 68480 -1 5918 16 2541 10577 323005 63220 4.22288 nan -188.35 -4.22288 0 0 435638. 4356.38 0.01 0.19 0.05 -1 -1 0.01 0.102623 0.0927145 + k6_frac_N10_40nm.xml frisc.pre-vpr.blif common 13.58 vpr 77.36 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 167 20 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79220 20 116 3175 3291 1 1338 303 15 15 225 clb auto 36.1 MiB 3.06 14602 62340 15718 42273 4349 77.4 MiB 1.17 0.02 8.56273 -4519.63 -8.56273 8.56273 0.22 0.00757247 0.0068348 0.522644 0.463063 -1 -1 -1 -1 80 24018 43 9.10809e+06 9.0003e+06 1.12687e+06 5008.33 6.06 2.41987 2.10801 28171 234221 -1 21050 15 6703 26493 1041429 176822 9.09101 9.09101 -4756.62 -9.09101 0 0 1.41774e+06 6301.08 0.04 0.63 0.19 -1 -1 0.04 0.342199 0.310175 + k6_frac_N10_40nm.xml misex3.pre-vpr.blif common 4.84 vpr 63.70 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 71 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65224 14 14 828 842 0 475 99 11 11 121 clb auto 24.1 MiB 0.91 4532 5343 748 4219 376 63.7 MiB 0.15 0.01 4.39029 -57.6027 -4.39029 nan 0.11 0.00227799 0.00202987 0.0718845 0.0655562 -1 -1 -1 -1 52 7728 41 4.36541e+06 3.82647e+06 379421. 3135.71 2.05 0.703343 0.603899 12531 77429 -1 6634 16 3040 13763 383785 71279 4.69105 nan -60.7462 -4.69105 0 0 499620. 4129.09 0.01 0.15 0.04 -1 -1 0.01 0.0814211 0.0751261 + k6_frac_N10_40nm.xml pdc.pre-vpr.blif common 26.16 vpr 82.44 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 272 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84416 16 40 2839 2879 0 1511 328 19 19 361 clb auto 39.8 MiB 3.52 23598 55698 13292 40268 2138 82.4 MiB 1.28 0.02 6.48626 -238.484 -6.48626 nan 0.44 0.00886302 0.00735171 0.491212 0.417579 -1 -1 -1 -1 82 38584 40 1.55754e+07 1.46592e+07 1.91630e+06 5308.30 16.27 3.50905 2.95866 46331 403357 -1 35281 17 9680 52085 1891572 294823 6.8403 nan -243.508 -6.8403 0 0 2.40187e+06 6653.38 0.08 1.01 0.33 -1 -1 0.08 0.437668 0.392311 + k6_frac_N10_40nm.xml s298.pre-vpr.blif common 3.15 vpr 62.76 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 4 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64268 4 6 726 732 1 395 74 10 10 100 clb auto 23.4 MiB 0.73 3639 2709 340 2252 117 62.8 MiB 0.10 0.00 6.02711 -48.0055 -6.02711 6.02711 0.09 0.00207648 0.0018638 0.0538424 0.0494959 -1 -1 -1 -1 50 5470 24 3.44922e+06 3.44922e+06 295697. 2956.97 1.28 0.507359 0.443613 10016 58256 -1 4992 17 2195 9283 271197 50082 6.42868 6.42868 -51.2874 -6.42868 0 0 379824. 3798.24 0.01 0.12 0.03 -1 -1 0.01 0.0746748 0.0694149 + k6_frac_N10_40nm.xml s38417.pre-vpr.blif common 13.59 vpr 87.05 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 250 29 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 89136 29 106 4782 4888 1 1993 385 18 18 324 clb auto 44.4 MiB 2.46 13385 89985 21640 60579 7766 87.0 MiB 1.52 0.02 5.22969 -3570.14 -5.22969 5.22969 0.34 0.00938818 0.00831247 0.681949 0.588191 -1 -1 -1 -1 50 21612 42 1.37969e+07 1.34735e+07 1.08879e+06 3360.46 5.45 2.95573 2.52337 34656 222912 -1 19075 14 7130 20879 621675 127526 5.31212 5.31212 -3691.5 -5.31212 0 0 1.40279e+06 4329.61 0.05 0.59 0.17 -1 -1 0.05 0.416139 0.374046 + k6_frac_N10_40nm.xml s38584.1.pre-vpr.blif common 13.45 vpr 85.90 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 228 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87964 38 304 4422 4726 1 1994 570 18 18 324 clb auto 43.2 MiB 2.50 13819 172996 49998 111442 11556 85.9 MiB 2.01 0.03 4.76683 -2916.88 -4.76683 4.76683 0.35 0.00939228 0.00841165 0.819185 0.712738 -1 -1 -1 -1 58 23055 36 1.37969e+07 1.22878e+07 1.26150e+06 3893.53 4.75 2.90623 2.51915 36592 261672 -1 20398 14 6469 17425 591858 126037 4.97859 4.97859 -3048.53 -4.97859 0 0 1.60510e+06 4954.00 0.05 0.63 0.21 -1 -1 0.05 0.450883 0.410575 + k6_frac_N10_40nm.xml seq.pre-vpr.blif common 5.73 vpr 65.08 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 84 41 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66644 41 35 1006 1041 0 604 160 12 12 144 clb auto 25.4 MiB 1.22 6515 13180 2173 9685 1322 65.1 MiB 0.26 0.01 4.58553 -134.055 -4.58553 nan 0.14 0.00299227 0.00267516 0.112623 0.102342 -1 -1 -1 -1 64 10841 30 5.3894e+06 4.5271e+06 575115. 3993.85 2.53 0.863068 0.745904 16224 115365 -1 9534 17 3641 17204 511035 92223 4.88481 nan -140.076 -4.88481 0 0 716128. 4973.11 0.02 0.28 0.09 -1 -1 0.02 0.142307 0.128311 + k6_frac_N10_40nm.xml spla.pre-vpr.blif common 15.03 vpr 76.84 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 216 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78680 16 46 2232 2278 0 1170 278 17 17 289 clb auto 35.0 MiB 2.88 16089 42000 9359 30203 2438 76.8 MiB 0.95 0.02 5.95204 -207.143 -5.95204 nan 0.30 0.00775355 0.00661099 0.401796 0.349405 -1 -1 -1 -1 68 27700 45 1.21262e+07 1.16411e+07 1.30851e+06 4527.71 7.55 2.17634 1.8524 34227 265321 -1 23554 19 8023 43603 1540936 228530 6.17174 nan -217.21 -6.17174 0 0 1.61843e+06 5600.10 0.05 0.82 0.21 -1 -1 0.05 0.356964 0.321301 + k6_frac_N10_40nm.xml tseng.pre-vpr.blif common 3.30 vpr 65.17 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 63 52 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66732 52 122 1461 1583 1 472 237 10 10 100 clb auto 25.8 MiB 0.55 2690 30290 6635 22073 1582 65.2 MiB 0.30 0.01 4.95966 -1122.48 -4.95966 4.95966 0.09 0.00309899 0.0028239 0.143089 0.130468 -1 -1 -1 -1 46 4786 25 3.44922e+06 3.39532e+06 276332. 2763.32 1.07 0.637438 0.562157 9816 55112 -1 4250 13 1489 3922 122560 29939 5.00101 5.00101 -1209.26 -5.00101 0 0 354105. 3541.05 0.01 0.16 0.04 -1 -1 0.01 0.119116 0.108599 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vpr_reg_mcnc_equiv/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vpr_reg_mcnc_equiv/config/golden_results.txt index 694b1b03602..cc7b62c6a7e 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vpr_reg_mcnc_equiv/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vpr_reg_mcnc_equiv/config/golden_results.txt @@ -1,20 +1,20 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_N10_40nm.xml alu4.pre-vpr.blif common 7.79 vpr 64.05 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 97 14 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65584 14 8 926 934 0 517 119 12 12 144 clb auto 24.9 MiB 0.59 4978 9171 1462 7157 552 64.0 MiB 0.27 0.01 4.85854 -36.6925 -4.85854 nan 0.40 0.00229013 0.0019463 0.126381 0.111748 -1 -1 -1 -1 52 6841 26 1.8e+06 1.746e+06 452692. 3143.70 2.86 0.909951 0.797436 12180 91053 -1 6642 23 3992 14975 576861 90555 4.88853 nan -35.9466 -4.88853 0 0 594734. 4130.10 0.30 0.49 0.13 -1 -1 0.30 0.231292 0.210143 -k6_N10_40nm.xml apex2.pre-vpr.blif common 11.61 vpr 65.88 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 114 38 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 67464 39 3 1113 1117 0 676 156 13 13 169 clb auto 26.2 MiB 0.67 7991 14402 2464 10533 1405 65.9 MiB 0.40 0.01 5.87625 -17.406 -5.87625 nan 0.49 0.00408518 0.00361977 0.147343 0.129351 -1 -1 -1 -1 62 13314 49 2.178e+06 2.052e+06 652532. 3861.14 5.83 1.16631 1.01888 15366 127615 -1 12046 22 6325 28779 1206864 166573 5.88043 nan -17.1542 -5.88043 0 0 801739. 4744.02 0.27 0.65 0.20 -1 -1 0.27 0.21502 0.196576 -k6_N10_40nm.xml apex4.pre-vpr.blif common 11.07 vpr 64.42 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 95 9 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65968 9 19 897 916 0 568 123 12 12 144 clb auto 25.0 MiB 0.57 6616 8358 1251 6625 482 64.4 MiB 0.22 0.01 5.18808 -85.4879 -5.18808 nan 0.39 0.00281312 0.0023275 0.0756863 0.0650474 -1 -1 -1 -1 64 10344 32 1.8e+06 1.71e+06 562980. 3909.58 6.98 1.02613 0.882001 13324 112085 -1 9823 21 5176 24280 1089893 157064 5.18521 nan -85.6966 -5.18521 0 0 705288. 4897.83 0.22 0.47 0.11 -1 -1 0.22 0.162583 0.148293 -k6_N10_40nm.xml bigkey.pre-vpr.blif common 12.60 vpr 65.36 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 94 229 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66928 263 197 1372 1603 1 490 554 17 17 289 io auto 26.0 MiB 0.36 4312 159734 46622 103443 9669 65.4 MiB 1.19 0.03 3.07033 -729.814 -3.07033 3.07033 1.14 0.00415099 0.00372162 0.308823 0.273056 -1 -1 -1 -1 34 7551 23 4.05e+06 1.692e+06 688919. 2383.80 5.72 1.29646 1.16606 21366 134962 -1 7110 17 2315 10686 592079 112425 3.1266 3.1266 -779.621 -3.1266 0 0 845950. 2927.16 0.34 0.43 0.19 -1 -1 0.34 0.207796 0.19268 -k6_N10_40nm.xml clma.pre-vpr.blif common 61.67 vpr 99.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 378 62 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 101448 383 82 3674 4077 1 2351 843 22 22 484 clb auto 44.5 MiB 2.29 30234 398843 147564 198233 53046 88.7 MiB 6.67 0.10 8.68878 -384.052 -8.68878 8.68878 2.69 0.0155276 0.0133229 1.26339 1.07925 -1 -1 -1 -1 82 49771 43 7.2e+06 6.804e+06 2.55950e+06 5288.23 35.48 6.79195 5.74354 51036 532374 -1 43646 25 18197 79309 3810614 487367 8.62407 8.62407 -396.956 -8.62407 0 0 3.19792e+06 6607.28 1.25 2.00 0.51 -1 -1 1.25 0.806388 0.742409 -k6_N10_40nm.xml des.pre-vpr.blif common 9.87 vpr 63.15 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 101 256 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64664 256 245 954 1199 0 613 602 18 18 324 io auto 24.3 MiB 0.27 4828 140357 37125 96029 7203 63.1 MiB 0.58 0.01 4.31026 -789.244 -4.31026 nan 1.18 0.00268887 0.00247718 0.14833 0.135634 -1 -1 -1 -1 34 7705 26 4.608e+06 1.818e+06 779010. 2404.35 3.68 0.891807 0.829501 24000 152888 -1 7130 18 2525 6134 402061 81348 4.49788 nan -806.729 -4.49788 0 0 956463. 2952.05 0.41 0.38 0.14 -1 -1 0.41 0.171429 0.161993 -k6_N10_40nm.xml diffeq.pre-vpr.blif common 9.77 vpr 64.45 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 95 64 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65996 64 39 1371 1410 1 554 198 12 12 144 clb auto 25.4 MiB 0.38 3823 22662 4704 16452 1506 64.4 MiB 0.27 0.01 6.25641 -1164.67 -6.25641 6.25641 0.39 0.00226113 0.00194038 0.0990354 0.0845147 -1 -1 -1 -1 38 5271 25 1.8e+06 1.71e+06 347776. 2415.11 4.33 1.28566 1.11201 10892 69136 -1 4772 22 2865 8580 311452 52776 5.99996 5.99996 -1159.16 -5.99996 0 0 439064. 3049.06 0.16 0.26 0.06 -1 -1 0.16 0.1526 0.138145 -k6_N10_40nm.xml dsip.pre-vpr.blif common 12.42 vpr 65.15 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 97 229 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66712 229 197 1370 1567 1 538 523 16 16 256 io auto 25.8 MiB 0.56 4639 156367 46237 102482 7648 65.1 MiB 1.00 0.02 3.20322 -726.151 -3.20322 3.20322 0.86 0.00418747 0.00365041 0.259732 0.22846 -1 -1 -1 -1 34 8368 47 3.528e+06 1.746e+06 604079. 2359.69 5.60 1.32024 1.1892 18880 118149 -1 7459 13 2689 9149 571229 113616 3.28489 3.28489 -779.779 -3.28489 0 0 742044. 2898.61 0.30 0.35 0.11 -1 -1 0.30 0.147385 0.138151 -k6_N10_40nm.xml elliptic.pre-vpr.blif common 39.05 vpr 76.63 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 235 131 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 78472 131 114 3421 3535 1 1210 480 18 18 324 clb auto 35.7 MiB 1.64 11363 120672 33837 81431 5404 76.6 MiB 2.18 0.04 8.05414 -4631.43 -8.05414 8.05414 1.22 0.00849488 0.00726103 0.692001 0.597428 -1 -1 -1 -1 52 19720 37 4.608e+06 4.23e+06 1.09957e+06 3393.73 16.77 4.25257 3.62962 27876 225772 -1 17122 28 8126 36582 1833716 257901 7.83312 7.83312 -4681.92 -7.83312 0 0 1.44575e+06 4462.18 0.53 1.09 0.27 -1 -1 0.53 0.553107 0.496597 -k6_N10_40nm.xml ex1010.pre-vpr.blif common 41.78 vpr 79.87 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 299 10 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 81784 10 10 2659 2669 0 1414 319 20 20 400 clb auto 37.5 MiB 2.01 26899 59125 16225 41006 1894 79.9 MiB 1.87 0.03 6.8153 -65.2788 -6.8153 nan 1.86 0.0068608 0.00562071 0.52978 0.455904 -1 -1 -1 -1 90 45378 49 5.832e+06 5.382e+06 2.27845e+06 5696.13 25.05 3.88225 3.29354 44092 472493 -1 41285 21 11495 69687 3807936 447062 6.84579 nan -65.1254 -6.84579 0 0 2.84047e+06 7101.17 1.28 2.16 0.54 -1 -1 1.28 0.780219 0.707484 -k6_N10_40nm.xml ex5p.pre-vpr.blif common 9.00 vpr 62.31 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 82 8 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 63808 8 63 761 824 0 451 153 12 12 144 clb auto 23.3 MiB 0.57 4564 13650 2467 10070 1113 62.3 MiB 0.33 0.01 4.36001 -198.144 -4.36001 nan 0.63 0.00250332 0.00205267 0.106769 0.0912641 -1 -1 -1 -1 46 8116 47 1.8e+06 1.476e+06 409728. 2845.33 4.32 0.911486 0.793647 11608 81817 -1 7004 30 4945 21003 896494 141905 4.47246 nan -203.996 -4.47246 0 0 527971. 3666.47 0.17 0.60 0.08 -1 -1 0.17 0.234913 0.213184 -k6_N10_40nm.xml frisc.pre-vpr.blif common 40.55 vpr 75.93 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 242 20 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 77756 20 116 3175 3291 1 1258 378 18 18 324 clb auto 34.9 MiB 1.71 15482 83704 21369 56709 5626 75.9 MiB 1.70 0.02 10.0612 -5141.26 -10.0612 10.0612 1.16 0.00491127 0.00417088 0.4835 0.410195 -1 -1 -1 -1 64 24533 32 4.608e+06 4.356e+06 1.36711e+06 4219.48 18.07 3.52561 2.98385 30460 277092 -1 22374 28 8629 36312 2096962 284266 10.1175 10.1175 -5118.01 -10.1175 0 0 1.70889e+06 5274.36 1.01 0.98 0.44 -1 -1 1.01 0.438117 0.387003 -k6_N10_40nm.xml misex3.pre-vpr.blif common 7.41 vpr 62.83 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 86 14 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64336 14 14 828 842 0 506 114 12 12 144 clb auto 23.7 MiB 0.43 4946 8118 1259 6320 539 62.8 MiB 0.19 0.00 4.99427 -64.5608 -4.99427 nan 0.37 0.00152867 0.00125817 0.0739838 0.0641566 -1 -1 -1 -1 50 7449 35 1.8e+06 1.548e+06 439064. 3049.06 2.68 0.726791 0.637263 11896 86528 -1 6915 25 4736 20579 777903 117189 4.85973 nan -63.5848 -4.85973 0 0 562980. 3909.58 0.18 0.59 0.13 -1 -1 0.18 0.2338 0.211201 -k6_N10_40nm.xml pdc.pre-vpr.blif common 55.39 vpr 82.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 311 16 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 84436 16 40 2839 2879 0 1523 367 20 20 400 clb auto 39.0 MiB 2.33 25045 69956 17576 49024 3356 81.5 MiB 1.92 0.04 6.9664 -252.834 -6.9664 nan 2.10 0.010424 0.00872517 0.514138 0.441633 -1 -1 -1 -1 82 42152 41 5.832e+06 5.598e+06 2.08978e+06 5224.46 36.39 5.91647 5.04055 42096 433320 -1 37297 27 13109 74317 3634739 445448 6.8805 nan -250.748 -6.8805 0 0 2.61158e+06 6528.95 1.11 2.55 0.52 -1 -1 1.11 0.847263 0.767306 -k6_N10_40nm.xml s298.pre-vpr.blif common 7.01 vpr 62.68 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 77 4 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64180 4 6 726 732 1 405 87 11 11 121 clb auto 23.6 MiB 0.37 3932 4503 604 3722 177 62.7 MiB 0.11 0.00 7.20975 -57.1746 -7.20975 7.20975 0.30 0.000973951 0.000744785 0.0400304 0.0339612 -1 -1 -1 -1 44 6222 32 1.458e+06 1.386e+06 324964. 2685.65 2.73 0.717968 0.634405 9582 65203 -1 5554 23 3368 16222 637492 96172 6.94914 6.94914 -58.3727 -6.94914 0 0 420935. 3478.80 0.14 0.31 0.10 -1 -1 0.14 0.153243 0.140892 -k6_N10_40nm.xml s38584.1.pre-vpr.blif common 52.83 vpr 85.34 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 376 38 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 87384 39 304 4677 4982 1 2202 719 22 22 484 clb auto 43.9 MiB 1.61 15206 242144 69713 159436 12995 85.3 MiB 3.90 0.04 5.48475 -3337.84 -5.48475 5.48475 2.88 0.00722289 0.00587244 0.95453 0.812162 -1 -1 -1 -1 40 22911 36 7.2e+06 6.768e+06 1.34575e+06 2780.48 11.59 4.25442 3.61792 37996 272632 -1 20549 18 11349 30751 1295290 228892 5.28035 5.28035 -3387.12 -5.28035 0 0 1.68761e+06 3486.79 0.86 1.41 0.42 -1 -1 0.86 0.807652 0.736874 -k6_N10_40nm.xml seq.pre-vpr.blif common 9.59 vpr 64.99 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 101 41 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66552 41 35 1006 1041 0 615 177 13 13 169 clb auto 25.6 MiB 0.70 6961 16049 2946 11365 1738 65.0 MiB 0.29 0.01 5.03195 -143.664 -5.03195 nan 0.49 0.00216014 0.00180577 0.0909474 0.0771451 -1 -1 -1 -1 60 12020 38 2.178e+06 1.818e+06 630658. 3731.70 4.45 1.05296 0.918544 15198 124941 -1 10354 24 5139 23293 962130 137023 5.06992 nan -144.115 -5.06992 0 0 788291. 4664.44 0.43 0.42 0.19 -1 -1 0.43 0.170371 0.154782 -k6_N10_40nm.xml spla.pre-vpr.blif common 25.48 vpr 76.16 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 247 16 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 77988 16 46 2232 2278 0 1199 309 18 18 324 clb auto 34.4 MiB 1.17 17141 46245 9804 33770 2671 76.2 MiB 1.27 0.02 6.4111 -226.763 -6.4111 nan 1.42 0.00524266 0.00432523 0.367095 0.316654 -1 -1 -1 -1 70 29171 49 4.608e+06 4.446e+06 1.48298e+06 4577.10 11.06 2.65975 2.29439 31752 300704 -1 25168 25 9197 50250 2236990 292870 6.40568 nan -228.642 -6.40568 0 0 1.85205e+06 5716.21 0.95 1.60 0.32 -1 -1 0.95 0.690017 0.631406 -k6_N10_40nm.xml tseng.pre-vpr.blif common 6.44 vpr 65.18 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 105 52 -1 -1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66748 52 122 1461 1583 1 525 279 13 13 169 clb auto 26.1 MiB 0.33 3192 45829 11147 32251 2431 65.2 MiB 0.37 0.01 5.68935 -1256.78 -5.68935 5.68935 0.45 0.00180859 0.00148929 0.123495 0.105684 -1 -1 -1 -1 30 5287 29 2.178e+06 1.89e+06 350324. 2072.92 1.56 0.531491 0.464742 12006 67531 -1 4334 25 2585 6950 258089 51105 5.34065 5.34065 -1250.43 -5.34065 0 0 430798. 2549.10 0.16 0.25 0.06 -1 -1 0.16 0.149153 0.133591 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_N10_40nm.xml alu4.pre-vpr.blif common 5.15 vpr 63.48 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 106 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65000 14 8 926 934 0 505 128 13 13 169 clb auto 23.7 MiB 0.39 5320 9466 1544 7430 492 63.5 MiB 0.20 0.01 4.98964 -35.546 -4.98964 nan 0.16 0.00251625 0.00223401 0.0945898 0.085379 -1 -1 -1 -1 40 8155 39 2.178e+06 1.908e+06 430798. 2549.10 2.23 0.762692 0.650665 13014 85586 -1 7291 24 4695 18699 612030 108244 5.31783 nan -35.626 -5.31783 0 0 541003. 3201.20 0.02 0.33 0.07 -1 -1 0.02 0.150814 0.13295 + k6_N10_40nm.xml apex2.pre-vpr.blif common 6.81 vpr 64.74 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 126 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66296 39 3 1113 1117 0 649 168 14 14 196 clb auto 25.0 MiB 0.51 8161 14521 2331 10832 1358 64.7 MiB 0.30 0.01 5.83152 -17.3307 -5.83152 nan 0.19 0.00327303 0.00291207 0.125054 0.112287 -1 -1 -1 -1 56 14649 45 2.592e+06 2.268e+06 683928. 3489.43 3.11 0.783946 0.67859 17100 137604 -1 12055 22 6319 31081 1191742 177825 5.79636 nan -17.0558 -5.79636 0 0 875557. 4467.13 0.03 0.48 0.11 -1 -1 0.03 0.176297 0.156434 + k6_N10_40nm.xml apex4.pre-vpr.blif common 6.12 vpr 63.17 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 105 9 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64684 9 19 897 916 0 543 133 13 13 169 clb auto 23.6 MiB 0.47 6734 11998 2247 9058 693 63.2 MiB 0.26 0.01 5.30224 -88.3937 -5.30224 nan 0.16 0.00277568 0.00248416 0.111245 0.10094 -1 -1 -1 -1 56 11936 45 2.178e+06 1.89e+06 580647. 3435.78 2.98 0.824097 0.708448 14694 116443 -1 10212 27 5690 29063 1167825 181866 5.38635 nan -89.1109 -5.38635 0 0 743711. 4400.66 0.02 0.48 0.09 -1 -1 0.02 0.171957 0.151906 + k6_N10_40nm.xml bigkey.pre-vpr.blif common 6.47 vpr 64.19 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 93 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65728 263 197 1372 1603 1 490 553 17 17 289 io auto 24.6 MiB 0.28 4778 170728 50681 109298 10749 64.2 MiB 0.88 0.01 3.19105 -732.6 -3.19105 3.19105 0.29 0.00444133 0.00414189 0.352128 0.327314 -1 -1 -1 -1 34 7398 17 4.05e+06 1.674e+06 688919. 2383.80 2.76 1.3271 1.215 21366 134962 -1 6995 17 2392 10610 509085 104346 3.17804 3.17804 -782.762 -3.17804 0 0 845950. 2927.16 0.03 0.32 0.10 -1 -1 0.03 0.181779 0.167898 + k6_N10_40nm.xml clma.pre-vpr.blif common 30.50 vpr 89.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 436 62 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91460 383 82 3674 4077 1 2255 901 23 23 529 clb auto 43.8 MiB 1.76 30959 435901 143273 235791 56837 87.8 MiB 4.57 0.05 8.55335 -395.949 -8.55335 8.55335 0.57 0.011521 0.00974146 1.22739 1.05507 -1 -1 -1 -1 70 48766 40 7.938e+06 7.848e+06 2.49953e+06 4725.00 12.64 4.21839 3.57666 52134 511241 -1 43952 24 19574 92605 3927944 550062 8.47101 8.47101 -397.531 -8.47101 0 0 3.12202e+06 5901.73 0.11 1.74 0.41 -1 -1 0.11 0.663416 0.57888 + k6_N10_40nm.xml des.pre-vpr.blif common 5.88 vpr 62.14 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 102 256 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63636 256 245 954 1199 0 608 603 18 18 324 io auto 23.0 MiB 0.22 5227 148271 39552 101169 7550 62.1 MiB 0.69 0.01 4.37046 -770.45 -4.37046 nan 0.33 0.00429362 0.00409542 0.263968 0.251414 -1 -1 -1 -1 34 7404 17 4.608e+06 1.836e+06 779010. 2404.35 2.41 1.22781 1.15626 24000 152888 -1 6744 14 2452 5473 272585 59901 4.46945 nan -782.102 -4.46945 0 0 956463. 2952.05 0.03 0.22 0.12 -1 -1 0.03 0.141821 0.134018 + k6_N10_40nm.xml diffeq.pre-vpr.blif common 4.62 vpr 63.86 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 102 64 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65388 64 39 1371 1410 1 525 205 13 13 169 clb auto 24.1 MiB 0.32 3921 26177 5932 18684 1561 63.9 MiB 0.32 0.01 6.43054 -1169.36 -6.43054 6.43054 0.16 0.00298713 0.00270217 0.145753 0.131572 -1 -1 -1 -1 30 6223 39 2.178e+06 1.836e+06 350324. 2072.92 1.18 0.626373 0.545912 12006 67531 -1 5218 22 3090 9783 337940 60993 6.09481 6.09481 -1163.91 -6.09481 0 0 430798. 2549.10 0.01 0.26 0.05 -1 -1 0.01 0.150744 0.133108 + k6_N10_40nm.xml dsip.pre-vpr.blif common 7.16 vpr 64.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 97 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65576 229 197 1370 1567 1 538 523 16 16 256 io auto 24.5 MiB 0.29 5055 147943 44035 96679 7229 64.0 MiB 0.84 0.01 3.2095 -723.52 -3.2095 3.2095 0.25 0.00439778 0.00410483 0.327457 0.304273 -1 -1 -1 -1 34 8590 45 3.528e+06 1.746e+06 604079. 2359.69 3.67 1.47788 1.35056 18880 118149 -1 7508 16 2871 10488 535186 116741 3.28619 3.28619 -773.959 -3.28619 0 0 742044. 2898.61 0.03 0.31 0.09 -1 -1 0.03 0.167166 0.154045 + k6_N10_40nm.xml elliptic.pre-vpr.blif common 17.77 vpr 75.59 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 242 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77400 131 114 3421 3535 1 1197 487 18 18 324 clb auto 34.5 MiB 0.89 12132 123047 34177 83673 5197 75.6 MiB 1.37 0.02 7.4606 -4613.61 -7.4606 7.4606 0.33 0.00810581 0.00695927 0.560378 0.487409 -1 -1 -1 -1 52 19935 35 4.608e+06 4.356e+06 1.09957e+06 3393.73 5.31 2.48742 2.1439 27876 225772 -1 16865 24 7619 33177 1410533 212600 7.58148 7.58148 -4794.49 -7.58148 0 0 1.44575e+06 4462.18 0.05 0.82 0.17 -1 -1 0.05 0.442646 0.391497 + k6_N10_40nm.xml ex1010.pre-vpr.blif common 25.84 vpr 79.12 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 322 10 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81016 10 10 2659 2669 0 1386 342 20 20 400 clb auto 36.7 MiB 1.37 27552 61287 15872 43555 1860 79.1 MiB 1.33 0.02 7.05556 -66.589 -7.05556 nan 0.42 0.00818042 0.00678636 0.481651 0.408035 -1 -1 -1 -1 86 46648 32 5.832e+06 5.796e+06 2.18757e+06 5468.92 16.22 3.3084 2.77524 43296 457864 -1 40817 23 12321 76660 3960652 471991 6.89706 nan -66.7022 -6.89706 0 0 2.74971e+06 6874.27 0.09 1.55 0.38 -1 -1 0.09 0.488654 0.431177 + k6_N10_40nm.xml ex5p.pre-vpr.blif common 4.10 vpr 61.99 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 98 8 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63476 8 63 761 824 0 446 169 12 12 144 clb auto 22.7 MiB 0.28 4942 12778 2101 9758 919 62.0 MiB 0.13 0.01 4.47718 -204.583 -4.47718 nan 0.10 0.00216344 0.00193361 0.0452269 0.0410824 -1 -1 -1 -1 44 8061 45 1.8e+06 1.764e+06 394711. 2741.05 2.19 0.64483 0.556552 11464 79652 -1 6964 19 3697 15748 572693 99319 4.62135 nan -207.386 -4.62135 0 0 511253. 3550.37 0.01 0.17 0.04 -1 -1 0.01 0.0737609 0.0675818 + k6_N10_40nm.xml frisc.pre-vpr.blif common 18.44 vpr 75.51 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 251 20 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77320 20 116 3175 3291 1 1188 387 18 18 324 clb auto 34.6 MiB 0.98 15146 84927 21530 58089 5308 75.5 MiB 1.28 0.02 10.0229 -5171.77 -10.0229 10.0229 0.33 0.00873977 0.00797476 0.530643 0.47162 -1 -1 -1 -1 58 25204 50 4.608e+06 4.518e+06 1.23881e+06 3823.48 6.40 2.34822 2.02881 29168 251432 -1 21617 25 8510 38924 1908034 279685 10.0072 10.0072 -5231.56 -10.0072 0 0 1.57021e+06 4846.34 0.05 0.98 0.20 -1 -1 0.05 0.493797 0.440091 + k6_N10_40nm.xml misex3.pre-vpr.blif common 4.74 vpr 63.21 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 100 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64732 14 14 828 842 0 489 128 12 12 144 clb auto 23.4 MiB 0.39 5175 7856 1075 6307 474 63.2 MiB 0.17 0.01 4.84801 -64.1454 -4.84801 nan 0.13 0.00237316 0.00211186 0.0763038 0.069521 -1 -1 -1 -1 46 7690 36 1.8e+06 1.8e+06 409728. 2845.33 1.90 0.68048 0.582561 11608 81817 -1 6920 20 4140 18396 605767 102501 4.82071 nan -63.1482 -4.82071 0 0 527971. 3666.47 0.02 0.29 0.06 -1 -1 0.02 0.12603 0.112062 + k6_N10_40nm.xml pdc.pre-vpr.blif common 21.86 vpr 80.16 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 332 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82088 16 40 2839 2879 0 1508 388 21 21 441 clb auto 37.7 MiB 1.17 25399 76744 19217 54467 3060 80.2 MiB 1.51 0.03 6.92036 -251.161 -6.92036 nan 0.47 0.00885769 0.00740089 0.531173 0.448728 -1 -1 -1 -1 72 40612 31 6.498e+06 5.976e+06 2.09950e+06 4760.78 11.04 2.90977 2.44288 43822 429389 -1 36688 22 12583 72460 3185853 429873 7.06044 nan -257.312 -7.06044 0 0 2.62494e+06 5952.24 0.09 1.30 0.27 -1 -1 0.09 0.47556 0.41731 + k6_N10_40nm.xml s298.pre-vpr.blif common 3.96 vpr 61.48 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 84 4 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62952 4 6 726 732 1 389 94 12 12 144 clb auto 22.2 MiB 0.25 4089 5206 723 4335 148 61.5 MiB 0.09 0.00 7.44269 -59.1085 -7.44269 7.44269 0.10 0.00104361 0.000914441 0.0377587 0.0345446 -1 -1 -1 -1 40 6786 29 1.8e+06 1.512e+06 360446. 2503.10 1.34 0.413858 0.360142 11036 71301 -1 5886 21 3075 15208 517485 86492 7.26292 7.26292 -60.1433 -7.26292 0 0 452692. 3143.70 0.01 0.25 0.06 -1 -1 0.01 0.116222 0.103786 + k6_N10_40nm.xml s38584.1.pre-vpr.blif common 25.20 vpr 84.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 404 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86316 39 304 4677 4982 1 2030 747 23 23 529 clb auto 42.7 MiB 1.01 14904 261623 79531 168959 13133 84.3 MiB 2.43 0.03 5.31651 -3386.99 -5.31651 5.31651 0.57 0.010079 0.00906179 0.841925 0.734315 -1 -1 -1 -1 38 21753 42 7.938e+06 7.272e+06 1.42597e+06 2695.60 6.41 3.52156 3.02715 41046 290405 -1 19677 23 11025 32342 1182586 226448 5.01574 5.01574 -3392.1 -5.01574 0 0 1.79789e+06 3398.65 0.07 0.91 0.22 -1 -1 0.07 0.560332 0.490747 + k6_N10_40nm.xml seq.pre-vpr.blif common 6.68 vpr 63.84 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 112 41 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65376 41 35 1006 1041 0 592 188 13 13 169 clb auto 24.2 MiB 0.53 7217 15790 2751 11594 1445 63.8 MiB 0.29 0.01 4.98507 -144.608 -4.98507 nan 0.16 0.00308253 0.00273928 0.120489 0.109161 -1 -1 -1 -1 54 11911 44 2.178e+06 2.016e+06 560467. 3316.37 3.24 0.979146 0.844173 14526 113769 -1 10428 30 5280 24638 903866 142828 4.87201 nan -144.017 -4.87201 0 0 730287. 4321.22 0.02 0.46 0.10 -1 -1 0.02 0.199563 0.175824 + k6_N10_40nm.xml spla.pre-vpr.blif common 17.67 vpr 74.89 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 265 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76688 16 46 2232 2278 0 1137 327 19 19 361 clb auto 33.2 MiB 0.92 17307 56627 13789 39736 3102 74.9 MiB 1.05 0.02 6.63208 -224.84 -6.63208 nan 0.37 0.00697821 0.0058582 0.391341 0.336325 -1 -1 -1 -1 60 30174 42 5.202e+06 4.77e+06 1.43744e+06 3981.82 8.84 2.3335 1.98111 32910 290117 -1 25425 24 9835 57132 2445755 336723 6.52939 nan -226.972 -6.52939 0 0 1.79849e+06 4981.96 0.06 1.13 0.23 -1 -1 0.06 0.404897 0.357661 + k6_N10_40nm.xml tseng.pre-vpr.blif common 3.61 vpr 64.12 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 112 52 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65656 52 122 1461 1583 1 500 286 13 13 169 clb auto 24.5 MiB 0.22 3158 39808 8713 28658 2437 64.1 MiB 0.20 0.00 6.15771 -1276.75 -6.15771 6.15771 0.12 0.00151949 0.00138677 0.0725931 0.0655934 -1 -1 -1 -1 26 4848 34 2.178e+06 2.016e+06 310759. 1838.81 0.73 0.389324 0.342948 11502 59218 -1 4210 17 2436 6640 243507 54081 5.71256 5.71256 -1266.26 -5.71256 0 0 383419. 2268.75 0.01 0.20 0.05 -1 -1 0.01 0.123184 0.110004 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vtr_reg_fpu_hard_block_arch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vtr_reg_fpu_hard_block_arch/config/golden_results.txt index f2ab28c8ba9..9c78b87cb9f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vtr_reg_fpu_hard_block_arch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vtr_reg_fpu_hard_block_arch/config/golden_results.txt @@ -1,17 +1,9 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time num_fpu -hard_fpu_arch_timing.xml bfly.v common -1 -1 -1 -1 -1 0.16 18224 1 0.05 -1 -1 31068 -1 -1 12 193 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 63432 193 64 833 649 1 555 273 30 30 900 block_FPU auto 23.1 MiB 12.87 6972 77268 29980 43623 3665 61.9 MiB 0.64 0.01 2.985 -1452.65 -2.985 2.985 0.00 0.00345903 0.00320898 0.310157 0.288034 9758 17.6137 2596 4.68592 934 1040 481302 105916 1.6779e+06 165039 2.03108e+06 2256.75 7 48532 406344 -1 2.985 2.985 -1496.45 -2.985 -23.8163 -0.0851 0.54 -1 -1 61.9 MiB 0.16 0.388266 0.362244 61.9 MiB -1 0.74 4 -hard_fpu_arch_timing.xml bgm.v common -1 -1 -1 -1 -1 0.29 19040 1 0.06 -1 -1 31632 -1 -1 0 257 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 67800 257 32 1281 693 1 1054 299 38 38 1444 block_FPU auto 26.9 MiB 1.08 18110 101198 46140 54513 545 66.2 MiB 1.42 0.01 2.985 -3229.01 -2.985 2.985 0.00 0.00654702 0.00610351 0.685687 0.639812 25207 23.9383 6546 6.21652 1846 2287 1380850 300883 2.90196e+06 343832 3.35777e+06 2325.33 6 79768 674274 -1 2.985 2.985 -3437.76 -2.985 -32.8428 -0.0851 0.80 -1 -1 66.2 MiB 0.40 0.828712 0.776824 66.2 MiB -1 1.59 10 -hard_fpu_arch_timing.xml dscg.v common -1 -1 -1 -1 -1 0.18 17724 1 0.05 -1 -1 31092 -1 -1 0 129 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 63392 129 64 769 585 1 513 197 30 30 900 block_FPU auto 22.9 MiB 12.31 6958 48902 21245 27359 298 61.9 MiB 0.54 0.01 2.985 -1455.98 -2.985 2.985 0.00 0.00319221 0.00294586 0.282301 0.261055 10003 19.5371 2642 5.16016 779 897 439553 99390 1.6779e+06 137533 2.03108e+06 2256.75 4 48532 406344 -1 2.985 2.985 -1548.45 -2.985 -21.7856 -0.0851 0.51 -1 -1 61.9 MiB 0.13 0.339114 0.31525 61.9 MiB -1 0.74 4 -hard_fpu_arch_timing.xml fir.v common -1 -1 -1 -1 -1 0.23 18448 1 0.06 -1 -1 31448 -1 -1 0 161 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 63824 161 32 993 808 1 589 198 32 32 1024 block_FPU auto 23.5 MiB 19.33 8696 52614 20036 29788 2790 62.3 MiB 0.52 0.00 2.985 -1388.15 -2.985 2.985 0.00 0.00236183 0.0021016 0.238946 0.215583 12400 21.0884 3199 5.44048 931 1030 627581 134171 2.063e+06 171916 2.37490e+06 2319.23 4 57140 479124 -1 2.985 2.985 -1481.02 -2.985 -38.21 -0.0851 0.58 -1 -1 62.3 MiB 0.17 0.292004 0.266051 62.3 MiB -1 1.10 5 -hard_fpu_arch_timing.xml mm3.v common -1 -1 -1 -1 -1 0.13 17448 1 0.04 -1 -1 30584 -1 -1 0 193 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 61708 193 32 545 422 1 406 228 22 22 484 block_FPU auto 21.7 MiB 5.89 4776 55212 23773 31167 272 60.3 MiB 0.43 0.00 2.985 -859.622 -2.985 2.985 0.00 0.00227966 0.00213157 0.192562 0.180241 6302 15.5605 1716 4.23704 575 575 247743 57500 882498 103149 1.07647e+06 2224.11 4 26490 217099 -1 2.985 2.985 -879.413 -2.985 -11.8289 -0.0851 0.31 -1 -1 60.3 MiB 0.08 0.230754 0.216735 60.3 MiB -1 0.40 3 -hard_fpu_arch_timing.xml ode.v common -1 -1 -1 -1 -1 0.27 18856 1 0.10 -1 -1 34124 -1 -1 139 130 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 65828 130 72 1194 1103 1 572 343 19 19 361 io auto 25.3 MiB 63.68 4565 96343 31862 60407 4074 64.3 MiB 0.65 0.02 2.985 -1406.8 -2.985 2.985 0.00 0.00310122 0.00280178 0.267549 0.240869 6648 11.6427 1747 3.05954 1275 1369 363986 74305 653279 387384 795482. 2203.55 9 19802 160939 -1 2.985 2.985 -1405.3 -2.985 -52.6773 -0.0851 0.23 -1 -1 64.3 MiB 0.15 0.350981 0.317977 64.3 MiB -1 0.27 2 -hard_fpu_arch_timing.xml syn2.v common -1 -1 -1 -1 -1 0.18 17704 1 0.03 -1 -1 30688 -1 -1 0 161 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 63032 161 128 641 490 1 475 293 30 30 900 block_FPU auto 22.9 MiB 2.22 7841 79997 33960 45061 976 61.6 MiB 0.67 0.01 2.985 -1572.64 -2.985 2.985 0.00 0.00371321 0.00348406 0.319763 0.300473 10437 22.0190 2753 5.80802 792 997 433379 92547 1.6779e+06 137533 2.03108e+06 2256.75 5 48532 406344 -1 2.985 2.985 -1589.34 -2.985 -16.4581 -0.0851 0.53 -1 -1 61.6 MiB 0.15 0.385642 0.363691 61.6 MiB -1 0.84 4 -hard_fpu_arch_timing.xml syn7.v common -1 -1 -1 -1 -1 0.42 20548 1 0.08 -1 -1 32460 -1 -1 0 161 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 114764 161 128 1921 499 1 1761 309 54 54 2916 block_FPU auto 36.7 MiB 0.90 51330 112017 54453 57290 274 112.1 MiB 3.15 0.04 2.985 -8362.4 -2.985 2.985 0.00 0.0141291 0.0132401 1.6054 1.50698 67889 38.5733 17189 9.76648 4622 7614 5369001 1071099 6.08571e+06 687663 6.89978e+06 2366.18 7 161598 1383069 -1 2.985 2.985 -8813.08 -2.985 -46.2947 -0.0851 1.66 -1 -1 112.1 MiB 1.35 1.95104 1.84046 112.1 MiB -1 3.28 20 - hard_fpu_arch_timing.xml bfly.v common -1 -1 -1 -1 -1 0.16 18224 1 0.05 -1 -1 31068 -1 -1 12 193 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 63432 193 64 833 649 1 555 273 30 30 900 block_FPU auto 23.1 MiB 12.87 6972 77268 29980 43623 3665 61.9 MiB 0.64 0.01 2.985 -1452.65 -2.985 2.985 0.00 0.00345903 0.00320898 0.310157 0.288034 9758 17.6137 2596 4.68592 934 1040 481302 105916 1.6779e+06 165039 2.03108e+06 2256.75 7 48532 406344 -1 2.985 2.985 -1496.45 -2.985 -23.8163 -0.0851 0.54 -1 -1 61.9 MiB 0.16 0.388266 0.362244 61.9 MiB -1 0.74 4 - hard_fpu_arch_timing.xml bgm.v common -1 -1 -1 -1 -1 0.29 19040 1 0.06 -1 -1 31632 -1 -1 0 257 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 67800 257 32 1281 693 1 1054 299 38 38 1444 block_FPU auto 26.9 MiB 1.08 18110 101198 46140 54513 545 66.2 MiB 1.42 0.01 2.985 -3229.01 -2.985 2.985 0.00 0.00654702 0.00610351 0.685687 0.639812 25207 23.9383 6546 6.21652 1846 2287 1380850 300883 2.90196e+06 343832 3.35777e+06 2325.33 6 79768 674274 -1 2.985 2.985 -3437.76 -2.985 -32.8428 -0.0851 0.80 -1 -1 66.2 MiB 0.40 0.828712 0.776824 66.2 MiB -1 1.59 10 - hard_fpu_arch_timing.xml dscg.v common -1 -1 -1 -1 -1 0.18 17724 1 0.05 -1 -1 31092 -1 -1 0 129 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 63392 129 64 769 585 1 513 197 30 30 900 block_FPU auto 22.9 MiB 12.31 6958 48902 21245 27359 298 61.9 MiB 0.54 0.01 2.985 -1455.98 -2.985 2.985 0.00 0.00319221 0.00294586 0.282301 0.261055 10003 19.5371 2642 5.16016 779 897 439553 99390 1.6779e+06 137533 2.03108e+06 2256.75 4 48532 406344 -1 2.985 2.985 -1548.45 -2.985 -21.7856 -0.0851 0.51 -1 -1 61.9 MiB 0.13 0.339114 0.31525 61.9 MiB -1 0.74 4 - hard_fpu_arch_timing.xml fir.v common -1 -1 -1 -1 -1 0.23 18448 1 0.06 -1 -1 31448 -1 -1 0 161 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 63824 161 32 993 808 1 589 198 32 32 1024 block_FPU auto 23.5 MiB 19.33 8696 52614 20036 29788 2790 62.3 MiB 0.52 0.00 2.985 -1388.15 -2.985 2.985 0.00 0.00236183 0.0021016 0.238946 0.215583 12400 21.0884 3199 5.44048 931 1030 627581 134171 2.063e+06 171916 2.37490e+06 2319.23 4 57140 479124 -1 2.985 2.985 -1481.02 -2.985 -38.21 -0.0851 0.58 -1 -1 62.3 MiB 0.17 0.292004 0.266051 62.3 MiB -1 1.10 5 - hard_fpu_arch_timing.xml mm3.v common -1 -1 -1 -1 -1 0.13 17448 1 0.04 -1 -1 30584 -1 -1 0 193 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 61708 193 32 545 422 1 406 228 22 22 484 block_FPU auto 21.7 MiB 5.89 4776 55212 23773 31167 272 60.3 MiB 0.43 0.00 2.985 -859.622 -2.985 2.985 0.00 0.00227966 0.00213157 0.192562 0.180241 6302 15.5605 1716 4.23704 575 575 247743 57500 882498 103149 1.07647e+06 2224.11 4 26490 217099 -1 2.985 2.985 -879.413 -2.985 -11.8289 -0.0851 0.31 -1 -1 60.3 MiB 0.08 0.230754 0.216735 60.3 MiB -1 0.40 3 - hard_fpu_arch_timing.xml ode.v common -1 -1 -1 -1 -1 0.27 18856 1 0.10 -1 -1 34124 -1 -1 139 130 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 65828 130 72 1194 1103 1 572 343 19 19 361 io auto 25.3 MiB 63.68 4565 96343 31862 60407 4074 64.3 MiB 0.65 0.02 2.985 -1406.8 -2.985 2.985 0.00 0.00310122 0.00280178 0.267549 0.240869 6648 11.6427 1747 3.05954 1275 1369 363986 74305 653279 387384 795482. 2203.55 9 19802 160939 -1 2.985 2.985 -1405.3 -2.985 -52.6773 -0.0851 0.23 -1 -1 64.3 MiB 0.15 0.350981 0.317977 64.3 MiB -1 0.27 2 - hard_fpu_arch_timing.xml syn2.v common -1 -1 -1 -1 -1 0.18 17704 1 0.03 -1 -1 30688 -1 -1 0 161 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 63032 161 128 641 490 1 475 293 30 30 900 block_FPU auto 22.9 MiB 2.22 7841 79997 33960 45061 976 61.6 MiB 0.67 0.01 2.985 -1572.64 -2.985 2.985 0.00 0.00371321 0.00348406 0.319763 0.300473 10437 22.0190 2753 5.80802 792 997 433379 92547 1.6779e+06 137533 2.03108e+06 2256.75 5 48532 406344 -1 2.985 2.985 -1589.34 -2.985 -16.4581 -0.0851 0.53 -1 -1 61.6 MiB 0.15 0.385642 0.363691 61.6 MiB -1 0.84 4 - hard_fpu_arch_timing.xml syn7.v common -1 -1 -1 -1 -1 0.42 20548 1 0.08 -1 -1 32460 -1 -1 0 161 -1 -1 success v8.0.0-10677-gf2af7ebf0 release IPO VTR_ASSERT_LEVEL=3 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-11T11:34:37 betzgrp-wintermute.eecg.utoronto.ca /home/shrevena/Documents/vtr/vtr-verilog-to-routing/vtr_flow/tasks 114764 161 128 1921 499 1 1761 309 54 54 2916 block_FPU auto 36.7 MiB 0.90 51330 112017 54453 57290 274 112.1 MiB 3.15 0.04 2.985 -8362.4 -2.985 2.985 0.00 0.0141291 0.0132401 1.6054 1.50698 67889 38.5733 17189 9.76648 4622 7614 5369001 1071099 6.08571e+06 687663 6.89978e+06 2366.18 7 161598 1383069 -1 2.985 2.985 -8813.08 -2.985 -46.2947 -0.0851 1.66 -1 -1 112.1 MiB 1.35 1.95104 1.84046 112.1 MiB -1 3.28 20 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time num_fpu + hard_fpu_arch_timing.xml bfly.v common 10.66 vpr 62.66 MiB -1 -1 0.29 18880 1 0.04 -1 -1 31060 -1 -1 14 193 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64160 193 64 833 649 1 555 275 30 30 900 block_FPU auto 22.9 MiB 9.06 7227 73501 28110 42559 2832 62.7 MiB 0.24 0.00 2.985 -1449.57 -2.985 2.985 0.00 0.00129076 0.0012041 0.111382 0.10419 -1 -1 -1 -1 10011 18.0704 2627 4.74188 921 1045 352645 96816 1.6779e+06 169623 2.03108e+06 2256.75 6 48532 406344 -1 2.985 2.985 -1492.92 -2.985 -24.3711 -0.0851 0.33 -1 -1 62.7 MiB 0.08 0.141917 0.133178 62.7 MiB -1 0.09 4 + hard_fpu_arch_timing.xml bgm.v common 4.38 vpr 66.29 MiB -1 -1 0.37 19684 1 0.06 -1 -1 31568 -1 -1 0 257 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67880 257 32 1281 693 1 1048 299 38 38 1444 block_FPU auto 27.1 MiB 1.15 17732 96203 37615 55516 3072 66.3 MiB 0.97 0.01 2.985 -3196.19 -2.985 2.985 0.00 0.0058053 0.00549326 0.572424 0.541944 -1 -1 -1 -1 24861 23.7450 6446 6.15664 1897 2343 998279 268232 2.90196e+06 343832 3.35777e+06 2325.33 6 79768 674274 -1 2.985 2.985 -3400.32 -2.985 -32.9279 -0.0851 0.72 -1 -1 66.3 MiB 0.27 0.668829 0.633922 66.3 MiB -1 0.18 10 + hard_fpu_arch_timing.xml dscg.v common 11.83 vpr 63.13 MiB -1 -1 0.27 18916 1 0.05 -1 -1 30476 -1 -1 0 129 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64644 129 64 769 585 1 513 197 30 30 900 block_FPU auto 23.0 MiB 9.85 7095 47183 20094 26781 308 63.1 MiB 0.42 0.00 2.985 -1443.24 -2.985 2.985 0.00 0.00302745 0.00284785 0.254581 0.239617 -1 -1 -1 -1 9979 19.4902 2627 5.13086 790 910 348267 96422 1.6779e+06 137533 2.03108e+06 2256.75 5 48532 406344 -1 2.985 2.985 -1537.32 -2.985 -21.8648 -0.0851 0.44 -1 -1 63.1 MiB 0.11 0.304162 0.286319 63.1 MiB -1 0.10 4 + hard_fpu_arch_timing.xml fir.v common 19.03 vpr 63.21 MiB -1 -1 0.34 19016 1 0.05 -1 -1 32588 -1 -1 0 161 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64724 161 32 993 808 1 587 198 32 32 1024 block_FPU auto 23.3 MiB 16.72 9692 44550 18401 25778 371 63.2 MiB 0.41 0.00 2.985 -1407.96 -2.985 2.985 0.00 0.00297922 0.00277214 0.239029 0.222609 -1 -1 -1 -1 12905 22.0222 3330 5.68259 990 1086 448603 120061 2.063e+06 171916 2.37490e+06 2319.23 5 57140 479124 -1 2.985 2.985 -1491.67 -2.985 -38.4653 -0.0851 0.53 -1 -1 63.2 MiB 0.13 0.291694 0.271864 63.2 MiB -1 0.12 5 + hard_fpu_arch_timing.xml mm3.v common 6.50 vpr 61.19 MiB -1 -1 0.23 18328 1 0.04 -1 -1 30736 -1 -1 0 193 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62656 193 32 545 422 1 386 228 22 22 484 block_FPU auto 21.8 MiB 4.95 4984 53124 22938 29850 336 61.2 MiB 0.32 0.00 2.985 -851.626 -2.985 2.985 0.00 0.00217515 0.00206622 0.171111 0.1626 -1 -1 -1 -1 6454 16.7636 1714 4.45195 565 565 194103 53991 882498 103149 1.07647e+06 2224.11 4 26490 217099 -1 2.985 2.985 -877.472 -2.985 -13.5705 -0.0851 0.24 -1 -1 61.2 MiB 0.07 0.203477 0.193246 61.2 MiB -1 0.05 3 + hard_fpu_arch_timing.xml ode.v common 53.14 vpr 64.66 MiB -1 -1 0.41 19816 1 0.10 -1 -1 34200 -1 -1 141 130 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66208 130 72 1194 1103 1 571 345 19 19 361 io auto 24.6 MiB 50.95 5001 98274 32566 61072 4636 64.7 MiB 0.54 0.01 2.985 -1384.17 -2.985 2.985 0.00 0.00308436 0.00282904 0.260751 0.239819 -1 -1 -1 -1 6737 11.8193 1762 3.09123 1249 1362 304558 77526 653279 391968 795482. 2203.55 8 19802 160939 -1 2.985 2.985 -1385.47 -2.985 -52.8417 -0.0851 0.18 -1 -1 64.7 MiB 0.13 0.330036 0.303434 64.7 MiB -1 0.04 2 + hard_fpu_arch_timing.xml syn2.v common 3.85 vpr 62.39 MiB -1 -1 0.16 18524 1 0.04 -1 -1 30832 -1 -1 0 161 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63892 161 128 641 490 1 475 293 30 30 900 block_FPU auto 23.1 MiB 1.75 8105 81941 35762 45750 429 62.4 MiB 0.54 0.01 2.985 -1571.9 -2.985 2.985 0.00 0.00342016 0.0032503 0.298242 0.283689 -1 -1 -1 -1 10335 21.8038 2743 5.78692 780 976 327494 85675 1.6779e+06 137533 2.03108e+06 2256.75 5 48532 406344 -1 2.985 2.985 -1595.62 -2.985 -16.3392 -0.0851 0.44 -1 -1 62.4 MiB 0.11 0.355509 0.338332 62.4 MiB -1 0.10 4 + hard_fpu_arch_timing.xml syn7.v common 7.45 vpr 112.47 MiB -1 -1 0.45 21564 1 0.08 -1 -1 32500 -1 -1 0 161 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 115168 161 128 1921 499 1 1760 309 54 54 2916 block_FPU auto 37.0 MiB 0.62 44624 112017 52264 59181 572 112.5 MiB 2.20 0.02 2.985 -8100.96 -2.985 2.985 0.00 0.0120269 0.0114133 1.31427 1.24716 -1 -1 -1 -1 60108 34.1717 15324 8.71177 4214 6760 3339753 839694 6.08571e+06 687663 6.89978e+06 2366.18 9 161598 1383069 -1 2.985 2.985 -8533.86 -2.985 -46.3798 -0.0851 1.55 -1 -1 112.5 MiB 0.90 1.61708 1.53715 112.5 MiB -1 0.39 20 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vtr_reg_fpu_soft_logic_arch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vtr_reg_fpu_soft_logic_arch/config/golden_results.txt index 39285b5f6fd..985745ce71c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vtr_reg_fpu_soft_logic_arch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1/vtr_reg_fpu_soft_logic_arch/config/golden_results.txt @@ -1,8 +1,8 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem router_lookahead_computation_time - soft_fpu_arch_timing.xml bfly.v common 40.57 yosys 122.16 MiB -1 -1 22.13 125096 23 5.62 -1 -1 41692 -1 -1 1040 193 -1 -1 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 85328 193 64 4025 4089 1 2736 1297 35 35 1225 clb auto 46.0 MiB 0.97 24357 83.3 MiB 3.80 0.05 12.6863 -3133.42 -12.6863 12.6863 0.19 0.00678317 0.00575525 0.583526 0.494286 43509 23481 79464 7440725 993539 2.49624e+06 2.38392e+06 2.83731e+06 2316.17 25 14.5389 14.5389 -3399.68 -14.5389 -30.6915 -0.0851 83.3 MiB 2.29 1.09901 0.94705 83.3 MiB 1.83 - soft_fpu_arch_timing.xml bgm.v common 85.62 yosys 262.74 MiB -1 -1 55.10 269048 18 13.31 -1 -1 50340 -1 -1 1412 257 -1 -1 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 98592 257 32 6050 6082 1 4052 1701 40 40 1600 clb auto 59.8 MiB 1.28 32465 96.3 MiB 5.79 0.04 10.1721 -4834.31 -10.1721 10.1721 0.25 0.00614799 0.00540653 0.86422 0.729823 55833 29024 89852 8145011 1111561 3.30999e+06 3.23663e+06 3.73324e+06 2333.28 24 11.3843 11.3843 -5207.55 -11.3843 -27.431 -0.0851 96.3 MiB 1.71 1.32139 1.13159 96.3 MiB 2.43 - soft_fpu_arch_timing.xml dscg.v common 33.83 yosys 120.67 MiB -1 -1 24.80 123568 25 2.07 -1 -1 41792 -1 -1 565 129 -1 -1 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 71128 129 64 2143 2207 1 1543 758 26 26 676 clb auto 31.6 MiB 0.51 12874 69.5 MiB 1.58 0.02 14.3664 -1690.33 -14.3664 14.3664 0.09 0.00354728 0.00307928 0.273789 0.235084 23119 13167 44172 4130151 562348 1.32031e+06 1.2951e+06 1.53374e+06 2268.84 24 15.799 15.799 -1841.77 -15.799 -8.6998 -0.0851 69.5 MiB 1.27 0.551637 0.482491 69.5 MiB 0.94 - soft_fpu_arch_timing.xml fir.v common 26.03 yosys 107.03 MiB -1 -1 20.01 109600 16 1.08 -1 -1 39144 -1 -1 470 161 -1 -1 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 68304 161 32 2031 2063 1 1225 663 24 24 576 clb auto 29.0 MiB 0.34 6818 66.7 MiB 0.96 0.02 8.78105 -1407.83 -8.78105 8.78105 0.07 0.00263578 0.00221588 0.190077 0.16097 11091 6628 16931 1530770 210173 1.10943e+06 1.07733e+06 1.29802e+06 2253.51 29 10.2137 10.2137 -1490.23 -10.2137 -36.4317 -0.0851 66.7 MiB 0.58 0.402875 0.349509 66.7 MiB 0.78 - soft_fpu_arch_timing.xml mm3.v common 16.83 yosys 77.55 MiB -1 -1 14.00 79408 11 0.34 -1 -1 37140 -1 -1 188 193 -1 -1 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 60760 193 32 904 936 1 583 413 21 21 441 io auto 21.3 MiB 0.13 2803 59.3 MiB 0.33 0.01 5.82017 -484.835 -5.82017 5.82017 0.05 0.00130186 0.00114881 0.0741617 0.0644725 4633 2312 4580 414875 58859 827486 430936 981244. 2225.04 18 6.59157 6.59157 -518.556 -6.59157 -6.90646 -0.0851 59.3 MiB 0.16 0.13609 0.120573 59.3 MiB 0.51 - soft_fpu_arch_timing.xml ode.v common 41.23 yosys 125.50 MiB -1 -1 17.72 128508 24 6.58 -1 -1 47476 -1 -1 1376 130 -1 -1 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 95068 130 72 5235 5307 1 3591 1578 40 40 1600 clb auto 55.9 MiB 1.26 35397 92.8 MiB 5.68 0.07 13.7468 -4422.98 -13.7468 13.7468 0.24 0.00918165 0.00803446 0.859672 0.732311 61467 27802 90970 8572516 1132437 3.30999e+06 3.15411e+06 3.73324e+06 2333.28 22 15.1794 15.1794 -4765.97 -15.1794 -53.7766 -0.0851 92.8 MiB 2.72 1.52323 1.31474 92.8 MiB 2.44 - soft_fpu_arch_timing.xml syn2.v common 70.87 yosys 151.36 MiB -1 -1 23.13 154996 23 13.96 -1 -1 51820 -1 -1 2290 161 -1 -1 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:29 gh-actions-runner-vtr-auto-spawned1 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 131092 161 128 8356 8484 1 6201 2579 50 50 2500 clb auto 82.9 MiB 2.30 63583 126.4 MiB 14.58 0.16 13.437 -6901.96 -13.437 13.437 0.46 0.0187672 0.016783 1.78112 1.47957 112990 51030 168594 15868739 2084984 5.2812e+06 5.24911e+06 5.89298e+06 2357.19 25 14.6283 14.6283 -7454.97 -14.6283 -24.4737 -0.0851 126.4 MiB 5.44 3.18795 2.70077 126.4 MiB 4.09 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + soft_fpu_arch_timing.xml bfly.v common 41.34 parmys 121.35 MiB -1 -1 28.71 124260 23 3.25 -1 -1 39816 -1 -1 1065 193 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84540 193 64 3908 3972 1 2590 1322 35 35 1225 clb auto 40.9 MiB 0.92 23821 566978 183866 374301 8811 82.6 MiB 3.62 0.05 15.2252 -3632.45 -15.2252 15.2252 0.00 0.00893832 0.00809078 0.765101 0.674928 -1 -1 -1 -1 41554 16.0502 10620 4.10197 16398 55146 3818752 563046 2.49624e+06 2.44122e+06 2.83731e+06 2316.17 20 66042 566079 -1 14.3186 14.3186 -3387.7 -14.3186 -31.8712 -0.0851 0.59 -1 -1 82.6 MiB 1.30 1.1781 1.04452 82.6 MiB -1 0.14 + soft_fpu_arch_timing.xml bgm.v common 91.63 parmys 261.79 MiB -1 -1 68.05 268076 18 8.02 -1 -1 47316 -1 -1 1490 257 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 98376 257 32 6040 6072 1 3862 1779 41 41 1681 clb auto 52.4 MiB 1.35 32284 896104 308252 576620 11232 96.1 MiB 5.96 0.07 12.7604 -5544.74 -12.7604 12.7604 0.00 0.0121239 0.0108644 1.11649 0.963883 -1 -1 -1 -1 53705 13.9132 13764 3.56580 24580 81256 5307364 800086 3.48649e+06 3.41543e+06 3.92715e+06 2336.20 24 90666 782499 -1 12.0246 12.0246 -5156.11 -12.0246 -31.3502 -0.0851 0.78 -1 -1 96.1 MiB 1.91 1.78217 1.54405 96.1 MiB -1 0.19 + soft_fpu_arch_timing.xml dscg.v common 37.96 parmys 121.48 MiB -1 -1 31.02 124396 24 1.46 -1 -1 38668 -1 -1 602 129 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72036 129 64 2192 2256 1 1525 795 27 27 729 clb auto 30.2 MiB 0.54 13360 240060 66463 162314 11283 70.3 MiB 1.50 0.02 16.4736 -1891.5 -16.4736 16.4736 0.00 0.00522672 0.00478088 0.373681 0.337968 -1 -1 -1 -1 23389 15.7821 5992 4.04318 10665 36046 2530253 367157 1.43263e+06 1.37991e+06 1.65895e+06 2275.65 21 39258 331839 -1 14.938 14.938 -1781.33 -14.938 -9.29425 -0.0851 0.32 -1 -1 70.3 MiB 0.86 0.642834 0.579879 70.3 MiB -1 0.07 + soft_fpu_arch_timing.xml fir.v common 30.01 parmys 107.04 MiB -1 -1 25.31 109604 16 0.75 -1 -1 35824 -1 -1 480 161 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69144 161 32 2044 2076 1 1154 673 24 24 576 clb auto 27.8 MiB 0.33 7067 186397 50839 130117 5441 67.5 MiB 1.00 0.02 10.7496 -1623.35 -10.7496 10.7496 0.00 0.00400883 0.00360476 0.266889 0.238205 -1 -1 -1 -1 11039 9.58247 2844 2.46875 5647 15675 1030099 156890 1.10943e+06 1.10026e+06 1.29802e+06 2253.51 23 30996 260004 -1 10.1243 10.1243 -1523.31 -10.1243 -41.6788 -0.0851 0.25 -1 -1 67.5 MiB 0.42 0.454829 0.403412 67.5 MiB -1 0.06 + soft_fpu_arch_timing.xml mm3.v common 19.71 parmys 76.30 MiB -1 -1 17.40 78128 11 0.25 -1 -1 34080 -1 -1 188 193 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62248 193 32 892 924 1 553 413 21 21 441 io auto 21.0 MiB 0.15 2944 81874 21125 56255 4494 60.8 MiB 0.37 0.01 7.4944 -557.676 -7.4944 7.4944 0.00 0.00203025 0.00187301 0.114304 0.105094 -1 -1 -1 -1 4300 7.78986 1136 2.05797 2073 4078 247328 39648 827486 430936 981244. 2225.04 17 23706 196899 -1 6.6809 6.6809 -514.232 -6.6809 -6.91814 -0.0851 0.19 -1 -1 60.8 MiB 0.13 0.187463 0.170377 60.8 MiB -1 0.04 + soft_fpu_arch_timing.xml ode.v common 40.64 parmys 125.57 MiB -1 -1 23.10 128584 24 4.17 -1 -1 44228 -1 -1 1412 130 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 94024 130 72 5151 5223 1 3426 1614 40 40 1600 clb auto 48.4 MiB 1.33 35991 777580 261625 505362 10593 91.8 MiB 5.34 0.06 15.9431 -5264.37 -15.9431 15.9431 0.00 0.0117408 0.0100826 1.01252 0.875255 -1 -1 -1 -1 60460 17.6732 15462 4.51973 24242 84687 5987586 871715 3.30999e+06 3.23663e+06 3.73324e+06 2333.28 21 86292 744004 -1 14.8488 14.8488 -4929.15 -14.8488 -50.5031 -0.0851 0.74 -1 -1 91.8 MiB 1.94 1.5713 1.36676 91.8 MiB -1 0.19 + soft_fpu_arch_timing.xml syn2.v common 62.84 parmys 154.08 MiB -1 -1 29.55 157776 24 7.67 -1 -1 48164 -1 -1 2381 161 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 146376 161 128 8330 8458 1 5909 2670 51 51 2601 clb auto 69.8 MiB 2.37 66146 1576445 571349 976282 28814 142.9 MiB 12.22 0.13 17.1016 -8352.63 -17.1016 17.1016 0.00 0.0199685 0.0180821 1.87397 1.60664 -1 -1 -1 -1 113775 19.4487 28920 4.94359 45588 170928 12281742 1779631 5.50353e+06 5.45769e+06 6.13592e+06 2359.06 21 140346 1220799 -1 15.9299 15.9299 -7817.18 -15.9299 -29.0971 -0.0851 1.30 -1 -1 142.9 MiB 4.07 2.89569 2.48638 142.9 MiB -1 0.35 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters/config/golden_results.txt index 6e30998de45..dbe5e369b17 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters/config/golden_results.txt @@ -1,235 +1,235 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_14.v common 10.54 vpr 70.70 MiB 0.08 10424 -1 -1 8 0.47 -1 -1 34568 -1 -1 129 22 0 4 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72392 22 19 1764 1664 1 986 174 16 16 256 mult_36 auto 32.5 MiB 0.23 6911 23454 4494 16949 2011 70.7 MiB 0.38 0.01 4.33936 -1301.29 -4.33936 4.33936 0.56 0.00365899 0.00326498 0.195213 0.174646 56 12579 33 6.2557e+06 3.1391e+06 803869. 3140.11 6.06 1.35873 1.18031 23564 190428 -1 11422 16 3998 8028 649808 152476 4.21916 4.21916 -1356.04 -4.21916 0 0 987003. 3855.48 0.24 0.27 0.17 -1 -1 0.24 0.135479 0.1203 966 966 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_15.v common 18.70 vpr 71.25 MiB 0.12 10744 -1 -1 8 0.49 -1 -1 36248 -1 -1 139 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72960 22 19 1918 1801 1 1083 185 16 16 256 clb mult_36 auto 33.3 MiB 0.31 7452 24381 4617 17851 1913 71.2 MiB 0.40 0.01 4.2726 -1449.28 -4.2726 4.2726 0.57 0.00379266 0.0033986 0.199621 0.178244 58 13092 31 6.2557e+06 3.65564e+06 820238. 3204.05 13.97 1.94719 1.68393 24072 200857 -1 11442 15 3878 7716 550889 129867 4.33936 4.33936 -1521.98 -4.33936 0 0 1.02849e+06 4017.54 0.26 0.28 0.18 -1 -1 0.26 0.149226 0.132931 1047 1047 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_16.v common 20.82 vpr 71.85 MiB 0.08 10924 -1 -1 8 0.49 -1 -1 37424 -1 -1 143 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73572 22 19 1976 1859 1 1114 189 17 17 289 clb auto 33.9 MiB 0.32 7264 24037 4291 17788 1958 71.8 MiB 0.41 0.01 4.21916 -1486.51 -4.21916 4.21916 0.63 0.00489752 0.00431003 0.201025 0.178991 50 13894 29 6.55708e+06 3.70386e+06 851065. 2944.86 15.84 1.99817 1.72454 25342 195625 -1 12284 15 4638 9503 717159 167904 4.21916 4.21916 -1550.01 -4.21916 0 0 1.01866e+06 3524.77 0.26 0.29 0.17 -1 -1 0.26 0.142612 0.126662 1086 1086 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_17.v common 9.92 vpr 72.78 MiB 0.12 11700 -1 -1 8 0.62 -1 -1 36784 -1 -1 163 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74524 22 19 2278 2144 1 1240 209 17 17 289 clb auto 35.2 MiB 0.36 8060 29969 5695 21748 2526 72.8 MiB 0.47 0.01 4.45956 -1684.95 -4.45956 4.45956 0.63 0.00463111 0.0041369 0.23625 0.210005 54 15140 22 6.55708e+06 3.94496e+06 896083. 3100.63 4.55 1.53975 1.33532 26206 212621 -1 12577 16 4569 8799 640836 153828 4.21916 4.21916 -1745.34 -4.21916 0 0 1.10294e+06 3816.40 0.28 0.32 0.18 -1 -1 0.28 0.176032 0.155889 1242 1242 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_18.v common 11.28 vpr 73.71 MiB 0.09 11836 -1 -1 8 0.64 -1 -1 37796 -1 -1 168 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75484 22 19 2336 2202 1 1265 214 18 18 324 clb auto 35.8 MiB 0.40 8290 36637 7934 26298 2405 73.7 MiB 0.57 0.01 4.21916 -1744.34 -4.21916 4.21916 0.73 0.00444452 0.00396062 0.286115 0.253518 50 16367 48 7.67456e+06 4.00524e+06 975281. 3010.13 5.32 1.59346 1.38595 28904 225404 -1 13788 16 5109 10482 831833 193930 4.33936 4.33936 -1820.48 -4.33936 0 0 1.16663e+06 3600.72 0.32 0.37 0.20 -1 -1 0.32 0.182922 0.161524 1281 1281 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_19.v common 27.64 vpr 73.89 MiB 0.14 12416 -1 -1 8 0.73 -1 -1 37396 -1 -1 175 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75668 22 19 2488 2337 1 1372 222 18 18 324 clb auto 36.6 MiB 0.40 9596 39140 8295 28140 2705 73.9 MiB 0.68 0.01 4.33936 -1880.13 -4.33936 4.33936 0.80 0.0047139 0.00418558 0.324637 0.286821 54 18562 42 7.67456e+06 4.48562e+06 1.02660e+06 3168.53 21.36 2.68022 2.31611 29872 244976 -1 14749 13 5049 10006 745695 175397 4.33936 4.33936 -1903.22 -4.33936 0 0 1.26286e+06 3897.71 0.32 0.31 0.21 -1 -1 0.32 0.161915 0.144401 1360 1360 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_20.v common 12.23 vpr 74.60 MiB 0.14 12340 -1 -1 8 0.79 -1 -1 37540 -1 -1 182 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76388 22 19 2546 2395 1 1407 229 18 18 324 clb auto 37.3 MiB 0.40 9852 41529 9029 29722 2778 74.6 MiB 0.66 0.01 4.23263 -1906.98 -4.23263 4.23263 0.73 0.00510993 0.00455479 0.311577 0.274832 56 17400 27 7.67456e+06 4.57001e+06 1.05222e+06 3247.61 5.92 1.81762 1.58422 30196 251424 -1 15852 16 5369 10891 854362 201858 4.33936 4.33936 -2002.14 -4.33936 0 0 1.29075e+06 3983.80 0.34 0.40 0.22 -1 -1 0.34 0.201322 0.179277 1399 1399 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_21.v common 16.26 vpr 75.50 MiB 0.15 12828 -1 -1 8 0.89 -1 -1 37744 -1 -1 193 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77308 22 19 2735 2567 1 1516 240 19 19 361 clb auto 38.2 MiB 0.47 11409 38235 7770 28551 1914 75.5 MiB 0.63 0.01 4.57976 -2047.16 -4.57976 4.57976 0.84 0.00577536 0.00518636 0.3054 0.270886 60 19097 20 8.02416e+06 4.70262e+06 1.23460e+06 3419.94 9.34 2.14776 1.86265 34598 305437 -1 17021 13 5592 11571 878461 200428 4.45956 4.45956 -2093.27 -4.45956 0 0 1.54069e+06 4267.84 0.41 0.36 0.27 -1 -1 0.41 0.181661 0.162213 1497 1497 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_22.v common 12.01 vpr 75.86 MiB 0.14 12916 -1 -1 8 0.92 -1 -1 38120 -1 -1 200 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77680 22 19 2793 2625 1 1545 247 19 19 361 clb auto 38.7 MiB 0.43 10819 43591 9468 32068 2055 75.9 MiB 0.69 0.01 4.33936 -2094.5 -4.33936 4.33936 0.83 0.00528357 0.00468913 0.332009 0.293678 58 18949 22 8.02416e+06 4.787e+06 1.20750e+06 3344.89 5.03 1.59876 1.39152 34238 298765 -1 17036 18 6019 12139 929813 221385 4.33936 4.33936 -2185.66 -4.33936 0 0 1.51231e+06 4189.22 0.41 0.44 0.25 -1 -1 0.41 0.233865 0.207296 1536 1536 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_23.v common 12.86 vpr 76.60 MiB 0.11 13404 -1 -1 8 0.92 -1 -1 38712 -1 -1 211 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78440 22 19 2947 2762 1 1644 259 19 19 361 clb auto 39.4 MiB 0.49 11020 43159 9038 31489 2632 76.6 MiB 0.75 0.01 4.2726 -2221.58 -4.2726 4.2726 0.87 0.0059107 0.00523601 0.358623 0.318099 58 19050 20 8.02416e+06 5.3156e+06 1.20750e+06 3344.89 5.62 1.82998 1.60216 34238 298765 -1 17111 13 5734 11444 793982 193134 4.45956 4.45956 -2263.88 -4.45956 0 0 1.51231e+06 4189.22 0.41 0.40 0.26 -1 -1 0.41 0.205585 0.184619 1617 1617 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_24.v common 13.53 vpr 76.93 MiB 0.18 13496 -1 -1 8 0.95 -1 -1 38724 -1 -1 216 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78780 22 19 3005 2820 1 1676 264 19 19 361 clb auto 39.9 MiB 0.51 11788 45102 9582 33144 2376 76.9 MiB 0.77 0.01 4.33936 -2265.94 -4.33936 4.33936 0.89 0.0060117 0.00535217 0.367787 0.325894 58 20359 33 8.02416e+06 5.37588e+06 1.20750e+06 3344.89 5.91 1.94923 1.70406 34238 298765 -1 17938 13 6106 12521 945470 221782 4.33936 4.33936 -2379.04 -4.33936 0 0 1.51231e+06 4189.22 0.42 0.42 0.26 -1 -1 0.42 0.207164 0.185275 1656 1656 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_25.v common 16.78 vpr 79.07 MiB 0.16 13996 -1 -1 8 1.12 -1 -1 40320 -1 -1 231 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80972 22 19 3229 3027 1 1787 279 20 20 400 clb auto 41.1 MiB 0.55 13104 50384 10538 36503 3343 79.1 MiB 0.86 0.01 4.33936 -2497.75 -4.33936 4.33936 1.01 0.00631594 0.00563073 0.407978 0.360229 56 24126 48 1.09209e+07 5.5567e+06 1.31097e+06 3277.42 8.53 2.56516 2.25629 37530 313959 -1 21480 15 7283 15143 1320591 298085 4.33936 4.33936 -2564.15 -4.33936 0 0 1.60880e+06 4022.00 0.42 0.54 0.27 -1 -1 0.42 0.247739 0.220975 1771 1771 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_26.v common 19.25 vpr 79.34 MiB 0.18 14372 -1 -1 8 1.08 -1 -1 40560 -1 -1 237 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81244 22 19 3287 3085 1 1821 285 21 21 441 clb auto 41.4 MiB 0.56 13136 57442 13523 40914 3005 79.3 MiB 0.90 0.01 4.33936 -2504.53 -4.33936 4.33936 1.08 0.00606643 0.00535482 0.414242 0.364529 58 22683 37 1.13066e+07 5.62904e+06 1.48593e+06 3369.47 10.49 2.35823 2.04792 42062 368216 -1 20548 13 6990 14309 1055541 245303 4.21916 4.21916 -2554.32 -4.21916 0 0 1.86135e+06 4220.76 0.51 0.49 0.31 -1 -1 0.51 0.232733 0.208547 1810 1810 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_27.v common 19.01 vpr 79.30 MiB 0.15 14548 -1 -1 8 1.04 -1 -1 39364 -1 -1 251 22 0 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81208 22 19 3453 3234 1 1931 300 21 21 441 clb auto 42.4 MiB 0.57 14985 60480 13891 43297 3292 79.3 MiB 0.96 0.02 4.35283 -2683.1 -4.35283 4.35283 1.06 0.006508 0.00577071 0.447304 0.394235 58 26866 32 1.13066e+07 6.1938e+06 1.48593e+06 3369.47 10.12 2.22103 1.93376 42062 368216 -1 23239 14 7816 16183 1303787 291924 4.33936 4.33936 -2773.32 -4.33936 0 0 1.86135e+06 4220.76 0.54 0.60 0.32 -1 -1 0.54 0.286199 0.260459 1903 1903 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_28.v common 21.07 vpr 80.57 MiB 0.14 14696 -1 -1 8 1.34 -1 -1 41092 -1 -1 256 22 0 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82504 22 19 3511 3292 1 1964 305 21 21 441 clb auto 42.9 MiB 0.62 13949 56735 12285 41462 2988 80.6 MiB 0.96 0.02 4.33936 -2735.23 -4.33936 4.33936 1.10 0.00704446 0.00619059 0.437073 0.384685 64 23032 20 1.13066e+07 6.25408e+06 1.61476e+06 3661.58 11.73 2.81808 2.45166 43822 404518 -1 20960 15 6761 13901 1029805 236692 4.33936 4.33936 -2868.81 -4.33936 0 0 2.02607e+06 4594.27 0.59 0.55 0.37 -1 -1 0.59 0.291523 0.261599 1942 1942 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_29.v common 43.72 vpr 81.68 MiB 0.11 15144 -1 -1 8 1.20 -1 -1 40048 -1 -1 268 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83640 22 19 3709 3473 1 2078 318 22 22 484 clb mult_36 auto 44.3 MiB 0.60 16039 68673 16015 49006 3652 81.7 MiB 1.06 0.02 4.45956 -2880.87 -4.45956 4.45956 1.15 0.00686048 0.00606524 0.489167 0.431798 58 28453 49 1.25085e+07 6.79474e+06 1.65337e+06 3416.05 34.20 3.77322 3.27923 46570 411141 -1 24738 15 8089 16692 1363351 299594 4.45956 4.45956 -2907.33 -4.45956 0 0 2.07026e+06 4277.39 0.57 0.58 0.34 -1 -1 0.57 0.269084 0.238866 2049 2049 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_30.v common 19.05 vpr 82.44 MiB 0.15 15328 -1 -1 8 1.43 -1 -1 40280 -1 -1 274 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84420 22 19 3767 3531 1 2107 324 22 22 484 clb mult_36 auto 45.1 MiB 0.63 15345 71492 17040 50634 3818 82.4 MiB 1.15 0.02 4.33936 -2892.9 -4.33936 4.33936 1.15 0.00691652 0.00611562 0.518098 0.456256 58 27413 39 1.25085e+07 6.86707e+06 1.65337e+06 3416.05 8.83 2.77404 2.41177 46570 411141 -1 23887 38 8479 18001 1648879 434292 4.21916 4.21916 -3000.49 -4.21916 0 0 2.07026e+06 4277.39 0.57 0.99 0.35 -1 -1 0.57 0.558583 0.489165 2088 2088 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_31.v common 23.10 vpr 85.05 MiB 0.22 15676 -1 -1 8 1.39 -1 -1 41812 -1 -1 288 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87096 22 19 3928 3675 1 2213 338 22 22 484 clb mult_36 auto 45.3 MiB 0.65 16438 72074 17115 51144 3815 82.8 MiB 1.12 0.02 4.45956 -3035.76 -4.45956 4.45956 1.16 0.00842428 0.00738986 0.509085 0.449211 66 26913 16 1.25085e+07 7.03584e+06 1.84972e+06 3821.73 12.87 3.03126 2.63675 48986 463441 -1 24448 13 7560 15563 1140627 256835 4.33936 4.33936 -3082.3 -4.33936 0 0 2.30827e+06 4769.15 0.68 0.58 0.41 -1 -1 0.68 0.287148 0.25805 2176 2176 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_32.v common 41.95 vpr 83.27 MiB 0.21 15936 -1 -1 8 1.45 -1 -1 41916 -1 -1 292 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85272 22 19 3986 3733 1 2248 342 22 22 484 clb mult_36 auto 45.9 MiB 0.69 16132 74432 17571 52958 3903 83.3 MiB 1.25 0.02 4.33936 -3099.4 -4.33936 4.33936 1.20 0.00832441 0.00746229 0.553013 0.486788 56 31857 46 1.25085e+07 7.08406e+06 1.62053e+06 3348.21 31.65 4.71659 4.10469 45606 389969 -1 26896 15 9433 19525 1638672 375328 4.33936 4.33936 -3259.61 -4.33936 0 0 1.98725e+06 4105.89 0.58 0.70 0.31 -1 -1 0.58 0.308955 0.276833 2215 2215 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_33.v common 27.12 vpr 84.87 MiB 0.20 16892 -1 -1 8 1.52 -1 -1 40940 -1 -1 314 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86904 22 19 4329 4059 1 2377 365 23 23 529 clb auto 47.6 MiB 0.71 17638 86363 21629 61030 3704 84.9 MiB 1.40 0.02 4.33936 -3329.38 -4.33936 4.33936 1.33 0.00842929 0.00749515 0.624815 0.549057 56 32553 43 1.29425e+07 7.74527e+06 1.78215e+06 3368.90 15.79 3.43193 2.97782 49650 429369 -1 28679 14 9750 20297 1623196 372067 4.33936 4.33936 -3511.98 -4.33936 0 0 2.18505e+06 4130.54 0.64 0.72 0.38 -1 -1 0.64 0.329797 0.295474 2394 2394 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_34.v common 39.21 vpr 85.64 MiB 0.20 17024 -1 -1 8 1.64 -1 -1 42864 -1 -1 320 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87692 22 19 4387 4117 1 2404 371 23 23 529 clb auto 48.3 MiB 0.69 17853 80291 18434 57983 3874 85.6 MiB 1.21 0.02 4.45956 -3364.34 -4.45956 4.45956 1.27 0.00861945 0.00760705 0.533402 0.469055 58 31056 35 1.29425e+07 7.8176e+06 1.81842e+06 3437.46 28.23 4.18589 3.6422 50706 452665 -1 27658 13 8876 18773 1389811 318585 4.45956 4.45956 -3663.51 -4.45956 0 0 2.27638e+06 4303.19 0.70 0.65 0.39 -1 -1 0.70 0.310666 0.27925 2433 2433 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_35.v common 45.93 vpr 86.46 MiB 0.24 17376 -1 -1 8 1.95 -1 -1 41248 -1 -1 332 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88540 22 19 4547 4260 1 2527 383 23 23 529 clb auto 49.1 MiB 0.73 20564 89343 22019 63218 4106 86.5 MiB 1.37 0.01 4.82016 -3575.21 -4.82016 4.82016 1.28 0.00390532 0.00345335 0.58105 0.50917 60 35309 42 1.29425e+07 7.96226e+06 1.85922e+06 3514.59 34.57 4.57786 3.97843 51234 462879 -1 30694 15 9803 20169 1570176 351008 4.57976 4.57976 -3704.57 -4.57976 0 0 2.31949e+06 4384.67 0.66 0.70 0.30 -1 -1 0.66 0.333676 0.299901 2520 2520 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_36.v common 45.50 vpr 86.77 MiB 0.23 17680 -1 -1 8 1.67 -1 -1 42968 -1 -1 338 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88856 22 19 4605 4318 1 2554 389 24 24 576 clb auto 49.6 MiB 0.76 18525 94043 22672 66010 5361 86.8 MiB 1.51 0.02 4.45956 -3538.08 -4.45956 4.45956 1.49 0.00864421 0.00766543 0.665878 0.585505 56 33729 42 1.51154e+07 8.03459e+06 1.92546e+06 3342.82 33.34 4.66845 4.07049 53966 463019 -1 29788 15 10190 21554 1705839 394532 4.33936 4.33936 -3753.01 -4.33936 0 0 2.36234e+06 4101.29 0.66 0.75 0.42 -1 -1 0.66 0.352504 0.314279 2559 2559 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_37.v common 22.04 vpr 87.12 MiB 0.20 17976 -1 -1 8 1.84 -1 -1 42520 -1 -1 351 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89208 22 19 4802 4498 1 2682 403 24 24 576 clb mult_36 auto 50.5 MiB 0.86 19431 94147 22569 66089 5489 87.1 MiB 1.43 0.02 4.45956 -3723.98 -4.45956 4.45956 1.39 0.0086402 0.0075446 0.622163 0.546013 56 35377 34 1.51154e+07 8.5873e+06 1.92546e+06 3342.82 9.78 3.04425 2.66311 53966 463019 -1 31103 16 10222 21287 1730423 396197 4.57976 4.57976 -3856.23 -4.57976 0 0 2.36234e+06 4101.29 0.66 0.81 0.37 -1 -1 0.66 0.393402 0.3512 2665 2665 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_38.v common 32.37 vpr 98.18 MiB 0.23 18300 -1 -1 8 2.18 -1 -1 43312 -1 -1 355 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 100540 22 19 4860 4556 1 2713 407 25 25 625 clb auto 51.0 MiB 0.83 21029 104390 26805 72904 4681 87.5 MiB 1.68 0.02 4.513 -3868.35 -4.513 4.513 1.70 0.00965273 0.008604 0.734399 0.645727 64 35977 33 1.55855e+07 8.63552e+06 2.32897e+06 3726.35 18.72 4.63084 4.04052 62084 585869 -1 31942 15 9860 20631 1603833 349642 4.45956 4.45956 -4006.24 -4.45956 0 0 2.92220e+06 4675.52 0.82 0.72 0.47 -1 -1 0.82 0.357199 0.317705 2704 2704 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_39.v common 24.48 vpr 92.17 MiB 0.23 18476 -1 -1 8 1.83 -1 -1 43728 -1 -1 370 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94384 22 19 5019 4698 1 2814 422 25 25 625 clb auto 51.8 MiB 0.93 21376 107998 26675 75558 5765 90.1 MiB 1.77 0.02 4.45956 -3908.53 -4.45956 4.45956 1.67 0.0098254 0.00872615 0.770677 0.674985 60 35666 26 1.55855e+07 8.81635e+06 2.19200e+06 3507.21 10.76 3.20825 2.79842 60212 545296 -1 32349 15 10208 20831 1555874 356042 4.45956 4.45956 -4053.04 -4.45956 0 0 2.73590e+06 4377.44 0.86 0.79 0.43 -1 -1 0.86 0.408342 0.366378 2790 2790 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_40.v common 47.64 vpr 88.98 MiB 0.27 18672 -1 -1 8 1.74 -1 -1 44484 -1 -1 373 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 91120 22 19 5077 4756 1 2844 425 25 25 625 clb auto 52.2 MiB 0.79 22187 109021 28352 74964 5705 89.0 MiB 1.76 0.02 4.41198 -3961.73 -4.41198 4.41198 1.69 0.00879722 0.00775738 0.74496 0.650224 60 37354 31 1.55855e+07 8.85252e+06 2.19200e+06 3507.21 34.33 4.88166 4.24231 60212 545296 -1 33432 14 10491 21945 1609096 367850 4.45956 4.45956 -4146.86 -4.45956 0 0 2.73590e+06 4377.44 0.84 0.82 0.48 -1 -1 0.84 0.41413 0.373534 2829 2829 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_41.v common 28.07 vpr 92.51 MiB 0.19 19240 -1 -1 8 2.21 -1 -1 44384 -1 -1 390 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94728 22 19 5308 4970 1 2955 443 25 25 625 clb auto 53.4 MiB 0.83 22244 116915 29597 81136 6182 91.4 MiB 2.03 0.03 4.33936 -4167.37 -4.33936 4.33936 1.53 0.0115226 0.0100091 0.892569 0.778064 60 38133 46 1.55855e+07 9.45345e+06 2.19200e+06 3507.21 14.07 4.6204 4.04005 60212 545296 -1 33462 15 11128 23144 1658743 384938 4.45956 4.45956 -4499.79 -4.45956 0 0 2.73590e+06 4377.44 0.90 1.01 0.45 -1 -1 0.90 0.494115 0.446228 2951 2951 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_42.v common 27.25 vpr 95.28 MiB 0.24 19348 -1 -1 8 2.09 -1 -1 44368 -1 -1 397 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 97564 22 19 5366 5028 1 2986 450 26 26 676 clb auto 53.8 MiB 0.86 22251 119337 30576 83966 4795 90.3 MiB 1.80 0.02 4.23263 -4198.35 -4.23263 4.23263 1.74 0.0101791 0.00901582 0.76676 0.671252 56 40623 46 1.89118e+07 9.53784e+06 2.31971e+06 3431.53 12.60 4.2101 3.65301 64740 561756 -1 35526 16 11590 24438 1963681 445204 4.45956 4.45956 -4499.81 -4.45956 0 0 2.84390e+06 4206.95 1.08 0.94 0.59 -1 -1 1.08 0.462596 0.415325 2990 2990 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_43.v common 36.63 vpr 106.50 MiB 0.22 19876 -1 -1 8 2.33 -1 -1 44700 -1 -1 404 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 109060 22 19 5524 5169 1 3090 457 26 26 676 clb auto 54.9 MiB 0.90 23398 130623 34411 91228 4984 92.7 MiB 2.06 0.03 4.45956 -4342.14 -4.45956 4.45956 1.83 0.0108931 0.00969226 0.874489 0.767625 60 39582 39 1.89118e+07 9.62222e+06 2.42032e+06 3580.36 21.57 5.52395 4.85172 66764 605600 -1 35437 14 11429 23247 1712213 389393 4.45956 4.45956 -4737.53 -4.45956 0 0 3.01907e+06 4466.08 0.95 0.86 0.52 -1 -1 0.95 0.4245 0.38237 3075 3075 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_44.v common 27.82 vpr 97.39 MiB 0.30 20044 -1 -1 8 2.22 -1 -1 45288 -1 -1 410 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 99732 22 19 5582 5227 1 3122 463 26 26 676 clb auto 55.3 MiB 0.91 23363 127553 31863 89801 5889 93.0 MiB 2.05 0.03 4.27831 -4344.88 -4.27831 4.27831 1.88 0.0113308 0.00977111 0.865698 0.753045 62 41142 45 1.89118e+07 9.69455e+06 2.49248e+06 3687.09 12.53 4.14757 3.63222 67440 620228 -1 34376 15 11066 23357 1579372 363024 4.33936 4.33936 -4431.47 -4.33936 0 0 3.08129e+06 4558.12 0.99 0.79 0.54 -1 -1 0.99 0.404434 0.366476 3114 3114 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_45.v common 30.33 vpr 94.30 MiB 0.31 20512 -1 -1 8 2.50 -1 -1 45808 -1 -1 425 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 96568 22 19 5779 5407 1 3235 479 26 26 676 clb auto 56.3 MiB 0.95 23950 131589 34547 91644 5398 94.1 MiB 2.07 0.03 4.45956 -4534.82 -4.45956 4.45956 1.69 0.0104165 0.00917941 0.856769 0.747596 60 39921 26 1.89118e+07 1.02714e+07 2.42032e+06 3580.36 14.93 4.02805 3.54349 66764 605600 -1 36239 14 11805 24184 1789503 412264 4.45956 4.45956 -4715.09 -4.45956 0 0 3.01907e+06 4466.08 0.99 0.95 0.51 -1 -1 0.99 0.470665 0.428037 3220 3220 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_46.v common 36.59 vpr 110.85 MiB 0.37 20516 -1 -1 8 2.59 -1 -1 46132 -1 -1 430 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 113508 22 19 5837 5465 1 3273 484 26 26 676 clb auto 56.7 MiB 0.97 25020 129616 32700 91627 5289 94.3 MiB 2.03 0.03 4.57976 -4526.26 -4.57976 4.57976 1.79 0.0116437 0.0100253 0.85139 0.741577 64 41880 26 1.89118e+07 1.03316e+07 2.57128e+06 3803.68 20.71 4.81991 4.21682 68788 650644 -1 37495 14 11335 23945 1784441 404680 4.69996 4.69996 -4644.5 -4.69996 0 0 3.22435e+06 4769.75 0.92 0.86 0.55 -1 -1 0.92 0.432421 0.387364 3259 3259 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_47.v common 46.74 vpr 103.91 MiB 0.32 20872 -1 -1 8 2.71 -1 -1 44652 -1 -1 439 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 106400 22 19 5997 5608 1 3373 493 27 27 729 clb auto 57.9 MiB 0.97 25273 134767 34216 94888 5663 94.0 MiB 2.08 0.03 4.33936 -4732.99 -4.33936 4.33936 2.01 0.0117245 0.0103985 0.879381 0.769579 58 43707 40 1.94302e+07 1.04401e+07 2.56250e+06 3515.09 30.03 6.12799 5.35774 70962 641579 -1 39096 15 12972 27255 2047572 465723 4.33936 4.33936 -5074.48 -4.33936 0 0 3.20690e+06 4399.04 1.03 0.97 0.54 -1 -1 1.03 0.481698 0.432193 3346 3346 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_48.v common 35.08 vpr 103.61 MiB 0.33 21048 -1 -1 8 2.71 -1 -1 46328 -1 -1 448 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 106092 22 19 6055 5666 1 3405 502 27 27 729 clb auto 58.2 MiB 0.99 28392 148058 39235 102453 6370 95.6 MiB 2.28 0.03 4.45956 -4906.6 -4.45956 4.45956 1.95 0.0117326 0.0103707 0.944955 0.824164 64 46997 30 1.94302e+07 1.05486e+07 2.78336e+06 3818.06 18.00 4.8915 4.2766 73874 704979 -1 41682 14 12369 26229 2151260 462177 4.57976 4.57976 -4997.66 -4.57976 0 0 3.48985e+06 4787.17 1.14 1.03 0.58 -1 -1 1.14 0.500871 0.45281 3385 3385 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_49.v common 31.23 vpr 106.02 MiB 0.34 21580 -1 -1 8 2.77 -1 -1 46504 -1 -1 465 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 108568 22 19 6324 5918 1 3526 520 27 27 729 clb auto 59.6 MiB 1.03 27539 146820 39871 101893 5056 97.0 MiB 2.26 0.03 4.51871 -5067.03 -4.51871 4.51871 1.97 0.0111348 0.00979228 0.923282 0.803508 62 48996 33 1.94302e+07 1.11496e+07 2.69830e+06 3701.37 13.96 4.39056 3.82676 72418 672039 -1 40575 14 13065 26868 2025427 456199 4.45956 4.45956 -5190.18 -4.45956 0 0 3.33509e+06 4574.88 1.08 1.00 0.62 -1 -1 1.08 0.507719 0.455172 3527 3527 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_50.v common 29.64 vpr 105.54 MiB 0.34 21732 -1 -1 8 2.92 -1 -1 47164 -1 -1 466 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 108072 22 19 6382 5976 1 3558 521 27 27 729 clb auto 59.9 MiB 1.12 25659 153456 41191 105710 6555 97.2 MiB 2.39 0.03 4.33936 -5054.62 -4.33936 4.33936 1.89 0.011886 0.0105131 0.962151 0.839696 64 44598 31 1.94302e+07 1.11616e+07 2.78336e+06 3818.06 11.66 4.12979 3.59776 73874 704979 -1 39527 15 12801 26550 2060792 459471 4.33936 4.33936 -5205.06 -4.33936 0 0 3.48985e+06 4787.17 1.27 1.11 0.60 -1 -1 1.27 0.584242 0.534985 3566 3566 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_51.v common 34.32 vpr 109.84 MiB 0.39 22120 -1 -1 8 2.98 -1 -1 47696 -1 -1 479 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 112476 22 19 6542 6119 1 3674 534 28 28 784 clb auto 60.7 MiB 1.10 28922 154249 40163 108774 5312 98.2 MiB 2.48 0.03 4.3928 -5224.73 -4.3928 4.3928 2.18 0.0130927 0.0115431 1.00045 0.873341 62 50690 46 2.18512e+07 1.13183e+07 2.87318e+06 3664.77 15.65 4.81908 4.21244 77276 713722 -1 43234 15 13791 29069 2049597 458131 4.33936 4.33936 -5578.87 -4.33936 0 0 3.55346e+06 4532.48 1.17 1.12 0.59 -1 -1 1.17 0.584391 0.521053 3653 3653 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_52.v common 45.40 vpr 129.40 MiB 0.37 22404 -1 -1 8 3.18 -1 -1 47348 -1 -1 485 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 132504 22 19 6600 6177 1 3704 540 28 28 784 clb auto 61.2 MiB 1.11 29887 163192 43894 113647 5651 98.5 MiB 2.51 0.03 4.45956 -5273.35 -4.45956 4.45956 2.19 0.0131739 0.0114938 1.01498 0.889057 68 47406 27 2.18512e+07 1.13907e+07 3.12359e+06 3984.17 26.82 7.31251 6.40015 80408 782754 -1 43526 15 12631 27337 1955079 425942 4.45956 4.45956 -5862.66 -4.45956 0 0 3.88747e+06 4958.51 1.25 1.01 0.66 -1 -1 1.25 0.518284 0.464813 3692 3692 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_14.v common 12.28 vpr 67.25 MiB 0.06 9112 -1 -1 10 0.50 -1 -1 35248 -1 -1 93 22 0 4 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68864 22 19 1149 1049 1 787 138 16 16 256 mult_36 auto 29.3 MiB 0.23 5353 14734 2612 11022 1100 67.2 MiB 0.27 0.01 12.8728 -438.233 -12.8728 12.8728 0.60 0.00301233 0.0027457 0.141779 0.129371 58 10212 29 6.2557e+06 2.70512e+06 820238. 3204.05 8.07 1.40181 1.23568 24072 200857 -1 9272 20 4271 8887 665232 152260 12.1591 12.1591 -509.624 -12.1591 0 0 1.02849e+06 4017.54 0.27 0.28 0.18 -1 -1 0.27 0.129279 0.115798 715 715 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_15.v common 9.05 vpr 67.98 MiB 0.11 9572 -1 -1 11 0.61 -1 -1 36132 -1 -1 106 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69616 22 19 1261 1144 1 879 152 16 16 256 mult_36 auto 30.0 MiB 0.26 6117 19592 3623 14422 1547 68.0 MiB 0.32 0.01 14.5477 -493.671 -14.5477 14.5477 0.55 0.00309411 0.00282507 0.163552 0.149287 54 12367 50 6.2557e+06 3.25783e+06 784202. 3063.29 4.63 1.20431 1.06182 23308 185586 -1 10124 18 4323 8914 630252 151025 13.4182 13.4182 -560.234 -13.4182 0 0 965591. 3771.84 0.24 0.28 0.17 -1 -1 0.24 0.130681 0.11733 790 790 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_16.v common 16.23 vpr 68.39 MiB 0.10 9704 -1 -1 11 0.58 -1 -1 35728 -1 -1 112 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70036 22 19 1336 1219 1 929 158 16 16 256 mult_36 auto 30.8 MiB 0.29 6058 21935 4380 15799 1756 68.4 MiB 0.36 0.01 14.3257 -498.361 -14.3257 14.3257 0.55 0.00320002 0.00292482 0.182397 0.166289 50 12213 32 6.2557e+06 3.33016e+06 744679. 2908.90 11.56 1.45214 1.27851 22544 170752 -1 10704 21 5105 10269 775532 182286 13.2272 13.2272 -586.513 -13.2272 0 0 891356. 3481.86 0.23 0.35 0.15 -1 -1 0.23 0.159312 0.142769 846 846 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_17.v common 11.29 vpr 69.07 MiB 0.14 9944 -1 -1 11 0.67 -1 -1 36120 -1 -1 121 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70728 22 19 1446 1312 1 989 167 16 16 256 mult_36 auto 31.3 MiB 0.28 6832 19904 3494 14748 1662 69.1 MiB 0.35 0.01 14.4741 -506.161 -14.4741 14.4741 0.58 0.00352182 0.00321176 0.178495 0.16295 56 12964 25 6.2557e+06 3.43866e+06 803869. 3140.11 6.34 1.32683 1.16978 23564 190428 -1 11746 19 5311 11233 903927 210792 13.4085 13.4085 -603.047 -13.4085 0 0 987003. 3855.48 0.25 0.35 0.17 -1 -1 0.25 0.163275 0.147148 919 919 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_18.v common 10.10 vpr 69.41 MiB 0.08 10084 -1 -1 11 0.67 -1 -1 36048 -1 -1 128 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71072 22 19 1507 1373 1 1035 174 16 16 256 mult_36 auto 32.0 MiB 0.28 7464 21029 3707 15454 1868 69.4 MiB 0.36 0.01 14.9867 -537.872 -14.9867 14.9867 0.59 0.00395163 0.00358045 0.179772 0.163435 58 13697 35 6.2557e+06 3.52304e+06 820238. 3204.05 5.18 1.10905 0.982387 24072 200857 -1 12092 18 5182 10900 801705 187365 13.6413 13.6413 -622.575 -13.6413 0 0 1.02849e+06 4017.54 0.26 0.36 0.18 -1 -1 0.26 0.160493 0.144356 961 961 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_19.v common 9.66 vpr 70.07 MiB 0.10 10332 -1 -1 11 0.71 -1 -1 36144 -1 -1 135 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71748 22 19 1596 1445 1 1100 182 16 16 256 clb mult_36 auto 32.6 MiB 0.28 7815 21297 3392 16393 1512 70.1 MiB 0.38 0.01 14.7981 -567.745 -14.7981 14.7981 0.57 0.00384762 0.00349311 0.187979 0.171004 56 15000 37 6.2557e+06 4.00342e+06 803869. 3140.11 4.70 1.20261 1.06324 23564 190428 -1 13198 19 5867 12427 977161 232477 13.6952 13.6952 -693.57 -13.6952 0 0 987003. 3855.48 0.26 0.43 0.18 -1 -1 0.26 0.177795 0.160712 1013 1013 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_20.v common 11.89 vpr 70.29 MiB 0.14 10576 -1 -1 11 0.68 -1 -1 36936 -1 -1 137 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71980 22 19 1656 1505 1 1146 184 16 16 256 clb mult_36 auto 32.7 MiB 0.30 8226 19535 3061 14927 1547 70.3 MiB 0.35 0.01 14.4967 -584.138 -14.4967 14.4967 0.57 0.00393457 0.00358032 0.168607 0.15344 58 15564 50 6.2557e+06 4.02754e+06 820238. 3204.05 6.98 1.3782 1.22146 24072 200857 -1 13060 20 5925 12416 924193 221803 13.4156 13.4156 -768.957 -13.4156 0 0 1.02849e+06 4017.54 0.28 0.34 0.19 -1 -1 0.28 0.16696 0.149639 1054 1054 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_21.v common 15.54 vpr 70.82 MiB 0.09 10748 -1 -1 12 0.81 -1 -1 37008 -1 -1 145 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72520 22 19 1754 1586 1 1213 192 17 17 289 clb auto 33.6 MiB 0.30 9436 23971 4257 18177 1537 70.8 MiB 0.45 0.01 15.1206 -613.533 -15.1206 15.1206 0.65 0.00447903 0.00407881 0.226797 0.206229 60 17512 49 6.55708e+06 4.12398e+06 958460. 3316.47 10.01 1.45888 1.29374 27358 235245 -1 14560 18 6447 13271 1064829 240334 14.1746 14.1746 -764.795 -14.1746 0 0 1.19711e+06 4142.24 0.31 0.42 0.20 -1 -1 0.31 0.173969 0.156345 1115 1115 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_22.v common 11.30 vpr 71.59 MiB 0.15 10996 -1 -1 11 0.85 -1 -1 37792 -1 -1 154 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73308 22 19 1827 1659 1 1262 201 17 17 289 clb auto 34.2 MiB 0.33 9095 29013 5239 21338 2436 71.6 MiB 0.47 0.01 14.6828 -638.764 -14.6828 14.6828 0.64 0.00429262 0.00389892 0.233123 0.211587 64 16634 32 6.55708e+06 4.23247e+06 1.01866e+06 3524.77 5.32 1.32124 1.16817 28222 252754 -1 14512 23 6357 13024 1029780 236641 13.8083 13.8083 -765.715 -13.8083 0 0 1.27888e+06 4425.19 0.33 0.57 0.25 -1 -1 0.33 0.267912 0.242576 1169 1169 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_23.v common 15.76 vpr 71.86 MiB 0.14 11232 -1 -1 12 0.87 -1 -1 38128 -1 -1 157 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73580 22 19 1905 1720 1 1323 205 18 18 324 mult_36 auto 34.4 MiB 0.34 9808 34633 7458 24783 2392 71.9 MiB 0.59 0.01 14.9939 -659.479 -14.9939 14.9939 0.75 0.00453045 0.00412462 0.291424 0.264208 58 19445 41 7.67456e+06 4.66464e+06 1.07356e+06 3313.45 9.40 1.57466 1.39573 30840 265148 -1 16122 22 7808 16540 1310721 294165 14.0003 14.0003 -877.662 -14.0003 0 0 1.34501e+06 4151.27 0.37 0.54 0.24 -1 -1 0.37 0.228984 0.204045 1210 1210 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_24.v common 16.84 vpr 72.42 MiB 0.13 11360 -1 -1 12 0.93 -1 -1 36812 -1 -1 163 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74156 22 19 1979 1794 1 1362 211 18 18 324 mult_36 auto 35.0 MiB 0.36 10247 30307 5737 22712 1858 72.4 MiB 0.53 0.01 15.5582 -672.236 -15.5582 15.5582 0.77 0.00485803 0.00441306 0.256813 0.232741 64 18408 28 7.67456e+06 4.73696e+06 1.16663e+06 3600.72 10.39 2.12101 1.87481 32132 291232 -1 16259 20 7082 14613 1290097 280950 14.0222 14.0222 -882.314 -14.0222 0 0 1.46385e+06 4518.05 0.40 0.54 0.27 -1 -1 0.40 0.22467 0.202927 1265 1265 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_25.v common 15.82 vpr 73.32 MiB 0.17 11668 -1 -1 12 0.98 -1 -1 37456 -1 -1 174 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75084 22 19 2073 1871 1 1415 222 18 18 324 clb mult_36 auto 35.8 MiB 0.36 10262 31759 5655 24329 1775 73.3 MiB 0.54 0.01 15.2851 -698.101 -15.2851 15.2851 0.74 0.00493404 0.00448269 0.2635 0.238323 62 19471 45 7.67456e+06 4.86957e+06 1.13028e+06 3488.51 9.42 2.10207 1.85772 31488 277500 -1 15897 19 7242 15053 992914 233872 13.8751 13.8751 -842.351 -13.8751 0 0 1.39838e+06 4315.99 0.40 0.38 0.24 -1 -1 0.40 0.19889 0.1808 1322 1322 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_26.v common 17.70 vpr 73.64 MiB 0.16 11832 -1 -1 12 1.09 -1 -1 37448 -1 -1 180 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75408 22 19 2130 1928 1 1466 228 18 18 324 clb mult_36 auto 36.0 MiB 0.39 10652 35724 6736 26248 2740 73.6 MiB 0.62 0.01 15.3608 -721.142 -15.3608 15.3608 0.75 0.00597821 0.00546213 0.299863 0.271939 64 19028 28 7.67456e+06 4.9419e+06 1.16663e+06 3600.72 10.86 2.2653 1.99637 32132 291232 -1 16939 19 7400 15347 1200851 276434 14.0936 14.0936 -926.755 -14.0936 0 0 1.46385e+06 4518.05 0.39 0.51 0.26 -1 -1 0.39 0.222111 0.198927 1360 1360 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_27.v common 17.11 vpr 74.02 MiB 0.12 12140 -1 -1 12 1.13 -1 -1 37940 -1 -1 187 22 0 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75796 22 19 2238 2019 1 1561 236 18 18 324 clb mult_36 auto 36.7 MiB 0.43 11693 33041 6232 24708 2101 74.0 MiB 0.55 0.01 15.2709 -741.067 -15.2709 15.2709 0.76 0.00543054 0.00493306 0.255016 0.23037 66 20738 30 7.67456e+06 5.42228e+06 1.20206e+06 3710.05 10.34 2.15425 1.89758 32456 298936 -1 18181 22 7826 16091 1236799 278644 14.0029 14.0029 -926.281 -14.0029 0 0 1.50024e+06 4630.37 0.41 0.58 0.27 -1 -1 0.41 0.259477 0.235156 1431 1431 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_28.v common 19.01 vpr 74.54 MiB 0.16 12144 -1 -1 12 1.11 -1 -1 38164 -1 -1 195 22 0 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76324 22 19 2299 2080 1 1608 244 19 19 361 clb auto 37.1 MiB 0.41 11301 36058 6609 27104 2345 74.5 MiB 0.65 0.01 14.923 -735.308 -14.923 14.923 0.86 0.00534793 0.00485661 0.303911 0.274206 64 20937 44 8.02416e+06 5.51872e+06 1.31179e+06 3633.76 11.74 2.67315 2.36662 35678 328177 -1 18669 18 8044 16895 1241523 284464 14.0172 14.0172 -952.614 -14.0172 0 0 1.64578e+06 4558.95 0.44 0.59 0.30 -1 -1 0.44 0.24627 0.222618 1473 1473 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_29.v common 22.76 vpr 75.90 MiB 0.16 12548 -1 -1 12 1.19 -1 -1 38552 -1 -1 198 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77720 22 19 2400 2164 1 1689 248 22 22 484 mult_36 auto 37.7 MiB 0.40 12158 39926 7813 29522 2591 75.0 MiB 0.70 0.01 14.8648 -767.523 -14.8648 14.8648 1.17 0.00572308 0.00520244 0.335179 0.302059 60 23104 32 1.25085e+07 5.95089e+06 1.69059e+06 3492.95 14.33 2.65821 2.35306 47054 420411 -1 20299 21 9082 18938 1454657 337588 13.6423 13.6423 -1032.35 -13.6423 0 0 2.10969e+06 4358.87 0.58 0.59 0.35 -1 -1 0.58 0.261257 0.233815 1537 1537 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_30.v common 21.29 vpr 75.84 MiB 0.13 12620 -1 -1 12 1.25 -1 -1 38124 -1 -1 209 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77656 22 19 2474 2238 1 1711 259 22 22 484 mult_36 auto 38.5 MiB 0.44 12757 40684 7873 30286 2525 75.8 MiB 0.68 0.01 15.4392 -839.852 -15.4392 15.4392 1.27 0.00601882 0.00546276 0.326232 0.294085 58 23933 30 1.25085e+07 6.0835e+06 1.65337e+06 3416.05 12.55 2.34271 2.06868 46570 411141 -1 21207 19 8638 17916 1389140 314739 14.0518 14.0518 -1051.2 -14.0518 0 0 2.07026e+06 4277.39 0.59 0.67 0.39 -1 -1 0.59 0.276064 0.248582 1592 1592 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_31.v common 22.33 vpr 77.34 MiB 0.19 12884 -1 -1 12 1.38 -1 -1 39724 -1 -1 218 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79200 22 19 2603 2350 1 1810 268 22 22 484 mult_36 auto 39.0 MiB 0.46 13186 50322 10743 36523 3056 76.3 MiB 0.88 0.01 15.5518 -816.83 -15.5518 15.5518 1.23 0.00662617 0.00604503 0.414396 0.372724 62 24588 33 1.25085e+07 6.19199e+06 1.74100e+06 3597.11 13.22 2.60743 2.31056 47538 430501 -1 20805 18 8957 18800 1243406 292540 14.4664 14.4664 -1027.29 -14.4664 0 0 2.15309e+06 4448.52 0.62 0.58 0.38 -1 -1 0.62 0.271163 0.244697 1684 1684 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_32.v common 20.59 vpr 77.16 MiB 0.15 13112 -1 -1 12 1.49 -1 -1 38740 -1 -1 235 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79008 22 19 2694 2441 1 1869 285 22 22 484 mult_36 auto 39.9 MiB 0.47 13404 55568 12221 40738 2609 77.2 MiB 0.89 0.01 15.7845 -884.885 -15.7845 15.7845 1.14 0.00621063 0.00561554 0.400472 0.360813 58 25898 47 1.25085e+07 6.39692e+06 1.65337e+06 3416.05 11.28 2.49862 2.20652 46570 411141 -1 22415 18 9638 20303 1557871 353329 14.6258 14.6258 -1228.12 -14.6258 0 0 2.07026e+06 4277.39 0.62 0.67 0.37 -1 -1 0.62 0.283736 0.254041 1756 1756 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_33.v common 18.06 vpr 77.56 MiB 0.12 13536 -1 -1 13 1.45 -1 -1 39852 -1 -1 238 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79424 22 19 2787 2517 1 1950 289 22 22 484 mult_36 auto 40.4 MiB 0.47 14193 55679 12103 40411 3165 77.6 MiB 0.87 0.01 15.8535 -890.497 -15.8535 15.8535 1.16 0.00613052 0.00552923 0.398065 0.358796 64 25879 44 1.25085e+07 6.82909e+06 1.79645e+06 3711.66 8.59 2.23041 1.9775 48502 451691 -1 23340 20 10026 21566 1667227 372669 14.8148 14.8148 -1264.23 -14.8148 0 0 2.25323e+06 4655.43 0.66 0.73 0.39 -1 -1 0.66 0.321437 0.288951 1812 1812 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_34.v common 18.87 vpr 77.76 MiB 0.17 13732 -1 -1 13 1.43 -1 -1 39000 -1 -1 240 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79624 22 19 2834 2564 1 1962 291 22 22 484 mult_36 auto 40.8 MiB 0.49 13536 57167 12483 41925 2759 77.8 MiB 0.93 0.01 16.4686 -958.47 -16.4686 16.4686 1.19 0.00655799 0.00594363 0.434681 0.391476 56 26290 37 1.25085e+07 6.8532e+06 1.62053e+06 3348.21 9.69 2.424 2.14289 45606 389969 -1 23558 19 10115 20843 1765620 396726 15.2737 15.2737 -1309.09 -15.2737 0 0 1.98725e+06 4105.89 0.55 0.71 0.33 -1 -1 0.55 0.295054 0.264891 1840 1840 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_35.v common 21.81 vpr 78.48 MiB 0.21 14128 -1 -1 13 1.46 -1 -1 40480 -1 -1 248 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80368 22 19 2941 2654 1 2054 299 22 22 484 mult_36 auto 41.8 MiB 0.51 15246 57242 12170 41953 3119 78.5 MiB 0.96 0.02 16.027 -991.235 -16.027 16.027 1.20 0.00693133 0.00619463 0.44169 0.395443 64 27503 49 1.25085e+07 6.94964e+06 1.79645e+06 3711.66 12.00 3.04662 2.69559 48502 451691 -1 24694 20 10978 22860 1875926 410091 14.825 14.825 -1244.93 -14.825 0 0 2.25323e+06 4655.43 0.66 0.81 0.40 -1 -1 0.66 0.345171 0.309672 1910 1910 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_36.v common 26.06 vpr 79.26 MiB 0.23 14188 -1 -1 13 1.66 -1 -1 40648 -1 -1 255 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81164 22 19 3011 2724 1 2092 306 22 22 484 mult_36 auto 42.2 MiB 0.53 16035 54896 10037 41826 3033 79.3 MiB 0.92 0.02 16.2145 -949.212 -16.2145 16.2145 1.22 0.00679453 0.00609077 0.419241 0.375634 66 28782 30 1.25085e+07 7.03402e+06 1.84972e+06 3821.73 16.09 3.41353 3.01579 48986 463441 -1 25047 19 10139 20834 1587245 346930 15.0236 15.0236 -1294.7 -15.0236 0 0 2.30827e+06 4769.15 0.70 0.72 0.42 -1 -1 0.70 0.322831 0.290393 1961 1961 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_37.v common 30.63 vpr 86.89 MiB 0.26 14340 -1 -1 13 1.81 -1 -1 39700 -1 -1 267 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88976 22 19 3132 2828 1 2184 319 24 24 576 mult_36 auto 42.8 MiB 0.46 17547 68926 15068 50266 3592 80.1 MiB 1.09 0.02 16.8989 -1003.53 -16.8989 16.8989 1.38 0.0076356 0.00683407 0.50224 0.45132 66 30889 32 1.51154e+07 7.57468e+06 2.19797e+06 3815.93 19.70 3.69156 3.2406 57990 550195 -1 27250 20 11460 23617 1872163 409781 15.6176 15.6176 -1299.07 -15.6176 0 0 2.74415e+06 4764.15 0.79 0.78 0.46 -1 -1 0.79 0.338042 0.301913 2045 2045 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_38.v common 29.41 vpr 88.37 MiB 0.16 14584 -1 -1 13 1.70 -1 -1 41148 -1 -1 265 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 90488 22 19 3159 2855 1 2196 317 24 24 576 mult_36 auto 42.9 MiB 0.55 16932 61877 13446 44918 3513 79.7 MiB 1.04 0.02 16.2457 -960.976 -16.2457 16.2457 1.49 0.00735925 0.00666055 0.481595 0.429858 62 30869 44 1.51154e+07 7.55058e+06 2.06880e+06 3591.66 18.42 3.66596 3.22449 56266 511197 -1 25725 21 11770 24419 1784410 399616 14.9844 14.9844 -1490.21 -14.9844 0 0 2.55996e+06 4444.37 0.70 0.87 0.42 -1 -1 0.70 0.370543 0.329245 2053 2053 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_39.v common 22.63 vpr 80.83 MiB 0.22 14808 -1 -1 13 1.79 -1 -1 39864 -1 -1 277 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82768 22 19 3284 2963 1 2301 329 24 24 576 mult_36 auto 44.0 MiB 0.55 17200 72969 16865 52707 3397 80.8 MiB 1.22 0.02 16.8292 -1045.83 -16.8292 16.8292 1.48 0.00764088 0.00693267 0.552933 0.493389 64 30780 34 1.51154e+07 7.69524e+06 2.13454e+06 3705.80 11.52 2.60006 2.30588 57414 536310 -1 27176 18 11318 23326 1797827 402950 15.3939 15.3939 -1471.53 -15.3939 0 0 2.67880e+06 4650.70 0.74 0.74 0.45 -1 -1 0.74 0.322609 0.290396 2141 2141 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_40.v common 29.11 vpr 90.50 MiB 0.21 14876 -1 -1 13 1.88 -1 -1 40088 -1 -1 285 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 92668 22 19 3343 3022 1 2312 337 24 24 576 mult_36 auto 44.0 MiB 0.60 17655 65969 14340 48135 3494 81.4 MiB 1.08 0.02 16.0196 -1055.59 -16.0196 16.0196 1.41 0.00754334 0.00682824 0.470638 0.422086 66 31825 29 1.51154e+07 7.79168e+06 2.19797e+06 3815.93 17.70 3.29781 2.9011 57990 550195 -1 28310 20 11782 25469 2081748 441572 14.6238 14.6238 -1372.08 -14.6238 0 0 2.74415e+06 4764.15 0.81 0.88 0.48 -1 -1 0.81 0.369709 0.3323 2181 2181 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_41.v common 22.46 vpr 81.66 MiB 0.20 15404 -1 -1 13 1.92 -1 -1 41980 -1 -1 297 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83620 22 19 3448 3110 1 2410 350 24 24 576 mult_36 auto 44.9 MiB 0.61 18216 74330 15465 55165 3700 81.7 MiB 1.24 0.02 16.5983 -1183.91 -16.5983 16.5983 1.44 0.00827837 0.0074879 0.558665 0.497978 64 32294 34 1.51154e+07 8.33234e+06 2.13454e+06 3705.80 10.63 2.63585 2.32134 57414 536310 -1 29011 22 12502 26791 2090340 466191 15.183 15.183 -1610.9 -15.183 0 0 2.67880e+06 4650.70 0.80 0.91 0.47 -1 -1 0.80 0.397758 0.352946 2249 2249 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_42.v common 24.24 vpr 82.30 MiB 0.19 15344 -1 -1 13 2.14 -1 -1 40576 -1 -1 296 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84272 22 19 3510 3172 1 2428 349 24 24 576 mult_36 auto 45.2 MiB 0.62 19038 77713 18285 55615 3813 82.3 MiB 1.34 0.02 16.0787 -1112.26 -16.0787 16.0787 1.52 0.00828642 0.00751549 0.593279 0.52989 64 34097 44 1.51154e+07 8.32028e+06 2.13454e+06 3705.80 11.86 2.84035 2.50456 57414 536310 -1 29913 21 11980 24610 1957821 434197 14.7048 14.7048 -1462.97 -14.7048 0 0 2.67880e+06 4650.70 0.80 1.05 0.46 -1 -1 0.80 0.482128 0.439249 2292 2292 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_43.v common 23.32 vpr 82.90 MiB 0.17 15596 -1 -1 13 2.09 -1 -1 38484 -1 -1 307 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84888 22 19 3598 3243 1 2500 360 24 24 576 mult_36 auto 45.9 MiB 0.62 18531 73320 15951 53487 3882 82.9 MiB 1.19 0.02 16.0487 -1173.77 -16.0487 16.0487 1.42 0.00816838 0.00728489 0.535999 0.476096 66 32263 26 1.51154e+07 8.45288e+06 2.19797e+06 3815.93 11.10 2.8846 2.54183 57990 550195 -1 28777 20 12049 25629 1817976 407819 14.7608 14.7608 -1782.48 -14.7608 0 0 2.74415e+06 4764.15 0.81 0.97 0.49 -1 -1 0.81 0.447761 0.405938 2343 2343 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_44.v common 24.96 vpr 83.20 MiB 0.27 15676 -1 -1 13 1.99 -1 -1 42564 -1 -1 317 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85196 22 19 3689 3334 1 2572 370 24 24 576 mult_36 auto 46.4 MiB 0.65 18865 83971 19794 59912 4265 83.2 MiB 1.39 0.02 15.5441 -1198.02 -15.5441 15.5441 1.44 0.00874975 0.00795207 0.616877 0.549789 64 34373 50 1.51154e+07 8.57344e+06 2.13454e+06 3705.80 12.90 2.98074 2.63968 57414 536310 -1 30131 18 12902 26588 2258286 492592 14.6142 14.6142 -1654.43 -14.6142 0 0 2.67880e+06 4650.70 0.79 0.87 0.46 -1 -1 0.79 0.358025 0.321617 2415 2415 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_45.v common 32.60 vpr 91.75 MiB 0.28 16060 -1 -1 13 2.25 -1 -1 38912 -1 -1 321 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 93956 22 19 3763 3391 1 2638 375 24 24 576 mult_36 auto 46.9 MiB 0.49 20344 82786 19366 58713 4707 84.0 MiB 1.38 0.02 15.9362 -1227.43 -15.9362 15.9362 1.43 0.00867811 0.00784556 0.597045 0.531765 64 36339 43 1.51154e+07 9.01766e+06 2.13454e+06 3705.80 20.22 4.12437 3.64181 57414 536310 -1 32095 22 13539 28548 2332538 514330 14.744 14.744 -1781.1 -14.744 0 0 2.67880e+06 4650.70 0.80 1.00 0.45 -1 -1 0.80 0.435504 0.389871 2452 2452 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_46.v common 63.22 vpr 84.43 MiB 0.27 16216 -1 -1 13 2.29 -1 -1 42476 -1 -1 323 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86456 22 19 3845 3473 1 2666 377 24 24 576 mult_36 auto 47.4 MiB 0.64 19870 79315 17108 58557 3650 84.4 MiB 1.35 0.02 15.8291 -1159.73 -15.8291 15.8291 1.48 0.00874928 0.00791373 0.589366 0.523036 58 37156 41 1.51154e+07 9.04176e+06 1.96475e+06 3411.02 50.72 4.39914 3.86705 55114 488114 -1 31826 21 13682 28559 2181283 495303 14.7048 14.7048 -1619.73 -14.7048 0 0 2.46106e+06 4272.68 0.71 0.97 0.39 -1 -1 0.71 0.432828 0.388718 2515 2515 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_47.v common 34.19 vpr 95.70 MiB 0.30 16696 -1 -1 13 2.35 -1 -1 42724 -1 -1 337 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 98000 22 19 3983 3594 1 2790 391 24 24 576 clb mult_36 auto 48.3 MiB 0.70 20826 97563 23505 69067 4991 85.4 MiB 1.57 0.02 16.1383 -1250.84 -16.1383 16.1383 1.41 0.00962343 0.00858643 0.672502 0.597474 68 35894 26 1.51154e+07 9.21054e+06 2.25030e+06 3906.77 21.08 4.40596 3.90419 58566 560801 -1 32368 22 13885 29969 2157797 487394 14.8224 14.8224 -1676.6 -14.8224 0 0 2.80126e+06 4863.30 0.78 0.98 0.47 -1 -1 0.78 0.459592 0.412945 2616 2616 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_48.v common 24.05 vpr 87.84 MiB 0.15 16744 -1 -1 13 2.49 -1 -1 38852 -1 -1 341 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89944 22 19 4025 3636 1 2807 395 24 24 576 clb mult_36 auto 49.0 MiB 0.65 20555 85827 18761 62689 4377 85.9 MiB 1.41 0.02 15.9366 -1257.71 -15.9366 15.9366 1.41 0.00929673 0.00841654 0.600275 0.534894 66 36074 31 1.51154e+07 9.25876e+06 2.19797e+06 3815.93 11.41 3.11841 2.75444 57990 550195 -1 32231 18 13521 28658 2093839 472895 14.6727 14.6727 -1673.74 -14.6727 0 0 2.74415e+06 4764.15 0.78 0.72 0.50 -1 -1 0.78 0.332776 0.301428 2639 2639 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_49.v common 37.25 vpr 99.59 MiB 0.28 17008 -1 -1 13 2.57 -1 -1 39368 -1 -1 355 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 101976 22 19 4164 3758 1 2908 410 25 25 625 clb auto 49.9 MiB 0.78 24013 87164 19079 63957 4128 86.7 MiB 1.53 0.03 16.6034 -1378.21 -16.6034 16.6034 1.65 0.0113847 0.0102893 0.659189 0.587169 74 38864 29 1.55855e+07 9.82352e+06 2.63203e+06 4211.25 23.48 4.53826 4.00027 65828 666824 -1 36038 21 13775 28917 2595162 541555 15.5436 15.5436 -1942.02 -15.5436 0 0 3.27126e+06 5234.01 0.97 1.01 0.54 -1 -1 0.97 0.381898 0.347421 2741 2741 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_50.v common 27.11 vpr 87.12 MiB 0.21 17160 -1 -1 13 2.59 -1 -1 39248 -1 -1 356 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89212 22 19 4190 3784 1 2926 411 25 25 625 clb auto 49.7 MiB 0.76 22437 93558 20689 67553 5316 86.7 MiB 1.50 0.02 16.4936 -1315.59 -16.4936 16.4936 1.59 0.00547894 0.00498528 0.640403 0.568865 66 39166 37 1.55855e+07 9.83558e+06 2.39749e+06 3835.99 12.82 3.14878 2.7644 62708 601000 -1 34785 23 14166 29888 2365680 520343 15.4749 15.4749 -1830.59 -15.4749 0 0 2.99279e+06 4788.46 0.94 1.16 0.55 -1 -1 0.94 0.542515 0.485758 2748 2748 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_51.v common 25.89 vpr 88.01 MiB 0.30 17380 -1 -1 13 2.75 -1 -1 43640 -1 -1 366 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 90120 22 19 4305 3882 1 2980 421 25 25 625 clb auto 50.8 MiB 0.74 22535 99772 22908 71362 5502 87.8 MiB 1.63 0.03 16.3966 -1337.54 -16.3966 16.3966 1.58 0.0106801 0.00931618 0.693838 0.614797 66 38966 35 1.55855e+07 9.95613e+06 2.39749e+06 3835.99 11.41 3.27514 2.88885 62708 601000 -1 34679 18 14178 29765 2227761 499078 15.1266 15.1266 -2035.35 -15.1266 0 0 2.99279e+06 4788.46 0.89 0.97 0.52 -1 -1 0.89 0.436174 0.392121 2826 2826 -1 -1 -1 -1 -k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_52.v common 27.64 vpr 92.35 MiB 0.20 17548 -1 -1 13 2.75 -1 -1 39780 -1 -1 370 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94564 22 19 4363 3940 1 3039 425 25 25 625 clb auto 50.9 MiB 0.79 23857 97842 22331 71351 4160 87.9 MiB 1.71 0.02 16.7981 -1392.86 -16.7981 16.7981 1.62 0.00937252 0.0084418 0.734853 0.652986 70 40949 38 1.55855e+07 1.00044e+07 2.52006e+06 4032.10 12.89 3.29691 2.90817 64580 638411 -1 36845 20 14992 31313 2738027 581899 15.2222 15.2222 -1993.63 -15.2222 0 0 3.16512e+06 5064.19 0.94 1.13 0.54 -1 -1 0.94 0.475349 0.426546 2865 2865 -1 -1 -1 -1 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_14.v common 7.17 vpr 71.81 MiB 0.07 10472 -1 -1 1 0.25 -1 -1 35508 -1 -1 125 22 0 4 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73532 22 19 1974 1653 1 1039 170 16 16 256 mult_36 auto 34.2 MiB 0.37 6283 25550 5428 17285 2837 71.8 MiB 0.45 0.01 4.18011 -1206.26 -4.18011 4.18011 0.57 0.00350846 0.00313293 0.213571 0.191347 44 12375 36 6.32612e+06 3.15375e+06 649498. 2537.10 2.89 0.908391 0.79608 22336 155612 -1 8982 15 3271 4074 387555 98826 4.36136 4.36136 -1211.82 -4.36136 0 0 820238. 3204.05 0.21 0.22 0.14 -1 -1 0.21 0.128601 0.11497 955 708 247 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_15.v common 9.37 vpr 72.36 MiB 0.08 10772 -1 -1 1 0.26 -1 -1 36860 -1 -1 134 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74096 22 19 2144 1789 1 1138 180 16 16 256 clb mult_36 auto 35.1 MiB 0.36 6909 28120 5467 19449 3204 72.4 MiB 0.33 0.01 4.19358 -1335.76 -4.19358 4.19358 0.57 0.0037113 0.00333884 0.133605 0.11961 46 11544 32 6.32612e+06 3.66277e+06 684529. 2673.94 5.06 1.22954 1.06767 22592 160355 -1 9200 15 3275 3934 333576 89258 4.24116 4.24116 -1301.9 -4.24116 0 0 838722. 3276.26 0.23 0.23 0.14 -1 -1 0.23 0.139646 0.124395 1035 769 266 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_16.v common 10.35 vpr 73.17 MiB 0.07 10912 -1 -1 1 0.23 -1 -1 36864 -1 -1 139 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74924 22 19 2218 1846 1 1177 185 16 16 256 clb mult_36 auto 35.7 MiB 0.41 6963 25959 4805 17669 3485 73.2 MiB 0.45 0.01 4.07338 -1365.88 -4.07338 4.07338 0.56 0.00388045 0.00348674 0.208146 0.187017 46 13890 41 6.32612e+06 3.72556e+06 684529. 2673.94 5.69 1.29537 1.13495 22592 160355 -1 10116 19 3779 4592 448481 113412 4.24116 4.24116 -1370.82 -4.24116 0 0 838722. 3276.26 0.23 0.27 0.15 -1 -1 0.23 0.169377 0.15002 1073 788 285 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_17.v common 8.34 vpr 74.82 MiB 0.14 11732 -1 -1 1 0.25 -1 -1 36588 -1 -1 159 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76620 22 19 2536 2130 1 1298 205 17 17 289 clb auto 37.6 MiB 0.46 7620 37049 7684 25247 4118 74.8 MiB 0.62 0.01 4.42051 -1540.01 -4.42051 4.42051 0.65 0.00423609 0.00378899 0.282318 0.252374 46 13764 27 6.64007e+06 3.97672e+06 782063. 2706.10 3.04 1.04231 0.91148 25426 183746 -1 11043 17 4001 4900 453425 123152 4.24116 4.24116 -1541.83 -4.24116 0 0 958460. 3316.47 0.26 0.29 0.16 -1 -1 0.26 0.177466 0.157705 1228 924 304 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_18.v common 8.78 vpr 75.02 MiB 0.10 11952 -1 -1 1 0.31 -1 -1 37072 -1 -1 165 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76816 22 19 2610 2187 1 1336 211 17 17 289 clb auto 37.7 MiB 0.48 8349 34696 7275 23014 4407 75.0 MiB 0.58 0.01 4.13813 -1586.39 -4.13813 4.13813 0.66 0.00439442 0.00392502 0.267351 0.239362 48 14562 33 6.64007e+06 4.05207e+06 816265. 2824.45 3.48 1.13466 0.990693 25714 189529 -1 11938 15 4344 5163 568179 146907 4.36136 4.36136 -1641.57 -4.36136 0 0 986792. 3414.50 0.26 0.33 0.17 -1 -1 0.26 0.173087 0.154333 1266 943 323 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_19.v common 9.22 vpr 76.04 MiB 0.12 12208 -1 -1 1 0.32 -1 -1 36792 -1 -1 174 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77860 22 19 2778 2321 1 1434 221 18 18 324 clb auto 38.8 MiB 0.56 8435 36906 7335 26151 3420 76.0 MiB 0.62 0.01 4.18011 -1706.23 -4.18011 4.18011 0.77 0.00463437 0.00415387 0.27846 0.249498 44 15275 31 7.77114e+06 4.56109e+06 850563. 2625.19 3.39 1.13425 0.990832 28700 205432 -1 11863 18 4157 5289 458211 127186 4.36136 4.36136 -1720.9 -4.36136 0 0 1.07356e+06 3313.45 0.29 0.31 0.17 -1 -1 0.29 0.197713 0.175468 1344 1002 342 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_20.v common 10.57 vpr 76.70 MiB 0.11 12456 -1 -1 1 0.33 -1 -1 36984 -1 -1 178 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78536 22 19 2852 2378 1 1479 225 18 18 324 clb auto 39.2 MiB 0.58 8723 40581 8381 28372 3828 76.7 MiB 0.68 0.01 4.13813 -1771.41 -4.13813 4.13813 0.77 0.00494821 0.00443889 0.30125 0.269261 46 14626 20 7.77114e+06 4.61132e+06 895831. 2764.91 4.55 1.42369 1.24659 29024 211752 -1 12101 15 4346 5256 526214 143468 4.24116 4.24116 -1780.8 -4.24116 0 0 1.09776e+06 3388.15 0.30 0.31 0.19 -1 -1 0.30 0.17954 0.16092 1382 1021 361 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_21.v common 10.05 vpr 77.91 MiB 0.15 12852 -1 -1 1 0.36 -1 -1 37596 -1 -1 190 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79784 22 19 3057 2549 1 1586 237 18 18 324 clb auto 40.7 MiB 0.63 10000 39086 7340 28690 3056 77.9 MiB 0.81 0.01 4.36136 -1893.99 -4.36136 4.36136 0.82 0.005902 0.00531029 0.341896 0.304488 46 17097 24 7.77114e+06 4.76202e+06 895831. 2764.91 3.74 1.26578 1.10779 29024 211752 -1 13601 15 4515 5613 518271 136937 4.36136 4.36136 -1963.24 -4.36136 0 0 1.09776e+06 3388.15 0.29 0.31 0.18 -1 -1 0.29 0.186915 0.166708 1479 1099 380 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_22.v common 13.34 vpr 77.94 MiB 0.11 13080 -1 -1 1 0.25 -1 -1 38084 -1 -1 196 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79812 22 19 3131 2606 1 1626 243 19 19 361 clb auto 40.8 MiB 0.63 10581 47239 9889 33869 3481 77.9 MiB 0.87 0.01 4.48156 -1979.61 -4.48156 4.48156 0.90 0.00552736 0.00497025 0.378449 0.337682 48 18758 47 8.13532e+06 4.83737e+06 1.05176e+06 2913.46 6.65 1.84109 1.60475 32602 246183 -1 15477 18 5270 6461 700019 166552 4.36136 4.36136 -2014.15 -4.36136 0 0 1.27108e+06 3521.00 0.35 0.38 0.21 -1 -1 0.35 0.216509 0.191988 1517 1118 399 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_23.v common 11.22 vpr 79.36 MiB 0.16 13248 -1 -1 1 0.36 -1 -1 37868 -1 -1 206 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81260 22 19 3301 2742 1 1720 254 19 19 361 clb auto 42.2 MiB 0.60 10777 53318 12123 37751 3444 79.4 MiB 0.92 0.01 4.30038 -2073.95 -4.30038 4.30038 0.85 0.00592401 0.00523054 0.407801 0.364218 54 17563 25 8.13532e+06 5.35895e+06 1.15452e+06 3198.10 4.34 1.72645 1.50918 34042 276675 -1 14742 16 4950 6056 567418 143713 4.36136 4.36136 -2093.48 -4.36136 0 0 1.41983e+06 3933.05 0.38 0.34 0.24 -1 -1 0.38 0.205811 0.183214 1597 1179 418 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_24.v common 14.30 vpr 79.62 MiB 0.10 13340 -1 -1 1 0.40 -1 -1 38116 -1 -1 211 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81536 22 19 3375 2799 1 1765 259 19 19 361 clb auto 42.4 MiB 0.63 10899 49759 10089 35200 4470 79.6 MiB 0.90 0.01 4.1744 -2128.46 -4.1744 4.1744 0.85 0.00584197 0.00523281 0.386809 0.345018 50 19096 43 8.13532e+06 5.42174e+06 1.09718e+06 3039.29 7.48 1.92929 1.68397 32962 254619 -1 15151 18 5361 6834 673396 163361 4.24116 4.24116 -2239.44 -4.24116 0 0 1.31179e+06 3633.76 0.36 0.38 0.22 -1 -1 0.36 0.230151 0.204306 1635 1198 437 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_25.v common 14.37 vpr 80.69 MiB 0.15 13984 -1 -1 1 0.30 -1 -1 38104 -1 -1 225 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82628 22 19 3615 3005 1 1878 273 20 20 400 clb auto 43.8 MiB 0.73 12783 60453 13305 42245 4903 80.7 MiB 1.08 0.01 4.29513 -2284.67 -4.29513 4.29513 0.94 0.00615409 0.00550465 0.468509 0.418359 50 22427 38 1.10386e+07 5.59755e+06 1.21483e+06 3037.08 6.84 2.14736 1.8751 36870 282114 -1 18002 16 6329 7668 826615 201907 4.48156 4.48156 -2405 -4.48156 0 0 1.45344e+06 3633.59 0.46 0.44 0.26 -1 -1 0.46 0.240524 0.214471 1749 1293 456 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_26.v common 27.65 vpr 81.20 MiB 0.21 14084 -1 -1 1 0.44 -1 -1 38468 -1 -1 230 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83144 22 19 3689 3062 1 1918 278 20 20 400 clb auto 44.4 MiB 0.67 12259 59233 12310 41511 5412 81.2 MiB 1.01 0.01 4.13813 -2292.86 -4.13813 4.13813 0.97 0.0059514 0.00531072 0.419469 0.371454 46 22560 47 1.10386e+07 5.66034e+06 1.11552e+06 2788.80 19.94 2.92269 2.53164 36070 264401 -1 16932 14 5898 7281 710408 180437 4.36136 4.36136 -2399.27 -4.36136 0 0 1.36764e+06 3419.10 0.38 0.46 0.24 -1 -1 0.38 0.266686 0.241179 1787 1312 475 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_27.v common 38.14 vpr 82.61 MiB 0.14 14440 -1 -1 1 0.47 -1 -1 38408 -1 -1 242 22 0 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84596 22 19 3871 3210 1 2023 291 21 21 441 clb auto 45.6 MiB 0.77 12969 62951 13572 44045 5334 82.6 MiB 1.11 0.01 4.36136 -2529.89 -4.36136 4.36136 1.08 0.00661824 0.0059161 0.478655 0.426406 48 24732 39 1.14404e+07 6.20704e+06 1.29409e+06 2934.45 29.79 3.13754 2.72999 40046 303487 -1 19419 15 6942 8722 1093050 248022 4.48156 4.48156 -2651.69 -4.48156 0 0 1.56480e+06 3548.29 0.44 0.48 0.21 -1 -1 0.44 0.242647 0.21615 1879 1385 494 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_28.v common 14.38 vpr 82.73 MiB 0.19 14620 -1 -1 1 0.54 -1 -1 38548 -1 -1 247 22 0 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84716 22 19 3945 3267 1 2070 296 21 21 441 clb auto 45.8 MiB 0.77 13605 63400 13597 45154 4649 82.7 MiB 1.18 0.02 4.24116 -2495.55 -4.24116 4.24116 1.09 0.00697535 0.00626872 0.499185 0.445723 52 23436 41 1.14404e+07 6.26983e+06 1.38344e+06 3137.06 5.84 2.26323 1.98047 41366 331634 -1 18697 14 6169 7747 801288 198953 4.36136 4.36136 -2569.18 -4.36136 0 0 1.70223e+06 3859.94 0.46 0.38 0.28 -1 -1 0.46 0.213995 0.190374 1917 1404 513 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_29.v common 18.09 vpr 84.27 MiB 0.15 14936 -1 -1 1 0.52 -1 -1 39648 -1 -1 260 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86288 22 19 4159 3447 1 2186 310 22 22 484 mult_36 auto 47.3 MiB 0.79 14251 75766 16916 53468 5382 84.3 MiB 1.32 0.02 4.30038 -2650.53 -4.30038 4.30038 1.21 0.006988 0.00623253 0.5503 0.488282 48 24770 32 1.26594e+07 6.82908e+06 1.44011e+06 2975.42 9.09 2.32987 2.03802 44390 338934 -1 20456 14 6939 8707 972163 230644 4.48156 4.48156 -2836.1 -4.48156 0 0 1.74100e+06 3597.11 0.51 0.46 0.24 -1 -1 0.51 0.2421 0.216208 2023 1491 532 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_30.v common 21.30 vpr 84.31 MiB 0.19 15188 -1 -1 1 0.53 -1 -1 40780 -1 -1 265 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86336 22 19 4233 3504 1 2225 315 22 22 484 mult_36 auto 47.5 MiB 0.83 14490 83853 20335 56854 6664 84.3 MiB 1.46 0.02 4.24116 -2618.51 -4.24116 4.24116 1.24 0.00714772 0.00637711 0.605622 0.537951 50 25164 32 1.26594e+07 6.89187e+06 1.50222e+06 3103.76 11.74 2.89467 2.5294 44874 350400 -1 20610 14 7194 8993 921636 226843 4.36136 4.36136 -2766.51 -4.36136 0 0 1.79645e+06 3711.66 0.50 0.49 0.28 -1 -1 0.50 0.274395 0.24729 2061 1510 551 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_31.v common 19.45 vpr 85.30 MiB 0.14 15440 -1 -1 1 0.52 -1 -1 40928 -1 -1 276 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87344 22 19 4410 3647 1 2335 326 22 22 484 clb mult_36 auto 48.5 MiB 0.78 16122 77675 17613 54161 5901 85.3 MiB 1.36 0.02 4.36136 -2880.63 -4.36136 4.36136 1.23 0.0075266 0.00673816 0.559591 0.497527 50 27001 29 1.26594e+07 7.03001e+06 1.50222e+06 3103.76 9.88 2.41232 2.1093 44874 350400 -1 22573 15 7610 9869 1093468 253767 4.48156 4.48156 -2966.78 -4.48156 0 0 1.79645e+06 3711.66 0.58 0.52 0.31 -1 -1 0.58 0.262899 0.235664 2148 1578 570 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_32.v common 30.33 vpr 85.77 MiB 0.20 15712 -1 -1 1 0.44 -1 -1 40580 -1 -1 280 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87824 22 19 4484 3704 1 2374 330 22 22 484 clb mult_36 auto 49.0 MiB 0.81 15256 78921 17235 55630 6056 85.8 MiB 1.46 0.02 4.13813 -2844.59 -4.13813 4.13813 1.25 0.0081841 0.00731784 0.588572 0.522393 50 25963 31 1.26594e+07 7.08024e+06 1.50222e+06 3103.76 20.78 3.18512 2.77056 44874 350400 -1 21895 15 7623 9321 1001861 240765 4.36136 4.36136 -3062 -4.36136 0 0 1.79645e+06 3711.66 0.51 0.53 0.29 -1 -1 0.51 0.29392 0.263688 2186 1597 589 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_33.v common 44.09 vpr 87.23 MiB 0.23 16680 -1 -1 1 0.60 -1 -1 41588 -1 -1 304 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89324 22 19 4843 4029 1 2501 355 23 23 529 clb auto 50.5 MiB 0.80 17265 89531 21627 62738 5166 87.2 MiB 1.55 0.02 4.36136 -3132.51 -4.36136 4.36136 1.33 0.00822953 0.00735424 0.631432 0.56104 50 30212 44 1.31115e+07 7.77763e+06 1.65241e+06 3123.66 33.77 3.95648 3.4406 48882 385791 -1 23998 15 7697 10016 1012708 239734 4.48156 4.48156 -3211.65 -4.48156 0 0 1.97533e+06 3734.07 0.57 0.54 0.32 -1 -1 0.57 0.296085 0.263436 2364 1756 608 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_34.v common 16.82 vpr 88.21 MiB 0.18 16652 -1 -1 1 0.72 -1 -1 41604 -1 -1 309 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 90328 22 19 4917 4086 1 2542 360 23 23 529 clb auto 51.3 MiB 0.85 16883 87400 19509 61991 5900 88.2 MiB 1.45 0.02 4.2946 -3132.19 -4.2946 4.2946 1.29 0.00788218 0.006887 0.570903 0.502905 48 29983 34 1.31115e+07 7.84042e+06 1.58369e+06 2993.75 6.73 2.19272 1.90211 48354 373213 -1 24232 15 8519 10539 1178068 279978 4.36136 4.36136 -3239.06 -4.36136 0 0 1.91452e+06 3619.14 0.54 0.55 0.30 -1 -1 0.54 0.289307 0.25688 2402 1775 627 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_35.v common 18.89 vpr 88.98 MiB 0.23 17056 -1 -1 1 0.65 -1 -1 41752 -1 -1 320 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 91120 22 19 5093 4228 1 2643 371 23 23 529 clb auto 52.3 MiB 0.97 16361 88283 19281 62146 6856 89.0 MiB 1.56 0.02 4.18011 -3243.72 -4.18011 4.18011 1.43 0.0101303 0.009037 0.622098 0.552535 54 27381 34 1.31115e+07 7.97856e+06 1.73850e+06 3286.39 8.20 2.81154 2.4584 50466 419205 -1 22379 15 7580 9662 963966 246031 4.36136 4.36136 -3289.45 -4.36136 0 0 2.13727e+06 4040.20 0.64 0.58 0.34 -1 -1 0.64 0.341484 0.306495 2488 1842 646 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_36.v common 29.16 vpr 89.19 MiB 0.26 17120 -1 -1 1 0.71 -1 -1 41720 -1 -1 324 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 91328 22 19 5167 4285 1 2691 375 23 23 529 clb auto 52.7 MiB 0.95 18019 98998 23016 68009 7973 89.2 MiB 1.69 0.02 4.25463 -3294.79 -4.25463 4.25463 1.31 0.00840097 0.00747584 0.671425 0.595096 56 29248 46 1.31115e+07 8.02879e+06 1.78215e+06 3368.90 18.21 4.18849 3.64695 50994 430361 -1 25192 17 8520 10780 1168967 288965 4.24116 4.24116 -3384.13 -4.24116 0 0 2.18505e+06 4130.54 0.60 0.66 0.38 -1 -1 0.60 0.36118 0.320109 2526 1861 665 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_37.v common 26.20 vpr 91.57 MiB 0.23 17756 -1 -1 1 0.69 -1 -1 40880 -1 -1 337 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 93768 22 19 5380 4464 1 2808 389 24 24 576 clb mult_36 auto 53.9 MiB 1.06 18769 112490 27337 77347 7806 90.5 MiB 2.04 0.02 4.24116 -3467.58 -4.24116 4.24116 1.46 0.00907631 0.00809949 0.782821 0.686432 50 31438 37 1.52924e+07 8.58805e+06 1.78400e+06 3097.22 14.29 3.93921 3.43732 53074 415989 -1 26089 15 8677 10964 1154625 282675 4.36136 4.36136 -3530.32 -4.36136 0 0 2.13454e+06 3705.80 0.73 0.62 0.36 -1 -1 0.73 0.345649 0.311132 2631 1947 684 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_38.v common 22.31 vpr 91.45 MiB 0.17 17708 -1 -1 1 0.73 -1 -1 42384 -1 -1 343 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 93644 22 19 5454 4521 1 2849 395 24 24 576 clb mult_36 auto 55.0 MiB 0.90 19940 116235 27981 79411 8843 91.4 MiB 2.02 0.02 4.36136 -3489.43 -4.36136 4.36136 1.56 0.00865726 0.0076673 0.789594 0.698073 50 33618 45 1.52924e+07 8.66339e+06 1.78400e+06 3097.22 10.80 3.19398 2.7774 53074 415989 -1 27632 15 9105 11562 1231630 291884 4.48156 4.48156 -3625.47 -4.48156 0 0 2.13454e+06 3705.80 0.60 0.62 0.28 -1 -1 0.60 0.337085 0.300387 2669 1966 703 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_39.v common 25.88 vpr 91.90 MiB 0.28 18160 -1 -1 1 0.76 -1 -1 40224 -1 -1 353 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94108 22 19 5629 4662 1 2951 405 25 25 625 clb auto 55.4 MiB 1.14 19953 114177 27339 77982 8856 91.9 MiB 1.99 0.02 4.36136 -3656.12 -4.36136 4.36136 1.69 0.0096819 0.00860995 0.788368 0.696843 50 35626 42 1.57822e+07 8.78897e+06 1.94653e+06 3114.45 13.53 3.34528 2.91404 57408 454416 -1 28298 13 9542 11975 1300975 310972 4.48156 4.48156 -3801.9 -4.48156 0 0 2.32897e+06 3726.35 0.78 0.61 0.33 -1 -1 0.78 0.323378 0.290166 2754 2032 722 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_40.v common 26.67 vpr 92.66 MiB 0.30 18280 -1 -1 1 0.77 -1 -1 42276 -1 -1 358 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94880 22 19 5703 4719 1 2994 410 25 25 625 clb auto 56.3 MiB 1.08 21027 114560 27826 78845 7889 92.7 MiB 2.12 0.02 4.24116 -3663.98 -4.24116 4.24116 1.63 0.00961592 0.0085823 0.754727 0.666265 54 36082 37 1.57822e+07 8.85176e+06 2.04878e+06 3278.05 13.97 3.29258 2.87627 59280 493784 -1 28451 13 8976 11375 1210126 295638 4.60176 4.60176 -3737.01 -4.60176 0 0 2.52006e+06 4032.10 0.74 0.60 0.40 -1 -1 0.74 0.322934 0.288973 2792 2051 741 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_41.v common 20.61 vpr 94.05 MiB 0.26 18812 -1 -1 1 0.79 -1 -1 41700 -1 -1 374 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 96312 22 19 5950 4932 1 3111 427 25 25 625 clb auto 57.5 MiB 1.20 20818 125773 30837 85286 9650 94.1 MiB 2.17 0.03 4.42051 -3821.28 -4.42051 4.42051 1.63 0.00988404 0.0088336 0.857002 0.754623 52 33687 24 1.57822e+07 9.44869e+06 1.99531e+06 3192.49 6.87 2.69468 2.36476 58656 480125 -1 27916 13 8776 11804 1123040 278330 4.60176 4.60176 -3900.78 -4.60176 0 0 2.45448e+06 3927.17 0.96 0.67 0.44 -1 -1 0.96 0.380177 0.346074 2913 2153 760 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_42.v common 21.94 vpr 94.25 MiB 0.28 18964 -1 -1 1 0.87 -1 -1 42876 -1 -1 377 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 96512 22 19 6024 4989 1 3151 430 25 25 625 clb auto 57.6 MiB 1.16 21903 126946 30063 87199 9684 94.2 MiB 2.26 0.03 4.36136 -3905.46 -4.36136 4.36136 1.66 0.0103375 0.00920788 0.868049 0.767092 54 34451 19 1.57822e+07 9.48637e+06 2.04878e+06 3278.05 8.66 3.34036 2.92748 59280 493784 -1 29343 13 9076 11896 1099829 264107 4.48156 4.48156 -4076.71 -4.48156 0 0 2.52006e+06 4032.10 0.77 0.60 0.42 -1 -1 0.77 0.340296 0.305387 2951 2172 779 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_43.v common 23.19 vpr 95.80 MiB 0.31 19404 -1 -1 1 0.89 -1 -1 43328 -1 -1 389 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 98104 22 19 6198 5129 1 3252 442 25 25 625 clb auto 59.1 MiB 1.21 22747 131716 31686 89081 10949 95.8 MiB 2.33 0.03 4.37853 -4053.38 -4.37853 4.37853 1.84 0.0104232 0.00928277 0.894311 0.790737 54 35002 18 1.57822e+07 9.63706e+06 2.04878e+06 3278.05 9.67 3.27438 2.86698 59280 493784 -1 30125 13 9358 11890 1222233 297297 4.72196 4.72196 -4139.78 -4.72196 0 0 2.52006e+06 4032.10 0.78 0.65 0.45 -1 -1 0.78 0.381448 0.343189 3035 2237 798 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_44.v common 26.78 vpr 96.68 MiB 0.28 19404 -1 -1 1 0.87 -1 -1 43512 -1 -1 394 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 98996 22 19 6272 5186 1 3297 447 26 26 676 clb auto 59.4 MiB 1.17 22908 135379 33028 93129 9222 96.0 MiB 2.36 0.03 4.48156 -4060.31 -4.48156 4.48156 1.84 0.011658 0.0103368 0.907215 0.803283 52 39179 38 1.91291e+07 9.69985e+06 2.20423e+06 3260.69 12.48 3.41455 2.99416 65116 533202 -1 30144 13 9605 12391 1252390 296389 4.48156 4.48156 -4398.9 -4.48156 0 0 2.70930e+06 4007.84 0.83 0.64 0.45 -1 -1 0.83 0.357413 0.31977 3073 2256 817 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_45.v common 28.04 vpr 96.83 MiB 0.32 19964 -1 -1 1 0.91 -1 -1 43712 -1 -1 407 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 99156 22 19 6485 5365 1 3415 461 26 26 676 clb auto 60.4 MiB 1.17 21937 142861 34619 98677 9565 96.8 MiB 2.51 0.03 4.37853 -4161.26 -4.37853 4.37853 1.72 0.0108605 0.00967532 0.949021 0.832038 54 35587 27 1.91291e+07 1.02591e+07 2.26288e+06 3347.46 13.68 3.78755 3.32727 65792 548382 -1 29674 14 9521 12541 1199994 291317 4.60176 4.60176 -4732.88 -4.60176 0 0 2.78165e+06 4114.86 0.80 0.67 0.46 -1 -1 0.80 0.40794 0.367144 3178 2342 836 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_46.v common 50.52 vpr 97.72 MiB 0.22 20072 -1 -1 1 0.91 -1 -1 44016 -1 -1 412 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 100068 22 19 6559 5422 1 3449 466 26 26 676 clb auto 60.7 MiB 1.21 23538 148558 36858 101207 10493 97.3 MiB 2.54 0.03 4.36136 -4211.81 -4.36136 4.36136 1.74 0.012603 0.01142 0.948557 0.83767 50 37784 24 1.91291e+07 1.03219e+07 2.15046e+06 3181.16 36.51 5.75007 5.01756 63768 504694 -1 31931 15 10220 12587 1272284 312759 4.48156 4.48156 -4493.44 -4.48156 0 0 2.57128e+06 3803.68 0.75 0.74 0.39 -1 -1 0.75 0.42784 0.384383 3216 2361 855 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_47.v common 23.60 vpr 99.03 MiB 0.22 20368 -1 -1 1 0.93 -1 -1 44564 -1 -1 422 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 101408 22 19 6735 5564 1 3561 476 26 26 676 clb auto 62.5 MiB 1.21 24114 145322 35443 99736 10143 99.0 MiB 2.73 0.03 4.36136 -4363.73 -4.36136 4.36136 1.76 0.0129397 0.011653 1.1003 0.97872 52 40909 29 1.91291e+07 1.04475e+07 2.20423e+06 3260.69 8.77 3.41046 3.00851 65116 533202 -1 32715 14 10296 12618 1300621 315598 4.60176 4.60176 -4478.39 -4.60176 0 0 2.70930e+06 4007.84 0.98 0.71 0.46 -1 -1 0.98 0.408648 0.366685 3302 2428 874 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_48.v common 27.73 vpr 100.63 MiB 0.30 20524 -1 -1 1 0.97 -1 -1 44032 -1 -1 428 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 103044 22 19 6809 5621 1 3598 482 26 26 676 clb auto 63.0 MiB 1.28 25457 151602 37795 102913 10894 98.7 MiB 2.57 0.03 4.36136 -4421.6 -4.36136 4.36136 1.76 0.0110835 0.0098763 0.963183 0.849135 54 40678 40 1.91291e+07 1.05228e+07 2.26288e+06 3347.46 13.20 4.51534 3.96596 65792 548382 -1 33773 15 10421 12979 1342589 331991 4.48156 4.48156 -4589.32 -4.48156 0 0 2.78165e+06 4114.86 0.79 0.71 0.44 -1 -1 0.79 0.406414 0.361218 3340 2447 893 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_49.v common 28.81 vpr 101.54 MiB 0.32 21104 -1 -1 1 1.05 -1 -1 44376 -1 -1 444 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 103976 22 19 7094 5872 1 3718 499 27 27 729 clb auto 64.4 MiB 1.35 27242 166651 41903 114568 10180 100.2 MiB 3.09 0.03 4.48156 -4645.19 -4.48156 4.48156 1.93 0.0137483 0.0124058 1.21856 1.07489 54 44469 28 1.9669e+07 1.11198e+07 2.44988e+06 3360.60 12.93 4.26154 3.72779 70678 594165 -1 36864 15 11534 14523 1551802 366884 4.60176 4.60176 -5029.95 -4.60176 0 0 3.01106e+06 4130.40 0.86 0.80 0.49 -1 -1 0.86 0.436947 0.390212 3481 2569 912 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_50.v common 38.24 vpr 104.04 MiB 0.22 21184 -1 -1 1 0.99 -1 -1 44140 -1 -1 449 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 106532 22 19 7168 5929 1 3755 504 27 27 729 clb auto 65.1 MiB 1.38 27900 166919 41558 115070 10291 101.0 MiB 3.07 0.03 4.42058 -4700.51 -4.42058 4.42058 1.95 0.0133138 0.0119708 1.19493 1.0588 54 46623 41 1.9669e+07 1.11825e+07 2.44988e+06 3360.60 22.19 5.22553 4.55891 70678 594165 -1 37253 16 11580 14881 1507663 361077 4.48156 4.48156 -4833.63 -4.48156 0 0 3.01106e+06 4130.40 0.95 0.82 0.47 -1 -1 0.95 0.462516 0.412714 3519 2588 931 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_51.v common 59.87 vpr 106.14 MiB 0.31 21572 -1 -1 1 1.08 -1 -1 44824 -1 -1 460 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 108688 22 19 7344 6071 1 3863 515 27 27 729 clb auto 65.7 MiB 1.37 26191 173807 44357 119669 9781 101.5 MiB 3.15 0.04 4.4148 -4780.65 -4.4148 4.4148 1.97 0.0143687 0.0128847 1.21421 1.07263 54 42070 24 1.9669e+07 1.13207e+07 2.44988e+06 3360.60 43.33 5.82771 5.07827 70678 594165 -1 35404 13 11033 14165 1456223 354857 4.72196 4.72196 -5030.57 -4.72196 0 0 3.01106e+06 4130.40 0.98 0.78 0.49 -1 -1 0.98 0.425101 0.38117 3605 2655 950 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_52.v common 28.61 vpr 106.45 MiB 0.25 21884 -1 -1 1 1.15 -1 -1 45220 -1 -1 465 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 109004 22 19 7418 6128 1 3909 520 27 27 729 clb auto 66.5 MiB 1.34 28280 178170 45981 119497 12692 102.4 MiB 3.22 0.04 4.37853 -4830.08 -4.37853 4.37853 1.95 0.0141499 0.0123272 1.23818 1.08169 54 45707 28 1.9669e+07 1.13835e+07 2.44988e+06 3360.60 12.27 4.30066 3.76467 70678 594165 -1 38034 16 11980 15124 1623681 386703 4.48156 4.48156 -5051.95 -4.48156 0 0 3.01106e+06 4130.40 0.84 0.80 0.46 -1 -1 0.84 0.496756 0.440935 3643 2674 969 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_14.v common 9.41 vpr 67.30 MiB 0.06 9328 -1 -1 1 0.16 -1 -1 34656 -1 -1 81 22 0 4 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68916 22 19 1246 925 1 736 126 16 16 256 mult_36 auto 29.6 MiB 0.40 4254 18396 4205 11555 2636 67.3 MiB 0.34 0.01 8.36318 -383.367 -8.36318 8.36318 0.56 0.00278544 0.00258491 0.159571 0.146202 38 8861 34 6.32612e+06 2.6012e+06 558663. 2182.28 5.53 1.07474 0.945186 21316 135884 -1 6561 22 4832 5445 582149 154526 7.80999 7.80999 -388.43 -7.80999 0 0 744679. 2908.90 0.19 0.26 0.12 -1 -1 0.19 0.118783 0.105935 591 344 247 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_15.v common 7.62 vpr 67.93 MiB 0.07 9716 -1 -1 1 0.16 -1 -1 35136 -1 -1 86 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69556 22 19 1344 989 1 796 132 16 16 256 mult_36 auto 30.3 MiB 0.37 4680 18892 4302 11939 2651 67.9 MiB 0.37 0.01 8.43996 -390.118 -8.43996 8.43996 0.57 0.00280472 0.00258568 0.168314 0.15441 40 9059 33 6.32612e+06 3.05999e+06 583096. 2277.72 3.69 0.787018 0.698129 21572 140635 -1 7624 21 5398 6282 743093 192604 7.99439 7.99439 -467.887 -7.99439 0 0 763333. 2981.77 0.19 0.28 0.13 -1 -1 0.19 0.117461 0.104846 635 369 266 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_16.v common 22.21 vpr 68.30 MiB 0.07 9588 -1 -1 1 0.20 -1 -1 35172 -1 -1 91 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69944 22 19 1418 1046 1 833 137 16 16 256 mult_36 auto 30.8 MiB 0.46 5124 23788 5451 15273 3064 68.3 MiB 0.47 0.01 8.48338 -427.318 -8.48338 8.48338 0.59 0.00324841 0.00298687 0.205054 0.187831 40 9542 34 6.32612e+06 3.12278e+06 583096. 2277.72 17.80 1.58883 1.40324 21572 140635 -1 7989 24 5937 6919 778879 198407 8.18279 8.18279 -520.319 -8.18279 0 0 763333. 2981.77 0.19 0.33 0.13 -1 -1 0.19 0.146991 0.131238 673 388 285 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_17.v common 11.74 vpr 69.05 MiB 0.12 10252 -1 -1 1 0.22 -1 -1 35376 -1 -1 97 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70708 22 19 1518 1112 1 899 143 16 16 256 mult_36 auto 31.4 MiB 0.43 5605 24761 5724 15848 3189 69.1 MiB 0.50 0.01 9.23404 -471.583 -9.23404 9.23404 0.57 0.00299432 0.00271579 0.215328 0.196431 42 12302 48 6.32612e+06 3.19813e+06 613404. 2396.11 7.17 1.52838 1.35187 21828 146600 -1 8704 23 6699 7694 985071 246811 9.15065 9.15065 -572.903 -9.15065 0 0 784202. 3063.29 0.27 0.40 0.13 -1 -1 0.27 0.157069 0.140898 719 415 304 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_18.v common 14.27 vpr 69.80 MiB 0.10 10300 -1 -1 1 0.23 -1 -1 34916 -1 -1 102 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71472 22 19 1592 1169 1 937 148 16 16 256 mult_36 auto 32.0 MiB 0.48 5411 25954 5761 17040 3153 69.8 MiB 0.50 0.01 9.11384 -492.872 -9.11384 9.11384 0.57 0.00308504 0.00279575 0.215571 0.196214 40 10608 35 6.32612e+06 3.26092e+06 583096. 2277.72 9.65 1.42462 1.25955 21572 140635 -1 9003 23 6783 7936 948207 245104 8.48265 8.48265 -629.25 -8.48265 0 0 763333. 2981.77 0.22 0.37 0.14 -1 -1 0.22 0.155053 0.138515 757 434 323 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_19.v common 11.00 vpr 70.22 MiB 0.11 10544 -1 -1 1 0.21 -1 -1 35336 -1 -1 107 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71904 22 19 1688 1231 1 998 154 16 16 256 mult_36 auto 32.6 MiB 0.51 5851 22814 4729 13760 4325 70.2 MiB 0.46 0.01 9.12484 -496.487 -9.12484 9.12484 0.56 0.00337278 0.00308981 0.204978 0.187705 46 11198 40 6.32612e+06 3.71971e+06 684529. 2673.94 6.64 1.44049 1.27621 22592 160355 -1 8482 21 5296 6099 654272 170198 8.26205 8.26205 -572.735 -8.26205 0 0 838722. 3276.26 0.21 0.29 0.11 -1 -1 0.21 0.143728 0.128598 799 457 342 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_20.v common 12.00 vpr 70.56 MiB 0.08 10640 -1 -1 1 0.25 -1 -1 35548 -1 -1 112 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72256 22 19 1762 1288 1 1033 159 16 16 256 mult_36 auto 32.8 MiB 0.48 6825 22089 4646 13915 3528 70.6 MiB 0.47 0.01 9.16401 -519.117 -9.16401 9.16401 0.56 0.00360731 0.00330817 0.190943 0.174072 50 11241 25 6.32612e+06 3.7825e+06 744679. 2908.90 7.42 1.37001 1.20992 23104 171162 -1 9261 21 5709 6587 736555 189282 8.39745 8.39745 -613.624 -8.39745 0 0 891356. 3481.86 0.24 0.34 0.17 -1 -1 0.24 0.158795 0.142393 837 476 361 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_21.v common 10.24 vpr 70.94 MiB 0.09 10924 -1 -1 1 0.23 -1 -1 35780 -1 -1 119 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72640 22 19 1859 1351 1 1097 166 16 16 256 mult_36 auto 33.3 MiB 0.47 6666 26158 5203 16523 4432 70.9 MiB 0.50 0.01 9.03763 -508.506 -9.03763 9.03763 0.56 0.00365336 0.00334877 0.220005 0.200745 48 12141 45 6.32612e+06 3.8704e+06 714410. 2790.66 5.70 1.21186 1.07324 22848 165380 -1 9960 23 6766 7896 953019 239000 8.21605 8.21605 -705.722 -8.21605 0 0 863353. 3372.47 0.22 0.38 0.16 -1 -1 0.22 0.175403 0.156387 880 500 380 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_22.v common 8.72 vpr 71.55 MiB 0.14 11048 -1 -1 1 0.26 -1 -1 35832 -1 -1 123 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73272 22 19 1933 1408 1 1134 170 16 16 256 mult_36 auto 33.8 MiB 0.52 7313 28370 5828 17736 4806 71.6 MiB 0.56 0.01 9.17501 -549.932 -9.17501 9.17501 0.56 0.00368249 0.0033307 0.236244 0.214884 48 12035 28 6.32612e+06 3.92063e+06 714410. 2790.66 3.90 0.984652 0.872973 22848 165380 -1 10062 23 6419 7390 836835 213069 8.51065 8.51065 -667.103 -8.51065 0 0 863353. 3372.47 0.23 0.38 0.16 -1 -1 0.23 0.181923 0.162592 918 519 399 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_23.v common 13.10 vpr 71.78 MiB 0.12 11476 -1 -1 1 0.26 -1 -1 36084 -1 -1 131 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73504 22 19 2031 1472 1 1198 179 18 18 324 mult_36 auto 34.2 MiB 0.61 7550 30419 6455 19369 4595 71.8 MiB 0.62 0.01 9.12001 -583.855 -9.12001 9.12001 0.73 0.00393783 0.00359825 0.259055 0.235968 48 12630 26 7.77114e+06 4.4171e+06 935225. 2886.50 7.41 1.66175 1.4664 29348 218440 -1 10831 21 6820 7945 915173 231648 8.49665 8.49665 -783.026 -8.49665 0 0 1.13028e+06 3488.51 0.31 0.42 0.21 -1 -1 0.31 0.196924 0.173911 962 544 418 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_24.v common 14.69 vpr 72.13 MiB 0.09 11404 -1 -1 1 0.26 -1 -1 36260 -1 -1 136 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73860 22 19 2105 1529 1 1235 184 18 18 324 mult_36 auto 34.9 MiB 0.58 7661 37317 8501 24959 3857 72.1 MiB 0.69 0.01 9.10913 -586.392 -9.10913 9.10913 0.75 0.00385424 0.00348189 0.294439 0.266977 48 13133 26 7.77114e+06 4.47989e+06 935225. 2886.50 8.93 1.94041 1.70952 29348 218440 -1 10908 23 7434 8576 948645 234568 8.58465 8.58465 -791.233 -8.58465 0 0 1.13028e+06 3488.51 0.30 0.42 0.19 -1 -1 0.30 0.198405 0.177356 1000 563 437 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_25.v common 10.98 vpr 72.92 MiB 0.13 11880 -1 -1 1 0.24 -1 -1 36524 -1 -1 141 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74672 22 19 2201 1591 1 1295 189 18 18 324 mult_36 auto 35.6 MiB 0.65 7882 35419 7795 23110 4514 72.9 MiB 0.75 0.01 9.0476 -626.573 -9.0476 9.0476 0.76 0.00401564 0.00365488 0.307124 0.279188 46 14895 28 7.77114e+06 4.54268e+06 895831. 2764.91 5.23 1.29314 1.14623 29024 211752 -1 11428 21 6952 8164 847241 222680 8.28945 8.28945 -792.599 -8.28945 0 0 1.09776e+06 3388.15 0.29 0.38 0.19 -1 -1 0.29 0.187225 0.167354 1042 586 456 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_26.v common 14.27 vpr 73.07 MiB 0.16 11928 -1 -1 1 0.33 -1 -1 37424 -1 -1 145 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74820 22 19 2275 1648 1 1331 193 18 18 324 mult_36 auto 35.9 MiB 0.64 8270 37512 8174 25127 4211 73.1 MiB 0.74 0.01 9.15301 -640.754 -9.15301 9.15301 0.75 0.00418134 0.00380681 0.302948 0.275287 48 14431 26 7.77114e+06 4.59291e+06 935225. 2886.50 8.14 1.80477 1.59081 29348 218440 -1 12124 23 9127 10596 1176912 285820 8.54065 8.54065 -814.155 -8.54065 0 0 1.13028e+06 3488.51 0.34 0.52 0.20 -1 -1 0.34 0.226656 0.202872 1080 605 475 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_27.v common 16.96 vpr 73.94 MiB 0.16 12168 -1 -1 1 0.33 -1 -1 36860 -1 -1 153 22 0 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75712 22 19 2385 1724 1 1408 202 18 18 324 mult_36 auto 36.6 MiB 0.62 9475 39274 8633 24027 6614 73.9 MiB 0.80 0.01 9.16401 -680.51 -9.16401 9.16401 0.79 0.00432941 0.00393962 0.337033 0.306189 54 16614 36 7.77114e+06 5.08937e+06 1.02660e+06 3168.53 10.75 2.19661 1.93908 30640 245540 -1 13208 20 7860 9445 1117978 264377 8.41125 8.41125 -880.793 -8.41125 0 0 1.26286e+06 3897.71 0.33 0.42 0.21 -1 -1 0.33 0.191053 0.170746 1136 642 494 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_28.v common 11.57 vpr 74.54 MiB 0.18 12280 -1 -1 1 0.26 -1 -1 36736 -1 -1 158 22 0 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76324 22 19 2459 1781 1 1444 207 18 18 324 mult_36 auto 37.0 MiB 0.76 8909 41211 9001 25741 6469 74.5 MiB 0.81 0.01 9.14684 -663.352 -9.14684 9.14684 0.79 0.00460207 0.00411187 0.323378 0.293345 46 16563 50 7.77114e+06 5.15216e+06 895831. 2764.91 5.19 1.42025 1.25683 29024 211752 -1 12815 21 8674 9947 1180543 313346 8.80125 8.80125 -858.702 -8.80125 0 0 1.09776e+06 3388.15 0.29 0.48 0.18 -1 -1 0.29 0.209869 0.187449 1174 661 513 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_29.v common 19.37 vpr 74.96 MiB 0.18 12660 -1 -1 1 0.34 -1 -1 37108 -1 -1 165 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76756 22 19 2565 1853 1 1517 215 22 22 484 mult_36 auto 37.6 MiB 0.76 9695 50369 11832 33775 4762 75.0 MiB 1.05 0.01 9.39824 -758.644 -9.39824 9.39824 1.22 0.0048153 0.00437802 0.403953 0.3667 44 19021 30 1.26594e+07 5.63607e+06 1.30964e+06 2705.88 11.40 2.24831 1.98778 43422 318546 -1 14146 23 8551 9980 1131104 280459 8.63965 8.63965 -1048.26 -8.63965 0 0 1.65337e+06 3416.05 0.47 0.53 0.27 -1 -1 0.47 0.25129 0.224571 1226 694 532 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_30.v common 46.46 vpr 75.68 MiB 0.13 12644 -1 -1 1 0.25 -1 -1 37348 -1 -1 170 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77492 22 19 2639 1910 1 1554 220 22 22 484 mult_36 auto 38.2 MiB 0.83 9525 45967 10375 31456 4136 75.7 MiB 0.98 0.01 9.332 -761.848 -9.332 9.332 1.27 0.00495473 0.00451634 0.392015 0.355908 40 18870 42 1.26594e+07 5.69886e+06 1.17677e+06 2431.33 38.65 2.72081 2.40169 41974 287914 -1 15646 19 10618 12587 1630390 401109 8.82305 8.82305 -1060.29 -8.82305 0 0 1.53957e+06 3180.94 0.43 0.56 0.18 -1 -1 0.43 0.20758 0.185629 1264 713 551 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_31.v common 14.59 vpr 75.85 MiB 0.10 12888 -1 -1 1 0.39 -1 -1 37480 -1 -1 177 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77668 22 19 2744 1981 1 1626 227 22 22 484 mult_36 auto 38.5 MiB 0.79 10029 50743 11223 34192 5328 75.8 MiB 1.02 0.01 9.332 -743.867 -9.332 9.332 1.29 0.00285428 0.00261476 0.411716 0.373589 44 19607 46 1.26594e+07 5.78677e+06 1.30964e+06 2705.88 6.46 1.62768 1.44317 43422 318546 -1 14760 21 8812 10635 1193110 306240 8.51565 8.51565 -997.056 -8.51565 0 0 1.65337e+06 3416.05 0.47 0.51 0.28 -1 -1 0.47 0.239315 0.214108 1315 745 570 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_32.v common 20.57 vpr 76.41 MiB 0.20 13016 -1 -1 1 0.37 -1 -1 37008 -1 -1 181 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78240 22 19 2818 2038 1 1662 231 22 22 484 mult_36 auto 39.1 MiB 0.84 10896 62535 16168 40587 5780 76.4 MiB 1.32 0.02 9.51844 -833.689 -9.51844 9.51844 1.17 0.00567558 0.0051011 0.507219 0.459742 46 19729 33 1.26594e+07 5.837e+06 1.37878e+06 2848.72 12.02 2.45886 2.17829 43906 328446 -1 15857 23 10062 11624 1282729 313572 8.31125 8.31125 -1223.57 -8.31125 0 0 1.69059e+06 3492.95 0.48 0.55 0.30 -1 -1 0.48 0.256887 0.228971 1353 764 589 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_33.v common 42.72 vpr 76.81 MiB 0.20 13632 -1 -1 1 0.28 -1 -1 37428 -1 -1 189 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78656 22 19 2923 2109 1 1730 240 22 22 484 mult_36 auto 39.7 MiB 0.84 11006 63565 15479 41766 6320 76.8 MiB 1.25 0.01 10.0899 -813.568 -10.0899 10.0899 1.21 0.00518259 0.00469824 0.489613 0.442392 44 22012 50 1.26594e+07 6.33346e+06 1.30964e+06 2705.88 34.19 2.93242 2.58393 43422 318546 -1 16383 23 12263 14060 1788436 435492 9.31331 9.31331 -1098.11 -9.31331 0 0 1.65337e+06 3416.05 0.48 0.67 0.28 -1 -1 0.48 0.271858 0.242511 1404 796 608 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_34.v common 23.80 vpr 77.41 MiB 0.20 13712 -1 -1 1 0.40 -1 -1 37740 -1 -1 194 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79264 22 19 2997 2166 1 1769 245 22 22 484 mult_36 auto 40.1 MiB 1.12 11467 56929 13204 37063 6662 77.4 MiB 1.16 0.01 9.84947 -801.897 -9.84947 9.84947 1.23 0.00550201 0.00500599 0.449134 0.405926 46 23369 48 1.26594e+07 6.39625e+06 1.37878e+06 2848.72 14.79 2.70665 2.3907 43906 328446 -1 16588 23 11553 13716 1515832 373082 9.23591 9.23591 -1123.07 -9.23591 0 0 1.69059e+06 3492.95 0.48 0.73 0.29 -1 -1 0.48 0.297688 0.267246 1442 815 627 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_35.v common 18.97 vpr 78.42 MiB 0.19 14032 -1 -1 1 0.42 -1 -1 37924 -1 -1 200 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80300 22 19 3101 2236 1 1838 251 22 22 484 mult_36 auto 41.0 MiB 1.06 11980 57994 13001 38956 6037 78.4 MiB 1.22 0.02 10.4246 -898.797 -10.4246 10.4246 1.48 0.0061833 0.00566496 0.467701 0.424377 46 22305 40 1.26594e+07 6.4716e+06 1.37878e+06 2848.72 9.73 1.90829 1.69402 43906 328446 -1 17462 19 9824 11939 1348912 334590 9.59651 9.59651 -1262.04 -9.59651 0 0 1.69059e+06 3492.95 0.46 0.53 0.29 -1 -1 0.46 0.230592 0.206289 1492 846 646 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_36.v common 17.38 vpr 78.79 MiB 0.22 14132 -1 -1 1 0.41 -1 -1 37988 -1 -1 204 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80684 22 19 3175 2293 1 1872 255 22 22 484 mult_36 auto 41.3 MiB 1.17 11366 60855 13639 40637 6579 78.8 MiB 1.21 0.02 10.2398 -852.171 -10.2398 10.2398 1.18 0.00553478 0.00500054 0.457669 0.413833 48 20735 33 1.26594e+07 6.52183e+06 1.44011e+06 2975.42 8.52 2.04069 1.81415 44390 338934 -1 16863 23 10639 12310 1484249 366742 9.42731 9.42731 -1124.46 -9.42731 0 0 1.74100e+06 3597.11 0.48 0.58 0.29 -1 -1 0.48 0.268926 0.2395 1530 865 665 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_37.v common 25.75 vpr 79.31 MiB 0.25 14440 -1 -1 1 0.47 -1 -1 37420 -1 -1 211 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81216 22 19 3280 2364 1 1945 263 24 24 576 mult_36 auto 42.3 MiB 1.15 12736 63413 14509 42506 6398 79.3 MiB 1.40 0.02 10.3609 -909.644 -10.3609 10.3609 1.63 0.00777899 0.00697982 0.548709 0.497288 48 22784 34 1.52924e+07 7.00574e+06 1.71014e+06 2969.00 15.49 2.97976 2.63549 52498 402441 -1 18388 22 10953 12996 1615157 387443 9.53551 9.53551 -1204.08 -9.53551 0 0 2.06880e+06 3591.66 0.62 0.65 0.35 -1 -1 0.62 0.284875 0.25441 1581 897 684 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_38.v common 21.35 vpr 79.64 MiB 0.16 14468 -1 -1 1 0.48 -1 -1 37924 -1 -1 216 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81556 22 19 3354 2421 1 1981 268 24 24 576 mult_36 auto 42.8 MiB 1.30 13069 66719 15235 45868 5616 79.6 MiB 1.39 0.02 9.9525 -910.496 -9.9525 9.9525 1.46 0.00636589 0.00580294 0.514272 0.463865 44 26849 47 1.52924e+07 7.06853e+06 1.55518e+06 2699.97 11.22 2.20563 1.95256 51346 378163 -1 19312 24 12866 15131 1925109 470482 9.60091 9.60091 -1238.65 -9.60091 0 0 1.96475e+06 3411.02 0.55 0.70 0.30 -1 -1 0.55 0.295828 0.263378 1619 916 703 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_39.v common 16.77 vpr 80.21 MiB 0.21 14716 -1 -1 1 0.49 -1 -1 38280 -1 -1 223 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82140 22 19 3457 2490 1 2052 275 24 24 576 mult_36 auto 43.2 MiB 1.22 13656 73501 17416 50143 5942 80.2 MiB 1.62 0.02 10.0727 -966.849 -10.0727 10.0727 1.44 0.00654031 0.00595441 0.621855 0.561075 46 23538 32 1.52924e+07 7.15643e+06 1.63708e+06 2842.15 6.70 1.88873 1.67432 51922 389946 -1 18838 23 10601 12759 1435807 370600 8.95151 8.95151 -1160.49 -8.95151 0 0 2.00908e+06 3487.99 0.57 0.69 0.23 -1 -1 0.57 0.331688 0.297995 1668 946 722 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_40.v common 21.71 vpr 80.70 MiB 0.17 14852 -1 -1 1 0.46 -1 -1 38748 -1 -1 228 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82636 22 19 3531 2547 1 2089 280 24 24 576 mult_36 auto 43.6 MiB 1.40 13045 78055 20225 49115 8715 80.7 MiB 1.53 0.02 9.95249 -1011.72 -9.95249 9.95249 1.45 0.0065844 0.00598886 0.59874 0.538194 46 24015 38 1.52924e+07 7.21922e+06 1.63708e+06 2842.15 11.30 2.16095 1.91139 51922 389946 -1 18582 26 11805 14295 1481227 365944 8.90771 8.90771 -1456.6 -8.90771 0 0 2.00908e+06 3487.99 0.59 0.71 0.29 -1 -1 0.59 0.349879 0.311755 1706 965 741 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_41.v common 22.34 vpr 81.34 MiB 0.25 15324 -1 -1 1 0.59 -1 -1 37992 -1 -1 234 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83292 22 19 3634 2616 1 2155 287 24 24 576 mult_36 auto 44.4 MiB 1.34 13626 81643 18980 54154 8509 81.3 MiB 1.76 0.02 10.2807 -1100.54 -10.2807 10.2807 1.64 0.00589193 0.00531161 0.641657 0.582472 48 24099 30 1.52924e+07 7.69057e+06 1.71014e+06 2969.00 11.28 2.30878 2.05025 52498 402441 -1 19745 21 10698 12698 1556800 373550 9.35911 9.35911 -1273.87 -9.35911 0 0 2.06880e+06 3591.66 0.58 0.64 0.34 -1 -1 0.58 0.296748 0.265201 1755 995 760 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_42.v common 26.53 vpr 81.88 MiB 0.19 15376 -1 -1 1 0.51 -1 -1 38272 -1 -1 239 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83848 22 19 3708 2673 1 2193 292 24 24 576 mult_36 auto 44.8 MiB 1.41 14431 72892 16198 51073 5621 81.9 MiB 1.77 0.02 10.1929 -992.819 -10.1929 10.1929 1.57 0.00635968 0.00581533 0.574831 0.514396 48 25902 39 1.52924e+07 7.75336e+06 1.71014e+06 2969.00 15.17 3.18975 2.81988 52498 402441 -1 20831 21 12944 15314 1869342 457103 9.58971 9.58971 -1252.11 -9.58971 0 0 2.06880e+06 3591.66 0.64 0.79 0.37 -1 -1 0.64 0.333755 0.299406 1793 1014 779 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_43.v common 20.91 vpr 82.54 MiB 0.14 15612 -1 -1 1 0.53 -1 -1 38816 -1 -1 245 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84520 22 19 3810 2741 1 2260 298 24 24 576 mult_36 auto 45.3 MiB 1.35 14165 81888 20044 54601 7243 82.5 MiB 1.81 0.03 10.1328 -1049.12 -10.1328 10.1328 1.56 0.00741441 0.00659365 0.662329 0.59364 46 27674 49 1.52924e+07 7.82871e+06 1.63708e+06 2842.15 9.61 2.43495 2.15978 51922 389946 -1 20484 24 13292 15374 1707658 435292 9.19011 9.19011 -1651.37 -9.19011 0 0 2.00908e+06 3487.99 0.58 0.79 0.29 -1 -1 0.58 0.365312 0.326451 1841 1043 798 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_44.v common 71.92 vpr 82.65 MiB 0.22 15704 -1 -1 1 0.56 -1 -1 38420 -1 -1 250 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84636 22 19 3884 2798 1 2296 303 24 24 576 mult_36 auto 45.4 MiB 1.53 14946 80646 18903 52810 8933 82.7 MiB 1.73 0.02 9.99493 -1033.19 -9.99493 9.99493 1.55 0.00737401 0.00661175 0.630908 0.568308 48 27278 40 1.52924e+07 7.8915e+06 1.71014e+06 2969.00 60.53 4.224 3.7203 52498 402441 -1 22061 23 14612 17263 2051107 497730 9.31511 9.31511 -1517.68 -9.31511 0 0 2.06880e+06 3591.66 0.60 0.83 0.34 -1 -1 0.60 0.353811 0.314744 1879 1062 817 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_45.v common 19.49 vpr 83.04 MiB 0.26 15944 -1 -1 1 0.60 -1 -1 40472 -1 -1 257 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85032 22 19 3989 2869 1 2368 311 24 24 576 mult_36 auto 46.0 MiB 1.40 16964 75074 16987 50636 7451 83.0 MiB 1.59 0.02 10.3131 -1048.02 -10.3131 10.3131 1.52 0.00784933 0.00716328 0.580129 0.52297 48 28860 31 1.52924e+07 8.37541e+06 1.71014e+06 2969.00 8.09 2.10725 1.8649 52498 402441 -1 23894 23 12966 15711 2070445 493094 9.73871 9.73871 -1579.52 -9.73871 0 0 2.06880e+06 3591.66 0.58 0.76 0.37 -1 -1 0.58 0.33325 0.296675 1930 1094 836 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_46.v common 22.02 vpr 83.42 MiB 0.27 16056 -1 -1 1 0.58 -1 -1 40536 -1 -1 261 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85424 22 19 4063 2926 1 2404 315 24 24 576 mult_36 auto 46.3 MiB 1.67 15692 88137 21521 56647 9969 83.4 MiB 1.75 0.02 9.95249 -1107.23 -9.95249 9.95249 1.49 0.00859715 0.00777619 0.658305 0.593526 54 26619 49 1.52924e+07 8.42564e+06 1.87785e+06 3260.16 10.01 2.74176 2.43271 54798 452027 -1 21115 25 12793 15079 1570956 397175 9.08891 9.08891 -1436.28 -9.08891 0 0 2.31032e+06 4010.97 0.65 0.77 0.42 -1 -1 0.65 0.388572 0.345487 1968 1113 855 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_47.v common 24.10 vpr 84.30 MiB 0.26 16620 -1 -1 1 0.44 -1 -1 40800 -1 -1 268 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86324 22 19 4167 2996 1 2473 322 24 24 576 mult_36 auto 47.3 MiB 1.15 15910 88562 20878 57457 10227 84.3 MiB 1.83 0.02 10.0899 -1142.01 -10.0899 10.0899 1.50 0.00778185 0.0070779 0.652391 0.587128 50 27180 34 1.52924e+07 8.51354e+06 1.78400e+06 3097.22 12.92 2.60385 2.30211 53074 415989 -1 22519 23 12297 14952 1649666 414573 9.24691 9.24691 -1519.04 -9.24691 0 0 2.13454e+06 3705.80 0.61 0.78 0.35 -1 -1 0.61 0.379489 0.338212 2018 1144 874 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_48.v common 19.62 vpr 84.32 MiB 0.24 16492 -1 -1 1 0.62 -1 -1 40916 -1 -1 273 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86348 22 19 4241 3053 1 2509 327 24 24 576 mult_36 auto 47.4 MiB 1.38 16487 93785 22388 64524 6873 84.3 MiB 1.86 0.02 10.5535 -1144.3 -10.5535 10.5535 1.46 0.00701064 0.0063265 0.618906 0.556033 48 28818 32 1.52924e+07 8.57633e+06 1.71014e+06 2969.00 8.35 2.29073 2.04076 52498 402441 -1 24059 23 12093 14580 1751508 427964 10.3207 10.3207 -1752.01 -10.3207 0 0 2.06880e+06 3591.66 0.70 0.70 0.33 -1 -1 0.70 0.318799 0.289487 2056 1163 893 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_49.v common 23.58 vpr 84.68 MiB 0.33 16980 -1 -1 1 0.61 -1 -1 41100 -1 -1 279 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86712 22 19 4346 3124 1 2580 334 24 24 576 mult_36 auto 47.9 MiB 1.53 16418 98764 23499 65407 9858 84.7 MiB 2.11 0.02 10.0121 -1103.23 -10.0121 10.0121 1.51 0.00801433 0.0072699 0.739201 0.661626 48 28351 48 1.52924e+07 9.04768e+06 1.71014e+06 2969.00 11.34 2.93392 2.59947 52498 402441 -1 24128 20 15119 18240 2121135 532072 9.54451 9.54451 -1898.86 -9.54451 0 0 2.06880e+06 3591.66 0.62 0.85 0.34 -1 -1 0.62 0.358724 0.321338 2107 1195 912 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_50.v common 21.90 vpr 85.40 MiB 0.17 17136 -1 -1 1 0.64 -1 -1 40976 -1 -1 284 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87452 22 19 4420 3181 1 2615 339 24 24 576 mult_36 auto 48.5 MiB 1.60 17962 88914 19616 60588 8710 85.4 MiB 1.92 0.03 10.2463 -1217.9 -10.2463 10.2463 1.43 0.00802952 0.00727944 0.649197 0.583734 52 30590 30 1.52924e+07 9.11047e+06 1.82869e+06 3174.81 9.79 2.66483 2.36444 54222 439550 -1 24692 22 14714 17386 2038106 506700 9.42751 9.42751 -1884.23 -9.42751 0 0 2.25030e+06 3906.77 0.74 0.91 0.41 -1 -1 0.74 0.403763 0.368433 2145 1214 931 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_51.v common 30.19 vpr 85.87 MiB 0.20 17368 -1 -1 1 0.65 -1 -1 41140 -1 -1 292 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87932 22 19 4524 3251 1 2687 347 24 24 576 mult_36 auto 48.9 MiB 1.71 17584 90479 20112 60343 10024 85.9 MiB 1.88 0.03 10.1323 -1257.51 -10.1323 10.1323 1.41 0.00828558 0.00749981 0.650201 0.58338 54 30742 41 1.52924e+07 9.21094e+06 1.87785e+06 3260.16 17.90 3.45437 3.05274 54798 452027 -1 24323 24 12811 15116 1754918 426147 9.61671 9.61671 -2019.82 -9.61671 0 0 2.31032e+06 4010.97 0.76 0.86 0.38 -1 -1 0.76 0.434004 0.388012 2195 1245 950 19 0 0 -k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_52.v common 24.08 vpr 86.49 MiB 0.29 17524 -1 -1 1 0.59 -1 -1 39328 -1 -1 296 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88564 22 19 4598 3308 1 2721 351 24 24 576 mult_36 auto 49.6 MiB 1.38 17233 94363 21503 64446 8414 86.5 MiB 1.99 0.03 10.4246 -1286.45 -10.4246 10.4246 1.46 0.00842922 0.00765206 0.674663 0.606068 50 29595 50 1.52924e+07 9.26117e+06 1.78400e+06 3097.22 11.90 3.04331 2.69276 53074 415989 -1 24350 23 14468 16947 2000437 496448 9.61971 9.61971 -1721.97 -9.61971 0 0 2.13454e+06 3705.80 0.89 0.81 0.41 -1 -1 0.89 0.393047 0.353185 2233 1264 969 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_14.v common 13.99 vpr 71.68 MiB 0.06 10360 -1 -1 1 0.26 -1 -1 35432 -1 -1 123 22 0 4 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73404 22 19 1974 1653 1 1039 168 16 16 256 mult_36 auto 34.2 MiB 1.71 6084 26559 5485 17904 3170 71.7 MiB 0.45 0.01 4.07137 -1184.46 -4.07137 4.07137 0.56 0.00386419 0.0035194 0.214571 0.193058 40 11804 49 6.34292e+06 3.14339e+06 583096. 2277.72 8.15 1.68742 1.4728 21572 140635 -1 9984 21 4134 4929 581328 151853 4.48156 4.48156 -1220.21 -4.48156 0 0 763333. 2981.77 0.21 0.33 0.14 -1 -1 0.21 0.188958 0.168721 953 708 247 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_15.v common 13.35 vpr 72.60 MiB 0.08 10804 -1 -1 1 0.24 -1 -1 36924 -1 -1 132 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74340 22 19 2144 1789 1 1138 178 16 16 256 clb mult_36 auto 35.2 MiB 1.79 6845 29178 5734 19784 3660 72.6 MiB 0.50 0.01 4.13443 -1312.79 -4.13443 4.13443 0.56 0.00395181 0.00358259 0.243092 0.21955 44 12842 28 6.34292e+06 3.6535e+06 649498. 2537.10 7.35 1.51796 1.33002 22336 155612 -1 9870 14 3634 4364 464801 122306 4.24116 4.24116 -1341.45 -4.24116 0 0 820238. 3204.05 0.22 0.25 0.12 -1 -1 0.22 0.143265 0.129136 1033 769 266 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_16.v common 8.94 vpr 72.88 MiB 0.12 10984 -1 -1 1 0.25 -1 -1 36852 -1 -1 137 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74632 22 19 2218 1846 1 1177 183 16 16 256 clb mult_36 auto 35.6 MiB 2.08 7131 32361 6636 21461 4264 72.9 MiB 0.55 0.01 4.24116 -1381.33 -4.24116 4.24116 0.58 0.00386192 0.00347919 0.254067 0.228151 46 12387 24 6.34292e+06 3.71689e+06 684529. 2673.94 2.56 0.873502 0.767796 22592 160355 -1 9850 14 3563 4257 399452 105344 4.24116 4.24116 -1382.06 -4.24116 0 0 838722. 3276.26 0.21 0.23 0.15 -1 -1 0.21 0.133813 0.119563 1071 788 285 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_17.v common 10.12 vpr 75.05 MiB 0.10 11836 -1 -1 1 0.30 -1 -1 36744 -1 -1 157 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76848 22 19 2536 2130 1 1298 203 17 17 289 clb auto 37.7 MiB 2.30 7990 29407 5457 21306 2644 75.0 MiB 0.50 0.01 4.48156 -1537.29 -4.48156 4.48156 0.64 0.00430613 0.00385143 0.230555 0.20626 46 15105 26 6.65987e+06 3.97045e+06 782063. 2706.10 3.11 1.02124 0.89176 25426 183746 -1 11140 13 4183 5041 445642 117624 4.36136 4.36136 -1531.19 -4.36136 0 0 958460. 3316.47 0.25 0.31 0.16 -1 -1 0.25 0.181249 0.165655 1226 924 304 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_18.v common 10.00 vpr 75.36 MiB 0.12 11868 -1 -1 1 0.31 -1 -1 37216 -1 -1 163 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77172 22 19 2610 2187 1 1336 209 17 17 289 clb auto 37.9 MiB 2.21 8155 36789 7305 26033 3451 75.4 MiB 0.50 0.01 4.05473 -1593.4 -4.05473 4.05473 0.64 0.00204187 0.00181336 0.205703 0.183114 48 15307 35 6.65987e+06 4.04651e+06 816265. 2824.45 3.10 0.995261 0.867823 25714 189529 -1 12228 16 4558 5382 598643 148707 4.24116 4.24116 -1683.87 -4.24116 0 0 986792. 3414.50 0.26 0.30 0.17 -1 -1 0.26 0.169811 0.15093 1264 943 323 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_19.v common 19.99 vpr 76.09 MiB 0.10 12172 -1 -1 1 0.34 -1 -1 36796 -1 -1 172 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77916 22 19 2778 2321 1 1434 219 18 18 324 clb auto 39.2 MiB 2.49 8731 36464 7395 26087 2982 76.1 MiB 0.61 0.01 4.24116 -1720.94 -4.24116 4.24116 0.73 0.00509493 0.00450297 0.279768 0.250014 44 16662 42 7.79418e+06 4.55662e+06 850563. 2625.19 12.37 2.27729 1.98592 28700 205432 -1 12706 14 4544 5587 531680 141034 4.24116 4.24116 -1760.33 -4.24116 0 0 1.07356e+06 3313.45 0.30 0.31 0.18 -1 -1 0.30 0.173921 0.155689 1342 1002 342 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_20.v common 12.38 vpr 76.71 MiB 0.17 12368 -1 -1 1 0.34 -1 -1 36952 -1 -1 176 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78552 22 19 2852 2378 1 1479 223 18 18 324 clb auto 39.8 MiB 2.45 9149 36727 6960 26660 3107 76.7 MiB 0.64 0.01 4.17493 -1790.89 -4.17493 4.17493 0.77 0.00476988 0.00427466 0.281251 0.25121 46 15963 21 7.79418e+06 4.60733e+06 895831. 2764.91 4.53 1.36531 1.18775 29024 211752 -1 13130 14 4664 5572 585274 152661 4.36136 4.36136 -1839.76 -4.36136 0 0 1.09776e+06 3388.15 0.29 0.31 0.18 -1 -1 0.29 0.16464 0.147225 1380 1021 361 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_21.v common 13.46 vpr 77.67 MiB 0.13 12896 -1 -1 1 0.35 -1 -1 38016 -1 -1 188 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79536 22 19 3057 2549 1 1586 235 18 18 324 clb auto 40.8 MiB 2.76 9903 45123 9529 32094 3500 77.7 MiB 0.76 0.01 4.25463 -1905.93 -4.25463 4.25463 0.75 0.00498986 0.0044505 0.338263 0.301731 46 17948 30 7.79418e+06 4.75946e+06 895831. 2764.91 5.01 1.49883 1.31763 29024 211752 -1 13855 14 5092 6144 628537 161536 4.36136 4.36136 -2028.43 -4.36136 0 0 1.09776e+06 3388.15 0.29 0.32 0.17 -1 -1 0.29 0.179308 0.160203 1477 1099 380 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_22.v common 18.07 vpr 78.16 MiB 0.13 12828 -1 -1 1 0.35 -1 -1 37944 -1 -1 194 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80032 22 19 3131 2606 1 1626 241 19 19 361 clb auto 41.4 MiB 2.84 10286 47428 9839 33939 3650 78.2 MiB 0.84 0.01 4.24116 -1964.7 -4.24116 4.24116 0.84 0.00515519 0.00460612 0.374874 0.334519 48 18602 34 8.16184e+06 4.83553e+06 1.05176e+06 2913.46 9.15 2.16239 1.89086 32602 246183 -1 15049 16 5468 6655 767404 181797 4.24116 4.24116 -1987.18 -4.24116 0 0 1.27108e+06 3521.00 0.34 0.37 0.21 -1 -1 0.34 0.197316 0.175297 1515 1118 399 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_23.v common 14.48 vpr 79.21 MiB 0.16 13236 -1 -1 1 0.36 -1 -1 37880 -1 -1 204 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81112 22 19 3301 2742 1 1720 252 19 19 361 clb auto 42.1 MiB 2.94 11043 52722 11417 37077 4228 79.2 MiB 0.96 0.01 4.12096 -2024.58 -4.12096 4.12096 0.84 0.00592097 0.00532352 0.426861 0.380863 50 18333 39 8.16184e+06 5.35831e+06 1.09718e+06 3039.29 5.13 1.91612 1.67794 32962 254619 -1 15633 15 5503 6537 715495 175652 4.36136 4.36136 -2095.67 -4.36136 0 0 1.31179e+06 3633.76 0.43 0.44 0.21 -1 -1 0.43 0.258958 0.236943 1595 1179 418 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_24.v common 17.69 vpr 79.93 MiB 0.18 13436 -1 -1 1 0.38 -1 -1 38112 -1 -1 209 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81848 22 19 3375 2799 1 1765 257 19 19 361 clb auto 42.7 MiB 3.14 10750 45953 8990 33234 3729 79.9 MiB 0.78 0.01 4.17493 -2082.77 -4.17493 4.17493 0.83 0.00572618 0.00512497 0.333878 0.297631 50 19942 42 8.16184e+06 5.4217e+06 1.09718e+06 3039.29 8.20 1.98948 1.74691 32962 254619 -1 15531 18 5893 7110 826640 196272 4.48156 4.48156 -2097.91 -4.48156 0 0 1.31179e+06 3633.76 0.35 0.41 0.23 -1 -1 0.35 0.232604 0.206131 1633 1198 437 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_25.v common 19.32 vpr 80.93 MiB 0.09 13904 -1 -1 1 0.41 -1 -1 38040 -1 -1 223 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82876 22 19 3615 3005 1 1878 271 20 20 400 clb auto 43.8 MiB 3.29 11843 54583 11378 38149 5056 80.9 MiB 0.91 0.01 4.18011 -2275.57 -4.18011 4.18011 0.99 0.0059378 0.00528452 0.392642 0.348871 50 19676 18 1.10667e+07 5.59919e+06 1.21483e+06 3037.08 9.37 2.3373 2.05364 36870 282114 -1 16952 16 6065 7149 736369 184136 4.36136 4.36136 -2393.56 -4.36136 0 0 1.45344e+06 3633.59 0.39 0.38 0.24 -1 -1 0.39 0.221589 0.196726 1747 1293 456 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_26.v common 15.55 vpr 81.50 MiB 0.10 14064 -1 -1 1 0.42 -1 -1 38312 -1 -1 228 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83456 22 19 3689 3062 1 1918 276 20 20 400 clb auto 44.8 MiB 3.39 12415 59544 13159 41333 5052 81.5 MiB 1.03 0.02 4.13813 -2248.17 -4.13813 4.13813 0.94 0.00636596 0.00571336 0.441584 0.393961 48 22522 44 1.10667e+07 5.66258e+06 1.16517e+06 2912.92 5.28 1.89176 1.66089 36470 272802 -1 17889 14 6502 7743 857384 212074 4.36136 4.36136 -2389.08 -4.36136 0 0 1.40818e+06 3520.44 0.42 0.40 0.25 -1 -1 0.42 0.215312 0.194032 1785 1312 475 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_27.v common 22.10 vpr 82.62 MiB 0.14 14320 -1 -1 1 0.43 -1 -1 38328 -1 -1 240 22 0 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84604 22 19 3871 3210 1 2023 289 21 21 441 clb auto 45.5 MiB 3.59 13091 69049 15421 48905 4723 82.6 MiB 1.17 0.02 4.25833 -2439.76 -4.25833 4.25833 1.04 0.00662048 0.00586304 0.484025 0.429075 50 22955 23 1.14723e+07 6.21072e+06 1.34972e+06 3060.59 10.90 2.78183 2.45578 40486 313801 -1 19085 17 6693 8122 872684 214863 4.36136 4.36136 -2497.47 -4.36136 0 0 1.61476e+06 3661.58 0.44 0.45 0.25 -1 -1 0.44 0.256917 0.228658 1877 1385 494 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_28.v common 18.55 vpr 83.02 MiB 0.18 14580 -1 -1 1 0.52 -1 -1 38388 -1 -1 245 22 0 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85016 22 19 3945 3267 1 2070 294 21 21 441 clb auto 46.1 MiB 3.68 13787 70638 15611 48908 6119 83.0 MiB 1.24 0.02 4.18011 -2457.52 -4.18011 4.18011 1.06 0.0074756 0.006569 0.530694 0.471761 50 23792 26 1.14723e+07 6.27411e+06 1.34972e+06 3060.59 7.01 2.15928 1.89132 40486 313801 -1 19707 15 6833 8556 909227 220592 4.36136 4.36136 -2566.1 -4.36136 0 0 1.61476e+06 3661.58 0.45 0.42 0.25 -1 -1 0.45 0.231815 0.206025 1915 1404 513 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_29.v common 31.29 vpr 84.27 MiB 0.19 15128 -1 -1 1 0.54 -1 -1 39544 -1 -1 258 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86292 22 19 4159 3447 1 2186 308 22 22 484 mult_36 auto 47.4 MiB 3.84 14540 75116 16849 52375 5892 84.3 MiB 1.25 0.02 4.48156 -2570.01 -4.48156 4.48156 1.17 0.00688567 0.00616168 0.52709 0.468722 50 23434 22 1.26954e+07 6.83492e+06 1.50222e+06 3103.76 19.22 3.03941 2.64267 44874 350400 -1 20303 14 6789 8219 816835 204141 4.48156 4.48156 -2692.11 -4.48156 0 0 1.79645e+06 3711.66 0.51 0.43 0.30 -1 -1 0.51 0.249086 0.222942 2021 1491 532 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_30.v common 19.90 vpr 84.84 MiB 0.20 15240 -1 -1 1 0.52 -1 -1 40812 -1 -1 263 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86880 22 19 4233 3504 1 2225 313 22 22 484 mult_36 auto 48.0 MiB 3.96 14345 72529 15646 51039 5844 84.8 MiB 1.22 0.02 4.29513 -2641.58 -4.29513 4.29513 1.15 0.00705696 0.00626798 0.507139 0.45077 48 25057 33 1.26954e+07 6.89831e+06 1.44011e+06 2975.42 7.55 2.3246 2.02616 44390 338934 -1 20617 16 7249 9020 909338 222155 4.48156 4.48156 -2910.31 -4.48156 0 0 1.74100e+06 3597.11 0.56 0.49 0.29 -1 -1 0.56 0.277592 0.247925 2059 1510 551 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_31.v common 26.91 vpr 85.89 MiB 0.17 15576 -1 -1 1 0.57 -1 -1 40948 -1 -1 274 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87948 22 19 4410 3647 1 2335 324 22 22 484 clb mult_36 auto 49.0 MiB 4.10 14627 81500 18211 56732 6557 85.9 MiB 1.36 0.02 4.48156 -2763.28 -4.48156 4.48156 1.17 0.00738142 0.0064759 0.546542 0.485598 54 24724 32 1.26954e+07 7.03777e+06 1.58090e+06 3266.32 13.87 3.49276 3.07371 46322 380746 -1 20211 16 6937 8304 881095 215583 4.48156 4.48156 -2824.86 -4.48156 0 0 1.94386e+06 4016.24 0.75 0.50 0.38 -1 -1 0.75 0.291696 0.260883 2146 1578 570 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_32.v common 18.88 vpr 86.39 MiB 0.21 15748 -1 -1 1 0.57 -1 -1 40676 -1 -1 278 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88460 22 19 4484 3704 1 2374 328 22 22 484 clb mult_36 auto 49.4 MiB 4.21 15540 78298 18108 54278 5912 86.4 MiB 1.37 0.02 4.24116 -2782.8 -4.24116 4.24116 1.18 0.00850778 0.00767123 0.580402 0.51799 48 26921 28 1.26954e+07 7.08848e+06 1.44011e+06 2975.42 6.06 2.09126 1.83654 44390 338934 -1 22311 13 7902 9623 980518 241405 4.48156 4.48156 -2896.93 -4.48156 0 0 1.74100e+06 3597.11 0.50 0.47 0.31 -1 -1 0.50 0.244366 0.218501 2184 1597 589 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_33.v common 20.43 vpr 87.84 MiB 0.18 16488 -1 -1 1 0.61 -1 -1 41520 -1 -1 302 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89948 22 19 4843 4029 1 2501 353 23 23 529 clb auto 51.3 MiB 4.44 17435 87643 19607 61599 6437 87.8 MiB 1.47 0.01 4.24116 -3073.8 -4.24116 4.24116 1.40 0.00437909 0.00392859 0.602393 0.533154 50 29482 39 1.31518e+07 7.78876e+06 1.65241e+06 3123.66 6.51 2.45162 2.13701 48882 385791 -1 24265 14 8069 9691 993548 240146 4.36136 4.36136 -3116.31 -4.36136 0 0 1.97533e+06 3734.07 0.60 0.53 0.37 -1 -1 0.60 0.293733 0.261277 2362 1756 608 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_34.v common 23.61 vpr 88.46 MiB 0.12 16748 -1 -1 1 0.62 -1 -1 41616 -1 -1 308 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 90580 22 19 4917 4086 1 2542 359 23 23 529 clb auto 51.8 MiB 4.42 16896 87059 18935 61744 6380 88.5 MiB 1.56 0.02 4.48156 -3114.12 -4.48156 4.48156 1.32 0.00762155 0.00676444 0.636308 0.56748 50 30043 27 1.31518e+07 7.86482e+06 1.65241e+06 3123.66 9.44 2.60169 2.26752 48882 385791 -1 24344 14 8758 10802 1224972 286268 4.60176 4.60176 -3387.39 -4.60176 0 0 1.97533e+06 3734.07 0.56 0.56 0.37 -1 -1 0.56 0.286044 0.255012 2401 1775 627 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_35.v common 42.49 vpr 89.30 MiB 0.25 17244 -1 -1 1 0.65 -1 -1 41768 -1 -1 319 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 91440 22 19 5093 4228 1 2643 370 23 23 529 clb auto 52.6 MiB 4.59 18051 89279 20131 63728 5420 89.3 MiB 1.53 0.03 4.37483 -3218.94 -4.37483 4.37483 1.28 0.0115723 0.0106977 0.611601 0.540946 50 30668 35 1.31518e+07 8.00428e+06 1.65241e+06 3123.66 28.07 3.81148 3.33209 48882 385791 -1 25188 17 8575 10282 1105084 272137 4.48156 4.48156 -3354.12 -4.48156 0 0 1.97533e+06 3734.07 0.57 0.64 0.31 -1 -1 0.57 0.36502 0.32385 2487 1842 646 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_36.v common 42.13 vpr 89.86 MiB 0.24 17224 -1 -1 1 0.58 -1 -1 41708 -1 -1 323 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 92020 22 19 5167 4285 1 2691 374 23 23 529 clb auto 53.3 MiB 4.79 17780 96011 21980 67780 6251 89.9 MiB 1.63 0.02 4.48156 -3272.89 -4.48156 4.48156 1.27 0.00902501 0.00810883 0.636019 0.564686 50 31361 46 1.31518e+07 8.05499e+06 1.65241e+06 3123.66 27.54 4.02566 3.48344 48882 385791 -1 25174 17 9093 11307 1257811 296331 4.36136 4.36136 -3536.95 -4.36136 0 0 1.97533e+06 3734.07 0.65 0.70 0.32 -1 -1 0.65 0.394101 0.352154 2525 1861 665 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_37.v common 22.69 vpr 91.00 MiB 0.28 17624 -1 -1 1 0.67 -1 -1 40876 -1 -1 336 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 93188 22 19 5380 4464 1 2808 388 24 24 576 mult_36 auto 54.4 MiB 4.95 18000 106438 25977 73150 7311 91.0 MiB 1.93 0.02 4.36136 -3470.71 -4.36136 4.36136 1.62 0.00921082 0.00823912 0.767144 0.678016 48 30066 22 1.53347e+07 8.61581e+06 1.71014e+06 2969.00 6.75 2.50437 2.1985 52498 402441 -1 25768 15 8689 10479 1086200 257350 4.60176 4.60176 -3539.87 -4.60176 0 0 2.06880e+06 3591.66 0.59 0.57 0.34 -1 -1 0.59 0.325227 0.290089 2630 1947 684 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_38.v common 29.23 vpr 91.32 MiB 0.27 17876 -1 -1 1 0.76 -1 -1 42228 -1 -1 342 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 93512 22 19 5454 4521 1 2849 394 24 24 576 clb mult_36 auto 55.5 MiB 4.99 18144 115834 28131 77060 10643 91.3 MiB 1.97 0.02 4.24116 -3379.88 -4.24116 4.24116 1.45 0.00923439 0.00823115 0.799143 0.708981 50 29652 34 1.53347e+07 8.69188e+06 1.78400e+06 3097.22 13.48 3.83727 3.35876 53074 415989 -1 25288 17 8636 10670 1032084 260041 4.36136 4.36136 -3563.7 -4.36136 0 0 2.13454e+06 3705.80 0.60 0.62 0.34 -1 -1 0.60 0.370192 0.325926 2668 1966 703 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_39.v common 23.74 vpr 92.36 MiB 0.22 18152 -1 -1 1 0.66 -1 -1 40208 -1 -1 352 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94576 22 19 5629 4662 1 2951 404 24 24 576 clb mult_36 auto 56.4 MiB 5.14 21626 118272 28657 79200 10415 92.4 MiB 2.06 0.02 4.48156 -3617.12 -4.48156 4.48156 1.45 0.0096086 0.00860047 0.824292 0.731809 52 37764 38 1.53347e+07 8.81866e+06 1.82869e+06 3174.81 7.59 2.73664 2.40506 54222 439550 -1 29338 14 9216 11739 1241818 290722 4.72196 4.72196 -3919.7 -4.72196 0 0 2.25030e+06 3906.77 0.67 0.65 0.37 -1 -1 0.67 0.353944 0.318368 2753 2032 722 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_40.v common 26.05 vpr 92.76 MiB 0.19 18376 -1 -1 1 0.67 -1 -1 42312 -1 -1 357 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94988 22 19 5703 4719 1 2994 409 25 25 625 clb auto 57.0 MiB 5.29 20955 115701 27338 79764 8599 92.8 MiB 1.92 0.02 4.30038 -3680.46 -4.30038 4.30038 1.49 0.00891788 0.00790718 0.732142 0.646044 52 36068 32 1.58291e+07 8.88205e+06 1.99531e+06 3192.49 9.71 2.88506 2.51628 58656 480125 -1 28247 15 9057 11231 1191506 271016 4.48156 4.48156 -3899.12 -4.48156 0 0 2.45448e+06 3927.17 0.73 0.61 0.38 -1 -1 0.73 0.343601 0.306286 2791 2051 741 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_41.v common 35.27 vpr 101.03 MiB 0.26 18820 -1 -1 1 0.88 -1 -1 41464 -1 -1 373 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 103456 22 19 5950 4932 1 3111 426 25 25 625 clb auto 58.4 MiB 5.35 22868 125382 30342 84914 10126 94.5 MiB 2.17 0.03 4.36136 -3900.3 -4.36136 4.36136 1.74 0.010111 0.00896462 0.855855 0.758941 58 35761 44 1.58291e+07 9.48089e+06 2.14341e+06 3429.45 17.71 4.20562 3.67432 61152 534357 -1 30542 13 9396 11438 1360367 304092 4.36136 4.36136 -4147.24 -4.36136 0 0 2.68463e+06 4295.40 0.82 0.64 0.38 -1 -1 0.82 0.334472 0.299137 2912 2153 760 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_42.v common 28.04 vpr 94.77 MiB 0.19 18916 -1 -1 1 0.81 -1 -1 42788 -1 -1 376 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 97040 22 19 6024 4989 1 3151 429 25 25 625 clb auto 58.8 MiB 5.61 22338 129789 31817 87521 10451 94.8 MiB 2.26 0.02 4.48156 -3879.56 -4.48156 4.48156 1.62 0.0100564 0.00897238 0.888357 0.786949 52 37600 39 1.58291e+07 9.51893e+06 1.99531e+06 3192.49 10.69 3.58221 3.12509 58656 480125 -1 30047 14 9557 11787 1160075 277147 4.60176 4.60176 -3969.48 -4.60176 0 0 2.45448e+06 3927.17 0.72 0.63 0.28 -1 -1 0.72 0.364161 0.324891 2950 2172 779 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_43.v common 52.56 vpr 95.76 MiB 0.17 19248 -1 -1 1 0.84 -1 -1 43268 -1 -1 388 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 98060 22 19 6198 5129 1 3252 441 25 25 625 clb auto 59.7 MiB 5.69 22292 124613 28130 84188 12295 95.8 MiB 2.25 0.03 4.48156 -3906.86 -4.48156 4.48156 1.57 0.0119826 0.0108248 0.915972 0.811748 52 39721 49 1.58291e+07 9.67106e+06 1.99531e+06 3192.49 35.29 5.54653 4.84612 58656 480125 -1 30201 14 9788 12101 1217348 300045 4.48156 4.48156 -4076.88 -4.48156 0 0 2.45448e+06 3927.17 0.72 0.64 0.45 -1 -1 0.72 0.362311 0.324278 3034 2237 798 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_44.v common 38.21 vpr 104.12 MiB 0.23 19452 -1 -1 1 0.85 -1 -1 43464 -1 -1 393 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 106616 22 19 6272 5186 1 3297 446 26 26 676 clb auto 60.2 MiB 5.83 21355 129874 31660 89304 8910 96.5 MiB 2.36 0.03 4.29513 -3951.34 -4.29513 4.29513 1.81 0.0122421 0.0106007 0.908054 0.794565 52 38819 39 1.91809e+07 9.73445e+06 2.20423e+06 3260.69 19.62 4.72492 4.12636 65116 533202 -1 29530 15 9717 12154 1180163 283797 4.60176 4.60176 -4317.78 -4.60176 0 0 2.70930e+06 4007.84 0.83 0.68 0.45 -1 -1 0.83 0.396535 0.354423 3072 2256 817 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_45.v common 54.27 vpr 97.45 MiB 0.29 19848 -1 -1 1 0.86 -1 -1 43752 -1 -1 406 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 99784 22 19 6485 5365 1 3415 460 26 26 676 clb auto 61.4 MiB 5.72 22624 140685 34814 96882 8989 97.4 MiB 2.38 0.03 4.48156 -4103.24 -4.48156 4.48156 1.75 0.0108887 0.00973389 0.910155 0.806291 50 36300 23 1.91809e+07 1.02953e+07 2.15046e+06 3181.16 35.78 4.8435 4.21885 63768 504694 -1 31167 13 10359 13165 1307358 324247 4.60176 4.60176 -4375.92 -4.60176 0 0 2.57128e+06 3803.68 0.81 0.74 0.42 -1 -1 0.81 0.405213 0.364765 3177 2342 836 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_46.v common 32.21 vpr 97.84 MiB 0.19 20024 -1 -1 1 0.91 -1 -1 43916 -1 -1 411 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 100184 22 19 6559 5422 1 3449 465 26 26 676 clb auto 61.9 MiB 6.60 25506 149865 38664 101092 10109 97.8 MiB 2.67 0.03 4.48156 -4212.37 -4.48156 4.48156 1.84 0.01155 0.0100428 0.99278 0.873518 54 41203 26 1.91809e+07 1.03587e+07 2.26288e+06 3347.46 12.00 3.68277 3.22084 65792 548382 -1 34168 15 10921 13370 1528099 357175 4.60176 4.60176 -4476.26 -4.60176 0 0 2.78165e+06 4114.86 0.79 0.72 0.45 -1 -1 0.79 0.406959 0.362956 3215 2361 855 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_47.v common 31.14 vpr 99.51 MiB 0.29 20428 -1 -1 1 0.95 -1 -1 44560 -1 -1 421 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 101896 22 19 6735 5564 1 3561 475 26 26 676 clb auto 63.1 MiB 6.24 25310 154191 38459 104957 10775 99.0 MiB 2.64 0.03 4.42058 -4395.65 -4.42058 4.42058 1.77 0.0114443 0.0102196 0.993177 0.874618 54 40333 20 1.91809e+07 1.04854e+07 2.26288e+06 3347.46 11.75 3.82 3.32185 65792 548382 -1 34143 14 10999 13415 1399252 324545 4.48156 4.48156 -4534.97 -4.48156 0 0 2.78165e+06 4114.86 0.84 0.73 0.44 -1 -1 0.84 0.402783 0.361236 3301 2428 874 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_48.v common 31.29 vpr 99.37 MiB 0.17 20476 -1 -1 1 1.03 -1 -1 44148 -1 -1 427 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 101756 22 19 6809 5621 1 3598 481 26 26 676 clb auto 63.5 MiB 6.29 25005 160536 40351 110386 9799 99.4 MiB 2.77 0.03 4.48156 -4491.6 -4.48156 4.48156 1.74 0.0111671 0.00995686 1.02469 0.906026 56 38290 31 1.91809e+07 1.05615e+07 2.31971e+06 3431.53 11.86 3.84741 3.37679 66468 563034 -1 34042 15 10589 12939 1484526 349460 4.60176 4.60176 -4789.55 -4.60176 0 0 2.84390e+06 4206.95 0.80 0.72 0.46 -1 -1 0.80 0.40184 0.356501 3339 2447 893 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_49.v common 31.00 vpr 102.99 MiB 0.31 21084 -1 -1 1 0.94 -1 -1 44412 -1 -1 443 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 105464 22 19 7094 5872 1 3718 498 27 27 729 clb auto 65.0 MiB 6.56 24179 152419 37669 105372 9378 101.0 MiB 2.65 0.03 4.19728 -4586.35 -4.19728 4.19728 1.87 0.0111429 0.00990221 0.979898 0.856498 52 42399 36 1.9726e+07 1.11604e+07 2.38665e+06 3273.86 10.51 3.42089 2.98719 69950 577685 -1 33223 16 11342 13977 1432247 338328 4.48156 4.48156 -4941.55 -4.48156 0 0 2.93284e+06 4023.09 0.88 0.90 0.47 -1 -1 0.88 0.524157 0.472249 3480 2569 912 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_50.v common 36.75 vpr 105.16 MiB 0.33 21384 -1 -1 1 1.16 -1 -1 44104 -1 -1 448 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 107684 22 19 7168 5929 1 3755 503 27 27 729 clb auto 65.2 MiB 6.69 28000 166420 40751 114741 10928 101.5 MiB 2.94 0.03 4.48156 -4578.26 -4.48156 4.48156 1.90 0.0129669 0.0115651 1.12572 0.993242 56 43916 39 1.9726e+07 1.12237e+07 2.51142e+06 3445.02 15.40 4.33648 3.78899 71406 610069 -1 38950 16 12222 15384 1884834 440341 4.60176 4.60176 -4998.93 -4.60176 0 0 3.07846e+06 4222.85 0.95 0.92 0.50 -1 -1 0.95 0.483905 0.433524 3518 2588 931 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_51.v common 40.38 vpr 105.87 MiB 0.36 21596 -1 -1 1 1.05 -1 -1 44764 -1 -1 459 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 108412 22 19 7344 6071 1 3863 514 27 27 729 clb auto 66.9 MiB 6.64 28678 169270 42388 115337 11545 102.6 MiB 2.80 0.03 4.41533 -4778.21 -4.41533 4.41533 1.83 0.0117034 0.0103951 1.02012 0.897826 54 46382 43 1.9726e+07 1.13632e+07 2.44988e+06 3360.60 19.23 4.86679 4.23664 70678 594165 -1 38295 15 12029 14450 1626312 380742 4.48156 4.48156 -5141.25 -4.48156 0 0 3.01106e+06 4130.40 1.00 0.82 0.46 -1 -1 1.00 0.446907 0.396647 3604 2655 950 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_52.v common 37.70 vpr 104.27 MiB 0.36 21756 -1 -1 1 1.12 -1 -1 45316 -1 -1 464 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 106772 22 19 7418 6128 1 3909 519 27 27 729 clb auto 67.1 MiB 6.88 26143 167239 39832 117509 9898 102.7 MiB 2.94 0.03 4.30031 -4799.25 -4.30031 4.30031 1.86 0.0122554 0.0109024 1.03923 0.915693 54 43532 47 1.9726e+07 1.14266e+07 2.44988e+06 3360.60 16.00 4.83717 4.20334 70678 594165 -1 35636 17 11690 14277 1452572 355720 4.36136 4.36136 -5218.21 -4.36136 0 0 3.01106e+06 4130.40 0.94 0.86 0.53 -1 -1 0.94 0.509977 0.45505 3642 2674 969 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_14.v common 11.24 vpr 67.37 MiB 0.06 9432 -1 -1 1 0.12 -1 -1 34808 -1 -1 79 22 0 4 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68984 22 19 1246 925 1 736 124 16 16 256 mult_36 auto 29.5 MiB 1.24 4241 18355 4196 11625 2534 67.4 MiB 0.38 0.01 8.07311 -393.21 -8.07311 8.07311 0.57 0.00311988 0.00285625 0.168593 0.154108 38 9033 43 6.34292e+06 2.58556e+06 558663. 2182.28 6.60 0.809765 0.716733 21316 135884 -1 6718 20 5024 5685 595728 162068 8.13448 8.13448 -417.392 -8.13448 0 0 744679. 2908.90 0.19 0.24 0.09 -1 -1 0.19 0.106176 0.0947645 589 344 247 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_15.v common 20.61 vpr 68.05 MiB 0.07 9540 -1 -1 1 0.15 -1 -1 35248 -1 -1 84 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69684 22 19 1344 989 1 796 130 16 16 256 mult_36 auto 30.6 MiB 1.51 4753 18225 3749 10940 3536 68.1 MiB 0.34 0.01 8.46137 -399.963 -8.46137 8.46137 0.59 0.00267077 0.00244665 0.164538 0.150832 40 9325 41 6.34292e+06 3.04495e+06 583096. 2277.72 15.54 1.45096 1.27986 21572 140635 -1 7587 24 6351 7155 819730 219125 8.06128 8.06128 -488.017 -8.06128 0 0 763333. 2981.77 0.20 0.33 0.13 -1 -1 0.20 0.137446 0.122756 633 369 266 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_16.v common 10.49 vpr 68.47 MiB 0.10 9628 -1 -1 1 0.17 -1 -1 35048 -1 -1 89 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70116 22 19 1418 1046 1 833 135 16 16 256 mult_36 auto 30.9 MiB 1.59 4903 22971 5326 13381 4264 68.5 MiB 0.42 0.01 8.1423 -435.818 -8.1423 8.1423 0.58 0.00275106 0.00252177 0.197817 0.181108 44 8716 37 6.34292e+06 3.10834e+06 649498. 2537.10 5.33 1.17548 1.03474 22336 155612 -1 7030 22 4906 5497 598759 157899 7.39308 7.39308 -479.139 -7.39308 0 0 820238. 3204.05 0.21 0.25 0.09 -1 -1 0.21 0.124865 0.111257 671 388 285 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_17.v common 8.65 vpr 69.00 MiB 0.08 10204 -1 -1 1 0.19 -1 -1 35396 -1 -1 95 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70660 22 19 1518 1112 1 899 141 16 16 256 mult_36 auto 31.5 MiB 1.46 5556 17709 3644 11642 2423 69.0 MiB 0.35 0.01 8.90161 -437.56 -8.90161 8.90161 0.55 0.00293275 0.00267956 0.158421 0.144964 46 9741 26 6.34292e+06 3.18441e+06 684529. 2673.94 3.47 0.839932 0.745992 22592 160355 -1 7950 22 5670 6223 725059 195443 8.13305 8.13305 -481.209 -8.13305 0 0 838722. 3276.26 0.21 0.30 0.15 -1 -1 0.21 0.14019 0.125408 717 415 304 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_18.v common 13.78 vpr 69.55 MiB 0.07 10252 -1 -1 1 0.15 -1 -1 34892 -1 -1 100 22 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71216 22 19 1592 1169 1 937 146 16 16 256 mult_36 auto 31.9 MiB 1.64 5565 22034 5042 13956 3036 69.5 MiB 0.42 0.01 8.87973 -457.467 -8.87973 8.87973 0.62 0.00310544 0.00284191 0.193774 0.177316 42 11318 39 6.34292e+06 3.2478e+06 613404. 2396.11 8.14 1.38438 1.21964 21828 146600 -1 8699 22 6205 6918 797479 216264 8.34213 8.34213 -594.881 -8.34213 0 0 784202. 3063.29 0.25 0.33 0.12 -1 -1 0.25 0.146706 0.131004 755 434 323 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_19.v common 11.33 vpr 69.94 MiB 0.11 10548 -1 -1 1 0.22 -1 -1 35336 -1 -1 105 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71616 22 19 1688 1231 1 998 152 16 16 256 mult_36 auto 32.5 MiB 1.86 5757 22427 4578 13882 3967 69.9 MiB 0.44 0.01 8.92361 -471.887 -8.92361 8.92361 0.56 0.00324852 0.00295972 0.195619 0.178395 48 9652 27 6.34292e+06 3.70719e+06 714410. 2790.66 5.63 1.35638 1.19582 22848 165380 -1 8651 22 5351 6026 732157 188713 8.18805 8.18805 -546.947 -8.18805 0 0 863353. 3372.47 0.22 0.30 0.10 -1 -1 0.22 0.147667 0.131721 797 457 342 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_20.v common 12.61 vpr 70.42 MiB 0.13 10708 -1 -1 1 0.22 -1 -1 35620 -1 -1 110 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72112 22 19 1762 1288 1 1033 157 16 16 256 mult_36 auto 32.8 MiB 1.88 5909 26383 5594 16039 4750 70.4 MiB 0.51 0.01 8.83537 -533.405 -8.83537 8.83537 0.56 0.00334843 0.00305355 0.229503 0.208935 46 10553 28 6.34292e+06 3.77058e+06 684529. 2673.94 6.59 1.4927 1.31724 22592 160355 -1 8432 21 5501 6184 629963 165433 8.19799 8.19799 -535.068 -8.19799 0 0 838722. 3276.26 0.21 0.29 0.14 -1 -1 0.21 0.14789 0.13221 835 476 361 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_21.v common 19.27 vpr 71.07 MiB 0.14 11088 -1 -1 1 0.26 -1 -1 35780 -1 -1 117 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72772 22 19 1859 1351 1 1097 164 16 16 256 mult_36 auto 33.3 MiB 2.10 6877 26148 4845 17989 3314 71.1 MiB 0.52 0.01 9.10283 -530.329 -9.10283 9.10283 0.55 0.00350904 0.00319379 0.219292 0.199579 46 12941 28 6.34292e+06 3.85933e+06 684529. 2673.94 12.86 1.68486 1.48673 22592 160355 -1 9640 20 5382 6297 642924 169839 8.50765 8.50765 -651.006 -8.50765 0 0 838722. 3276.26 0.24 0.30 0.14 -1 -1 0.24 0.158102 0.141691 878 500 380 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_22.v common 12.47 vpr 71.47 MiB 0.09 11048 -1 -1 1 0.24 -1 -1 35836 -1 -1 121 22 0 6 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73184 22 19 1933 1408 1 1134 168 16 16 256 mult_36 auto 33.9 MiB 2.14 7422 25170 4911 15658 4601 71.5 MiB 0.48 0.01 9.01458 -573.662 -9.01458 9.01458 0.57 0.00366039 0.00333703 0.217225 0.1982 48 13367 46 6.34292e+06 3.91004e+06 714410. 2790.66 5.95 1.20201 1.06296 22848 165380 -1 10834 24 6813 8030 1002292 248924 8.32694 8.32694 -795.331 -8.32694 0 0 863353. 3372.47 0.22 0.40 0.15 -1 -1 0.22 0.183735 0.163565 916 519 399 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_23.v common 11.86 vpr 72.08 MiB 0.09 11388 -1 -1 1 0.27 -1 -1 36152 -1 -1 129 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73808 22 19 2031 1472 1 1198 177 18 18 324 mult_36 auto 34.6 MiB 2.24 7275 31425 6625 21832 2968 72.1 MiB 0.66 0.01 9.00463 -548.336 -9.00463 9.00463 0.74 0.00390493 0.00356234 0.258931 0.235866 46 14196 45 7.79418e+06 4.40746e+06 895831. 2764.91 4.56 1.12575 0.997804 29024 211752 -1 10934 25 6882 7848 880826 223229 8.43839 8.43839 -703.752 -8.43839 0 0 1.09776e+06 3388.15 0.29 0.39 0.17 -1 -1 0.29 0.19905 0.177408 960 544 418 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_24.v common 12.36 vpr 72.46 MiB 0.09 11464 -1 -1 1 0.25 -1 -1 36348 -1 -1 134 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74196 22 19 2105 1529 1 1235 182 18 18 324 mult_36 auto 35.0 MiB 2.48 7801 30567 6031 22002 2534 72.5 MiB 0.61 0.01 8.93461 -590.946 -8.93461 8.93461 0.74 0.00432734 0.00392692 0.248296 0.225855 44 15786 47 7.79418e+06 4.47085e+06 850563. 2625.19 4.97 1.26592 1.11687 28700 205432 -1 11441 21 7563 8584 1010699 259800 8.45525 8.45525 -751.332 -8.45525 0 0 1.07356e+06 3313.45 0.29 0.41 0.17 -1 -1 0.29 0.184476 0.164299 998 563 437 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_25.v common 15.88 vpr 73.18 MiB 0.16 11912 -1 -1 1 0.26 -1 -1 36644 -1 -1 139 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74940 22 19 2201 1591 1 1295 187 18 18 324 mult_36 auto 35.6 MiB 2.58 7794 35431 8008 24050 3373 73.2 MiB 0.75 0.01 8.99805 -627.204 -8.99805 8.99805 0.76 0.00409877 0.00373479 0.29492 0.268298 48 14419 25 7.79418e+06 4.53424e+06 935225. 2886.50 7.93 1.6909 1.49346 29348 218440 -1 11847 24 9376 10639 1260323 308837 8.19914 8.19914 -859.595 -8.19914 0 0 1.13028e+06 3488.51 0.31 0.46 0.19 -1 -1 0.31 0.202649 0.180363 1040 586 456 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_26.v common 15.96 vpr 73.44 MiB 0.16 11904 -1 -1 1 0.27 -1 -1 37360 -1 -1 143 22 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75200 22 19 2275 1648 1 1331 191 18 18 324 mult_36 auto 36.1 MiB 2.25 8212 29837 6030 19775 4032 73.4 MiB 0.58 0.01 9.02558 -646.811 -9.02558 9.02558 0.75 0.00428548 0.00390516 0.253584 0.230771 46 15122 38 7.79418e+06 4.58495e+06 895831. 2764.91 8.59 1.9133 1.68997 29024 211752 -1 11958 22 7098 8090 861622 220837 8.17599 8.17599 -727.5 -8.17599 0 0 1.09776e+06 3388.15 0.29 0.38 0.19 -1 -1 0.29 0.193184 0.173255 1078 605 475 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_27.v common 17.03 vpr 74.23 MiB 0.11 12160 -1 -1 1 0.30 -1 -1 36788 -1 -1 151 22 0 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76008 22 19 2385 1724 1 1408 200 18 18 324 mult_36 auto 36.7 MiB 2.60 8727 36992 8286 24092 4614 74.2 MiB 0.76 0.01 8.93461 -662.978 -8.93461 8.93461 0.76 0.00472339 0.00425073 0.301533 0.274021 48 15283 36 7.79418e+06 5.08238e+06 935225. 2886.50 8.89 2.08068 1.8344 29348 218440 -1 12726 23 9179 10508 1335353 324369 8.28419 8.28419 -946.068 -8.28419 0 0 1.13028e+06 3488.51 0.30 0.49 0.19 -1 -1 0.30 0.205865 0.184459 1134 642 494 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_28.v common 16.72 vpr 74.45 MiB 0.17 12356 -1 -1 1 0.31 -1 -1 36712 -1 -1 156 22 0 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76232 22 19 2459 1781 1 1444 205 18 18 324 mult_36 auto 37.1 MiB 2.68 8451 39465 8337 25107 6021 74.4 MiB 0.76 0.01 9.16641 -691.339 -9.16641 9.16641 0.74 0.00462481 0.00422355 0.316536 0.287465 48 14904 25 7.79418e+06 5.14577e+06 935225. 2886.50 8.55 2.04169 1.80553 29348 218440 -1 12614 21 8926 10211 1159620 293360 8.50739 8.50739 -823.07 -8.50739 0 0 1.13028e+06 3488.51 0.30 0.45 0.19 -1 -1 0.30 0.203297 0.181535 1172 661 513 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_29.v common 47.46 vpr 75.10 MiB 0.11 12536 -1 -1 1 0.33 -1 -1 37136 -1 -1 163 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76900 22 19 2565 1853 1 1517 213 22 22 484 mult_36 auto 37.8 MiB 2.97 9736 37678 8527 25986 3165 75.1 MiB 0.75 0.01 8.92046 -697.22 -8.92046 8.92046 1.15 0.00455768 0.00415114 0.297373 0.26977 44 19381 44 1.26954e+07 5.63051e+06 1.30964e+06 2705.88 37.68 2.69823 2.37585 43422 318546 -1 14570 23 9535 10931 1293369 324951 8.36113 8.36113 -893.601 -8.36113 0 0 1.65337e+06 3416.05 0.47 0.54 0.29 -1 -1 0.47 0.243977 0.218617 1224 694 532 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_30.v common 21.61 vpr 75.55 MiB 0.15 12620 -1 -1 1 0.35 -1 -1 37288 -1 -1 168 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77368 22 19 2639 1910 1 1554 218 22 22 484 mult_36 auto 38.7 MiB 2.93 9704 46068 10050 31278 4740 75.6 MiB 0.90 0.01 9.05578 -707.338 -9.05578 9.05578 1.22 0.00505393 0.00458653 0.362219 0.32803 46 18565 36 1.26954e+07 5.6939e+06 1.37878e+06 2848.72 11.65 2.2504 1.98663 43906 328446 -1 14231 21 9207 10549 1182524 287358 8.44828 8.44828 -1021.22 -8.44828 0 0 1.69059e+06 3492.95 0.48 0.49 0.29 -1 -1 0.48 0.22278 0.199444 1262 713 551 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_31.v common 18.40 vpr 76.16 MiB 0.19 12948 -1 -1 1 0.36 -1 -1 37572 -1 -1 175 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77984 22 19 2744 1981 1 1626 225 22 22 484 mult_36 auto 39.1 MiB 3.06 10429 54261 13323 35940 4998 76.2 MiB 1.06 0.01 9.21706 -731.706 -9.21706 9.21706 1.19 0.00506592 0.00460699 0.417543 0.377769 46 19383 40 1.26954e+07 5.78265e+06 1.37878e+06 2848.72 7.99 1.79479 1.59544 43906 328446 -1 15283 22 9077 10419 1338103 316338 8.27705 8.27705 -922.434 -8.27705 0 0 1.69059e+06 3492.95 0.47 0.57 0.28 -1 -1 0.47 0.263815 0.237002 1313 745 570 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_32.v common 22.02 vpr 76.43 MiB 0.11 13016 -1 -1 1 0.39 -1 -1 36920 -1 -1 179 22 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78268 22 19 2818 2038 1 1662 229 22 22 484 mult_36 auto 39.4 MiB 3.20 10391 57629 14299 38067 5263 76.4 MiB 1.16 0.01 8.90432 -796.741 -8.90432 8.90432 1.23 0.00509439 0.00460665 0.45302 0.409964 50 17124 25 1.26954e+07 5.83336e+06 1.50222e+06 3103.76 11.39 2.40958 2.13136 44874 350400 -1 15076 25 10335 11812 1322909 330631 8.19693 8.19693 -1070.7 -8.19693 0 0 1.79645e+06 3711.66 0.51 0.57 0.25 -1 -1 0.51 0.26958 0.240498 1351 764 589 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_33.v common 16.88 vpr 77.11 MiB 0.21 13780 -1 -1 1 0.39 -1 -1 37408 -1 -1 187 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78956 22 19 2923 2109 1 1730 238 22 22 484 mult_36 auto 40.1 MiB 3.20 10842 55513 13255 35231 7027 77.1 MiB 1.05 0.01 9.95249 -834.822 -9.95249 9.95249 1.18 0.00253764 0.00230185 0.418184 0.377872 46 19712 40 1.26954e+07 6.33079e+06 1.37878e+06 2848.72 6.36 1.65683 1.4737 43906 328446 -1 15703 22 9397 10976 1237626 310640 9.04671 9.04671 -1200.39 -9.04671 0 0 1.69059e+06 3492.95 0.48 0.56 0.28 -1 -1 0.48 0.263107 0.234827 1402 796 608 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_34.v common 18.96 vpr 77.73 MiB 0.20 13840 -1 -1 1 0.39 -1 -1 37884 -1 -1 193 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79600 22 19 2997 2166 1 1769 244 22 22 484 mult_36 auto 40.5 MiB 3.32 11512 55870 12803 38332 4735 77.7 MiB 1.10 0.01 9.71104 -846.569 -9.71104 9.71104 1.18 0.00548715 0.00499123 0.421909 0.382237 44 24353 49 1.26954e+07 6.40685e+06 1.30964e+06 2705.88 8.01 1.83971 1.62943 43422 318546 -1 17171 26 12960 14652 1853547 452272 9.15799 9.15799 -1105.75 -9.15799 0 0 1.65337e+06 3416.05 0.48 0.73 0.27 -1 -1 0.48 0.310143 0.276139 1441 815 627 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_35.v common 23.39 vpr 78.45 MiB 0.21 14108 -1 -1 1 0.41 -1 -1 37808 -1 -1 199 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80332 22 19 3101 2236 1 1838 250 22 22 484 mult_36 auto 41.3 MiB 3.53 11883 59275 13898 40462 4915 78.4 MiB 1.24 0.02 10.0716 -839.08 -10.0716 10.0716 1.19 0.00572678 0.00520149 0.474643 0.430492 46 21331 31 1.26954e+07 6.48292e+06 1.37878e+06 2848.72 12.12 2.66162 2.35294 43906 328446 -1 17287 21 10101 11468 1346054 329306 9.20985 9.20985 -1049.74 -9.20985 0 0 1.69059e+06 3492.95 0.47 0.54 0.27 -1 -1 0.47 0.254172 0.227458 1491 846 646 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_36.v common 25.66 vpr 78.64 MiB 0.13 14164 -1 -1 1 0.42 -1 -1 38088 -1 -1 203 22 0 10 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80532 22 19 3175 2293 1 1872 254 22 22 484 mult_36 auto 41.7 MiB 3.56 11856 67790 16050 43614 8126 78.6 MiB 1.29 0.02 9.90636 -831.865 -9.90636 9.90636 1.19 0.00662127 0.00604468 0.524372 0.473455 54 21529 32 1.26954e+07 6.53363e+06 1.58090e+06 3266.32 14.12 2.96853 2.62142 46322 380746 -1 17295 23 11004 12534 1557630 362961 9.12974 9.12974 -1084.55 -9.12974 0 0 1.94386e+06 4016.24 0.53 0.63 0.32 -1 -1 0.53 0.290461 0.259255 1529 865 665 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_37.v common 19.81 vpr 79.66 MiB 0.23 14416 -1 -1 1 0.46 -1 -1 37332 -1 -1 210 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81568 22 19 3280 2364 1 1945 262 24 24 576 mult_36 auto 42.6 MiB 3.74 12905 66464 15575 45332 5557 79.7 MiB 1.27 0.02 9.83124 -880.704 -9.83124 9.83124 1.40 0.00603509 0.00549171 0.504005 0.455538 48 21363 28 1.53347e+07 7.01838e+06 1.71014e+06 2969.00 7.28 1.93213 1.71412 52498 402441 -1 18615 23 12028 13808 1684960 424814 9.19385 9.19385 -1337.54 -9.19385 0 0 2.06880e+06 3591.66 0.58 0.78 0.34 -1 -1 0.58 0.328649 0.295426 1580 897 684 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_38.v common 69.36 vpr 80.14 MiB 0.23 14656 -1 -1 1 0.41 -1 -1 37968 -1 -1 215 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82060 22 19 3354 2421 1 1981 267 24 24 576 mult_36 auto 43.1 MiB 3.86 12584 74141 17788 50501 5852 80.1 MiB 1.41 0.02 9.72821 -969.824 -9.72821 9.72821 1.39 0.00594382 0.00529495 0.540475 0.488201 44 25091 38 1.53347e+07 7.08177e+06 1.55518e+06 2699.97 57.15 3.62897 3.19515 51346 378163 -1 18503 24 11604 13453 1544750 383740 8.89139 8.89139 -1322.03 -8.89139 0 0 1.96475e+06 3411.02 0.56 0.65 0.23 -1 -1 0.56 0.305679 0.272189 1618 916 703 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_39.v common 22.83 vpr 80.43 MiB 0.12 14768 -1 -1 1 0.50 -1 -1 38276 -1 -1 222 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82360 22 19 3457 2490 1 2052 274 24 24 576 mult_36 auto 43.5 MiB 4.09 13365 71394 16730 45953 8711 80.4 MiB 1.43 0.02 9.83229 -957.063 -9.83229 9.83229 1.39 0.006343 0.00576384 0.530651 0.478897 48 23590 43 1.53347e+07 7.17052e+06 1.71014e+06 2969.00 9.92 1.97074 1.74118 52498 402441 -1 19554 23 13372 15109 1988690 466121 9.34814 9.34814 -1275.09 -9.34814 0 0 2.06880e+06 3591.66 0.59 0.74 0.33 -1 -1 0.59 0.310627 0.277318 1667 946 722 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_40.v common 23.40 vpr 80.74 MiB 0.24 14872 -1 -1 1 0.38 -1 -1 38804 -1 -1 227 22 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82676 22 19 3531 2547 1 2089 279 24 24 576 mult_36 auto 43.9 MiB 4.04 13649 81358 20240 53084 8034 80.7 MiB 1.55 0.02 9.76447 -1012.02 -9.76447 9.76447 1.44 0.00627344 0.00568308 0.596184 0.538567 46 24498 47 1.53347e+07 7.23391e+06 1.63708e+06 2842.15 10.31 2.32309 2.0508 51922 389946 -1 19547 23 11831 13375 1622364 412860 8.89731 8.89731 -1325.41 -8.89731 0 0 2.00908e+06 3487.99 0.56 0.69 0.34 -1 -1 0.56 0.32088 0.286395 1705 965 741 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_41.v common 23.80 vpr 81.44 MiB 0.24 15120 -1 -1 1 0.63 -1 -1 38080 -1 -1 233 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83396 22 19 3634 2616 1 2155 286 24 24 576 mult_36 auto 44.5 MiB 5.19 13461 71802 17518 48500 5784 81.4 MiB 1.44 0.02 9.84473 -990.415 -9.84473 9.84473 1.49 0.00682155 0.00620547 0.551807 0.496406 46 24068 31 1.53347e+07 7.70597e+06 1.63708e+06 2842.15 9.20 2.20878 1.9572 51922 389946 -1 19383 21 10783 12491 1296656 334801 9.01371 9.01371 -1397.76 -9.01371 0 0 2.00908e+06 3487.99 0.56 0.58 0.31 -1 -1 0.56 0.291691 0.25952 1754 995 760 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_42.v common 26.35 vpr 81.95 MiB 0.23 15460 -1 -1 1 0.54 -1 -1 38248 -1 -1 238 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83920 22 19 3708 2673 1 2193 291 24 24 576 mult_36 auto 45.0 MiB 4.33 13774 73555 16192 46647 10716 82.0 MiB 1.46 0.02 9.6448 -1052.98 -9.6448 9.6448 1.52 0.00687889 0.00625267 0.576273 0.519917 46 26820 34 1.53347e+07 7.76936e+06 1.63708e+06 2842.15 12.57 2.22723 1.97058 51922 389946 -1 19910 22 11722 13342 1538729 394957 8.92065 8.92065 -1217.74 -8.92065 0 0 2.00908e+06 3487.99 0.58 0.68 0.34 -1 -1 0.58 0.322809 0.287497 1792 1014 779 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_43.v common 75.37 vpr 82.31 MiB 0.25 15500 -1 -1 1 0.55 -1 -1 39040 -1 -1 244 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84284 22 19 3810 2741 1 2260 297 24 24 576 mult_36 auto 45.3 MiB 4.36 15294 72567 16999 48927 6641 82.3 MiB 1.48 0.02 9.95249 -1072.69 -9.95249 9.95249 1.35 0.00618323 0.00557959 0.504221 0.454675 50 27136 38 1.53347e+07 7.84543e+06 1.78400e+06 3097.22 61.55 4.21398 3.72757 53074 415989 -1 21583 25 13148 15539 1852620 442221 9.20791 9.20791 -1298.24 -9.20791 0 0 2.13454e+06 3705.80 0.59 0.79 0.35 -1 -1 0.59 0.361183 0.320661 1840 1043 798 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_44.v common 79.78 vpr 82.90 MiB 0.25 15664 -1 -1 1 0.57 -1 -1 38352 -1 -1 249 22 0 12 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84892 22 19 3884 2798 1 2296 302 24 24 576 mult_36 auto 45.8 MiB 4.45 15221 82274 19194 54089 8991 82.9 MiB 1.67 0.03 9.8158 -1110.51 -9.8158 9.8158 1.51 0.0104062 0.00925367 0.634658 0.572543 50 26086 35 1.53347e+07 7.90882e+06 1.78400e+06 3097.22 65.58 4.08599 3.60983 53074 415989 -1 21306 22 12457 14331 1700869 411393 9.06854 9.06854 -1533.06 -9.06854 0 0 2.13454e+06 3705.80 0.59 0.68 0.35 -1 -1 0.59 0.315896 0.281763 1878 1062 817 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_45.v common 22.00 vpr 83.36 MiB 0.27 16076 -1 -1 1 0.66 -1 -1 40428 -1 -1 256 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85360 22 19 3989 2869 1 2368 310 24 24 576 mult_36 auto 46.3 MiB 4.47 16170 76814 16744 54012 6058 83.4 MiB 1.63 0.02 9.69686 -1053.48 -9.69686 9.69686 1.51 0.00733892 0.00667215 0.584672 0.525516 48 27771 33 1.53347e+07 8.39357e+06 1.71014e+06 2969.00 7.65 2.13518 1.89576 52498 402441 -1 23267 23 14444 16589 2052278 495094 9.46445 9.46445 -1673.39 -9.46445 0 0 2.06880e+06 3591.66 0.60 0.85 0.29 -1 -1 0.60 0.365172 0.325707 1929 1094 836 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_46.v common 23.54 vpr 84.10 MiB 0.26 16096 -1 -1 1 0.61 -1 -1 40696 -1 -1 260 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86116 22 19 4063 2926 1 2404 314 24 24 576 mult_36 auto 47.2 MiB 4.78 15339 80264 18599 54196 7469 84.1 MiB 1.70 0.02 10.1503 -1097.92 -10.1503 10.1503 1.37 0.0080052 0.0071957 0.606251 0.544168 48 26539 34 1.53347e+07 8.44428e+06 1.71014e+06 2969.00 8.51 2.26702 2.00623 52498 402441 -1 22415 22 12869 14913 1883761 456417 9.18285 9.18285 -1669.24 -9.18285 0 0 2.06880e+06 3591.66 0.59 0.79 0.34 -1 -1 0.59 0.345793 0.309364 1967 1113 855 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_47.v common 26.53 vpr 84.31 MiB 0.29 16492 -1 -1 1 0.68 -1 -1 40776 -1 -1 267 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86336 22 19 4167 2996 1 2473 321 24 24 576 mult_36 auto 47.5 MiB 5.05 15911 94749 24093 55772 14884 84.3 MiB 1.98 0.02 9.84328 -1100.98 -9.84328 9.84328 1.68 0.00765987 0.00694488 0.760369 0.680609 52 27975 40 1.53347e+07 8.53303e+06 1.82869e+06 3174.81 10.22 2.77005 2.44505 54222 439550 -1 21924 24 13845 15738 1880408 458130 8.78434 8.78434 -1662.3 -8.78434 0 0 2.25030e+06 3906.77 0.65 0.81 0.38 -1 -1 0.65 0.378633 0.337232 2017 1144 874 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_48.v common 25.99 vpr 84.62 MiB 0.29 16564 -1 -1 1 0.63 -1 -1 40944 -1 -1 272 22 0 13 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86648 22 19 4241 3053 1 2509 326 24 24 576 mult_36 auto 47.7 MiB 6.23 15944 93369 22969 60571 9829 84.6 MiB 1.86 0.02 9.94149 -1112.36 -9.94149 9.94149 1.50 0.00790326 0.00716165 0.704684 0.633056 50 26180 41 1.53347e+07 8.59642e+06 1.78400e+06 3097.22 9.35 2.73074 2.41335 53074 415989 -1 22294 22 12263 14109 1550803 400013 9.21811 9.21811 -1447.05 -9.21811 0 0 2.13454e+06 3705.80 0.63 0.76 0.34 -1 -1 0.63 0.376921 0.336261 2055 1163 893 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_49.v common 92.37 vpr 85.45 MiB 0.30 17076 -1 -1 1 0.68 -1 -1 41244 -1 -1 278 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87500 22 19 4346 3124 1 2580 333 24 24 576 mult_36 auto 48.5 MiB 5.26 18639 82196 17887 55028 9281 85.4 MiB 1.80 0.03 10.1199 -1094.39 -10.1199 10.1199 1.48 0.00810252 0.00735418 0.615338 0.551741 54 32315 41 1.53347e+07 9.06848e+06 1.87785e+06 3260.16 76.74 4.47045 3.92869 54798 452027 -1 25408 23 16681 18924 2499757 584710 9.44433 9.44433 -1646.09 -9.44433 0 0 2.31032e+06 4010.97 0.64 0.81 0.37 -1 -1 0.64 0.310612 0.278159 2106 1195 912 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_50.v common 44.38 vpr 85.66 MiB 0.28 16984 -1 -1 1 0.69 -1 -1 41024 -1 -1 283 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87716 22 19 4420 3181 1 2615 338 24 24 576 mult_36 auto 48.7 MiB 5.13 16819 90890 20456 61609 8825 85.7 MiB 1.91 0.02 9.83124 -1136.37 -9.83124 9.83124 1.48 0.00820024 0.00746465 0.69337 0.62291 50 29302 34 1.53347e+07 9.13187e+06 1.78400e+06 3097.22 28.50 3.77803 3.32315 53074 415989 -1 24032 23 14858 17059 1869577 469952 9.23208 9.23208 -1987.04 -9.23208 0 0 2.13454e+06 3705.80 0.60 0.84 0.33 -1 -1 0.60 0.39261 0.347239 2144 1214 931 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_51.v common 28.49 vpr 86.68 MiB 0.31 17460 -1 -1 1 0.66 -1 -1 41080 -1 -1 291 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88764 22 19 4524 3251 1 2687 346 24 24 576 mult_36 auto 49.9 MiB 5.31 17860 96252 23037 63427 9788 86.7 MiB 2.08 0.04 9.89212 -1219.82 -9.89212 9.89212 1.49 0.0112647 0.010345 0.704374 0.633733 50 30437 43 1.53347e+07 9.2333e+06 1.78400e+06 3097.22 12.36 2.86336 2.52904 53074 415989 -1 24870 23 13651 16114 1898625 469043 8.91645 8.91645 -1976.59 -8.91645 0 0 2.13454e+06 3705.80 0.60 0.68 0.36 -1 -1 0.60 0.341573 0.304629 2194 1245 950 19 0 0 -k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_52.v common 51.59 vpr 86.66 MiB 0.31 17436 -1 -1 1 0.76 -1 -1 39432 -1 -1 295 22 0 14 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88740 22 19 4598 3308 1 2721 350 24 24 576 mult_36 auto 49.9 MiB 5.50 17189 98990 22861 62622 13507 86.7 MiB 1.92 0.02 9.76536 -1203.1 -9.76536 9.76536 1.43 0.00744143 0.00671723 0.647346 0.580014 50 31153 48 1.53347e+07 9.28401e+06 1.78400e+06 3097.22 34.97 4.03022 3.53737 53074 415989 -1 24769 26 16106 18580 2257536 556719 9.168 9.168 -1995.91 -9.168 0 0 2.13454e+06 3705.80 0.58 0.93 0.34 -1 -1 0.58 0.431785 0.383795 2232 1264 969 19 0 0 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_14.v common 13.36 vpr 70.26 MiB 0.09 10424 -1 -1 8 0.47 -1 -1 34572 -1 -1 167 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71948 22 19 1764 1664 1 932 212 18 18 324 clb auto 30.6 MiB 0.57 6740 30500 6217 22418 1865 70.3 MiB 0.43 0.01 4.21916 -1288.01 -4.21916 4.21916 0.36 0.00374118 0.00329708 0.188999 0.16887 -1 -1 -1 -1 40 14174 43 7.67456e+06 3.59718e+06 763742. 2357.23 9.07 1.67987 1.44791 26964 185112 -1 11731 18 4014 8634 567348 152481 4.33936 4.33936 -1383.83 -4.33936 0 0 999570. 3085.09 0.04 0.27 0.15 -1 -1 0.04 0.14802 0.130737 966 966 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_15.v common 14.61 vpr 71.25 MiB 0.11 10856 -1 -1 8 0.49 -1 -1 36088 -1 -1 186 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72956 22 19 1918 1801 1 1026 232 18 18 324 clb auto 31.4 MiB 0.63 7586 36544 7958 26228 2358 71.2 MiB 0.50 0.01 4.33936 -1441.23 -4.33936 4.33936 0.36 0.00389875 0.00350129 0.215184 0.191982 -1 -1 -1 -1 42 15508 30 7.67456e+06 4.22223e+06 803746. 2480.70 10.12 1.74818 1.50845 27288 192880 -1 12523 14 3881 8420 578241 154341 4.45956 4.45956 -1455.93 -4.45956 0 0 1.02660e+06 3168.53 0.04 0.26 0.15 -1 -1 0.04 0.134874 0.119988 1047 1047 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_16.v common 21.12 vpr 71.70 MiB 0.12 10868 -1 -1 8 0.54 -1 -1 37480 -1 -1 193 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73424 22 19 1976 1859 1 1057 239 19 19 361 clb auto 32.0 MiB 0.65 8027 40253 9121 28601 2531 71.7 MiB 0.55 0.01 4.21916 -1480.85 -4.21916 4.21916 0.40 0.00419729 0.00377084 0.240696 0.214465 -1 -1 -1 -1 44 16097 45 8.02416e+06 4.30662e+06 956673. 2650.06 16.25 1.96395 1.69701 30998 230821 -1 13161 15 4166 9538 668986 173424 4.33936 4.33936 -1586.42 -4.33936 0 0 1.20750e+06 3344.89 0.05 0.29 0.19 -1 -1 0.05 0.148691 0.132133 1086 1086 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_17.v common 16.06 vpr 73.32 MiB 0.13 11752 -1 -1 8 0.60 -1 -1 36720 -1 -1 211 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75084 22 19 2278 2144 1 1164 257 19 19 361 clb auto 33.3 MiB 0.70 8205 40241 8535 29812 1894 73.3 MiB 0.56 0.01 4.31106 -1669.97 -4.31106 4.31106 0.40 0.0045999 0.00411 0.242093 0.214767 -1 -1 -1 -1 44 16175 26 8.02416e+06 4.5236e+06 956673. 2650.06 10.87 1.92385 1.6591 30998 230821 -1 13573 15 4468 9544 684127 186608 4.33936 4.33936 -1756.74 -4.33936 0 0 1.20750e+06 3344.89 0.05 0.32 0.19 -1 -1 0.05 0.167904 0.149215 1242 1242 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_18.v common 12.55 vpr 73.38 MiB 0.13 11920 -1 -1 8 0.63 -1 -1 37808 -1 -1 217 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75136 22 19 2336 2202 1 1203 263 19 19 361 clb auto 33.2 MiB 0.73 8590 41521 8888 30869 1764 73.4 MiB 0.59 0.01 4.21916 -1730.26 -4.21916 4.21916 0.40 0.00443463 0.00395494 0.243919 0.21621 -1 -1 -1 -1 42 17713 37 8.02416e+06 4.59594e+06 904410. 2505.29 7.20 1.97356 1.70127 30278 217273 -1 14315 17 4968 10964 741652 202132 4.33936 4.33936 -1794.18 -4.33936 0 0 1.15452e+06 3198.10 0.05 0.35 0.17 -1 -1 0.05 0.185566 0.16429 1281 1281 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_19.v common 11.28 vpr 74.44 MiB 0.14 12460 -1 -1 8 0.67 -1 -1 37460 -1 -1 234 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76224 22 19 2488 2337 1 1296 281 20 20 400 clb auto 34.2 MiB 0.79 10108 52721 12182 37607 2932 74.4 MiB 0.72 0.01 4.33936 -1893.53 -4.33936 4.33936 0.44 0.00513677 0.00460665 0.29455 0.260628 -1 -1 -1 -1 42 20702 48 1.09209e+07 5.19687e+06 1.00142e+06 2503.56 5.54 1.53183 1.3355 33938 240731 -1 16690 15 5263 11971 845516 215430 4.57976 4.57976 -1989.21 -4.57976 0 0 1.27864e+06 3196.60 0.05 0.37 0.19 -1 -1 0.05 0.185413 0.164913 1360 1360 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_20.v common 20.34 vpr 74.65 MiB 0.15 12440 -1 -1 8 0.72 -1 -1 37452 -1 -1 242 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76440 22 19 2546 2395 1 1327 289 21 21 441 clb auto 34.3 MiB 0.81 10096 54724 12861 39353 2510 74.6 MiB 0.75 0.01 4.33936 -1934.35 -4.33936 4.33936 0.50 0.00521091 0.00461657 0.314141 0.278361 -1 -1 -1 -1 40 19801 38 1.13066e+07 5.29331e+06 1.05734e+06 2397.60 14.32 2.3028 1.98455 36782 257048 -1 16952 15 5388 12015 794949 205743 4.33936 4.33936 -2010.11 -4.33936 0 0 1.38344e+06 3137.06 0.06 0.35 0.20 -1 -1 0.06 0.181588 0.160765 1399 1399 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_21.v common 21.49 vpr 75.83 MiB 0.16 12960 -1 -1 8 0.81 -1 -1 37748 -1 -1 259 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77648 22 19 2735 2567 1 1427 306 21 21 441 clb auto 35.5 MiB 0.89 11334 57986 13838 41255 2893 75.8 MiB 0.80 0.01 4.33936 -2088.84 -4.33936 4.33936 0.50 0.00559865 0.00498927 0.328431 0.290455 -1 -1 -1 -1 44 21862 35 1.13066e+07 5.49824e+06 1.17690e+06 2668.71 15.02 2.35022 2.02423 38102 284492 -1 18360 15 5588 12605 890728 228205 4.45956 4.45956 -2231.37 -4.45956 0 0 1.48593e+06 3369.47 0.06 0.39 0.23 -1 -1 0.06 0.199816 0.177546 1497 1497 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_22.v common 12.64 vpr 76.13 MiB 0.15 13072 -1 -1 8 0.83 -1 -1 38212 -1 -1 264 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77960 22 19 2793 2625 1 1461 311 21 21 441 clb auto 35.6 MiB 0.90 11185 59279 13602 42498 3179 76.1 MiB 0.80 0.01 4.27872 -2158.69 -4.27872 4.27872 0.49 0.00538299 0.00477142 0.320043 0.282051 -1 -1 -1 -1 46 21437 30 1.13066e+07 5.55852e+06 1.23916e+06 2809.89 6.14 1.92848 1.66152 38542 293332 -1 17348 16 5654 12388 765543 196761 4.33936 4.33936 -2192.76 -4.33936 0 0 1.51956e+06 3445.70 0.06 0.40 0.23 -1 -1 0.06 0.218404 0.194208 1536 1536 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_23.v common 24.40 vpr 76.99 MiB 0.16 13576 -1 -1 8 0.87 -1 -1 38424 -1 -1 279 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78840 22 19 2947 2762 1 1552 327 22 22 484 clb auto 36.4 MiB 0.96 12255 64509 14855 46446 3208 77.0 MiB 0.91 0.02 4.25261 -2257.36 -4.25261 4.25261 0.56 0.00586134 0.00522708 0.367835 0.325283 -1 -1 -1 -1 46 23520 27 1.25085e+07 6.13534e+06 1.37878e+06 2848.72 17.38 2.57851 2.22335 42706 327561 -1 18900 14 5939 13318 822133 211105 4.33936 4.33936 -2294.62 -4.33936 0 0 1.69059e+06 3492.95 0.07 0.40 0.26 -1 -1 0.07 0.211316 0.188469 1617 1617 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_24.v common 27.47 vpr 77.12 MiB 0.17 13744 -1 -1 8 0.92 -1 -1 38740 -1 -1 286 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78972 22 19 3005 2820 1 1580 334 22 22 484 clb auto 36.4 MiB 0.59 12197 69814 16429 49622 3763 77.1 MiB 0.61 0.01 4.39565 -2299.56 -4.39565 4.39565 0.44 0.00315432 0.00282751 0.205453 0.180694 -1 -1 -1 -1 44 23896 48 1.25085e+07 6.21973e+06 1.30964e+06 2705.88 21.51 2.81539 2.41828 42222 317661 -1 20018 14 6355 14283 1038692 270318 4.45956 4.45956 -2489.25 -4.45956 0 0 1.65337e+06 3416.05 0.07 0.44 0.25 -1 -1 0.07 0.215637 0.192196 1656 1656 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_25.v common 33.50 vpr 78.28 MiB 0.10 14148 -1 -1 8 1.00 -1 -1 40376 -1 -1 301 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80160 22 19 3229 3027 1 1681 349 23 23 529 clb auto 37.5 MiB 1.06 12977 75257 18358 53198 3701 78.3 MiB 1.08 0.02 4.20914 -2487.72 -4.20914 4.20914 0.61 0.00633471 0.00564081 0.435315 0.383338 -1 -1 -1 -1 46 25322 26 1.29425e+07 6.40056e+06 1.51613e+06 2866.03 25.87 3.15091 2.706 46482 360645 -1 20392 15 6168 14237 875172 225052 4.33936 4.33936 -2618 -4.33936 0 0 1.85922e+06 3514.59 0.08 0.43 0.28 -1 -1 0.08 0.235902 0.211186 1771 1771 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_26.v common 31.57 vpr 78.65 MiB 0.19 14376 -1 -1 8 1.08 -1 -1 40752 -1 -1 310 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80536 22 19 3287 3085 1 1716 358 23 23 529 clb auto 37.6 MiB 1.10 13044 77828 19200 55176 3452 78.6 MiB 1.09 0.02 4.33936 -2551.51 -4.33936 4.33936 0.61 0.00651575 0.00579722 0.434936 0.383236 -1 -1 -1 -1 44 27048 39 1.29425e+07 6.50905e+06 1.44040e+06 2722.87 23.52 3.42897 2.94547 45954 349717 -1 21335 15 6916 15267 1069160 269631 4.33936 4.33936 -2692.77 -4.33936 0 0 1.81842e+06 3437.46 0.08 0.49 0.28 -1 -1 0.08 0.254051 0.226702 1810 1810 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_27.v common 18.12 vpr 79.66 MiB 0.19 14612 -1 -1 8 1.12 -1 -1 39428 -1 -1 330 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81568 22 19 3453 3234 1 1823 379 23 23 529 clb auto 38.7 MiB 1.14 14599 86752 21584 61399 3769 79.7 MiB 1.16 0.02 4.45956 -2670.93 -4.45956 4.45956 0.60 0.00684282 0.00608914 0.449136 0.396707 -1 -1 -1 -1 46 28470 48 1.29425e+07 7.14615e+06 1.51613e+06 2866.03 9.89 2.7207 2.35428 46482 360645 -1 22546 15 7018 15507 1038610 265551 4.57976 4.57976 -2819.58 -4.57976 0 0 1.85922e+06 3514.59 0.08 0.48 0.28 -1 -1 0.08 0.252504 0.224215 1903 1903 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_28.v common 28.21 vpr 80.19 MiB 0.19 14752 -1 -1 8 1.17 -1 -1 41196 -1 -1 336 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82116 22 19 3511 3292 1 1856 385 23 23 529 clb auto 39.5 MiB 1.14 14910 87185 21503 61843 3839 80.2 MiB 1.19 0.02 4.33936 -2701.49 -4.33936 4.33936 0.61 0.00692876 0.00616987 0.464971 0.409382 -1 -1 -1 -1 48 27004 34 1.29425e+07 7.21848e+06 1.58369e+06 2993.75 19.82 3.34175 2.88035 47010 372221 -1 23296 17 6836 15168 1042631 261517 4.45956 4.45956 -2766.07 -4.45956 0 0 1.91452e+06 3619.14 0.08 0.51 0.29 -1 -1 0.08 0.308742 0.276141 1942 1942 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_29.v common 22.62 vpr 81.62 MiB 0.20 15212 -1 -1 8 1.24 -1 -1 39956 -1 -1 356 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83584 22 19 3709 3473 1 1963 406 25 25 625 clb auto 40.6 MiB 1.26 16484 98036 24525 68501 5010 81.6 MiB 1.31 0.02 4.45956 -2856.95 -4.45956 4.45956 0.72 0.00702966 0.00622544 0.507873 0.448009 -1 -1 -1 -1 44 33338 40 1.55855e+07 7.85558e+06 1.69710e+06 2715.36 13.44 3.37277 2.9142 53972 411929 -1 26505 14 7969 17921 1289080 316654 4.45956 4.45956 -3057.71 -4.45956 0 0 2.14341e+06 3429.45 0.09 0.55 0.32 -1 -1 0.09 0.265298 0.23698 2049 2049 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_30.v common 35.69 vpr 81.97 MiB 0.20 15356 -1 -1 8 1.18 -1 -1 40292 -1 -1 366 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83936 22 19 3767 3531 1 2002 416 25 25 625 clb auto 41.0 MiB 1.27 15301 110608 28871 76280 5457 82.0 MiB 1.46 0.02 4.21916 -2918.61 -4.21916 4.21916 0.72 0.00743411 0.00662564 0.560677 0.493369 -1 -1 -1 -1 48 27474 25 1.55855e+07 7.97613e+06 1.86575e+06 2985.21 26.49 3.50982 3.02029 55220 438506 -1 24059 14 7091 15724 1053819 264999 4.33936 4.33936 -3112.49 -4.33936 0 0 2.25743e+06 3611.89 0.09 0.48 0.34 -1 -1 0.09 0.256372 0.227488 2088 2088 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_31.v common 55.34 vpr 82.80 MiB 0.22 15776 -1 -1 8 1.32 -1 -1 41776 -1 -1 383 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84788 22 19 3928 3675 1 2104 433 25 25 625 clb auto 41.5 MiB 1.32 17932 106838 27155 74483 5200 82.8 MiB 1.45 0.02 4.69996 -3137.62 -4.69996 4.69996 0.72 0.00759899 0.00673428 0.530418 0.46666 -1 -1 -1 -1 46 35023 50 1.55855e+07 8.18106e+06 1.78602e+06 2857.63 45.78 4.40109 3.77231 54596 424829 -1 26939 15 8025 18525 1197917 294426 4.69996 4.69996 -3259.75 -4.69996 0 0 2.19200e+06 3507.21 0.09 0.56 0.33 -1 -1 0.09 0.29211 0.260119 2176 2176 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_32.v common 32.47 vpr 83.24 MiB 0.21 15872 -1 -1 8 1.37 -1 -1 41924 -1 -1 389 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85236 22 19 3986 3733 1 2135 439 25 25 625 clb auto 41.9 MiB 1.36 17579 112128 28924 78706 4498 83.2 MiB 1.51 0.02 4.25261 -3104.41 -4.25261 4.25261 0.72 0.0079 0.00702347 0.560441 0.491665 -1 -1 -1 -1 46 35851 43 1.55855e+07 8.2534e+06 1.78602e+06 2857.63 22.68 3.73294 3.21246 54596 424829 -1 27364 15 8412 20170 1276399 317687 4.33936 4.33936 -3258.69 -4.33936 0 0 2.19200e+06 3507.21 0.09 0.57 0.33 -1 -1 0.09 0.288729 0.256139 2215 2215 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_33.v common 31.66 vpr 88.14 MiB 0.23 16908 -1 -1 8 1.48 -1 -1 40876 -1 -1 412 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90260 22 19 4329 4059 1 2253 463 26 26 676 clb auto 43.2 MiB 1.41 18605 127553 33432 88639 5482 85.0 MiB 1.67 0.02 4.41575 -3324.92 -4.41575 4.41575 0.79 0.00855409 0.0076064 0.633675 0.556521 -1 -1 -1 -1 46 35108 49 1.89118e+07 8.92666e+06 1.97276e+06 2918.29 21.29 4.01739 3.45582 60688 471892 -1 28464 14 8499 18995 1266056 319602 4.45956 4.45956 -3509.51 -4.45956 0 0 2.42032e+06 3580.36 0.10 0.58 0.36 -1 -1 0.10 0.300306 0.266996 2394 2394 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_34.v common 40.29 vpr 85.80 MiB 0.24 17132 -1 -1 8 1.57 -1 -1 42752 -1 -1 419 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87864 22 19 4387 4117 1 2285 470 26 26 676 clb auto 44.1 MiB 1.44 17699 122812 31359 85118 6335 85.6 MiB 1.63 0.02 4.21916 -3405.4 -4.21916 4.21916 0.79 0.00843975 0.0074538 0.609476 0.531759 -1 -1 -1 -1 44 33759 31 1.89118e+07 9.01104e+06 1.87486e+06 2773.46 29.57 4.18552 3.59371 60012 457540 -1 28418 14 8436 19580 1319930 333581 4.33936 4.33936 -3495.72 -4.33936 0 0 2.36678e+06 3501.15 0.10 0.68 0.35 -1 -1 0.10 0.337859 0.302452 2433 2433 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_35.v common 45.17 vpr 89.48 MiB 0.25 17420 -1 -1 8 1.72 -1 -1 41356 -1 -1 434 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91628 22 19 4547 4260 1 2392 485 27 27 729 clb auto 44.5 MiB 1.50 18567 139477 38269 95871 5337 86.2 MiB 1.83 0.02 4.33936 -3542.92 -4.33936 4.33936 0.86 0.0085717 0.00759096 0.68523 0.600193 -1 -1 -1 -1 48 33226 17 1.94302e+07 9.19187e+06 2.23137e+06 3060.86 33.84 3.85775 3.32026 65866 527783 -1 29032 14 8339 18999 1236364 308534 4.57976 4.57976 -3741.49 -4.57976 0 0 2.69830e+06 3701.37 0.12 0.60 0.41 -1 -1 0.12 0.322241 0.287215 2520 2520 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_36.v common 30.58 vpr 90.80 MiB 0.25 17576 -1 -1 8 1.68 -1 -1 42908 -1 -1 440 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92984 22 19 4605 4318 1 2423 491 27 27 729 clb auto 44.5 MiB 1.46 19611 136011 36663 93680 5668 86.2 MiB 1.82 0.03 4.21916 -3618.01 -4.21916 4.21916 0.86 0.00878135 0.00777516 0.67993 0.59399 -1 -1 -1 -1 46 36345 24 1.94302e+07 9.2642e+06 2.13566e+06 2929.58 19.51 3.81272 3.28465 65138 511279 -1 30028 14 9129 20243 1313851 332556 4.33936 4.33936 -3821.84 -4.33936 0 0 2.62021e+06 3594.25 0.11 0.62 0.39 -1 -1 0.11 0.321494 0.285737 2559 2559 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_37.v common 36.99 vpr 90.62 MiB 0.26 18108 -1 -1 8 1.75 -1 -1 42564 -1 -1 458 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92792 22 19 4802 4498 1 2531 510 27 27 729 clb auto 45.3 MiB 1.57 20987 140994 37702 97709 5583 86.6 MiB 1.91 0.03 4.33936 -3753.78 -4.33936 4.33936 0.87 0.00930128 0.0082298 0.695162 0.607303 -1 -1 -1 -1 48 36966 27 1.94302e+07 9.87719e+06 2.23137e+06 3060.86 25.36 4.57068 3.95019 65866 527783 -1 32505 13 9126 20835 1425587 355913 4.45956 4.45956 -3885.17 -4.45956 0 0 2.69830e+06 3701.37 0.12 0.64 0.41 -1 -1 0.12 0.320834 0.286358 2665 2665 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_38.v common 45.77 vpr 94.74 MiB 0.26 18356 -1 -1 8 1.79 -1 -1 43288 -1 -1 466 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 97016 22 19 4860 4556 1 2568 518 27 27 729 clb auto 45.7 MiB 1.61 20321 146048 38466 101563 6019 87.2 MiB 1.93 0.03 4.33936 -3794.01 -4.33936 4.33936 0.86 0.00923715 0.00819455 0.703984 0.61527 -1 -1 -1 -1 46 38142 45 1.94302e+07 9.97363e+06 2.13566e+06 2929.58 33.79 4.55866 3.92617 65138 511279 -1 31138 14 9325 21131 1347201 337362 4.45956 4.45956 -3814.52 -4.45956 0 0 2.62021e+06 3594.25 0.14 0.73 0.39 -1 -1 0.14 0.378907 0.340369 2704 2704 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_39.v common 30.28 vpr 94.81 MiB 0.26 18524 -1 -1 8 1.97 -1 -1 43868 -1 -1 483 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 97084 22 19 5019 4698 1 2664 535 28 28 784 clb auto 46.4 MiB 1.69 22757 156847 42161 108001 6685 89.1 MiB 2.07 0.03 4.21916 -3960.29 -4.21916 4.21916 0.92 0.00935312 0.0082776 0.747774 0.650996 -1 -1 -1 -1 48 40723 24 2.18512e+07 1.01786e+07 2.37516e+06 3029.55 17.73 3.6076 3.11022 70228 560484 -1 34498 14 9525 21850 1512950 362606 4.45956 4.45956 -4099.62 -4.45956 0 0 2.87318e+06 3664.77 0.13 0.70 0.44 -1 -1 0.13 0.358762 0.320062 2790 2790 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_40.v common 48.94 vpr 94.18 MiB 0.27 18772 -1 -1 8 2.02 -1 -1 44436 -1 -1 487 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 96436 22 19 5077 4756 1 2696 539 28 28 784 clb auto 46.9 MiB 1.70 20596 160555 43994 109725 6836 89.5 MiB 2.16 0.03 4.25261 -3970.12 -4.25261 4.25261 0.92 0.0108574 0.00971757 0.787125 0.688914 -1 -1 -1 -1 46 38035 20 2.18512e+07 1.02268e+07 2.27280e+06 2898.98 36.12 5.02431 4.32244 69444 542931 -1 32000 17 10077 22620 1413515 356265 4.33936 4.33936 -4152.13 -4.33936 0 0 2.78985e+06 3558.49 0.12 0.74 0.43 -1 -1 0.12 0.410582 0.363836 2829 2829 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_41.v common 62.34 vpr 100.09 MiB 0.28 19240 -1 -1 8 2.12 -1 -1 44200 -1 -1 512 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 102496 22 19 5308 4970 1 2799 565 29 29 841 clb auto 47.8 MiB 1.77 21351 168613 46271 115678 6664 93.2 MiB 2.27 0.03 4.21916 -4134.82 -4.21916 4.21916 1.01 0.0101762 0.00899237 0.82428 0.719402 -1 -1 -1 -1 42 44368 46 2.24058e+07 1.09242e+07 2.20371e+06 2620.35 48.88 5.13312 4.41029 71680 533376 -1 35724 16 11205 25043 1789193 457464 4.33936 4.33936 -4339.13 -4.33936 0 0 2.80981e+06 3341.03 0.13 0.82 0.42 -1 -1 0.13 0.407215 0.361406 2951 2951 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_42.v common 46.40 vpr 102.66 MiB 0.29 19476 -1 -1 8 2.12 -1 -1 44388 -1 -1 518 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 105128 22 19 5366 5028 1 2837 571 29 29 841 clb auto 48.1 MiB 1.81 24223 168699 46270 115465 6964 93.3 MiB 2.23 0.03 4.45956 -4242.86 -4.45956 4.45956 1.03 0.0103719 0.00921069 0.79896 0.697553 -1 -1 -1 -1 50 40785 20 2.24058e+07 1.09965e+07 2.66987e+06 3174.64 32.82 4.53921 3.91645 75880 624220 -1 37208 15 10487 24290 1648761 408388 4.45956 4.45956 -4502.16 -4.45956 0 0 3.19375e+06 3797.57 0.14 0.79 0.49 -1 -1 0.14 0.412987 0.368563 2990 2990 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_43.v common 27.59 vpr 99.71 MiB 0.29 19816 -1 -1 8 2.13 -1 -1 44780 -1 -1 533 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 102108 22 19 5524 5169 1 2929 586 29 29 841 clb auto 48.8 MiB 1.85 24188 179509 49135 123066 7308 94.0 MiB 2.37 0.03 4.57976 -4421.99 -4.57976 4.57976 1.02 0.0107512 0.00947031 0.838762 0.733212 -1 -1 -1 -1 48 43036 26 2.24058e+07 1.11773e+07 2.55865e+06 3042.39 13.78 4.00153 3.45631 75040 604104 -1 37557 16 10554 24406 1655306 404088 4.57976 4.57976 -4535.38 -4.57976 0 0 3.09620e+06 3681.57 0.14 0.81 0.47 -1 -1 0.14 0.424835 0.377726 3075 3075 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_44.v common 65.54 vpr 101.32 MiB 0.29 19952 -1 -1 8 2.25 -1 -1 45292 -1 -1 540 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 103748 22 19 5582 5227 1 2966 593 29 29 841 clb auto 49.5 MiB 1.88 23755 187343 51452 128090 7801 94.7 MiB 2.48 0.03 4.33936 -4498.47 -4.33936 4.33936 1.01 0.0106919 0.00945364 0.863432 0.751367 -1 -1 -1 -1 48 44345 34 2.24058e+07 1.12617e+07 2.55865e+06 3042.39 51.43 5.42701 4.65332 75040 604104 -1 37384 14 11440 26231 1772713 436843 4.33936 4.33936 -4698 -4.33936 0 0 3.09620e+06 3681.57 0.14 0.79 0.47 -1 -1 0.14 0.39128 0.348145 3114 3114 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_45.v common 41.05 vpr 105.22 MiB 0.30 20456 -1 -1 8 2.48 -1 -1 45804 -1 -1 561 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 107748 22 19 5779 5407 1 3070 615 30 30 900 clb auto 50.3 MiB 1.97 26940 196665 55452 134821 6392 99.2 MiB 2.57 0.03 4.33936 -4585.14 -4.33936 4.33936 1.10 0.0108023 0.00954636 0.891538 0.77618 -1 -1 -1 -1 48 49949 48 2.41725e+07 1.19109e+07 2.76360e+06 3070.66 25.97 4.70665 4.04539 80900 653962 -1 41047 16 11815 26400 1849382 451666 4.33936 4.33936 -4795.64 -4.33936 0 0 3.34359e+06 3715.10 0.15 0.89 0.51 -1 -1 0.15 0.459297 0.408773 3220 3220 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_46.v common 58.79 vpr 103.77 MiB 0.31 20660 -1 -1 8 2.47 -1 -1 46092 -1 -1 566 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 106260 22 19 5837 5465 1 3105 620 30 30 900 clb auto 50.4 MiB 1.99 26016 190844 51691 131513 7640 98.8 MiB 2.61 0.04 4.33936 -4618.06 -4.33936 4.33936 1.09 0.0126728 0.010857 0.922962 0.796137 -1 -1 -1 -1 50 43409 19 2.41725e+07 1.19711e+07 2.88405e+06 3204.49 43.75 5.88049 5.07102 81800 675686 -1 40170 15 11399 25662 1747712 433529 4.33936 4.33936 -4940.38 -4.33936 0 0 3.44879e+06 3831.99 0.16 0.84 0.52 -1 -1 0.16 0.433428 0.385422 3259 3259 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_47.v common 47.94 vpr 107.42 MiB 0.31 21068 -1 -1 8 2.60 -1 -1 44644 -1 -1 585 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 109996 22 19 5997 5608 1 3208 639 30 30 900 clb auto 51.1 MiB 2.05 27934 206964 57520 142283 7161 95.7 MiB 2.68 0.04 4.57976 -4883.64 -4.57976 4.57976 1.09 0.0113596 0.0100709 0.921317 0.801663 -1 -1 -1 -1 52 47462 24 2.41725e+07 1.22002e+07 2.95601e+06 3284.46 32.53 5.02774 4.32674 83596 713850 -1 42349 15 11180 25147 1855141 442993 4.57976 4.57976 -5069.06 -4.57976 0 0 3.63302e+06 4036.69 0.16 0.85 0.55 -1 -1 0.16 0.440477 0.391516 3346 3346 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_48.v common 65.78 vpr 109.08 MiB 0.32 21040 -1 -1 8 2.60 -1 -1 46256 -1 -1 593 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 111696 22 19 6055 5666 1 3236 647 31 31 961 clb auto 51.7 MiB 2.10 25502 210422 60222 142936 7264 99.6 MiB 2.83 0.04 4.33936 -4833.96 -4.33936 4.33936 1.18 0.0122074 0.0108892 1.00415 0.878432 -1 -1 -1 -1 48 46529 31 2.47753e+07 1.22966e+07 2.96123e+06 3081.41 50.01 5.96033 5.1326 86084 701164 -1 39834 15 11736 27161 1852092 452531 4.57976 4.57976 -5134.13 -4.57976 0 0 3.58253e+06 3727.92 0.15 0.88 0.53 -1 -1 0.15 0.462352 0.412339 3385 3385 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_49.v common 70.31 vpr 112.02 MiB 0.32 21696 -1 -1 8 2.79 -1 -1 46348 -1 -1 617 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 114712 22 19 6324 5918 1 3347 672 31 31 961 clb auto 52.7 MiB 2.16 28624 238974 69661 161016 8297 106.7 MiB 3.21 0.04 4.39565 -5115.56 -4.39565 4.39565 1.19 0.0136678 0.0121605 1.13844 0.990451 -1 -1 -1 -1 50 53925 34 2.47753e+07 1.29819e+07 3.09083e+06 3216.26 53.73 6.15278 5.26026 87044 724408 -1 44009 15 12604 28579 2122356 488982 4.57976 4.57976 -5498.88 -4.57976 0 0 3.69507e+06 3845.02 0.17 0.90 0.55 -1 -1 0.17 0.445548 0.394414 3527 3527 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_50.v common 67.37 vpr 112.98 MiB 0.33 21828 -1 -1 8 2.78 -1 -1 47288 -1 -1 625 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 115688 22 19 6382 5976 1 3381 680 31 31 961 clb auto 52.8 MiB 2.14 27943 233822 68025 157516 8281 106.7 MiB 3.13 0.04 4.33936 -5026.08 -4.33936 4.33936 1.21 0.013239 0.0117782 1.1059 0.966694 -1 -1 -1 -1 48 49528 24 2.47753e+07 1.30784e+07 2.96123e+06 3081.41 50.83 6.04493 5.19388 86084 701164 -1 42683 15 12027 27703 1847821 456877 4.45956 4.45956 -5328.29 -4.45956 0 0 3.58253e+06 3727.92 0.16 0.90 0.54 -1 -1 0.16 0.465846 0.414127 3566 3566 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_51.v common 48.44 vpr 120.98 MiB 0.35 22256 -1 -1 8 2.79 -1 -1 47704 -1 -1 640 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 123880 22 19 6542 6119 1 3481 695 32 32 1024 clb auto 53.5 MiB 2.23 31404 246855 74724 164650 7481 111.0 MiB 3.37 0.04 4.57976 -5260.3 -4.57976 4.57976 1.27 0.0134612 0.0119982 1.15909 1.01149 -1 -1 -1 -1 52 54432 29 3.00046e+07 1.32592e+07 3.37739e+06 3298.23 31.19 5.24033 4.50766 95964 816565 -1 47742 13 12709 28818 2065874 504664 4.69996 4.69996 -5653.89 -4.69996 0 0 4.15160e+06 4054.30 0.19 0.91 0.63 -1 -1 0.19 0.436526 0.388531 3653 3653 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_pipe_52.v common 84.47 vpr 118.18 MiB 0.38 22348 -1 -1 8 2.99 -1 -1 47300 -1 -1 646 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 121012 22 19 6600 6177 1 3516 701 32 32 1024 clb auto 54.0 MiB 2.25 28670 252773 74309 169713 8751 111.3 MiB 3.47 0.04 4.49301 -5297.83 -4.49301 4.49301 1.27 0.0139262 0.0124467 1.19941 1.0457 -1 -1 -1 -1 48 51786 44 3.00046e+07 1.33315e+07 3.15722e+06 3083.23 66.78 7.45152 6.3921 92896 748174 -1 44132 14 12610 29612 1930272 478078 4.45956 4.45956 -5598.89 -4.45956 0 0 3.82033e+06 3730.79 0.18 0.91 0.58 -1 -1 0.18 0.463107 0.411713 3692 3692 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_14.v common 10.85 vpr 68.50 MiB 0.10 9364 -1 -1 10 0.49 -1 -1 35168 -1 -1 129 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70148 22 19 1149 1049 1 759 174 16 16 256 mult_36 auto 28.0 MiB 0.39 5184 23939 4710 17099 2130 68.5 MiB 0.33 0.01 13.5198 -443.456 -13.5198 13.5198 0.27 0.00283495 0.00259087 0.151517 0.138209 -1 -1 -1 -1 44 10499 25 6.2557e+06 3.1391e+06 649498. 2537.10 7.25 1.29819 1.13615 21776 155202 -1 8855 19 3423 7321 507268 129791 12.2767 12.2767 -526.803 -12.2767 0 0 820238. 3204.05 0.03 0.23 0.13 -1 -1 0.03 0.118051 0.105233 715 715 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_15.v common 15.90 vpr 67.96 MiB 0.10 9516 -1 -1 11 0.50 -1 -1 36212 -1 -1 145 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69592 22 19 1261 1144 1 840 191 17 17 289 clb auto 28.8 MiB 0.46 6219 23798 4549 17312 1937 68.0 MiB 0.35 0.01 14.5097 -484.513 -14.5097 14.5097 0.31 0.00321557 0.0029312 0.152834 0.139451 -1 -1 -1 -1 44 13321 49 6.55708e+06 3.72798e+06 742403. 2568.87 11.94 1.59051 1.39478 24478 177802 -1 10810 21 4405 10129 702894 179553 13.3372 13.3372 -600.848 -13.3372 0 0 937218. 3242.97 0.04 0.29 0.14 -1 -1 0.04 0.140374 0.125328 790 790 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_16.v common 11.75 vpr 68.73 MiB 0.10 9716 -1 -1 11 0.53 -1 -1 35696 -1 -1 154 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70380 22 19 1336 1219 1 885 200 17 17 289 clb auto 29.0 MiB 0.45 6603 23560 4241 17573 1746 68.7 MiB 0.34 0.01 14.5698 -544.326 -14.5698 14.5698 0.31 0.00325205 0.00297586 0.147562 0.134408 -1 -1 -1 -1 46 13564 31 6.55708e+06 3.83647e+06 782063. 2706.10 7.78 1.33913 1.1722 24766 183262 -1 10892 19 4438 9973 695729 172982 13.4451 13.4451 -578.184 -13.4451 0 0 958460. 3316.47 0.04 0.28 0.15 -1 -1 0.04 0.133428 0.11902 846 846 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_17.v common 12.83 vpr 69.29 MiB 0.12 9988 -1 -1 11 0.59 -1 -1 36108 -1 -1 164 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70948 22 19 1446 1312 1 971 210 17 17 289 clb auto 30.0 MiB 0.53 7092 29538 5588 21663 2287 69.3 MiB 0.43 0.01 15.0554 -547.425 -15.0554 15.0554 0.31 0.00355977 0.00325389 0.187111 0.170505 -1 -1 -1 -1 50 12665 36 6.55708e+06 3.95702e+06 851065. 2944.86 8.53 1.55967 1.37095 25342 195625 -1 11627 18 4639 10612 750827 191271 13.8572 13.8572 -642.522 -13.8572 0 0 1.01866e+06 3524.77 0.04 0.29 0.15 -1 -1 0.04 0.13801 0.123252 919 919 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_18.v common 7.35 vpr 69.65 MiB 0.12 10224 -1 -1 11 0.62 -1 -1 36028 -1 -1 172 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71320 22 19 1507 1373 1 991 218 18 18 324 clb auto 30.4 MiB 0.53 7362 30348 5713 22491 2144 69.6 MiB 0.43 0.01 14.8725 -555.907 -14.8725 14.8725 0.36 0.00359591 0.00327891 0.185193 0.16836 -1 -1 -1 -1 54 13406 22 7.67456e+06 4.05346e+06 1.02660e+06 3168.53 2.82 0.944802 0.832068 29872 244976 -1 12074 19 4649 10391 624229 159812 13.4966 13.4966 -696.578 -13.4966 0 0 1.26286e+06 3897.71 0.05 0.29 0.19 -1 -1 0.05 0.150286 0.133957 961 961 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_19.v common 12.51 vpr 70.14 MiB 0.12 10404 -1 -1 11 0.66 -1 -1 36104 -1 -1 183 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71828 22 19 1596 1445 1 1066 230 18 18 324 clb auto 30.6 MiB 0.40 7944 35430 6947 26296 2187 70.1 MiB 0.50 0.01 14.6495 -598.387 -14.6495 14.6495 0.37 0.00383448 0.00349651 0.211818 0.192701 -1 -1 -1 -1 50 15357 29 7.67456e+06 4.58206e+06 975281. 3010.13 7.92 1.53551 1.34708 28904 225404 -1 13484 19 5709 13052 865321 218206 13.4574 13.4574 -822.102 -13.4574 0 0 1.16663e+06 3600.72 0.05 0.34 0.18 -1 -1 0.05 0.160792 0.143817 1013 1013 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_20.v common 11.68 vpr 70.57 MiB 0.13 10568 -1 -1 11 0.70 -1 -1 36904 -1 -1 190 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72264 22 19 1656 1505 1 1102 237 18 18 324 clb auto 31.1 MiB 0.58 7986 33955 6076 25343 2536 70.6 MiB 0.49 0.01 14.9037 -626.76 -14.9037 14.9037 0.36 0.00412229 0.00376086 0.208869 0.189793 -1 -1 -1 -1 46 16667 42 7.67456e+06 4.66645e+06 895831. 2764.91 6.80 1.61992 1.42186 28256 211188 -1 13153 19 5645 12696 801503 203353 13.4156 13.4156 -730.675 -13.4156 0 0 1.09776e+06 3388.15 0.04 0.38 0.17 -1 -1 0.04 0.181548 0.16327 1054 1054 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_21.v common 22.07 vpr 71.12 MiB 0.14 10904 -1 -1 12 0.76 -1 -1 36960 -1 -1 197 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72828 22 19 1754 1586 1 1150 244 19 19 361 clb auto 31.5 MiB 0.60 8787 40630 8316 29823 2491 71.1 MiB 0.57 0.01 16.2426 -659.918 -16.2426 16.2426 0.40 0.00424205 0.00386593 0.242816 0.220232 -1 -1 -1 -1 50 16834 29 8.02416e+06 4.75084e+06 1.09718e+06 3039.29 16.77 2.04099 1.78661 32078 253969 -1 14615 21 6004 13470 982799 239482 15.0302 15.0302 -832.049 -15.0302 0 0 1.31179e+06 3633.76 0.05 0.42 0.20 -1 -1 0.05 0.199445 0.177593 1115 1115 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_22.v common 8.29 vpr 71.70 MiB 0.14 10988 -1 -1 11 0.78 -1 -1 37860 -1 -1 213 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73420 22 19 1827 1659 1 1219 260 19 19 361 clb auto 31.9 MiB 0.66 9172 41710 8130 31332 2248 71.7 MiB 0.59 0.01 15.2219 -633.939 -15.2219 15.2219 0.41 0.00439011 0.00397866 0.242965 0.219986 -1 -1 -1 -1 60 15143 20 8.02416e+06 4.94372e+06 1.23460e+06 3419.94 2.92 0.908899 0.807274 34598 305437 -1 14516 18 5409 11975 834866 208436 13.8104 13.8104 -756.596 -13.8104 0 0 1.54069e+06 4267.84 0.06 0.36 0.25 -1 -1 0.06 0.179894 0.161025 1169 1169 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_23.v common 14.73 vpr 72.00 MiB 0.15 11308 -1 -1 12 0.84 -1 -1 38084 -1 -1 213 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73728 22 19 1905 1720 1 1248 261 19 19 361 clb auto 32.3 MiB 0.63 8960 42744 8802 31550 2392 72.0 MiB 0.62 0.01 15.9032 -667.221 -15.9032 15.9032 0.40 0.00458667 0.00417928 0.258876 0.234743 -1 -1 -1 -1 50 17010 45 8.02416e+06 5.33972e+06 1.09718e+06 3039.29 9.15 2.24803 1.97444 32078 253969 -1 15376 21 6254 14245 986360 252246 14.3656 14.3656 -858.624 -14.3656 0 0 1.31179e+06 3633.76 0.05 0.40 0.20 -1 -1 0.05 0.199936 0.177903 1210 1210 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_24.v common 21.52 vpr 72.51 MiB 0.15 11488 -1 -1 12 0.87 -1 -1 36700 -1 -1 228 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74252 22 19 1979 1794 1 1293 276 20 20 400 clb auto 32.6 MiB 0.63 9851 49666 10490 35982 3194 72.5 MiB 0.66 0.01 15.9931 -722.136 -15.9931 15.9931 0.44 0.00455259 0.0041331 0.2684 0.242726 -1 -1 -1 -1 48 19428 35 1.09209e+07 5.52054e+06 1.16517e+06 2912.92 15.74 2.30277 2.01671 35534 272113 -1 16535 19 6587 14580 1158432 278376 14.6355 14.6355 -1052.8 -14.6355 0 0 1.40818e+06 3520.44 0.06 0.43 0.22 -1 -1 0.06 0.19383 0.172478 1265 1265 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_25.v common 22.98 vpr 73.50 MiB 0.16 11800 -1 -1 12 0.99 -1 -1 37412 -1 -1 234 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75268 22 19 2073 1871 1 1347 282 20 20 400 clb auto 33.5 MiB 0.69 9944 47406 9743 34850 2813 73.5 MiB 0.68 0.01 15.406 -716.066 -15.406 15.406 0.45 0.00489409 0.0044494 0.285909 0.258499 -1 -1 -1 -1 48 19552 30 1.09209e+07 5.59287e+06 1.16517e+06 2912.92 17.02 2.51968 2.20753 35534 272113 -1 16440 19 6475 14715 990234 250144 14.228 14.228 -939.467 -14.228 0 0 1.40818e+06 3520.44 0.06 0.43 0.23 -1 -1 0.06 0.208283 0.186049 1322 1322 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_26.v common 26.36 vpr 73.78 MiB 0.16 11880 -1 -1 12 1.00 -1 -1 37332 -1 -1 242 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75548 22 19 2130 1928 1 1392 290 21 21 441 clb auto 33.5 MiB 0.69 10254 53994 12076 38972 2946 73.8 MiB 0.75 0.01 15.7321 -783.113 -15.7321 15.7321 0.50 0.00511383 0.00465509 0.311743 0.281698 -1 -1 -1 -1 50 19292 28 1.13066e+07 5.68931e+06 1.34972e+06 3060.59 20.08 2.63478 2.30762 39422 313017 -1 17452 18 6860 15116 1175921 288042 14.3559 14.3559 -1151.64 -14.3559 0 0 1.61476e+06 3661.58 0.06 0.44 0.25 -1 -1 0.06 0.201601 0.180429 1360 1360 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_27.v common 27.67 vpr 74.21 MiB 0.16 12124 -1 -1 12 1.08 -1 -1 37984 -1 -1 253 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75988 22 19 2238 2019 1 1475 302 21 21 441 clb auto 34.0 MiB 0.74 11539 56974 12397 40785 3792 74.2 MiB 0.79 0.01 15.5718 -791.392 -15.5718 15.5718 0.49 0.00538982 0.0049098 0.327092 0.295182 -1 -1 -1 -1 50 22675 50 1.13066e+07 6.21792e+06 1.34972e+06 3060.59 20.99 2.90129 2.54156 39422 313017 -1 19556 23 7583 16874 1395541 346330 14.388 14.388 -1085.88 -14.388 0 0 1.61476e+06 3661.58 0.06 0.56 0.25 -1 -1 0.06 0.261033 0.233262 1431 1431 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_28.v common 27.10 vpr 74.67 MiB 0.17 12260 -1 -1 12 1.07 -1 -1 38188 -1 -1 263 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76460 22 19 2299 2080 1 1517 312 21 21 441 clb auto 34.4 MiB 0.74 11554 57390 12221 41823 3346 74.7 MiB 0.79 0.01 15.6116 -814.243 -15.6116 15.6116 0.49 0.00550355 0.00500694 0.31893 0.287574 -1 -1 -1 -1 54 21463 26 1.13066e+07 6.33846e+06 1.42068e+06 3221.50 20.42 2.67319 2.3308 40742 340203 -1 18658 20 7199 16141 1035858 262100 14.4638 14.4638 -1054.84 -14.4638 0 0 1.74754e+06 3962.68 0.07 0.49 0.27 -1 -1 0.07 0.239934 0.214795 1473 1473 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_29.v common 35.98 vpr 75.44 MiB 0.18 12608 -1 -1 12 1.22 -1 -1 38584 -1 -1 271 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77252 22 19 2400 2164 1 1581 321 22 22 484 clb mult_36 auto 35.3 MiB 0.80 12292 59613 12832 43632 3149 75.4 MiB 0.84 0.02 15.5542 -823.083 -15.5542 15.5542 0.55 0.00593327 0.00538213 0.338802 0.305599 -1 -1 -1 -1 50 22947 31 1.25085e+07 6.8309e+06 1.50222e+06 3103.76 28.78 2.97935 2.61001 43674 349515 -1 20348 21 7679 17175 1332842 323611 14.5448 14.5448 -1119.35 -14.5448 0 0 1.79645e+06 3711.66 0.07 0.54 0.27 -1 -1 0.07 0.257648 0.230294 1537 1537 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_30.v common 17.45 vpr 75.42 MiB 0.18 12728 -1 -1 12 1.19 -1 -1 38256 -1 -1 280 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77228 22 19 2474 2238 1 1628 330 22 22 484 clb mult_36 auto 35.3 MiB 0.78 12129 58419 11620 43884 2915 75.4 MiB 0.82 0.01 15.2784 -838.397 -15.2784 15.2784 0.57 0.00588178 0.00536315 0.337443 0.304255 -1 -1 -1 -1 48 23880 35 1.25085e+07 6.9394e+06 1.44011e+06 2975.42 10.31 2.55632 2.24049 43190 338049 -1 20224 19 7879 17481 1178345 297794 14.5056 14.5056 -1265.64 -14.5056 0 0 1.74100e+06 3597.11 0.07 0.50 0.27 -1 -1 0.07 0.241258 0.215474 1592 1592 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_31.v common 12.00 vpr 76.37 MiB 0.19 13084 -1 -1 12 1.27 -1 -1 39776 -1 -1 297 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78200 22 19 2603 2350 1 1717 347 22 22 484 clb mult_36 auto 36.2 MiB 0.87 13045 72209 16307 51165 4737 76.4 MiB 0.97 0.02 16.6732 -892.794 -16.6732 16.6732 0.55 0.00581926 0.00527869 0.392991 0.354185 -1 -1 -1 -1 58 22587 21 1.25085e+07 7.14434e+06 1.65337e+06 3416.05 4.31 1.56206 1.37927 46570 411141 -1 20552 19 7348 16564 1137419 285381 14.9788 14.9788 -1114.06 -14.9788 0 0 2.07026e+06 4277.39 0.08 0.60 0.32 -1 -1 0.08 0.282008 0.254161 1684 1684 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_32.v common 21.12 vpr 76.71 MiB 0.19 13076 -1 -1 12 1.31 -1 -1 38460 -1 -1 309 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78548 22 19 2694 2441 1 1768 359 23 23 529 clb auto 36.5 MiB 0.85 13720 78134 18402 55821 3911 76.7 MiB 1.07 0.02 15.7984 -913.168 -15.7984 15.7984 0.61 0.00625891 0.00567027 0.420375 0.376791 -1 -1 -1 -1 52 25695 34 1.29425e+07 7.289e+06 1.69338e+06 3201.10 13.26 2.73644 2.39461 48594 406655 -1 22293 18 8247 18375 1261307 312465 14.5127 14.5127 -1095.08 -14.5127 0 0 2.08190e+06 3935.53 0.08 0.52 0.32 -1 -1 0.08 0.249964 0.223447 1756 1756 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_33.v common 35.69 vpr 77.30 MiB 0.21 13592 -1 -1 13 1.41 -1 -1 39876 -1 -1 320 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79152 22 19 2787 2517 1 1848 371 23 23 529 clb auto 37.0 MiB 0.94 14027 77627 17507 55506 4614 77.3 MiB 1.08 0.02 16.4801 -939.172 -16.4801 16.4801 0.61 0.00629639 0.00563518 0.418789 0.375715 -1 -1 -1 -1 50 26599 48 1.29425e+07 7.8176e+06 1.65241e+06 3123.66 27.52 3.69897 3.23543 47538 384799 -1 23425 19 9220 20740 1437179 357360 15.0359 15.0359 -1077.28 -15.0359 0 0 1.97533e+06 3734.07 0.08 0.60 0.30 -1 -1 0.08 0.277324 0.248385 1812 1812 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_34.v common 14.53 vpr 77.58 MiB 0.21 13788 -1 -1 13 1.40 -1 -1 38888 -1 -1 326 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79444 22 19 2834 2564 1 1856 377 23 23 529 clb auto 37.1 MiB 0.92 13843 82037 19244 58396 4397 77.6 MiB 1.10 0.02 15.9999 -940.63 -15.9999 15.9999 0.61 0.00643057 0.00582806 0.434547 0.389638 -1 -1 -1 -1 54 25448 40 1.29425e+07 7.88993e+06 1.73850e+06 3286.39 6.84 2.4326 2.1395 49122 418213 -1 21636 18 8526 18282 1108527 285871 14.604 14.604 -1062.54 -14.604 0 0 2.13727e+06 4040.20 0.08 0.37 0.25 -1 -1 0.08 0.168492 0.154364 1840 1840 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_35.v common 42.07 vpr 78.38 MiB 0.21 14044 -1 -1 13 1.44 -1 -1 40412 -1 -1 337 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80260 22 19 2941 2654 1 1944 388 24 24 576 clb auto 38.1 MiB 0.96 15206 86642 20312 61966 4364 78.4 MiB 1.16 0.02 16.7897 -980.994 -16.7897 16.7897 0.65 0.00681223 0.00619476 0.449166 0.403069 -1 -1 -1 -1 54 28507 44 1.51154e+07 8.02254e+06 1.87785e+06 3260.16 33.42 3.7948 3.31124 53390 450987 -1 23909 22 9307 20271 1350408 338395 15.3868 15.3868 -1203.78 -15.3868 0 0 2.31032e+06 4010.97 0.09 0.63 0.35 -1 -1 0.09 0.320665 0.285968 1910 1910 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_36.v common 46.67 vpr 78.77 MiB 0.21 14140 -1 -1 13 1.53 -1 -1 40620 -1 -1 347 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80660 22 19 3011 2724 1 1986 398 24 24 576 clb auto 38.5 MiB 0.99 17312 77937 16234 57250 4453 78.8 MiB 1.06 0.02 16.7418 -993.479 -16.7418 16.7418 0.66 0.00704867 0.00639158 0.414831 0.371471 -1 -1 -1 -1 56 29950 46 1.51154e+07 8.14308e+06 1.92546e+06 3342.82 37.95 4.25008 3.70979 53966 463019 -1 26671 20 9493 21475 1546821 381179 15.5854 15.5854 -1307.95 -15.5854 0 0 2.36234e+06 4101.29 0.09 0.65 0.36 -1 -1 0.09 0.304775 0.272213 1961 1961 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_37.v common 49.96 vpr 79.45 MiB 0.22 14400 -1 -1 13 1.61 -1 -1 39564 -1 -1 362 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81360 22 19 3132 2828 1 2071 414 25 25 625 clb auto 39.1 MiB 0.73 15899 102186 24993 71537 5656 79.5 MiB 1.35 0.02 16.5439 -1085.61 -16.5439 16.5439 0.72 0.00712767 0.00645562 0.516921 0.462217 -1 -1 -1 -1 54 29585 42 1.55855e+07 8.71991e+06 2.04878e+06 3278.05 40.94 4.33259 3.77217 57716 492628 -1 24979 19 9552 21296 1439720 357887 15.4872 15.4872 -1414.56 -15.4872 0 0 2.52006e+06 4032.10 0.10 0.64 0.38 -1 -1 0.10 0.310025 0.277575 2045 2045 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_38.v common 55.23 vpr 79.68 MiB 0.22 14620 -1 -1 13 1.42 -1 -1 41092 -1 -1 361 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81588 22 19 3159 2855 1 2074 413 25 25 625 clb auto 39.1 MiB 0.99 16290 103392 26057 71692 5643 79.7 MiB 1.35 0.02 16.3094 -1080.05 -16.3094 16.3094 0.72 0.00722334 0.00652741 0.517013 0.462537 -1 -1 -1 -1 50 29766 43 1.55855e+07 8.70786e+06 1.94653e+06 3114.45 45.96 4.25346 3.70349 55844 453260 -1 26226 22 10376 23860 1677138 411506 14.8616 14.8616 -1375.91 -14.8616 0 0 2.32897e+06 3726.35 0.10 0.73 0.35 -1 -1 0.10 0.348632 0.309759 2053 2053 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_39.v common 17.26 vpr 80.53 MiB 0.23 14984 -1 -1 13 1.79 -1 -1 39872 -1 -1 372 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82460 22 19 3284 2963 1 2175 424 25 25 625 clb auto 40.0 MiB 1.09 17790 108680 26784 75614 6282 80.5 MiB 1.51 0.02 16.6652 -1116.52 -16.6652 16.6652 0.71 0.00768745 0.00696051 0.569301 0.507201 -1 -1 -1 -1 60 29563 32 1.55855e+07 8.84046e+06 2.19200e+06 3507.21 7.33 2.5973 2.28464 60212 545296 -1 27378 19 9875 22286 1539421 370716 15.2076 15.2076 -1567.64 -15.2076 0 0 2.73590e+06 4377.44 0.11 0.65 0.43 -1 -1 0.11 0.320131 0.286683 2141 2141 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_40.v common 42.40 vpr 80.58 MiB 0.24 14980 -1 -1 13 1.76 -1 -1 40040 -1 -1 383 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82512 22 19 3343 3022 1 2193 435 25 25 625 clb auto 40.1 MiB 1.06 16886 102549 23619 73227 5703 80.6 MiB 1.39 0.02 16.2665 -1136.32 -16.2665 16.2665 0.71 0.007359 0.00665438 0.520196 0.46538 -1 -1 -1 -1 56 29256 24 1.55855e+07 8.97306e+06 2.10056e+06 3360.90 32.52 3.92903 3.42957 58340 505802 -1 27293 20 10294 23236 1616549 412117 14.7563 14.7563 -1504.86 -14.7563 0 0 2.57664e+06 4122.63 0.11 0.72 0.40 -1 -1 0.11 0.34542 0.30923 2181 2181 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_41.v common 51.23 vpr 83.12 MiB 0.24 15400 -1 -1 13 1.85 -1 -1 41936 -1 -1 399 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85116 22 19 3448 3110 1 2286 452 26 26 676 clb auto 40.8 MiB 1.14 17696 116630 28637 82322 5671 81.6 MiB 1.54 0.02 16.2775 -1134.77 -16.2775 16.2775 0.79 0.00792057 0.00717342 0.577841 0.515108 -1 -1 -1 -1 52 33280 47 1.89118e+07 9.56194e+06 2.20423e+06 3260.69 40.85 4.59272 4.00287 63388 531924 -1 28776 22 10821 24717 1673746 422223 15.0874 15.0874 -1691.88 -15.0874 0 0 2.70930e+06 4007.84 0.11 0.75 0.41 -1 -1 0.11 0.370826 0.330554 2249 2249 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_42.v common 48.00 vpr 81.79 MiB 0.22 15428 -1 -1 13 1.98 -1 -1 40656 -1 -1 404 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83748 22 19 3510 3172 1 2307 457 26 26 676 clb auto 41.0 MiB 1.13 17956 113033 26764 81108 5161 81.8 MiB 1.51 0.02 16.2623 -1224.12 -16.2623 16.2623 0.80 0.00809029 0.00731295 0.580575 0.516396 -1 -1 -1 -1 56 30351 22 1.89118e+07 9.62222e+06 2.31971e+06 3431.53 37.37 4.38528 3.8336 64740 561756 -1 28828 19 10459 23960 1653846 417060 14.8078 14.8078 -1754.6 -14.8078 0 0 2.84390e+06 4206.95 0.12 0.72 0.43 -1 -1 0.12 0.342723 0.30621 2292 2292 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_43.v common 63.69 vpr 82.19 MiB 0.25 15808 -1 -1 13 1.97 -1 -1 38616 -1 -1 416 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84164 22 19 3598 3243 1 2388 469 26 26 676 clb auto 41.6 MiB 1.21 19079 115192 27739 82479 4974 82.2 MiB 1.55 0.02 16.0815 -1209.28 -16.0815 16.0815 0.81 0.00838242 0.00745038 0.5775 0.511733 -1 -1 -1 -1 58 33047 30 1.89118e+07 9.76688e+06 2.36678e+06 3501.15 52.81 4.71393 4.10134 66088 592148 -1 29809 20 10334 23290 1667550 400048 14.6727 14.6727 -1566.74 -14.6727 0 0 2.96266e+06 4382.64 0.13 0.77 0.46 -1 -1 0.13 0.375108 0.334559 2343 2343 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_44.v common 69.69 vpr 88.70 MiB 0.25 15852 -1 -1 13 2.11 -1 -1 42552 -1 -1 426 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90824 22 19 3689 3334 1 2438 479 26 26 676 clb auto 41.8 MiB 1.17 20398 122224 30689 85993 5542 82.8 MiB 1.66 0.02 16.9386 -1192.67 -16.9386 16.9386 0.81 0.00866595 0.00785377 0.619455 0.550611 -1 -1 -1 -1 58 35045 43 1.89118e+07 9.88743e+06 2.36678e+06 3501.15 58.54 4.69111 4.09121 66088 592148 -1 31560 19 11197 24812 1922960 450993 15.5977 15.5977 -1618.05 -15.5977 0 0 2.96266e+06 4382.64 0.12 0.76 0.48 -1 -1 0.12 0.354567 0.316842 2415 2415 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_45.v common 53.12 vpr 89.62 MiB 0.26 16228 -1 -1 13 2.23 -1 -1 38872 -1 -1 436 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91772 22 19 3763 3391 1 2503 490 27 27 729 clb auto 42.3 MiB 1.25 19640 124074 30252 88256 5566 83.2 MiB 1.65 0.03 16.0708 -1238.31 -16.0708 16.0708 0.86 0.00876834 0.00791402 0.613246 0.543505 -1 -1 -1 -1 52 36059 40 1.94302e+07 1.0404e+07 2.38665e+06 3273.86 41.49 4.67825 4.07326 68050 576279 -1 31682 21 12760 28350 1982836 489924 15.205 15.205 -1605.19 -15.205 0 0 2.93284e+06 4023.09 0.13 0.87 0.45 -1 -1 0.13 0.404505 0.35993 2452 2452 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_46.v common 33.36 vpr 90.39 MiB 0.27 16208 -1 -1 13 2.21 -1 -1 42516 -1 -1 446 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92564 22 19 3845 3473 1 2558 500 27 27 729 clb auto 42.7 MiB 1.27 19363 133361 33647 93130 6584 83.9 MiB 1.72 0.02 16.4955 -1268.8 -16.4955 16.4955 0.86 0.00833033 0.00752982 0.628401 0.55885 -1 -1 -1 -1 52 35777 42 1.94302e+07 1.05245e+07 2.38665e+06 3273.86 21.61 4.09499 3.57278 68050 576279 -1 31694 28 12162 27597 2080683 547712 15.3084 15.3084 -1862.69 -15.3084 0 0 2.93284e+06 4023.09 0.13 1.00 0.45 -1 -1 0.13 0.504015 0.447794 2515 2515 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_47.v common 67.34 vpr 91.39 MiB 0.28 16668 -1 -1 13 2.30 -1 -1 42608 -1 -1 461 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 93588 22 19 3983 3594 1 2647 515 27 27 729 clb auto 43.6 MiB 1.33 21549 144925 38486 99864 6575 85.1 MiB 1.30 0.02 17.0871 -1329.32 -17.0871 17.0871 0.69 0.00507632 0.00460595 0.394425 0.344458 -1 -1 -1 -1 60 35876 24 1.94302e+07 1.07054e+07 2.62021e+06 3594.25 56.00 4.69146 4.08472 71690 656159 -1 33155 20 11755 26438 1864916 435620 15.2982 15.2982 -1845.71 -15.2982 0 0 3.26774e+06 4482.49 0.14 0.83 0.51 -1 -1 0.14 0.405075 0.361992 2616 2616 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_48.v common 62.26 vpr 94.41 MiB 0.27 16756 -1 -1 13 2.39 -1 -1 38896 -1 -1 466 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 96680 22 19 4025 3636 1 2661 520 27 27 729 clb auto 43.8 MiB 1.32 22205 146820 38292 102215 6313 85.2 MiB 1.93 0.03 16.9879 -1325.91 -16.9879 16.9879 0.87 0.00920688 0.0083221 0.709777 0.627747 -1 -1 -1 -1 54 39276 42 1.94302e+07 1.07656e+07 2.44988e+06 3360.60 49.81 5.43088 4.72301 68778 592759 -1 33920 21 13176 29073 1914653 479898 15.2763 15.2763 -1654.66 -15.2763 0 0 3.01106e+06 4130.40 0.12 1.01 0.48 -1 -1 0.12 0.475869 0.422397 2639 2639 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_49.v common 59.76 vpr 96.09 MiB 0.30 17060 -1 -1 13 2.50 -1 -1 39440 -1 -1 483 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 98392 22 19 4164 3758 1 2771 538 28 28 784 clb auto 44.3 MiB 1.41 21506 158002 40665 109563 7774 86.0 MiB 1.98 0.03 16.9982 -1327.34 -16.9982 16.9982 0.93 0.00959442 0.00869173 0.697155 0.615745 -1 -1 -1 -1 56 38015 48 2.18512e+07 1.13666e+07 2.67381e+06 3410.48 46.89 5.22067 4.55502 74144 646386 -1 35032 21 12696 28185 1981545 493949 15.7302 15.7302 -1872.55 -15.7302 0 0 3.27975e+06 4183.35 0.14 0.91 0.52 -1 -1 0.14 0.443938 0.395125 2741 2741 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_50.v common 67.77 vpr 95.37 MiB 0.31 17192 -1 -1 13 2.48 -1 -1 39336 -1 -1 482 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 97656 22 19 4190 3784 1 2765 537 28 28 784 clb auto 44.6 MiB 1.39 22893 153207 38879 107291 7037 86.3 MiB 2.01 0.03 16.9872 -1431.82 -16.9872 16.9872 0.91 0.0091436 0.0082368 0.725104 0.642337 -1 -1 -1 -1 56 40514 50 2.18512e+07 1.13545e+07 2.67381e+06 3410.48 54.80 5.36099 4.66832 74144 646386 -1 36678 22 13166 28954 2254079 548009 15.7694 15.7694 -1991.14 -15.7694 0 0 3.27975e+06 4183.35 0.14 0.98 0.51 -1 -1 0.14 0.460147 0.41042 2748 2748 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_51.v common 75.23 vpr 99.37 MiB 0.30 17644 -1 -1 13 2.58 -1 -1 43600 -1 -1 503 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 101752 22 19 4305 3882 1 2862 558 29 29 841 clb auto 45.5 MiB 1.42 22691 158982 41824 110259 6899 91.0 MiB 2.07 0.03 16.6868 -1410.23 -16.6868 16.6868 1.00 0.0098307 0.008898 0.737926 0.654456 -1 -1 -1 -1 54 40794 35 2.24058e+07 1.16077e+07 2.80981e+06 3341.03 61.81 5.74602 5.00323 78400 678428 -1 35834 21 13983 32924 2124657 520135 15.259 15.259 -1939.91 -15.259 0 0 3.45506e+06 4108.28 0.15 0.97 0.53 -1 -1 0.15 0.456186 0.407309 2826 2826 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml fir_nopipe_52.v common 64.09 vpr 97.14 MiB 0.31 17592 -1 -1 13 2.71 -1 -1 39888 -1 -1 507 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 99468 22 19 4363 3940 1 2893 562 29 29 841 clb auto 45.6 MiB 1.45 22976 167458 43444 116381 7633 91.9 MiB 2.31 0.03 16.4958 -1442.94 -16.4958 16.4958 1.04 0.00960314 0.0086438 0.855658 0.754848 -1 -1 -1 -1 54 40961 43 2.24058e+07 1.16559e+07 2.80981e+06 3341.03 50.19 5.82002 5.06529 78400 678428 -1 35961 22 13951 32429 2044557 514025 15.3547 15.3547 -2011.46 -15.3547 0 0 3.45506e+06 4108.28 0.15 0.97 0.53 -1 -1 0.15 0.481174 0.429285 2865 2865 -1 -1 -1 -1 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_14.v common 11.88 vpr 72.16 MiB 0.10 10440 -1 -1 1 0.22 -1 -1 35568 -1 -1 168 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73896 22 19 1974 1653 1 1034 213 18 18 324 clb auto 32.6 MiB 0.58 6188 37678 8676 25798 3204 72.2 MiB 0.54 0.01 4.05473 -1235.93 -4.05473 4.05473 0.36 0.00341158 0.00306165 0.218568 0.196151 -1 -1 -1 -1 36 11492 25 7.77114e+06 3.69374e+06 701445. 2164.95 7.81 1.48204 1.28386 26764 167360 -1 9378 16 3401 4340 398100 116782 4.24116 4.24116 -1246.86 -4.24116 0 0 895831. 2764.91 0.04 0.21 0.13 -1 -1 0.04 0.125578 0.111225 955 708 247 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_15.v common 13.73 vpr 73.01 MiB 0.12 10840 -1 -1 1 0.24 -1 -1 36916 -1 -1 182 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74760 22 19 2144 1789 1 1129 228 18 18 324 clb auto 33.5 MiB 0.69 6588 42684 9976 30008 2700 73.0 MiB 0.63 0.01 4.09507 -1328.88 -4.09507 4.09507 0.36 0.00389588 0.00351125 0.255877 0.229701 -1 -1 -1 -1 36 12629 41 7.77114e+06 4.26556e+06 701445. 2164.95 9.24 1.87763 1.62899 26764 167360 -1 10264 15 3768 4869 444260 135386 4.36136 4.36136 -1343.62 -4.36136 0 0 895831. 2764.91 0.04 0.23 0.13 -1 -1 0.04 0.13044 0.115743 1035 769 266 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_16.v common 9.43 vpr 73.37 MiB 0.12 11072 -1 -1 1 0.25 -1 -1 36900 -1 -1 190 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75132 22 19 2218 1846 1 1177 236 18 18 324 clb auto 33.8 MiB 0.71 7913 46892 11516 31815 3561 73.4 MiB 0.69 0.01 4.18011 -1404.98 -4.18011 4.18011 0.36 0.00404833 0.00366346 0.26993 0.242451 -1 -1 -1 -1 38 13259 23 7.77114e+06 4.36602e+06 731621. 2258.09 4.80 1.39615 1.21719 27408 179348 -1 11039 16 3645 4582 381547 110694 4.36136 4.36136 -1412.67 -4.36136 0 0 975281. 3010.13 0.04 0.23 0.14 -1 -1 0.04 0.140768 0.124556 1073 788 285 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_17.v common 14.79 vpr 75.41 MiB 0.13 11688 -1 -1 1 0.27 -1 -1 36632 -1 -1 210 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77224 22 19 2536 2130 1 1284 256 19 19 361 clb auto 35.5 MiB 0.73 7943 48976 10568 34606 3802 75.4 MiB 0.71 0.01 4.19769 -1575.78 -4.19769 4.19769 0.40 0.00421759 0.00376835 0.28038 0.250024 -1 -1 -1 -1 38 14826 29 8.13532e+06 4.61718e+06 822740. 2279.06 9.82 1.90607 1.64658 30442 202059 -1 11445 15 3911 5068 425584 124345 4.24116 4.24116 -1631.53 -4.24116 0 0 1.09718e+06 3039.29 0.04 0.25 0.16 -1 -1 0.04 0.152868 0.135649 1228 924 304 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_18.v common 11.05 vpr 75.65 MiB 0.13 12000 -1 -1 1 0.28 -1 -1 37152 -1 -1 220 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77468 22 19 2610 2187 1 1335 266 19 19 361 clb auto 35.9 MiB 0.76 8130 56696 13499 39306 3891 75.7 MiB 0.85 0.01 4.18011 -1672.3 -4.18011 4.18011 0.42 0.0045849 0.00410423 0.322674 0.288993 -1 -1 -1 -1 38 15156 37 8.13532e+06 4.74276e+06 822740. 2279.06 5.80 1.85719 1.6086 30442 202059 -1 11897 16 4127 5325 476054 132663 4.24116 4.24116 -1678.56 -4.24116 0 0 1.09718e+06 3039.29 0.04 0.27 0.16 -1 -1 0.04 0.161499 0.142459 1266 943 323 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_19.v common 17.32 vpr 76.39 MiB 0.14 12296 -1 -1 1 0.30 -1 -1 36816 -1 -1 234 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78228 22 19 2778 2321 1 1436 281 20 20 400 clb auto 36.5 MiB 0.85 10327 61001 13934 43050 4017 76.4 MiB 0.88 0.01 4.36136 -1791.85 -4.36136 4.36136 0.45 0.00449148 0.00401049 0.323174 0.287543 -1 -1 -1 -1 40 17984 31 1.10386e+07 5.31457e+06 951589. 2378.97 11.69 2.03465 1.75677 34474 231801 -1 15361 15 4871 6094 649376 174906 4.36136 4.36136 -1852.48 -4.36136 0 0 1.24507e+06 3112.66 0.05 0.31 0.19 -1 -1 0.05 0.167924 0.149134 1344 1002 342 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_20.v common 23.58 vpr 76.97 MiB 0.15 12324 -1 -1 1 0.32 -1 -1 37128 -1 -1 241 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78820 22 19 2852 2378 1 1479 288 21 21 441 clb auto 36.7 MiB 0.88 10159 66788 16916 46346 3526 77.0 MiB 0.96 0.01 4.24116 -1855.24 -4.24116 4.24116 0.50 0.00487056 0.00435068 0.360784 0.321796 -1 -1 -1 -1 36 20915 50 1.14404e+07 5.40248e+06 970465. 2200.60 17.63 2.59511 2.24062 36526 232183 -1 15978 18 5497 7282 740441 198694 4.60176 4.60176 -1966.62 -4.60176 0 0 1.23916e+06 2809.89 0.05 0.36 0.18 -1 -1 0.05 0.192853 0.170197 1382 1021 361 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_21.v common 15.40 vpr 78.12 MiB 0.16 12876 -1 -1 1 0.34 -1 -1 37928 -1 -1 255 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79992 22 19 3057 2549 1 1574 302 21 21 441 clb auto 37.8 MiB 0.89 10859 69118 16796 46997 5325 78.1 MiB 1.01 0.01 4.4148 -1954.83 -4.4148 4.4148 0.50 0.00522483 0.00467351 0.376316 0.335742 -1 -1 -1 -1 40 17946 27 1.14404e+07 5.57829e+06 1.05734e+06 2397.60 9.31 1.92604 1.66472 37846 257832 -1 15733 13 5036 6634 642741 178060 4.48156 4.48156 -2024.07 -4.48156 0 0 1.38344e+06 3137.06 0.06 0.30 0.20 -1 -1 0.06 0.162097 0.144124 1479 1099 380 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_22.v common 14.98 vpr 78.57 MiB 0.16 13120 -1 -1 1 0.35 -1 -1 37924 -1 -1 263 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80452 22 19 3131 2606 1 1624 310 21 21 441 clb auto 38.3 MiB 0.91 10827 69478 15695 49765 4018 78.6 MiB 1.05 0.02 4.26923 -2006.06 -4.26923 4.26923 0.50 0.00551011 0.00493127 0.379255 0.338005 -1 -1 -1 -1 38 21218 49 1.14404e+07 5.67875e+06 1.01258e+06 2296.09 8.86 1.76558 1.53607 37406 248974 -1 15709 14 5345 6823 635353 176047 4.48156 4.48156 -2026.09 -4.48156 0 0 1.34972e+06 3060.59 0.05 0.31 0.19 -1 -1 0.05 0.171559 0.151689 1517 1118 399 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_23.v common 20.32 vpr 79.75 MiB 0.16 13372 -1 -1 1 0.37 -1 -1 37852 -1 -1 277 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81664 22 19 3301 2742 1 1718 325 22 22 484 clb auto 39.1 MiB 0.98 10827 82983 21551 55949 5483 79.8 MiB 1.18 0.02 4.17493 -2108.2 -4.17493 4.17493 0.55 0.00547076 0.00488574 0.428028 0.380409 -1 -1 -1 -1 40 18197 37 1.26594e+07 6.25057e+06 1.17677e+06 2431.33 13.63 2.42948 2.10313 41974 287914 -1 15732 15 5264 6605 658640 186458 4.36136 4.36136 -2145.64 -4.36136 0 0 1.53957e+06 3180.94 0.06 0.34 0.23 -1 -1 0.06 0.19735 0.17535 1597 1179 418 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_24.v common 21.76 vpr 80.23 MiB 0.16 13576 -1 -1 1 0.39 -1 -1 38128 -1 -1 287 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82156 22 19 3375 2799 1 1765 335 22 22 484 clb auto 40.2 MiB 1.02 11958 87560 22169 58444 6947 80.2 MiB 1.23 0.02 4.24116 -2189.35 -4.24116 4.24116 0.55 0.00570589 0.00509782 0.441853 0.392983 -1 -1 -1 -1 40 19468 22 1.26594e+07 6.37615e+06 1.17677e+06 2431.33 14.90 2.72876 2.35956 41974 287914 -1 17257 16 5419 7144 662548 185719 4.36136 4.36136 -2221.34 -4.36136 0 0 1.53957e+06 3180.94 0.06 0.37 0.23 -1 -1 0.06 0.217455 0.192917 1635 1198 437 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_25.v common 25.68 vpr 81.43 MiB 0.17 14012 -1 -1 1 0.40 -1 -1 38092 -1 -1 300 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83380 22 19 3615 3005 1 1863 348 22 22 484 clb auto 41.0 MiB 1.04 12567 85958 20397 59675 5886 81.4 MiB 1.25 0.02 4.36136 -2357.9 -4.36136 4.36136 0.55 0.00587609 0.00523245 0.443168 0.393568 -1 -1 -1 -1 40 20733 37 1.26594e+07 6.5394e+06 1.17677e+06 2431.33 18.64 2.98312 2.57605 41974 287914 -1 18197 13 5762 7904 732074 200045 4.60176 4.60176 -2466.18 -4.60176 0 0 1.53957e+06 3180.94 0.07 0.36 0.23 -1 -1 0.07 0.198126 0.176552 1749 1293 456 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_26.v common 17.37 vpr 81.86 MiB 0.18 14252 -1 -1 1 0.42 -1 -1 38356 -1 -1 312 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83820 22 19 3689 3062 1 1913 360 23 23 529 clb auto 41.3 MiB 1.04 12943 93800 23731 64657 5412 81.9 MiB 1.35 0.02 4.29513 -2438.14 -4.29513 4.29513 0.65 0.00623601 0.00556807 0.464784 0.412908 -1 -1 -1 -1 40 20969 20 1.31115e+07 6.6901e+06 1.29424e+06 2446.58 10.05 2.22602 1.92777 45714 316989 -1 18591 14 5778 7142 694835 186513 4.48156 4.48156 -2516.77 -4.48156 0 0 1.69338e+06 3201.10 0.07 0.34 0.24 -1 -1 0.07 0.198743 0.175859 1787 1312 475 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_27.v common 18.84 vpr 82.89 MiB 0.19 14372 -1 -1 1 0.43 -1 -1 38380 -1 -1 326 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84884 22 19 3871 3210 1 2018 375 23 23 529 clb auto 42.4 MiB 1.11 14182 105753 26952 71918 6883 82.9 MiB 1.50 0.02 4.36136 -2522.72 -4.36136 4.36136 0.60 0.00615307 0.00547013 0.513782 0.455365 -1 -1 -1 -1 42 25179 41 1.31115e+07 7.26191e+06 1.36301e+06 2576.57 11.10 2.72183 2.3542 46242 329987 -1 20332 16 6587 9148 858070 229705 4.36136 4.36136 -2614.98 -4.36136 0 0 1.73850e+06 3286.39 0.07 0.42 0.28 -1 -1 0.07 0.235108 0.208188 1879 1385 494 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_28.v common 19.92 vpr 83.29 MiB 0.19 14748 -1 -1 1 0.45 -1 -1 38404 -1 -1 335 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85292 22 19 3945 3267 1 2067 384 23 23 529 clb auto 42.8 MiB 1.20 15230 106404 28210 72166 6028 83.3 MiB 1.56 0.02 4.36136 -2650.94 -4.36136 4.36136 0.60 0.0065779 0.00586834 0.541865 0.481775 -1 -1 -1 -1 40 24578 45 1.31115e+07 7.37493e+06 1.29424e+06 2446.58 11.96 2.91543 2.52226 45714 316989 -1 21389 15 6637 8250 829886 221507 4.36136 4.36136 -2628.42 -4.36136 0 0 1.69338e+06 3201.10 0.07 0.42 0.25 -1 -1 0.07 0.233197 0.206978 1917 1404 513 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_29.v common 23.04 vpr 84.20 MiB 0.20 15060 -1 -1 1 0.48 -1 -1 39568 -1 -1 352 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86216 22 19 4159 3447 1 2176 402 24 24 576 clb auto 43.8 MiB 1.21 15307 114593 29560 77389 7644 84.2 MiB 1.65 0.02 4.36136 -2743.35 -4.36136 4.36136 0.65 0.00697926 0.00622593 0.571124 0.506814 -1 -1 -1 -1 40 26937 45 1.52924e+07 7.98442e+06 1.39789e+06 2426.89 14.66 2.97376 2.56942 49622 341768 -1 22191 13 6897 8675 871542 239478 4.60176 4.60176 -2986.88 -4.60176 0 0 1.82869e+06 3174.81 0.08 0.43 0.27 -1 -1 0.08 0.229701 0.204348 2023 1491 532 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_30.v common 35.40 vpr 84.36 MiB 0.20 15328 -1 -1 1 0.50 -1 -1 40544 -1 -1 360 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86384 22 19 4233 3504 1 2223 410 25 25 625 clb auto 43.9 MiB 1.28 15996 117604 29684 80949 6971 84.4 MiB 1.68 0.02 4.31755 -2776.51 -4.31755 4.31755 0.71 0.00718271 0.00627375 0.574674 0.506469 -1 -1 -1 -1 40 26930 29 1.57822e+07 8.08488e+06 1.52540e+06 2440.64 26.77 3.71517 3.20005 53664 373335 -1 22830 14 6916 9274 836697 230026 4.48156 4.48156 -2868.66 -4.48156 0 0 1.99531e+06 3192.49 0.08 0.43 0.29 -1 -1 0.08 0.238089 0.211426 2061 1510 551 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_31.v common 31.06 vpr 85.46 MiB 0.21 15496 -1 -1 1 0.52 -1 -1 40884 -1 -1 376 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87508 22 19 4410 3647 1 2326 426 25 25 625 clb auto 45.0 MiB 1.30 15921 122178 30998 83868 7312 85.5 MiB 1.74 0.02 4.31755 -2877.68 -4.31755 4.31755 0.76 0.00721771 0.00643664 0.585274 0.519058 -1 -1 -1 -1 40 26014 28 1.57822e+07 8.28581e+06 1.52540e+06 2440.64 22.13 3.58858 3.09776 53664 373335 -1 22704 17 6980 9046 867369 244875 4.36136 4.36136 -2967.07 -4.36136 0 0 1.99531e+06 3192.49 0.08 0.48 0.29 -1 -1 0.08 0.27933 0.24706 2148 1578 570 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_32.v common 21.51 vpr 86.03 MiB 0.18 15732 -1 -1 1 0.53 -1 -1 40596 -1 -1 385 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88096 22 19 4484 3704 1 2373 435 25 25 625 clb auto 45.4 MiB 1.34 16990 142077 38381 95334 8362 86.0 MiB 2.12 0.02 4.29513 -3000.3 -4.29513 4.29513 0.72 0.00835871 0.00749104 0.712264 0.631927 -1 -1 -1 -1 42 28347 34 1.57822e+07 8.39883e+06 1.60621e+06 2569.93 12.28 3.00382 2.60473 54288 388592 -1 24069 15 7481 9140 964469 259630 4.48156 4.48156 -3043.6 -4.48156 0 0 2.04878e+06 3278.05 0.09 0.46 0.30 -1 -1 0.09 0.255954 0.226523 2186 1597 589 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_33.v common 34.87 vpr 87.74 MiB 0.23 16636 -1 -1 1 0.58 -1 -1 41584 -1 -1 406 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 89848 22 19 4843 4029 1 2488 457 26 26 676 clb auto 47.0 MiB 1.43 18075 144695 39053 97747 7895 87.7 MiB 2.12 0.03 4.48156 -3236.03 -4.48156 4.48156 0.80 0.00781816 0.00695459 0.699791 0.61759 -1 -1 -1 -1 40 28289 21 1.91291e+07 9.05855e+06 1.68513e+06 2492.79 25.13 3.77779 3.25483 59716 414698 -1 25303 12 7374 9869 928392 247193 4.72196 4.72196 -3625.37 -4.72196 0 0 2.20423e+06 3260.69 0.09 0.43 0.32 -1 -1 0.09 0.234168 0.208031 2364 1756 608 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_34.v common 17.74 vpr 88.35 MiB 0.24 16804 -1 -1 1 0.61 -1 -1 41572 -1 -1 416 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90468 22 19 4917 4086 1 2539 467 26 26 676 clb auto 47.5 MiB 1.45 16717 156213 42837 103477 9899 88.3 MiB 1.42 0.02 4.25833 -3148.76 -4.25833 4.25833 0.80 0.00440306 0.00393894 0.414241 0.364022 -1 -1 -1 -1 40 26105 24 1.91291e+07 9.18413e+06 1.68513e+06 2492.79 8.51 1.78268 1.55247 59716 414698 -1 23846 17 7464 9277 873280 253643 4.36136 4.36136 -3305.27 -4.36136 0 0 2.20423e+06 3260.69 0.09 0.51 0.32 -1 -1 0.09 0.305814 0.269918 2402 1775 627 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_35.v common 39.06 vpr 89.37 MiB 0.24 17176 -1 -1 1 0.63 -1 -1 41816 -1 -1 429 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91516 22 19 5093 4228 1 2642 480 26 26 676 clb auto 48.5 MiB 1.47 18869 156354 42181 105678 8495 89.4 MiB 2.29 0.03 4.24116 -3342.83 -4.24116 4.24116 0.79 0.0084059 0.00747688 0.752589 0.663863 -1 -1 -1 -1 40 31688 42 1.91291e+07 9.34738e+06 1.68513e+06 2492.79 28.84 4.19987 3.61801 59716 414698 -1 26688 13 8100 10722 1025154 278900 4.48156 4.48156 -3463.04 -4.48156 0 0 2.20423e+06 3260.69 0.09 0.47 0.32 -1 -1 0.09 0.259087 0.229541 2488 1842 646 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_36.v common 46.34 vpr 90.54 MiB 0.24 17164 -1 -1 1 0.65 -1 -1 41796 -1 -1 438 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92708 22 19 5167 4285 1 2692 489 27 27 729 clb auto 49.1 MiB 0.96 19463 164114 45223 111303 7588 89.8 MiB 1.40 0.02 4.36136 -3435.56 -4.36136 4.36136 0.67 0.00401139 0.00354438 0.350169 0.304743 -1 -1 -1 -1 42 33978 44 1.9669e+07 9.4604e+06 1.92158e+06 2635.91 37.69 3.88104 3.32848 64854 467545 -1 27607 14 8387 10847 1127455 283081 4.60176 4.60176 -3549.29 -4.60176 0 0 2.44988e+06 3360.60 0.11 0.54 0.36 -1 -1 0.11 0.290427 0.257441 2526 1861 665 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_37.v common 40.93 vpr 95.41 MiB 0.26 17740 -1 -1 1 0.68 -1 -1 40816 -1 -1 455 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 97696 22 19 5380 4464 1 2799 507 27 27 729 clb auto 50.0 MiB 1.57 19375 178267 48675 120289 9303 91.3 MiB 2.55 0.03 4.24116 -3519.74 -4.24116 4.24116 0.88 0.00888055 0.00790449 0.828609 0.732028 -1 -1 -1 -1 42 31855 26 1.9669e+07 1.00699e+07 1.92158e+06 2635.91 29.77 4.19282 3.61427 64854 467545 -1 27223 16 8587 10682 1041649 281947 4.36136 4.36136 -3866.78 -4.36136 0 0 2.44988e+06 3360.60 0.10 0.55 0.36 -1 -1 0.10 0.322986 0.285331 2631 1947 684 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_38.v common 30.24 vpr 91.73 MiB 0.26 17920 -1 -1 1 0.70 -1 -1 42440 -1 -1 464 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 93936 22 19 5454 4521 1 2846 516 27 27 729 clb auto 50.4 MiB 1.61 22665 174228 47654 115847 10727 91.6 MiB 2.52 0.03 4.36136 -3641.63 -4.36136 4.36136 0.88 0.0093763 0.00836473 0.820978 0.72655 -1 -1 -1 -1 44 36347 31 1.9669e+07 1.01829e+07 2.02977e+06 2784.32 18.94 3.55607 3.08639 66310 497105 -1 30270 14 8281 10761 1093716 278557 4.60176 4.60176 -3798.84 -4.60176 0 0 2.56250e+06 3515.09 0.11 0.54 0.38 -1 -1 0.11 0.302926 0.269077 2669 1966 703 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_39.v common 33.35 vpr 98.99 MiB 0.27 18228 -1 -1 1 0.72 -1 -1 40128 -1 -1 479 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 101368 22 19 5629 4662 1 2946 531 28 28 784 clb auto 51.3 MiB 1.67 21906 187494 51017 126799 9678 92.6 MiB 2.71 0.03 4.36136 -3840.6 -4.36136 4.36136 0.93 0.0100725 0.009014 0.878054 0.777874 -1 -1 -1 -1 46 35840 41 2.20997e+07 1.03713e+07 2.27280e+06 2898.98 21.64 4.42566 3.82914 71420 544394 -1 29718 14 8276 10314 1069655 260076 4.48156 4.48156 -4184.13 -4.48156 0 0 2.78985e+06 3558.49 0.12 0.53 0.42 -1 -1 0.12 0.306285 0.271513 2754 2032 722 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_40.v common 66.33 vpr 96.60 MiB 0.26 18400 -1 -1 1 0.72 -1 -1 42336 -1 -1 487 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 98916 22 19 5703 4719 1 2991 539 28 28 784 clb auto 51.2 MiB 1.68 22263 191243 51427 128496 11320 92.8 MiB 2.69 0.03 4.24116 -3876.58 -4.24116 4.24116 0.91 0.009214 0.00819875 0.855126 0.754436 -1 -1 -1 -1 48 36082 37 2.20997e+07 1.04717e+07 2.37516e+06 3029.55 54.49 5.45364 4.69776 72204 561947 -1 30232 16 8563 11085 1206246 293665 4.36136 4.36136 -4044.09 -4.36136 0 0 2.87318e+06 3664.77 0.13 0.60 0.44 -1 -1 0.13 0.343239 0.303653 2792 2051 741 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_41.v common 57.07 vpr 100.98 MiB 0.29 18936 -1 -1 1 0.79 -1 -1 41616 -1 -1 503 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 103400 22 19 5950 4932 1 3094 556 29 29 841 clb auto 52.8 MiB 1.80 22633 190211 51059 128018 11134 95.9 MiB 2.61 0.03 4.29513 -4006.04 -4.29513 4.29513 0.99 0.00955368 0.0084805 0.801193 0.704932 -1 -1 -1 -1 40 38091 39 2.26773e+07 1.10687e+07 2.09257e+06 2488.19 44.78 5.04724 4.34245 73000 514161 -1 32407 17 9777 12870 1297379 334557 4.60176 4.60176 -4307.65 -4.60176 0 0 2.73678e+06 3254.19 0.14 0.66 0.39 -1 -1 0.14 0.370893 0.327288 2913 2153 760 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_42.v common 46.94 vpr 101.73 MiB 0.28 19164 -1 -1 1 0.81 -1 -1 42944 -1 -1 513 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 104176 22 19 6024 4989 1 3148 566 29 29 841 clb auto 53.3 MiB 1.80 22307 197126 53647 133703 9776 96.4 MiB 2.74 0.03 4.31462 -4046 -4.31462 4.31462 0.99 0.00923458 0.0081629 0.820874 0.720925 -1 -1 -1 -1 40 36440 26 2.26773e+07 1.11943e+07 2.09257e+06 2488.19 34.55 4.16827 3.59108 73000 514161 -1 32115 13 9602 12345 1194506 313933 4.60176 4.60176 -4270.69 -4.60176 0 0 2.73678e+06 3254.19 0.12 0.59 0.40 -1 -1 0.12 0.323759 0.287142 2951 2172 779 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_43.v common 48.93 vpr 103.58 MiB 0.29 19248 -1 -1 1 0.85 -1 -1 43272 -1 -1 527 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 106068 22 19 6198 5129 1 3246 580 29 29 841 clb auto 53.9 MiB 1.88 24635 218110 61159 145970 10981 97.4 MiB 3.17 0.04 4.48156 -4241.62 -4.48156 4.48156 1.09 0.0102092 0.00906905 0.995753 0.874377 -1 -1 -1 -1 40 39597 33 2.26773e+07 1.13701e+07 2.09257e+06 2488.19 35.66 4.64983 4.01395 73000 514161 -1 34784 14 10254 12684 1291002 339326 4.60176 4.60176 -4448.52 -4.60176 0 0 2.73678e+06 3254.19 0.12 0.62 0.42 -1 -1 0.12 0.338835 0.300735 3035 2237 798 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_44.v common 37.76 vpr 101.65 MiB 0.29 19476 -1 -1 1 0.84 -1 -1 43152 -1 -1 537 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 104088 22 19 6272 5186 1 3294 590 29 29 841 clb auto 54.3 MiB 1.85 23827 210795 57260 142769 10766 97.5 MiB 3.05 0.04 4.4148 -4187.03 -4.4148 4.4148 1.02 0.0104441 0.00930259 0.936095 0.82517 -1 -1 -1 -1 48 37788 18 2.26773e+07 1.14956e+07 2.55865e+06 3042.39 24.51 4.38528 3.78491 77200 605704 -1 32009 15 8783 11545 1047444 273478 4.60176 4.60176 -4396.31 -4.60176 0 0 3.09620e+06 3681.57 0.14 0.62 0.48 -1 -1 0.14 0.373933 0.331863 3073 2256 817 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_45.v common 55.79 vpr 104.37 MiB 0.32 19940 -1 -1 1 0.92 -1 -1 43724 -1 -1 552 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 106876 22 19 6485 5365 1 3397 606 30 30 900 clb auto 55.3 MiB 1.92 24752 223587 60952 151370 11265 101.4 MiB 3.23 0.04 4.36136 -4362.79 -4.36136 4.36136 1.10 0.0113393 0.0101361 1.02429 0.904429 -1 -1 -1 -1 40 41636 47 2.44681e+07 1.208e+07 2.26021e+06 2511.35 42.09 5.51246 4.75795 78756 556581 -1 35058 15 10456 13670 1310323 348354 4.60176 4.60176 -4644.5 -4.60176 0 0 2.95601e+06 3284.46 0.13 0.66 0.43 -1 -1 0.13 0.367298 0.323755 3178 2342 836 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_46.v common 44.20 vpr 104.68 MiB 0.33 20212 -1 -1 1 0.91 -1 -1 43820 -1 -1 561 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 107196 22 19 6559 5422 1 3448 615 30 30 900 clb auto 55.8 MiB 1.77 25157 238489 66857 158775 12857 102.3 MiB 3.38 0.04 4.48156 -4412.49 -4.48156 4.48156 1.12 0.0105358 0.00937733 1.04317 0.920391 -1 -1 -1 -1 44 40986 29 2.44681e+07 1.2193e+07 2.51340e+06 2792.66 30.24 4.37137 3.77097 81452 615811 -1 34392 16 9966 12874 1315373 333257 4.48156 4.48156 -4561.8 -4.48156 0 0 3.17457e+06 3527.30 0.14 0.69 0.47 -1 -1 0.14 0.403228 0.35659 3216 2361 855 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_47.v common 33.45 vpr 105.23 MiB 0.31 20312 -1 -1 1 0.95 -1 -1 44568 -1 -1 576 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 107756 22 19 6735 5564 1 3551 630 30 30 900 clb auto 57.3 MiB 1.99 25010 243540 68585 162237 12718 99.1 MiB 3.59 0.04 4.24116 -4545.67 -4.24116 4.24116 1.11 0.0119971 0.0107438 1.12331 0.990229 -1 -1 -1 -1 42 43014 49 2.44681e+07 1.23814e+07 2.38040e+06 2644.88 19.14 4.75498 4.1038 79656 579061 -1 35075 14 10794 14473 1370981 373241 4.36136 4.36136 -4767.65 -4.36136 0 0 3.03473e+06 3371.92 0.13 0.66 0.46 -1 -1 0.13 0.363168 0.320867 3302 2428 874 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_48.v common 80.24 vpr 110.67 MiB 0.31 20704 -1 -1 1 0.95 -1 -1 44248 -1 -1 586 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 113328 22 19 6809 5621 1 3598 640 30 30 900 clb auto 57.6 MiB 2.00 26447 243256 68069 162399 12788 99.7 MiB 3.55 0.04 4.36136 -4593.68 -4.36136 4.36136 1.10 0.0117713 0.0105262 1.1086 0.979 -1 -1 -1 -1 48 42273 44 2.44681e+07 1.2507e+07 2.76360e+06 3070.66 65.89 6.79914 5.85651 83252 655705 -1 35316 14 10088 12933 1315849 324969 4.36136 4.36136 -4777.6 -4.36136 0 0 3.34359e+06 3715.10 0.15 0.65 0.49 -1 -1 0.15 0.368993 0.325925 3340 2447 893 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_49.v common 67.89 vpr 110.46 MiB 0.32 21228 -1 -1 1 0.99 -1 -1 44376 -1 -1 603 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 113108 22 19 7094 5872 1 3710 658 31 31 961 clb auto 59.4 MiB 2.14 27920 260918 73744 174893 12281 110.1 MiB 3.68 0.04 4.48156 -4798.45 -4.48156 4.48156 1.19 0.0113894 0.0101027 1.1054 0.972198 -1 -1 -1 -1 44 45380 48 2.5096e+07 1.31165e+07 2.69324e+06 2802.54 52.90 5.92929 5.1066 86716 660222 -1 37897 17 10463 13621 1356980 339675 4.48156 4.48156 -4918.3 -4.48156 0 0 3.40179e+06 3539.84 0.16 0.74 0.51 -1 -1 0.16 0.444853 0.393386 3481 2569 912 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_50.v common 55.80 vpr 114.33 MiB 0.31 21256 -1 -1 1 1.02 -1 -1 44156 -1 -1 614 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 117072 22 19 7168 5929 1 3754 669 31 31 961 clb auto 59.6 MiB 2.12 26385 269677 72518 183862 13297 109.3 MiB 3.77 0.04 4.45567 -4789.47 -4.45567 4.45567 1.16 0.0113468 0.0100502 1.10737 0.973669 -1 -1 -1 -1 40 42964 35 2.5096e+07 1.32546e+07 2.42178e+06 2520.06 40.75 5.57568 4.79911 83836 596728 -1 37691 17 11655 16102 1515309 402484 4.48156 4.48156 -5136.51 -4.48156 0 0 3.16779e+06 3296.34 0.14 0.81 0.46 -1 -1 0.14 0.458298 0.405362 3519 2588 931 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_51.v common 43.01 vpr 116.12 MiB 0.33 21624 -1 -1 1 1.07 -1 -1 44832 -1 -1 625 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 118908 22 19 7344 6071 1 3857 680 31 31 961 clb auto 60.3 MiB 2.16 30305 266701 74444 179211 13046 110.5 MiB 3.83 0.04 4.37853 -5019.66 -4.37853 4.37853 1.17 0.0118652 0.0105244 1.11521 0.976582 -1 -1 -1 -1 44 50272 38 2.5096e+07 1.33928e+07 2.69324e+06 2802.54 27.54 4.93526 4.2623 86716 660222 -1 41025 15 11431 15032 1545660 384231 4.60176 4.60176 -5260.75 -4.60176 0 0 3.40179e+06 3539.84 0.16 0.79 0.51 -1 -1 0.16 0.44185 0.391593 3605 2655 950 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_52.v common 47.72 vpr 115.14 MiB 0.34 21932 -1 -1 1 1.09 -1 -1 45124 -1 -1 635 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 117908 22 19 7418 6128 1 3906 690 31 31 961 clb auto 60.8 MiB 2.21 31171 274920 76802 183853 14265 110.9 MiB 4.03 0.05 4.48156 -5029.46 -4.48156 4.48156 1.18 0.0129033 0.0115628 1.21606 1.07363 -1 -1 -1 -1 44 50421 42 2.5096e+07 1.35183e+07 2.69324e+06 2802.54 31.82 4.99006 4.31204 86716 660222 -1 41712 15 11398 14424 1540122 390484 4.72196 4.72196 -5077.4 -4.72196 0 0 3.40179e+06 3539.84 0.16 0.77 0.51 -1 -1 0.16 0.433546 0.384238 3643 2674 969 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_14.v common 5.54 vpr 67.75 MiB 0.09 9396 -1 -1 1 0.16 -1 -1 34652 -1 -1 105 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69380 22 19 1246 925 1 729 150 16 16 256 mult_36 auto 28.8 MiB 0.42 4287 20050 4045 13932 2073 67.8 MiB 0.35 0.01 8.44555 -394.864 -8.44555 8.44555 0.28 0.00252268 0.00231193 0.137422 0.125997 -1 -1 -1 -1 36 9045 37 6.32612e+06 2.90259e+06 535569. 2092.07 2.37 0.631072 0.557954 20808 126872 -1 6872 24 5057 6129 675254 196211 7.96139 7.96139 -477.109 -7.96139 0 0 684529. 2673.94 0.03 0.26 0.11 -1 -1 0.03 0.121495 0.108107 591 344 247 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_15.v common 6.19 vpr 68.48 MiB 0.11 9612 -1 -1 1 0.19 -1 -1 35192 -1 -1 112 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70120 22 19 1344 989 1 789 158 16 16 256 mult_36 auto 29.2 MiB 0.41 4918 25778 5718 17517 2543 68.5 MiB 0.48 0.01 8.50055 -422.339 -8.50055 8.50055 0.27 0.00284151 0.00261471 0.169322 0.155067 -1 -1 -1 -1 38 10574 42 6.32612e+06 3.3865e+06 558663. 2182.28 2.68 0.731698 0.648064 21316 135884 -1 7742 23 6141 7171 774033 222285 7.746 7.746 -465.147 -7.746 0 0 744679. 2908.90 0.03 0.29 0.11 -1 -1 0.03 0.126342 0.112195 635 369 266 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_16.v common 7.56 vpr 69.07 MiB 0.11 9688 -1 -1 1 0.18 -1 -1 35076 -1 -1 119 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70728 22 19 1418 1046 1 827 165 16 16 256 mult_36 auto 29.6 MiB 0.46 5039 25477 5547 16525 3405 69.1 MiB 0.46 0.01 8.4383 -434.283 -8.4383 8.4383 0.27 0.00274099 0.00251071 0.164965 0.15079 -1 -1 -1 -1 40 10102 44 6.32612e+06 3.4744e+06 583096. 2277.72 4.03 0.871433 0.769203 21572 140635 -1 8089 22 6473 7868 785245 221189 8.40999 8.40999 -565.143 -8.40999 0 0 763333. 2981.77 0.03 0.29 0.12 -1 -1 0.03 0.128577 0.114463 673 388 285 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_17.v common 10.90 vpr 69.50 MiB 0.12 10244 -1 -1 1 0.18 -1 -1 35440 -1 -1 127 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71168 22 19 1518 1112 1 892 173 16 16 256 mult_36 auto 30.1 MiB 0.45 5301 23261 4863 15832 2566 69.5 MiB 0.41 0.01 9.0806 -455.253 -9.0806 9.0806 0.28 0.00307854 0.00282619 0.156263 0.143227 -1 -1 -1 -1 38 11335 46 6.32612e+06 3.57487e+06 558663. 2182.28 7.32 1.34395 1.18287 21316 135884 -1 8396 24 6760 7968 798148 233362 8.70305 8.70305 -566.875 -8.70305 0 0 744679. 2908.90 0.03 0.31 0.11 -1 -1 0.03 0.143295 0.127235 719 415 304 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_18.v common 7.04 vpr 69.84 MiB 0.12 10372 -1 -1 1 0.19 -1 -1 35108 -1 -1 134 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71520 22 19 1592 1169 1 928 180 16 16 256 clb mult_36 auto 30.8 MiB 0.54 5466 32184 7384 21670 3130 69.8 MiB 0.62 0.01 9.07695 -498.175 -9.07695 9.07695 0.28 0.00305233 0.00278916 0.206264 0.188236 -1 -1 -1 -1 38 11809 43 6.32612e+06 3.66277e+06 558663. 2182.28 3.10 0.890721 0.7875 21316 135884 -1 8788 23 6839 8006 836324 249518 8.68285 8.68285 -652.045 -8.68285 0 0 744679. 2908.90 0.03 0.32 0.11 -1 -1 0.03 0.143925 0.127913 757 434 323 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_19.v common 18.37 vpr 70.55 MiB 0.13 10476 -1 -1 1 0.20 -1 -1 35300 -1 -1 141 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72240 22 19 1688 1231 1 988 188 17 17 289 clb auto 31.2 MiB 0.56 6040 29778 6467 18590 4721 70.5 MiB 0.54 0.01 9.14683 -510.28 -9.14683 9.14683 0.32 0.00337204 0.00307949 0.207074 0.188891 -1 -1 -1 -1 40 11750 40 6.64007e+06 4.14668e+06 666494. 2306.21 14.32 1.64128 1.44358 24274 161130 -1 9396 22 6413 7782 829846 236284 8.85905 8.85905 -666.243 -8.85905 0 0 872365. 3018.56 0.03 0.32 0.13 -1 -1 0.03 0.148784 0.132358 799 457 342 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_20.v common 17.96 vpr 70.89 MiB 0.13 10752 -1 -1 1 0.22 -1 -1 35712 -1 -1 147 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72596 22 19 1762 1288 1 1026 194 17 17 289 clb auto 31.6 MiB 0.58 6727 40025 9604 25357 5064 70.9 MiB 0.70 0.01 9.15301 -547.153 -9.15301 9.15301 0.31 0.00331097 0.00301968 0.252625 0.23026 -1 -1 -1 -1 40 13326 44 6.64007e+06 4.22203e+06 666494. 2306.21 13.63 1.85894 1.63371 24274 161130 -1 10456 25 7390 8874 978820 271125 9.12765 9.12765 -659.623 -9.12765 0 0 872365. 3018.56 0.03 0.38 0.13 -1 -1 0.03 0.171415 0.152038 837 476 361 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_21.v common 11.17 vpr 71.38 MiB 0.14 11016 -1 -1 1 0.23 -1 -1 35740 -1 -1 153 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73096 22 19 1859 1351 1 1087 200 17 17 289 clb auto 32.1 MiB 0.54 7022 38744 9682 24430 4632 71.4 MiB 0.71 0.01 9.2192 -541.091 -9.2192 9.2192 0.33 0.00364417 0.00333078 0.270782 0.246864 -1 -1 -1 -1 40 13069 35 6.64007e+06 4.29737e+06 666494. 2306.21 6.74 1.49973 1.32147 24274 161130 -1 10612 24 7299 9096 992403 295338 8.61585 8.61585 -687.322 -8.61585 0 0 872365. 3018.56 0.03 0.38 0.13 -1 -1 0.03 0.170449 0.151261 880 500 380 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_22.v common 7.07 vpr 71.80 MiB 0.14 11164 -1 -1 1 0.23 -1 -1 36000 -1 -1 160 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73524 22 19 1933 1408 1 1125 207 17 17 289 clb auto 32.3 MiB 0.62 7306 38763 8842 24033 5888 71.8 MiB 0.66 0.01 9.30655 -573.751 -9.30655 9.30655 0.31 0.00382839 0.00351009 0.240841 0.219344 -1 -1 -1 -1 46 12718 28 6.64007e+06 4.38528e+06 782063. 2706.10 2.67 0.903902 0.797712 25426 183746 -1 10336 22 6441 7534 801564 222096 8.42045 8.42045 -702.946 -8.42045 0 0 958460. 3316.47 0.04 0.33 0.15 -1 -1 0.04 0.165162 0.146772 918 519 399 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_23.v common 12.42 vpr 72.41 MiB 0.15 11360 -1 -1 1 0.25 -1 -1 36036 -1 -1 169 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74152 22 19 2031 1472 1 1187 217 18 18 324 clb mult_36 auto 32.9 MiB 0.67 7967 43834 9953 29475 4406 72.4 MiB 0.83 0.01 9.26975 -599.041 -9.26975 9.26975 0.36 0.00370393 0.00336289 0.270643 0.246087 -1 -1 -1 -1 40 14919 44 7.77114e+06 4.8943e+06 763742. 2357.23 7.57 1.58719 1.39527 27732 185676 -1 11857 23 7159 8610 910010 251318 8.93305 8.93305 -785.026 -8.93305 0 0 999570. 3085.09 0.04 0.37 0.15 -1 -1 0.04 0.17794 0.157997 962 544 418 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_24.v common 13.65 vpr 72.72 MiB 0.15 11644 -1 -1 1 0.25 -1 -1 36192 -1 -1 175 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74468 22 19 2105 1529 1 1224 223 18 18 324 clb mult_36 auto 33.2 MiB 0.69 7747 44163 10132 30236 3795 72.7 MiB 0.80 0.01 9.18099 -606.294 -9.18099 9.18099 0.36 0.00398144 0.00363267 0.286395 0.26078 -1 -1 -1 -1 44 14619 39 7.77114e+06 4.96965e+06 850563. 2625.19 8.75 1.79914 1.57976 28700 205432 -1 11577 23 7286 8761 953169 268335 8.47065 8.47065 -820.552 -8.47065 0 0 1.07356e+06 3313.45 0.04 0.39 0.16 -1 -1 0.04 0.18896 0.167499 1000 563 437 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_25.v common 8.60 vpr 73.39 MiB 0.15 12020 -1 -1 1 0.28 -1 -1 36580 -1 -1 182 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75152 22 19 2201 1591 1 1284 230 18 18 324 clb mult_36 auto 33.9 MiB 0.69 7961 49510 11662 33160 4688 73.4 MiB 0.86 0.01 8.98857 -675.612 -8.98857 8.98857 0.36 0.00418069 0.00380642 0.286762 0.259732 -1 -1 -1 -1 46 14105 33 7.77114e+06 5.05756e+06 895831. 2764.91 3.63 1.28515 1.13409 29024 211752 -1 11360 22 7134 8496 846648 235548 8.25405 8.25405 -875.377 -8.25405 0 0 1.09776e+06 3388.15 0.04 0.34 0.17 -1 -1 0.04 0.182138 0.161881 1042 586 456 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_26.v common 15.03 vpr 73.68 MiB 0.16 11956 -1 -1 1 0.28 -1 -1 37400 -1 -1 189 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75444 22 19 2275 1648 1 1320 237 18 18 324 clb mult_36 auto 34.2 MiB 0.69 8332 51547 11837 33261 6449 73.7 MiB 0.93 0.01 9.20998 -667.689 -9.20998 9.20998 0.36 0.00430745 0.00392566 0.319063 0.289801 -1 -1 -1 -1 44 15572 31 7.77114e+06 5.14546e+06 850563. 2625.19 9.86 1.88471 1.65398 28700 205432 -1 12379 22 7578 9224 954809 269452 8.67185 8.67185 -830.678 -8.67185 0 0 1.07356e+06 3313.45 0.04 0.38 0.16 -1 -1 0.04 0.192164 0.170765 1080 605 475 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_27.v common 17.59 vpr 74.30 MiB 0.16 12248 -1 -1 1 0.30 -1 -1 36696 -1 -1 199 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76088 22 19 2385 1724 1 1395 248 19 19 361 clb auto 34.5 MiB 0.72 8712 55486 13505 36286 5695 74.3 MiB 0.93 0.01 9.14633 -671.93 -9.14633 9.14633 0.40 0.00429562 0.00386782 0.322657 0.292637 -1 -1 -1 -1 40 16572 36 8.13532e+06 5.66704e+06 859013. 2379.54 12.11 2.20879 1.93876 30802 209215 -1 13671 23 9626 11438 1195167 335637 8.64865 8.64865 -818.465 -8.64865 0 0 1.12439e+06 3114.66 0.05 0.47 0.16 -1 -1 0.05 0.2138 0.189856 1136 642 494 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_28.v common 9.00 vpr 74.79 MiB 0.13 12460 -1 -1 1 0.32 -1 -1 36820 -1 -1 205 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76580 22 19 2459 1781 1 1432 254 19 19 361 clb auto 35.1 MiB 0.80 9473 53318 12545 36142 4631 74.8 MiB 0.97 0.01 9.65581 -677.848 -9.65581 9.65581 0.40 0.004585 0.00417452 0.326209 0.296214 -1 -1 -1 -1 44 17623 30 8.13532e+06 5.74239e+06 956673. 2650.06 3.36 1.18996 1.05008 31882 231471 -1 13862 24 9077 10935 1241271 324877 9.13085 9.13085 -927.724 -9.13085 0 0 1.20750e+06 3344.89 0.05 0.49 0.18 -1 -1 0.05 0.226741 0.201127 1174 661 513 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_29.v common 34.31 vpr 75.41 MiB 0.18 12552 -1 -1 1 0.33 -1 -1 37088 -1 -1 215 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77220 22 19 2565 1853 1 1503 265 22 22 484 mult_36 auto 35.7 MiB 0.84 9824 69115 17449 45538 6128 75.4 MiB 1.35 0.02 9.27804 -737.94 -9.27804 9.27804 0.55 0.00492498 0.00449198 0.436132 0.394913 -1 -1 -1 -1 40 18569 41 1.26594e+07 6.26397e+06 1.17677e+06 2431.33 27.69 2.68876 2.36068 41974 287914 -1 15227 23 10695 12636 1404836 385271 8.89705 8.89705 -983.379 -8.89705 0 0 1.53957e+06 3180.94 0.06 0.53 0.23 -1 -1 0.06 0.22917 0.203519 1226 694 532 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_30.v common 13.02 vpr 76.06 MiB 0.18 12704 -1 -1 1 0.33 -1 -1 37428 -1 -1 221 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77884 22 19 2639 1910 1 1539 271 22 22 484 mult_36 auto 36.2 MiB 0.86 9909 65971 16907 43504 5560 76.1 MiB 1.22 0.02 9.0891 -763.527 -9.0891 9.0891 0.55 0.00494907 0.0044795 0.389735 0.352486 -1 -1 -1 -1 40 19268 27 1.26594e+07 6.33932e+06 1.17677e+06 2431.33 6.46 1.51266 1.33443 41974 287914 -1 15915 25 12141 14260 1611823 432096 8.74585 8.74585 -895.223 -8.74585 0 0 1.53957e+06 3180.94 0.07 0.55 0.23 -1 -1 0.07 0.23933 0.211973 1264 713 551 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_31.v common 28.21 vpr 76.57 MiB 0.18 13024 -1 -1 1 0.34 -1 -1 37476 -1 -1 231 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78408 22 19 2744 1981 1 1609 281 22 22 484 mult_36 auto 36.7 MiB 0.84 10368 71121 17890 47869 5362 76.6 MiB 1.29 0.02 9.44746 -781.402 -9.44746 9.44746 0.55 0.00500282 0.00454857 0.408455 0.369881 -1 -1 -1 -1 40 21208 47 1.26594e+07 6.4649e+06 1.17677e+06 2431.33 21.52 2.48337 2.18251 41974 287914 -1 17009 25 11034 13304 1571385 421904 9.65125 9.65125 -1219.53 -9.65125 0 0 1.53957e+06 3180.94 0.06 0.57 0.26 -1 -1 0.06 0.253266 0.224539 1315 745 570 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_32.v common 29.50 vpr 77.23 MiB 0.19 13188 -1 -1 1 0.36 -1 -1 36852 -1 -1 236 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79080 22 19 2818 2038 1 1646 286 22 22 484 mult_36 auto 37.2 MiB 0.91 10015 76507 20066 50774 5667 77.2 MiB 1.37 0.02 9.29052 -822.187 -9.29052 9.29052 0.55 0.00527088 0.00478394 0.454062 0.41062 -1 -1 -1 -1 38 21597 44 1.26594e+07 6.52769e+06 1.12685e+06 2328.21 22.62 2.69708 2.36845 41490 277996 -1 16087 22 12319 14701 1525128 421166 8.84825 8.84825 -1096.2 -8.84825 0 0 1.50222e+06 3103.76 0.06 0.57 0.22 -1 -1 0.06 0.243455 0.216177 1353 764 589 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_33.v common 12.97 vpr 77.75 MiB 0.20 13700 -1 -1 1 0.37 -1 -1 37496 -1 -1 247 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79612 22 19 2923 2109 1 1714 298 22 22 484 mult_36 auto 37.6 MiB 0.89 10805 77908 19804 48941 9163 77.7 MiB 1.33 0.02 10.0946 -829.447 -10.0946 10.0946 0.55 0.00549627 0.00497002 0.446976 0.403289 -1 -1 -1 -1 44 20199 34 1.26594e+07 7.06183e+06 1.30964e+06 2705.88 5.99 1.7989 1.59048 43422 318546 -1 16375 21 10645 12669 1455180 374595 9.65391 9.65391 -1117.92 -9.65391 0 0 1.65337e+06 3416.05 0.07 0.51 0.25 -1 -1 0.07 0.237123 0.210903 1404 796 608 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_34.v common 23.34 vpr 77.98 MiB 0.20 13840 -1 -1 1 0.38 -1 -1 37900 -1 -1 252 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79852 22 19 2997 2166 1 1752 303 22 22 484 mult_36 auto 37.7 MiB 1.00 11196 89799 23354 58160 8285 78.0 MiB 1.58 0.02 9.77749 -878.054 -9.77749 9.77749 0.55 0.00560367 0.00504894 0.515056 0.463791 -1 -1 -1 -1 40 22345 47 1.26594e+07 7.12462e+06 1.17677e+06 2431.33 15.95 2.62533 2.30617 41974 287914 -1 17553 24 12200 14930 1616690 432159 9.35731 9.35731 -1265.79 -9.35731 0 0 1.53957e+06 3180.94 0.06 0.62 0.23 -1 -1 0.06 0.272353 0.241425 1442 815 627 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_35.v common 14.18 vpr 78.56 MiB 0.21 14200 -1 -1 1 0.40 -1 -1 37676 -1 -1 261 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80444 22 19 3101 2236 1 1820 312 22 22 484 mult_36 auto 38.3 MiB 0.95 12959 84872 21444 55714 7714 78.6 MiB 1.60 0.02 10.3131 -886.901 -10.3131 10.3131 0.57 0.00573441 0.00521117 0.49572 0.447792 -1 -1 -1 -1 44 25027 44 1.26594e+07 7.23764e+06 1.30964e+06 2705.88 6.76 1.8143 1.60578 43422 318546 -1 18876 22 10953 13419 1614711 413417 9.38231 9.38231 -1209.13 -9.38231 0 0 1.65337e+06 3416.05 0.07 0.59 0.25 -1 -1 0.07 0.262516 0.233635 1492 846 646 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_36.v common 29.31 vpr 79.10 MiB 0.21 14192 -1 -1 1 0.41 -1 -1 38100 -1 -1 267 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81000 22 19 3175 2293 1 1856 318 22 22 484 clb mult_36 auto 39.0 MiB 1.01 13199 78438 19409 50137 8892 79.1 MiB 1.42 0.02 10.2693 -910.248 -10.2693 10.2693 0.55 0.00593774 0.00534405 0.444722 0.401248 -1 -1 -1 -1 44 25416 43 1.26594e+07 7.31299e+06 1.30964e+06 2705.88 21.94 2.74676 2.40989 43422 318546 -1 19234 24 10254 12494 1584808 397779 9.57071 9.57071 -1208.42 -9.57071 0 0 1.65337e+06 3416.05 0.07 0.59 0.25 -1 -1 0.07 0.276211 0.24504 1530 865 665 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_37.v common 46.16 vpr 79.78 MiB 0.22 14476 -1 -1 1 0.42 -1 -1 37556 -1 -1 277 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81692 22 19 3280 2364 1 1927 329 24 24 576 mult_36 auto 39.6 MiB 1.02 12290 109289 28889 69462 10938 79.8 MiB 1.85 0.02 10.2696 -948.837 -10.2696 10.2696 0.65 0.00578103 0.00523772 0.596469 0.539339 -1 -1 -1 -1 44 22776 39 1.52924e+07 7.83457e+06 1.55518e+06 2699.97 38.09 3.43871 3.02167 51346 378163 -1 18156 23 9281 11458 1255933 332077 9.75891 9.75891 -1306.95 -9.75891 0 0 1.96475e+06 3411.02 0.08 0.57 0.29 -1 -1 0.08 0.287422 0.255397 1581 897 684 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_38.v common 14.41 vpr 80.45 MiB 0.22 14640 -1 -1 1 0.44 -1 -1 38004 -1 -1 283 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82376 22 19 3354 2421 1 1964 335 24 24 576 mult_36 auto 40.3 MiB 1.17 12731 101516 25749 65835 9932 80.4 MiB 1.81 0.02 10.0461 -955.193 -10.0461 10.0461 0.66 0.00650364 0.00592237 0.585679 0.529626 -1 -1 -1 -1 44 23442 38 1.52924e+07 7.90991e+06 1.55518e+06 2699.97 6.16 2.11086 1.86156 51346 378163 -1 18617 24 10183 12613 1268208 343900 9.69591 9.69591 -1205.82 -9.69591 0 0 1.96475e+06 3411.02 0.08 0.58 0.30 -1 -1 0.08 0.300783 0.267142 1619 916 703 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_39.v common 16.02 vpr 80.84 MiB 0.25 14844 -1 -1 1 0.46 -1 -1 38280 -1 -1 291 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82780 22 19 3457 2490 1 2029 343 24 24 576 mult_36 auto 40.3 MiB 1.11 12756 108343 29137 65495 13711 80.8 MiB 1.81 0.02 9.82521 -977.216 -9.82521 9.82521 0.65 0.00625832 0.00568616 0.586126 0.529121 -1 -1 -1 -1 46 23749 37 1.52924e+07 8.01038e+06 1.63708e+06 2842.15 7.70 2.18548 1.92868 51922 389946 -1 18650 21 10967 13544 1404417 367919 9.23591 9.23591 -1320.24 -9.23591 0 0 2.00908e+06 3487.99 0.08 0.55 0.30 -1 -1 0.08 0.269597 0.239627 1668 946 722 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_40.v common 14.56 vpr 81.27 MiB 0.23 14912 -1 -1 1 0.47 -1 -1 38792 -1 -1 299 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83220 22 19 3531 2547 1 2068 351 24 24 576 mult_36 auto 40.9 MiB 1.17 13723 112918 29600 74549 8769 81.3 MiB 2.02 0.02 10.0754 -1078.28 -10.0754 10.0754 0.65 0.00613606 0.00555273 0.586389 0.528276 -1 -1 -1 -1 46 23496 36 1.52924e+07 8.11084e+06 1.63708e+06 2842.15 5.84 2.16031 1.90718 51922 389946 -1 19770 23 11827 14223 1578206 416279 9.15591 9.15591 -1332.19 -9.15591 0 0 2.00908e+06 3487.99 0.08 0.64 0.30 -1 -1 0.08 0.303296 0.269014 1706 965 741 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_41.v common 17.99 vpr 81.69 MiB 0.24 15416 -1 -1 1 0.48 -1 -1 38096 -1 -1 307 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83652 22 19 3634 2616 1 2134 360 24 24 576 mult_36 auto 41.3 MiB 1.12 15056 113000 29472 71223 12305 81.7 MiB 1.93 0.02 10.015 -1084.56 -10.015 10.015 0.65 0.00667757 0.00595 0.618162 0.55329 -1 -1 -1 -1 48 26200 38 1.52924e+07 8.60731e+06 1.71014e+06 2969.00 9.27 2.26533 1.99384 52498 402441 -1 21165 21 12515 15532 1742409 442811 9.15791 9.15791 -1517.68 -9.15791 0 0 2.06880e+06 3591.66 0.09 0.66 0.32 -1 -1 0.09 0.297049 0.263786 1755 995 760 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_42.v common 22.88 vpr 82.88 MiB 0.25 15380 -1 -1 1 0.51 -1 -1 38296 -1 -1 312 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84868 22 19 3708 2673 1 2172 365 24 24 576 mult_36 auto 41.6 MiB 1.22 14462 120241 32438 75438 12365 82.2 MiB 2.17 0.02 9.96967 -1067.82 -9.96967 9.96967 0.65 0.00646698 0.00585808 0.660337 0.594419 -1 -1 -1 -1 52 24462 42 1.52924e+07 8.6701e+06 1.82869e+06 3174.81 13.80 3.56459 3.12873 54222 439550 -1 20698 22 10976 13483 1592120 415353 9.00471 9.00471 -1584.16 -9.00471 0 0 2.25030e+06 3906.77 0.09 0.64 0.35 -1 -1 0.09 0.304663 0.270699 1793 1014 779 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_43.v common 14.31 vpr 82.55 MiB 0.25 15636 -1 -1 1 0.51 -1 -1 38876 -1 -1 321 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84528 22 19 3810 2741 1 2238 374 24 24 576 mult_36 auto 42.1 MiB 1.22 14596 110828 27843 71707 11278 82.5 MiB 1.98 0.02 10.118 -1083.71 -10.118 10.118 0.66 0.00678738 0.00614534 0.593384 0.532979 -1 -1 -1 -1 44 26062 50 1.52924e+07 8.78312e+06 1.55518e+06 2699.97 5.44 2.13761 1.88309 51346 378163 -1 21039 24 11118 13691 1401031 374743 9.23891 9.23891 -1565.98 -9.23891 0 0 1.96475e+06 3411.02 0.08 0.62 0.30 -1 -1 0.08 0.328109 0.291011 1841 1043 798 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_44.v common 15.08 vpr 83.20 MiB 0.25 15676 -1 -1 1 0.53 -1 -1 38504 -1 -1 327 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85192 22 19 3884 2798 1 2275 380 24 24 576 mult_36 auto 42.8 MiB 1.31 15515 118630 30707 78796 9127 83.2 MiB 2.23 0.03 10.0415 -1118.05 -10.0415 10.0415 0.65 0.00701694 0.0063708 0.630346 0.565363 -1 -1 -1 -1 46 27466 38 1.52924e+07 8.85847e+06 1.63708e+06 2842.15 5.76 2.14407 1.88952 51922 389946 -1 21843 24 12993 15837 1561542 416056 9.08691 9.08691 -1498.25 -9.08691 0 0 2.00908e+06 3487.99 0.08 0.68 0.30 -1 -1 0.08 0.340266 0.30141 1879 1062 817 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_45.v common 29.07 vpr 83.40 MiB 0.24 16044 -1 -1 1 0.56 -1 -1 40476 -1 -1 335 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85400 22 19 3989 2869 1 2344 389 24 24 576 mult_36 auto 42.6 MiB 1.29 14972 119585 30587 78313 10685 83.4 MiB 2.18 0.03 9.96697 -1134.28 -9.96697 9.96697 0.66 0.00712793 0.00645924 0.645916 0.580756 -1 -1 -1 -1 44 26180 28 1.52924e+07 9.35493e+06 1.55518e+06 2699.97 19.75 3.29563 2.893 51346 378163 -1 21610 23 12217 14779 1551250 420018 9.33711 9.33711 -1553.35 -9.33711 0 0 1.96475e+06 3411.02 0.08 0.68 0.30 -1 -1 0.08 0.34255 0.303994 1930 1094 836 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_46.v common 39.79 vpr 84.21 MiB 0.27 16164 -1 -1 1 0.59 -1 -1 40496 -1 -1 342 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86236 22 19 4063 2926 1 2380 396 24 24 576 clb mult_36 auto 43.3 MiB 1.32 15200 131166 34348 84733 12085 84.2 MiB 2.51 0.03 9.95166 -1148.78 -9.95166 9.95166 0.65 0.00700841 0.00632392 0.676739 0.606048 -1 -1 -1 -1 46 27209 28 1.52924e+07 9.44284e+06 1.63708e+06 2842.15 29.95 3.93165 3.43835 51922 389946 -1 21797 23 12258 14982 1454916 382026 9.25911 9.25911 -1414.94 -9.25911 0 0 2.00908e+06 3487.99 0.08 0.71 0.30 -1 -1 0.08 0.376861 0.336274 1968 1113 855 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_47.v common 25.70 vpr 84.36 MiB 0.28 16528 -1 -1 1 0.57 -1 -1 40796 -1 -1 352 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86384 22 19 4167 2996 1 2450 406 24 24 576 clb mult_36 auto 43.5 MiB 1.32 15815 128076 33012 83465 11599 84.4 MiB 2.30 0.03 9.9177 -1155.92 -9.9177 9.9177 0.66 0.00731026 0.00661212 0.653938 0.587902 -1 -1 -1 -1 42 28523 48 1.52924e+07 9.56842e+06 1.47183e+06 2555.26 15.90 3.38447 2.96687 50198 355779 -1 23179 20 13843 17146 1738255 479914 9.41031 9.41031 -1552 -9.41031 0 0 1.87785e+06 3260.16 0.08 0.76 0.28 -1 -1 0.08 0.347077 0.309174 2018 1144 874 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_48.v common 17.41 vpr 84.98 MiB 0.27 16644 -1 -1 1 0.59 -1 -1 40784 -1 -1 357 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87024 22 19 4241 3053 1 2487 411 25 25 625 clb auto 44.3 MiB 1.43 16851 128679 33580 81852 13247 85.0 MiB 2.19 0.03 10.4333 -1182.17 -10.4333 10.4333 0.71 0.00727078 0.00656308 0.651011 0.584255 -1 -1 -1 -1 46 30316 49 1.57822e+07 9.63121e+06 1.78602e+06 2857.63 7.65 2.46871 2.17452 56160 425985 -1 23399 19 11576 14177 1412366 389061 9.45851 9.45851 -1530.31 -9.45851 0 0 2.19200e+06 3507.21 0.09 0.61 0.33 -1 -1 0.09 0.307777 0.274473 2056 1163 893 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_49.v common 30.41 vpr 85.53 MiB 0.30 17148 -1 -1 1 0.61 -1 -1 41080 -1 -1 367 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87584 22 19 4346 3124 1 2556 422 25 25 625 clb auto 44.7 MiB 1.42 16534 145966 39891 92898 13177 85.5 MiB 2.61 0.03 10.2258 -1319.44 -10.2258 10.2258 0.72 0.00838574 0.00761938 0.747715 0.670777 -1 -1 -1 -1 42 31459 46 1.57822e+07 1.01528e+07 1.60621e+06 2569.93 19.90 3.68277 3.23697 54288 388592 -1 24723 23 17967 21054 2524224 677134 9.63071 9.63071 -1686.99 -9.63071 0 0 2.04878e+06 3278.05 0.09 0.90 0.30 -1 -1 0.09 0.37749 0.334891 2107 1195 912 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_50.v common 16.18 vpr 86.04 MiB 0.30 17008 -1 -1 1 0.63 -1 -1 40956 -1 -1 373 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88100 22 19 4420 3181 1 2593 428 25 25 625 clb auto 44.9 MiB 1.43 17414 153568 43553 91308 18707 86.0 MiB 2.69 0.03 10.0899 -1283.58 -10.0899 10.0899 0.73 0.00839923 0.00762801 0.787725 0.701221 -1 -1 -1 -1 50 27652 26 1.57822e+07 1.02281e+07 1.94653e+06 3114.45 5.59 2.27448 2.00206 57408 454416 -1 23991 22 13917 16823 1787807 472583 9.20591 9.20591 -1677.5 -9.20591 0 0 2.32897e+06 3726.35 0.10 0.73 0.35 -1 -1 0.10 0.360326 0.319901 2145 1214 931 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_51.v common 44.60 vpr 86.38 MiB 0.30 17328 -1 -1 1 0.70 -1 -1 40900 -1 -1 383 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88448 22 19 4524 3251 1 2660 438 25 25 625 clb auto 45.4 MiB 1.43 17454 148356 39462 93607 15287 86.4 MiB 2.57 0.03 10.2054 -1255.17 -10.2054 10.2054 0.71 0.00873201 0.00793581 0.750148 0.672511 -1 -1 -1 -1 44 31163 36 1.57822e+07 1.03537e+07 1.69710e+06 2715.36 34.18 4.23181 3.70783 55536 413085 -1 24752 21 14025 17124 1807700 497416 9.52451 9.52451 -1758.6 -9.52451 0 0 2.14341e+06 3429.45 0.09 0.76 0.32 -1 -1 0.09 0.365653 0.32468 2195 1245 950 19 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_52.v common 19.38 vpr 86.93 MiB 0.30 17404 -1 -1 1 0.66 -1 -1 39484 -1 -1 389 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 89012 22 19 4598 3308 1 2699 444 25 25 625 clb auto 45.9 MiB 1.54 18320 157893 42730 99078 16085 86.9 MiB 2.91 0.03 10.0899 -1282.92 -10.0899 10.0899 0.71 0.00828018 0.00749247 0.843699 0.752625 -1 -1 -1 -1 50 29090 30 1.57822e+07 1.04291e+07 1.94653e+06 3114.45 8.33 2.75027 2.41612 57408 454416 -1 25027 24 14228 17164 1989974 510553 9.43051 9.43051 -1754.98 -9.43051 0 0 2.32897e+06 3726.35 0.10 0.82 0.35 -1 -1 0.10 0.407 0.357948 2233 1264 969 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_14.v common 7.18 vpr 72.11 MiB 0.11 10388 -1 -1 1 0.22 -1 -1 35464 -1 -1 168 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73836 22 19 1974 1653 1 1034 213 18 18 324 clb auto 32.4 MiB 1.57 6437 36408 8464 25361 2583 72.1 MiB 0.53 0.01 4.17493 -1221.02 -4.17493 4.17493 0.35 0.00353135 0.00317482 0.212 0.190543 -1 -1 -1 -1 38 11873 29 7.79418e+06 3.7139e+06 731621. 2258.09 2.13 0.865345 0.753807 27408 179348 -1 9578 16 3293 4036 387848 110929 4.36136 4.36136 -1291.74 -4.36136 0 0 975281. 3010.13 0.04 0.21 0.14 -1 -1 0.04 0.123114 0.108894 955 708 247 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_15.v common 10.08 vpr 73.14 MiB 0.11 11004 -1 -1 1 0.23 -1 -1 36888 -1 -1 182 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74896 22 19 2144 1789 1 1129 228 18 18 324 clb auto 33.3 MiB 1.78 6855 41292 9386 28690 3216 73.1 MiB 0.59 0.01 4.12096 -1335.05 -4.12096 4.12096 0.35 0.00392815 0.00353498 0.234729 0.21031 -1 -1 -1 -1 36 12852 27 7.79418e+06 4.2874e+06 701445. 2164.95 4.64 1.31645 1.14368 26764 167360 -1 10878 15 3781 4648 441066 132051 4.36136 4.36136 -1397.94 -4.36136 0 0 895831. 2764.91 0.04 0.22 0.13 -1 -1 0.04 0.127815 0.113299 1035 769 266 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_16.v common 11.76 vpr 73.54 MiB 0.12 10880 -1 -1 1 0.25 -1 -1 36860 -1 -1 190 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75308 22 19 2218 1846 1 1177 236 18 18 324 clb auto 34.0 MiB 1.84 7711 49079 11493 33399 4187 73.5 MiB 0.70 0.01 4.12096 -1395.59 -4.12096 4.12096 0.36 0.00377163 0.003371 0.270943 0.242457 -1 -1 -1 -1 38 14451 47 7.79418e+06 4.38882e+06 731621. 2258.09 5.98 1.59788 1.38991 27408 179348 -1 11061 15 3850 4789 433862 124521 4.24116 4.24116 -1398.49 -4.24116 0 0 975281. 3010.13 0.04 0.22 0.14 -1 -1 0.04 0.130217 0.115297 1073 788 285 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_17.v common 13.55 vpr 75.10 MiB 0.13 11716 -1 -1 1 0.28 -1 -1 36616 -1 -1 210 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76900 22 19 2536 2130 1 1284 256 19 19 361 clb auto 35.5 MiB 1.99 8438 50600 11529 35804 3267 75.1 MiB 0.74 0.01 4.30031 -1594.57 -4.30031 4.30031 0.40 0.00425481 0.00380777 0.284246 0.253539 -1 -1 -1 -1 38 15644 45 8.16184e+06 4.64238e+06 822740. 2279.06 7.28 1.7012 1.47358 30442 202059 -1 12175 14 4165 5331 487070 140334 4.24116 4.24116 -1635.62 -4.24116 0 0 1.09718e+06 3039.29 0.04 0.25 0.16 -1 -1 0.04 0.144092 0.127887 1228 924 304 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_18.v common 12.76 vpr 75.82 MiB 0.14 11940 -1 -1 1 0.29 -1 -1 37268 -1 -1 220 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77640 22 19 2610 2187 1 1335 266 19 19 361 clb auto 36.2 MiB 2.10 8175 51566 11365 37164 3037 75.8 MiB 0.77 0.01 4.24116 -1640.58 -4.24116 4.24116 0.40 0.00444105 0.00398166 0.295754 0.26472 -1 -1 -1 -1 40 15380 31 8.16184e+06 4.76916e+06 859013. 2379.54 6.20 1.62433 1.4065 30802 209215 -1 12520 17 4590 5607 544211 149758 4.24116 4.24116 -1687.16 -4.24116 0 0 1.12439e+06 3114.66 0.04 0.28 0.16 -1 -1 0.04 0.165434 0.145896 1266 943 323 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_19.v common 14.74 vpr 76.39 MiB 0.09 12176 -1 -1 1 0.30 -1 -1 36800 -1 -1 234 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78228 22 19 2778 2321 1 1436 281 20 20 400 clb auto 36.6 MiB 2.26 9514 57321 13157 39808 4356 76.4 MiB 0.82 0.01 4.24116 -1766.04 -4.24116 4.24116 0.45 0.0046403 0.00414404 0.310179 0.276625 -1 -1 -1 -1 38 17557 38 1.10667e+07 5.34265e+06 911101. 2277.75 7.82 1.64011 1.4262 34074 223860 -1 13786 13 4580 5843 494692 137428 4.36136 4.36136 -1826.02 -4.36136 0 0 1.21483e+06 3037.08 0.05 0.27 0.17 -1 -1 0.05 0.151768 0.135169 1344 1002 342 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_20.v common 24.04 vpr 77.49 MiB 0.15 12484 -1 -1 1 0.31 -1 -1 36984 -1 -1 241 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79352 22 19 2852 2378 1 1479 288 21 21 441 clb auto 37.5 MiB 2.36 9523 64888 15442 44676 4770 77.5 MiB 0.95 0.02 4.24116 -1789.65 -4.24116 4.24116 0.49 0.00478333 0.00427412 0.343245 0.305919 -1 -1 -1 -1 36 19617 42 1.14723e+07 5.4314e+06 970465. 2200.60 16.70 2.58149 2.22964 36526 232183 -1 15347 16 5449 6902 686616 187472 4.60176 4.60176 -1854.11 -4.60176 0 0 1.23916e+06 2809.89 0.05 0.33 0.18 -1 -1 0.05 0.177572 0.1569 1382 1021 361 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_21.v common 22.47 vpr 78.18 MiB 0.15 12984 -1 -1 1 0.34 -1 -1 37624 -1 -1 255 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80052 22 19 3057 2549 1 1574 302 21 21 441 clb auto 38.4 MiB 2.49 9960 65070 14578 46802 3690 78.2 MiB 0.92 0.01 4.19735 -1915.6 -4.19735 4.19735 0.49 0.00493 0.00438608 0.335828 0.298572 -1 -1 -1 -1 36 19374 38 1.14723e+07 5.60889e+06 970465. 2200.60 14.85 2.6374 2.27711 36526 232183 -1 15657 17 5688 7404 723020 206303 4.36136 4.36136 -2069.95 -4.36136 0 0 1.23916e+06 2809.89 0.05 0.35 0.18 -1 -1 0.05 0.196621 0.173839 1479 1099 380 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_22.v common 17.09 vpr 78.68 MiB 0.16 13024 -1 -1 1 0.35 -1 -1 38084 -1 -1 263 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80572 22 19 3131 2606 1 1624 310 21 21 441 clb auto 38.9 MiB 2.54 11707 62142 14554 44001 3587 78.7 MiB 0.91 0.01 4.13813 -1985.23 -4.13813 4.13813 0.49 0.0050615 0.00451677 0.323726 0.288045 -1 -1 -1 -1 40 20172 33 1.14723e+07 5.71031e+06 1.05734e+06 2397.60 9.29 2.049 1.77463 37846 257832 -1 16892 15 5657 7029 674843 188515 4.24116 4.24116 -2117.25 -4.24116 0 0 1.38344e+06 3137.06 0.06 0.34 0.20 -1 -1 0.06 0.188977 0.168026 1517 1118 399 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_23.v common 27.13 vpr 79.97 MiB 0.16 13368 -1 -1 1 0.36 -1 -1 37880 -1 -1 277 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81888 22 19 3301 2742 1 1718 325 22 22 484 clb auto 39.8 MiB 2.72 11009 75164 17630 52605 4929 80.0 MiB 1.09 0.02 4.12096 -2107.6 -4.12096 4.12096 0.55 0.00573933 0.00512269 0.400087 0.356606 -1 -1 -1 -1 36 21180 43 1.26954e+07 6.28381e+06 1.07999e+06 2231.38 18.72 2.71536 2.34611 40526 259210 -1 17191 16 6240 7978 835435 232961 4.36136 4.36136 -2264.56 -4.36136 0 0 1.37878e+06 2848.72 0.06 0.39 0.21 -1 -1 0.06 0.206976 0.183546 1597 1179 418 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_24.v common 24.74 vpr 80.19 MiB 0.16 13384 -1 -1 1 0.28 -1 -1 38144 -1 -1 287 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82112 22 19 3375 2799 1 1765 335 22 22 484 clb auto 40.0 MiB 2.83 11143 85234 21611 58582 5041 80.2 MiB 0.79 0.01 4.17493 -2135.03 -4.17493 4.17493 0.55 0.00317014 0.00276149 0.23755 0.208789 -1 -1 -1 -1 40 19043 25 1.26954e+07 6.41059e+06 1.17677e+06 2431.33 16.67 2.54051 2.19194 41974 287914 -1 16789 18 5780 7278 710608 201226 4.36136 4.36136 -2204.17 -4.36136 0 0 1.53957e+06 3180.94 0.06 0.37 0.23 -1 -1 0.06 0.220286 0.194114 1635 1198 437 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_25.v common 17.06 vpr 81.47 MiB 0.18 13916 -1 -1 1 0.41 -1 -1 38100 -1 -1 300 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83424 22 19 3615 3005 1 1863 348 22 22 484 clb auto 41.2 MiB 2.96 13631 85958 21810 59211 4937 81.5 MiB 1.26 0.02 4.24116 -2406.24 -4.24116 4.24116 0.54 0.00573722 0.00509738 0.439801 0.390114 -1 -1 -1 -1 42 22967 28 1.26954e+07 6.5754e+06 1.23890e+06 2559.71 8.00 2.21508 1.91809 42458 299760 -1 19367 17 6183 8106 830701 218475 4.36136 4.36136 -2462.41 -4.36136 0 0 1.58090e+06 3266.32 0.07 0.42 0.24 -1 -1 0.07 0.23447 0.207354 1749 1293 456 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_26.v common 18.30 vpr 82.00 MiB 0.18 14088 -1 -1 1 0.42 -1 -1 38464 -1 -1 312 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83972 22 19 3689 3062 1 1913 360 23 23 529 clb auto 41.7 MiB 3.02 12581 91240 22256 64249 4735 82.0 MiB 1.29 0.02 4.17493 -2360.69 -4.17493 4.17493 0.60 0.00606252 0.00540384 0.450729 0.40035 -1 -1 -1 -1 40 21867 27 1.31518e+07 6.72754e+06 1.29424e+06 2446.58 9.05 2.26866 1.96563 45714 316989 -1 18899 16 6330 7798 811042 218219 4.36136 4.36136 -2464.56 -4.36136 0 0 1.69338e+06 3201.10 0.07 0.39 0.24 -1 -1 0.07 0.220708 0.194951 1787 1312 475 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_27.v common 31.78 vpr 83.02 MiB 0.18 14504 -1 -1 1 0.44 -1 -1 38400 -1 -1 326 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85016 22 19 3871 3210 1 2018 375 23 23 529 clb auto 42.7 MiB 3.16 13802 103051 26520 70080 6451 83.0 MiB 1.47 0.02 4.24116 -2543.14 -4.24116 4.24116 0.60 0.0064154 0.00573238 0.52464 0.466624 -1 -1 -1 -1 40 23138 40 1.31518e+07 7.30103e+06 1.29424e+06 2446.58 21.99 3.40644 2.94509 45714 316989 -1 19989 14 6419 8689 812439 228524 4.48156 4.48156 -2719.46 -4.48156 0 0 1.69338e+06 3201.10 0.07 0.41 0.25 -1 -1 0.07 0.223799 0.199378 1879 1385 494 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_28.v common 25.17 vpr 83.50 MiB 0.19 14580 -1 -1 1 0.46 -1 -1 38488 -1 -1 335 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85500 22 19 3945 3267 1 2067 384 23 23 529 clb auto 42.9 MiB 3.32 15869 109194 29017 73752 6425 83.5 MiB 1.57 0.02 4.24116 -2626.08 -4.24116 4.24116 0.60 0.0065591 0.00583907 0.544522 0.482731 -1 -1 -1 -1 44 27137 31 1.31518e+07 7.41513e+06 1.44040e+06 2722.87 14.97 2.74331 2.37555 47298 350709 -1 21978 16 6404 8709 878333 219881 4.48156 4.48156 -2691.77 -4.48156 0 0 1.81842e+06 3437.46 0.07 0.44 0.27 -1 -1 0.07 0.253285 0.225461 1917 1404 513 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_29.v common 29.50 vpr 84.61 MiB 0.19 15060 -1 -1 1 0.48 -1 -1 39512 -1 -1 352 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86636 22 19 4159 3447 1 2176 402 24 24 576 clb auto 44.2 MiB 3.42 15216 108661 27553 74294 6814 84.6 MiB 1.55 0.02 4.2946 -2777.34 -4.2946 4.2946 0.66 0.00693931 0.00617128 0.526511 0.466699 -1 -1 -1 -1 38 27834 49 1.53347e+07 8.02666e+06 1.33832e+06 2323.47 19.35 3.34401 2.89111 49046 329967 -1 21351 15 7078 9298 787714 217357 4.36136 4.36136 -3053.09 -4.36136 0 0 1.78400e+06 3097.22 0.07 0.43 0.25 -1 -1 0.07 0.238366 0.212349 2023 1491 532 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_30.v common 25.26 vpr 85.10 MiB 0.20 15064 -1 -1 1 0.49 -1 -1 40812 -1 -1 360 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87140 22 19 4233 3504 1 2223 410 25 25 625 clb auto 44.4 MiB 3.54 16022 128258 34578 86719 6961 85.1 MiB 1.90 0.02 4.24116 -2820.49 -4.24116 4.24116 0.72 0.00697938 0.00622381 0.641447 0.567694 -1 -1 -1 -1 40 26812 28 1.58291e+07 8.12808e+06 1.52540e+06 2440.64 14.15 2.82305 2.44118 53664 373335 -1 23084 15 7501 9686 952411 252732 4.36136 4.36136 -2909.48 -4.36136 0 0 1.99531e+06 3192.49 0.08 0.43 0.29 -1 -1 0.08 0.234907 0.207575 2061 1510 551 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_31.v common 37.48 vpr 85.98 MiB 0.23 15568 -1 -1 1 0.55 -1 -1 40904 -1 -1 376 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88048 22 19 4410 3647 1 2326 426 25 25 625 clb auto 45.3 MiB 3.63 16999 136596 36631 90124 9841 86.0 MiB 1.94 0.02 4.24116 -2889.76 -4.24116 4.24116 0.71 0.007049 0.00626156 0.652435 0.57947 -1 -1 -1 -1 40 28985 38 1.58291e+07 8.33093e+06 1.52540e+06 2440.64 26.21 3.61115 3.12304 53664 373335 -1 24286 14 7440 9987 1026110 278345 4.60176 4.60176 -3004.37 -4.60176 0 0 1.99531e+06 3192.49 0.08 0.47 0.29 -1 -1 0.08 0.244125 0.216686 2148 1578 570 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_32.v common 23.84 vpr 86.66 MiB 0.21 15636 -1 -1 1 0.57 -1 -1 40520 -1 -1 385 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88736 22 19 4484 3704 1 2373 435 25 25 625 clb auto 45.8 MiB 3.71 16789 127254 32771 84135 10348 86.7 MiB 1.78 0.02 4.48156 -2926.29 -4.48156 4.48156 0.71 0.00705232 0.00626598 0.606963 0.539162 -1 -1 -1 -1 40 26469 27 1.58291e+07 8.44503e+06 1.52540e+06 2440.64 12.42 2.77214 2.40644 53664 373335 -1 23350 13 7085 9408 837585 228754 4.60176 4.60176 -2972.11 -4.60176 0 0 1.99531e+06 3192.49 0.08 0.44 0.29 -1 -1 0.08 0.252803 0.226316 2186 1597 589 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_33.v common 39.80 vpr 88.51 MiB 0.23 16672 -1 -1 1 0.58 -1 -1 41512 -1 -1 406 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90632 22 19 4843 4029 1 2488 457 26 26 676 clb auto 47.7 MiB 3.93 16705 151731 41879 100724 9128 88.5 MiB 2.08 0.02 4.24116 -3124.15 -4.24116 4.24116 0.78 0.00763815 0.00675821 0.679699 0.599772 -1 -1 -1 -1 40 27874 36 1.91809e+07 9.10727e+06 1.68513e+06 2492.79 27.42 4.08417 3.51654 59716 414698 -1 24493 14 7882 10175 1001810 270608 4.36136 4.36136 -3364.76 -4.36136 0 0 2.20423e+06 3260.69 0.09 0.49 0.32 -1 -1 0.09 0.270588 0.240348 2364 1756 608 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_34.v common 50.20 vpr 88.77 MiB 0.23 16800 -1 -1 1 0.60 -1 -1 41684 -1 -1 416 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90904 22 19 4917 4086 1 2539 467 26 26 676 clb auto 47.7 MiB 3.93 18320 147158 38739 101573 6846 88.8 MiB 2.10 0.03 4.30031 -3266.45 -4.30031 4.30031 0.79 0.00897729 0.00805702 0.689669 0.609668 -1 -1 -1 -1 44 30150 25 1.91809e+07 9.23405e+06 1.87486e+06 2773.46 37.73 4.0844 3.52604 61740 458818 -1 25163 15 7695 9800 945743 244005 4.48156 4.48156 -3427.39 -4.48156 0 0 2.36678e+06 3501.15 0.10 0.47 0.35 -1 -1 0.10 0.273675 0.241761 2402 1775 627 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_35.v common 36.50 vpr 89.68 MiB 0.24 17284 -1 -1 1 0.64 -1 -1 41740 -1 -1 429 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91828 22 19 5093 4228 1 2642 480 26 26 676 clb auto 48.7 MiB 4.06 17190 143208 37398 98464 7346 89.6 MiB 2.06 0.03 4.19735 -3311.21 -4.19735 4.19735 0.79 0.00900414 0.00802466 0.68031 0.598951 -1 -1 -1 -1 38 31685 42 1.91809e+07 9.39886e+06 1.61353e+06 2386.88 23.69 3.92488 3.38814 59040 400322 -1 24930 15 8669 11285 949927 268576 4.36136 4.36136 -3335.29 -4.36136 0 0 2.15046e+06 3181.16 0.09 0.54 0.31 -1 -1 0.09 0.304042 0.270165 2488 1842 646 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_36.v common 62.61 vpr 90.89 MiB 0.24 17360 -1 -1 1 0.68 -1 -1 41684 -1 -1 438 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 93076 22 19 5167 4285 1 2692 489 27 27 729 clb auto 49.1 MiB 4.19 18936 166039 45442 111819 8778 90.1 MiB 2.41 0.03 4.49873 -3396.33 -4.49873 4.49873 0.85 0.00935757 0.0083921 0.805255 0.714249 -1 -1 -1 -1 46 30559 26 1.9726e+07 9.51296e+06 2.13566e+06 2929.58 49.15 4.57075 3.94975 67038 512685 -1 25374 15 7454 9668 932562 232676 4.60176 4.60176 -3323.38 -4.60176 0 0 2.62021e+06 3594.25 0.12 0.51 0.39 -1 -1 0.12 0.304318 0.270231 2526 1861 665 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_37.v common 33.09 vpr 93.98 MiB 0.26 17792 -1 -1 1 0.67 -1 -1 40952 -1 -1 455 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 96240 22 19 5380 4464 1 2799 507 27 27 729 clb auto 51.3 MiB 4.32 20111 166147 44789 112060 9298 91.6 MiB 2.39 0.03 4.36136 -3536.33 -4.36136 4.36136 0.85 0.0093904 0.00839107 0.7917 0.702501 -1 -1 -1 -1 42 34381 46 1.9726e+07 1.01245e+07 1.92158e+06 2635.91 19.41 3.91573 3.39286 64854 467545 -1 28142 14 8681 11091 1083253 286302 4.36136 4.36136 -3574.86 -4.36136 0 0 2.44988e+06 3360.60 0.10 0.53 0.36 -1 -1 0.10 0.295327 0.262249 2631 1947 684 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_38.v common 32.36 vpr 92.25 MiB 0.26 17920 -1 -1 1 0.76 -1 -1 42264 -1 -1 464 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 94468 22 19 5454 4521 1 2846 516 27 27 729 clb auto 51.5 MiB 4.42 20515 172160 45619 118176 8365 92.3 MiB 2.50 0.03 4.25833 -3670.8 -4.25833 4.25833 0.87 0.0089642 0.00797266 0.798614 0.699086 -1 -1 -1 -1 42 36535 49 1.9726e+07 1.02386e+07 1.92158e+06 2635.91 18.26 3.83612 3.30748 64854 467545 -1 28996 14 9105 12011 1244695 317425 4.60176 4.60176 -3844.06 -4.60176 0 0 2.44988e+06 3360.60 0.11 0.57 0.36 -1 -1 0.11 0.300916 0.267022 2669 1966 703 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_39.v common 48.19 vpr 94.71 MiB 0.28 18224 -1 -1 1 0.72 -1 -1 40140 -1 -1 479 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 96980 22 19 5629 4662 1 2946 531 28 28 784 clb auto 52.1 MiB 4.56 20430 185345 50791 124436 10118 93.0 MiB 2.67 0.03 4.27461 -3713.85 -4.27461 4.27461 0.92 0.00909517 0.00807169 0.839094 0.738852 -1 -1 -1 -1 40 33387 26 2.21589e+07 1.04288e+07 1.94191e+06 2476.93 33.49 4.692 4.04019 68288 477058 -1 29049 17 9533 12146 1164844 322758 4.36136 4.36136 -3942.15 -4.36136 0 0 2.53985e+06 3239.61 0.11 0.63 0.37 -1 -1 0.11 0.360944 0.31962 2754 2032 722 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_40.v common 35.59 vpr 98.80 MiB 0.27 18392 -1 -1 1 0.74 -1 -1 42288 -1 -1 487 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 101172 22 19 5703 4719 1 2991 539 28 28 784 clb auto 52.4 MiB 4.65 22230 195627 54925 128835 11867 93.4 MiB 2.78 0.03 4.48156 -3889.91 -4.48156 4.48156 0.93 0.00932913 0.00830496 0.878984 0.776497 -1 -1 -1 -1 44 37033 47 2.21589e+07 1.05302e+07 2.15943e+06 2754.37 20.63 4.43674 3.83157 70636 527849 -1 30602 16 8917 11683 1217295 298418 4.60176 4.60176 -4006.08 -4.60176 0 0 2.72827e+06 3479.93 0.11 0.60 0.41 -1 -1 0.11 0.342636 0.302539 2792 2051 741 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_41.v common 55.97 vpr 100.12 MiB 0.28 18892 -1 -1 1 0.79 -1 -1 41680 -1 -1 503 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 102528 22 19 5950 4932 1 3094 556 29 29 841 clb auto 53.5 MiB 4.83 23253 203921 55346 138410 10165 96.1 MiB 2.98 0.03 4.61893 -3970.92 -4.61893 4.61893 1.03 0.00965502 0.00857901 0.924427 0.814131 -1 -1 -1 -1 46 38328 40 2.27421e+07 1.1129e+07 2.44858e+06 2911.51 40.38 4.72152 4.07739 76360 586757 -1 31129 15 8893 11902 1087277 277178 4.60176 4.60176 -4089.4 -4.60176 0 0 3.00610e+06 3574.43 0.13 0.58 0.45 -1 -1 0.13 0.340877 0.301051 2913 2153 760 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_42.v common 74.93 vpr 99.47 MiB 0.27 18904 -1 -1 1 0.79 -1 -1 42788 -1 -1 513 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 101860 22 19 6024 4989 1 3148 566 29 29 841 clb auto 54.1 MiB 4.41 22830 211166 59240 141200 10726 96.8 MiB 2.96 0.03 4.43775 -3997.01 -4.43775 4.43775 1.01 0.00969329 0.00859979 0.922478 0.811344 -1 -1 -1 -1 42 39678 45 2.27421e+07 1.12558e+07 2.20371e+06 2620.35 59.84 4.84155 4.16362 73840 534976 -1 32359 14 9876 13428 1401066 347746 4.60176 4.60176 -4350.95 -4.60176 0 0 2.80981e+06 3341.03 0.12 0.61 0.41 -1 -1 0.12 0.320164 0.283135 2951 2172 779 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_43.v common 59.67 vpr 100.73 MiB 0.16 19380 -1 -1 1 0.83 -1 -1 43312 -1 -1 527 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 103152 22 19 6198 5129 1 3246 580 29 29 841 clb auto 55.2 MiB 5.06 22568 213276 59260 142165 11851 97.8 MiB 3.02 0.03 4.48156 -4094.3 -4.48156 4.48156 1.01 0.0098339 0.00871562 0.944832 0.83149 -1 -1 -1 -1 42 38259 32 2.27421e+07 1.14333e+07 2.20371e+06 2620.35 43.60 4.75757 4.09287 73840 534976 -1 32280 14 9814 12354 1271110 324285 4.72196 4.72196 -4339.98 -4.72196 0 0 2.80981e+06 3341.03 0.12 0.60 0.41 -1 -1 0.12 0.336532 0.298208 3035 2237 798 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_44.v common 35.97 vpr 100.86 MiB 0.29 19508 -1 -1 1 0.81 -1 -1 43228 -1 -1 537 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 103276 22 19 6272 5186 1 3294 590 29 29 841 clb auto 55.5 MiB 3.65 24915 223160 61566 149499 12095 97.2 MiB 3.17 0.03 4.30031 -4147.52 -4.30031 4.30031 1.00 0.0101983 0.00907972 0.953002 0.837349 -1 -1 -1 -1 44 39380 46 2.27421e+07 1.15601e+07 2.32714e+06 2767.11 21.29 4.36264 3.77976 75520 568895 -1 33796 14 10132 13021 1291303 339568 4.48156 4.48156 -4287.54 -4.48156 0 0 2.93945e+06 3495.19 0.13 0.63 0.44 -1 -1 0.13 0.351015 0.310675 3073 2256 817 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_45.v common 57.94 vpr 104.75 MiB 0.29 19904 -1 -1 1 0.90 -1 -1 43816 -1 -1 552 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 107268 22 19 6485 5365 1 3397 606 30 30 900 clb auto 56.4 MiB 5.35 22826 236402 66664 157987 11751 102.1 MiB 3.35 0.04 4.30031 -4327.06 -4.30031 4.30031 1.07 0.0103941 0.00921231 1.01692 0.892236 -1 -1 -1 -1 42 39063 32 2.45387e+07 1.21463e+07 2.38040e+06 2644.88 40.63 5.17453 4.4485 79656 579061 -1 32498 17 10688 13571 1349892 354774 4.36136 4.36136 -4464.7 -4.36136 0 0 3.03473e+06 3371.92 0.13 0.72 0.44 -1 -1 0.13 0.414525 0.366798 3178 2342 836 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_46.v common 79.18 vpr 109.56 MiB 0.31 20060 -1 -1 1 0.90 -1 -1 43976 -1 -1 561 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 112192 22 19 6559 5422 1 3448 615 30 30 900 clb auto 57.0 MiB 5.34 26238 235875 67155 157286 11434 102.7 MiB 3.40 0.04 4.36136 -4393.99 -4.36136 4.36136 1.10 0.0104952 0.00931238 1.0138 0.890586 -1 -1 -1 -1 46 43297 47 2.45387e+07 1.22604e+07 2.64444e+06 2938.27 61.93 5.96785 5.13079 82352 635175 -1 34368 13 9512 12577 1088573 277452 4.72196 4.72196 -4493.39 -4.72196 0 0 3.24625e+06 3606.94 0.14 0.58 0.48 -1 -1 0.14 0.337614 0.299528 3216 2361 855 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_47.v common 70.81 vpr 109.25 MiB 0.33 20452 -1 -1 1 0.91 -1 -1 44560 -1 -1 576 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 111876 22 19 6735 5564 1 3551 630 30 30 900 clb auto 57.6 MiB 5.52 26234 246239 69768 163103 13368 99.9 MiB 3.64 0.04 4.48156 -4453.91 -4.48156 4.48156 1.09 0.0106938 0.00949033 1.08777 0.956826 -1 -1 -1 -1 42 44568 48 2.45387e+07 1.24505e+07 2.38040e+06 2644.88 52.73 6.33327 5.47219 79656 579061 -1 37044 14 11360 14844 1452755 384706 4.60176 4.60176 -4729.08 -4.60176 0 0 3.03473e+06 3371.92 0.13 0.77 0.45 -1 -1 0.13 0.397003 0.353637 3302 2428 874 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_48.v common 53.90 vpr 106.59 MiB 0.34 20712 -1 -1 1 0.98 -1 -1 44060 -1 -1 586 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 109152 22 19 6809 5621 1 3598 640 30 30 900 clb auto 58.1 MiB 5.65 25957 226714 60516 153073 13125 100.3 MiB 3.42 0.04 4.48156 -4577.04 -4.48156 4.48156 1.08 0.0122992 0.011025 1.07132 0.952942 -1 -1 -1 -1 40 39542 20 2.45387e+07 1.25773e+07 2.26021e+06 2511.35 36.17 4.80152 4.16427 78756 556581 -1 36210 14 10844 14609 1371923 368108 4.60176 4.60176 -4651.57 -4.60176 0 0 2.95601e+06 3284.46 0.13 0.69 0.43 -1 -1 0.13 0.377342 0.334957 3340 2447 893 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_49.v common 79.11 vpr 114.80 MiB 0.34 21056 -1 -1 1 0.74 -1 -1 44420 -1 -1 603 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 117560 22 19 7094 5872 1 3710 658 31 31 961 clb auto 59.8 MiB 5.76 26354 263778 75192 175025 13561 110.3 MiB 3.91 0.04 4.48156 -4771.28 -4.48156 4.48156 1.21 0.0126262 0.0112964 1.25304 1.10386 -1 -1 -1 -1 46 44230 42 2.51726e+07 1.31888e+07 2.83339e+06 2948.38 60.39 7.21403 6.20525 87676 681014 -1 35529 16 10823 16032 1351024 352050 4.36136 4.36136 -5038.62 -4.36136 0 0 3.47841e+06 3619.58 0.16 0.73 0.51 -1 -1 0.16 0.426368 0.376481 3481 2569 912 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_50.v common 69.64 vpr 117.25 MiB 0.33 21220 -1 -1 1 1.00 -1 -1 44112 -1 -1 614 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 120064 22 19 7168 5929 1 3754 669 31 31 961 clb auto 60.1 MiB 5.83 25760 272601 75535 184468 12598 108.9 MiB 3.91 0.05 4.63521 -4753.76 -4.63521 4.63521 1.10 0.0114604 0.0101821 1.12778 0.991799 -1 -1 -1 -1 44 42981 31 2.51726e+07 1.33283e+07 2.69324e+06 2802.54 50.84 5.56196 4.7921 86716 660222 -1 35509 13 10309 13167 1324969 338104 4.60176 4.60176 -5206.48 -4.60176 0 0 3.40179e+06 3539.84 0.15 0.65 0.50 -1 -1 0.15 0.36704 0.325871 3519 2588 931 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_51.v common 60.28 vpr 114.66 MiB 0.34 21636 -1 -1 1 1.07 -1 -1 44780 -1 -1 625 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 117412 22 19 7344 6071 1 3857 680 31 31 961 clb auto 61.3 MiB 5.94 26161 257734 70906 174274 12554 111.3 MiB 2.73 0.03 4.37853 -4865.41 -4.37853 4.37853 1.22 0.00747658 0.00675377 0.766711 0.675548 -1 -1 -1 -1 44 41331 18 2.51726e+07 1.34678e+07 2.69324e+06 2802.54 42.09 4.76863 4.12182 86716 660222 -1 36187 13 10660 14374 1351190 352092 4.72196 4.72196 -5458.17 -4.72196 0 0 3.40179e+06 3539.84 0.15 0.66 0.50 -1 -1 0.15 0.38 0.337294 3605 2655 950 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_pipe_52.v common 44.14 vpr 119.98 MiB 0.34 21732 -1 -1 1 1.08 -1 -1 45148 -1 -1 635 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 122860 22 19 7418 6128 1 3906 690 31 31 961 clb auto 61.3 MiB 6.08 28871 274920 78750 182461 13709 111.5 MiB 3.99 0.04 4.43768 -4983.66 -4.43768 4.43768 1.13 0.0123393 0.0106459 1.22209 1.06594 -1 -1 -1 -1 46 46835 48 2.51726e+07 1.35945e+07 2.83339e+06 2948.38 24.63 6.18987 5.3433 87676 681014 -1 38379 14 10851 13974 1279416 322337 4.60176 4.60176 -5144.51 -4.60176 0 0 3.47841e+06 3619.58 0.15 0.69 0.51 -1 -1 0.15 0.42251 0.375983 3643 2674 969 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_14.v common 5.31 vpr 68.05 MiB 0.09 9392 -1 -1 1 0.16 -1 -1 34744 -1 -1 105 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69680 22 19 1246 925 1 729 150 16 16 256 mult_36 auto 28.7 MiB 0.52 4160 26418 6704 16563 3151 68.0 MiB 0.44 0.01 8.18946 -379.926 -8.18946 8.18946 0.28 0.00245439 0.00224648 0.174054 0.15933 -1 -1 -1 -1 36 8227 45 6.34292e+06 2.91519e+06 535569. 2092.07 1.96 0.686356 0.60664 20808 126872 -1 6738 21 4778 5740 585692 172946 7.94134 7.94134 -432.661 -7.94134 0 0 684529. 2673.94 0.03 0.23 0.10 -1 -1 0.03 0.106199 0.0943834 591 344 247 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_15.v common 8.46 vpr 68.33 MiB 0.11 9644 -1 -1 1 0.13 -1 -1 35156 -1 -1 112 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69972 22 19 1344 989 1 789 158 16 16 256 mult_36 auto 29.5 MiB 0.57 4600 26632 6200 16116 4316 68.3 MiB 0.46 0.01 8.12172 -413.538 -8.12172 8.12172 0.27 0.00267927 0.0024552 0.178043 0.162955 -1 -1 -1 -1 44 8792 26 6.34292e+06 3.39994e+06 649498. 2537.10 4.92 1.22703 1.08142 22336 155612 -1 7083 23 4694 5523 588672 163156 7.40334 7.40334 -465.355 -7.40334 0 0 820238. 3204.05 0.03 0.24 0.13 -1 -1 0.03 0.121972 0.10842 635 369 266 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_16.v common 12.89 vpr 69.17 MiB 0.11 9680 -1 -1 1 0.14 -1 -1 35112 -1 -1 119 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70832 22 19 1418 1046 1 827 165 16 16 256 mult_36 auto 30.0 MiB 0.55 4947 22313 4624 15079 2610 69.2 MiB 0.40 0.01 8.21634 -439.597 -8.21634 8.21634 0.27 0.00275629 0.00252619 0.146835 0.134368 -1 -1 -1 -1 38 9818 44 6.34292e+06 3.48868e+06 558663. 2182.28 9.35 1.32181 1.16107 21316 135884 -1 7841 23 5646 6686 632858 183094 7.57974 7.57974 -543.186 -7.57974 0 0 744679. 2908.90 0.03 0.26 0.11 -1 -1 0.03 0.135091 0.120631 673 388 285 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_17.v common 9.14 vpr 69.59 MiB 0.11 10272 -1 -1 1 0.18 -1 -1 35368 -1 -1 127 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71256 22 19 1518 1112 1 892 173 16 16 256 mult_36 auto 30.3 MiB 0.65 5503 28071 5933 18743 3395 69.6 MiB 0.49 0.01 8.91743 -462.46 -8.91743 8.91743 0.29 0.00295234 0.00270461 0.189539 0.173372 -1 -1 -1 -1 38 11258 42 6.34292e+06 3.59011e+06 558663. 2182.28 5.29 1.1709 1.0309 21316 135884 -1 8513 21 6222 7437 682588 201755 8.48434 8.48434 -576.047 -8.48434 0 0 744679. 2908.90 0.03 0.27 0.11 -1 -1 0.03 0.128485 0.114432 719 415 304 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_18.v common 6.42 vpr 69.94 MiB 0.10 10248 -1 -1 1 0.21 -1 -1 34952 -1 -1 134 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71616 22 19 1592 1169 1 928 180 16 16 256 clb mult_36 auto 30.7 MiB 0.64 5724 31676 7309 20857 3510 69.9 MiB 0.57 0.01 8.93251 -473.848 -8.93251 8.93251 0.28 0.00321904 0.00295322 0.2123 0.193994 -1 -1 -1 -1 40 10073 47 6.34292e+06 3.67885e+06 583096. 2277.72 2.38 0.879715 0.778536 21572 140635 -1 8764 24 5909 7048 709438 207670 8.43039 8.43039 -586.215 -8.43039 0 0 763333. 2981.77 0.03 0.30 0.11 -1 -1 0.03 0.1475 0.13093 757 434 323 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_19.v common 16.88 vpr 70.49 MiB 0.12 10616 -1 -1 1 0.20 -1 -1 35336 -1 -1 141 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72184 22 19 1688 1231 1 988 188 17 17 289 clb auto 31.2 MiB 0.71 6258 37848 9148 22741 5959 70.5 MiB 0.63 0.01 9.05754 -504.418 -9.05754 9.05754 0.31 0.00319327 0.00290678 0.237097 0.216084 -1 -1 -1 -1 44 11257 33 6.65987e+06 4.1636e+06 742403. 2568.87 12.65 1.70433 1.49671 25138 178286 -1 9123 22 5698 6671 729354 204335 8.54048 8.54048 -561.246 -8.54048 0 0 937218. 3242.97 0.04 0.30 0.14 -1 -1 0.04 0.14804 0.131823 799 457 342 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_20.v common 14.65 vpr 70.83 MiB 0.13 10704 -1 -1 1 0.21 -1 -1 35564 -1 -1 147 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72528 22 19 1762 1288 1 1026 194 17 17 289 clb auto 31.6 MiB 0.69 6372 41708 10894 25393 5421 70.8 MiB 0.72 0.01 8.88444 -508.436 -8.88444 8.88444 0.31 0.00339803 0.00310403 0.264787 0.240982 -1 -1 -1 -1 40 12323 50 6.65987e+06 4.23967e+06 666494. 2306.21 10.22 1.61063 1.418 24274 161130 -1 9898 23 7805 9172 952233 263407 8.50239 8.50239 -652.802 -8.50239 0 0 872365. 3018.56 0.03 0.37 0.13 -1 -1 0.03 0.16399 0.145904 837 476 361 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_21.v common 9.41 vpr 71.57 MiB 0.14 11128 -1 -1 1 0.22 -1 -1 35816 -1 -1 153 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73284 22 19 1859 1351 1 1087 200 17 17 289 clb auto 32.1 MiB 0.71 7135 41080 9703 25137 6240 71.6 MiB 0.74 0.01 9.05481 -571.674 -9.05481 9.05481 0.32 0.00355987 0.00325093 0.260262 0.23706 -1 -1 -1 -1 48 12634 30 6.65987e+06 4.31573e+06 816265. 2824.45 4.94 1.51572 1.33563 25714 189529 -1 10421 20 5528 6749 743939 205293 8.25194 8.25194 -695.98 -8.25194 0 0 986792. 3414.50 0.04 0.30 0.15 -1 -1 0.04 0.14708 0.131047 880 500 380 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_22.v common 6.87 vpr 71.99 MiB 0.14 11160 -1 -1 1 0.23 -1 -1 35832 -1 -1 160 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73716 22 19 1933 1408 1 1125 207 17 17 289 clb auto 32.5 MiB 0.72 7164 37539 8536 23747 5256 72.0 MiB 0.67 0.01 8.99572 -564.854 -8.99572 8.99572 0.31 0.00365369 0.00332109 0.239411 0.217447 -1 -1 -1 -1 46 12071 28 6.65987e+06 4.40448e+06 782063. 2706.10 2.34 0.897038 0.793261 25426 183746 -1 9756 23 5544 6782 656975 182769 8.12179 8.12179 -677.438 -8.12179 0 0 958460. 3316.47 0.04 0.33 0.15 -1 -1 0.04 0.181285 0.161567 918 519 399 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_23.v common 12.72 vpr 72.27 MiB 0.14 11396 -1 -1 1 0.24 -1 -1 36052 -1 -1 169 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74008 22 19 2031 1472 1 1187 217 18 18 324 clb mult_36 auto 32.8 MiB 0.78 7269 45136 10448 29894 4794 72.3 MiB 0.84 0.01 8.94717 -568.771 -8.94717 8.94717 0.36 0.00385211 0.00351229 0.273839 0.249158 -1 -1 -1 -1 40 14012 42 7.79418e+06 4.91458e+06 763742. 2357.23 7.80 1.66763 1.46752 27732 185676 -1 11431 21 7670 9036 921671 269036 8.55559 8.55559 -694.235 -8.55559 0 0 999570. 3085.09 0.04 0.36 0.15 -1 -1 0.04 0.166579 0.14786 962 544 418 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_24.v common 10.07 vpr 73.32 MiB 0.15 11604 -1 -1 1 0.26 -1 -1 36112 -1 -1 175 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75084 22 19 2105 1529 1 1224 223 18 18 324 clb mult_36 auto 33.8 MiB 0.82 7856 45515 10622 31014 3879 73.3 MiB 0.82 0.01 8.93681 -595.083 -8.93681 8.93681 0.35 0.00381823 0.00346963 0.275426 0.250002 -1 -1 -1 -1 46 14077 24 7.79418e+06 4.99065e+06 895831. 2764.91 5.04 1.60029 1.40502 29024 211752 -1 11512 22 7514 8893 918553 261407 8.35219 8.35219 -631.356 -8.35219 0 0 1.09776e+06 3388.15 0.04 0.37 0.17 -1 -1 0.04 0.180825 0.160726 1000 563 437 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_25.v common 10.13 vpr 73.51 MiB 0.16 11912 -1 -1 1 0.28 -1 -1 36424 -1 -1 182 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75272 22 19 2201 1591 1 1284 230 18 18 324 clb mult_36 auto 33.8 MiB 0.85 8224 50918 12167 30031 8720 73.5 MiB 0.83 0.01 8.99737 -625.34 -8.99737 8.99737 0.36 0.00397983 0.00362109 0.314202 0.285111 -1 -1 -1 -1 48 14542 36 7.79418e+06 5.0794e+06 935225. 2886.50 4.88 1.31157 1.15852 29348 218440 -1 11961 24 7008 8736 915317 241367 8.56039 8.56039 -704.083 -8.56039 0 0 1.13028e+06 3488.51 0.04 0.40 0.17 -1 -1 0.04 0.204017 0.181375 1042 586 456 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_26.v common 8.53 vpr 73.86 MiB 0.16 11876 -1 -1 1 0.27 -1 -1 37400 -1 -1 189 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75628 22 19 2275 1648 1 1320 237 18 18 324 clb mult_36 auto 34.1 MiB 0.81 8346 50814 11462 34501 4851 73.9 MiB 0.96 0.01 9.09558 -657.157 -9.09558 9.09558 0.36 0.00436876 0.00398285 0.319295 0.290452 -1 -1 -1 -1 44 15339 45 7.79418e+06 5.16814e+06 850563. 2625.19 3.22 1.21177 1.07151 28700 205432 -1 12115 22 7823 9299 982087 267789 8.25188 8.25188 -856.357 -8.25188 0 0 1.07356e+06 3313.45 0.04 0.38 0.16 -1 -1 0.04 0.191349 0.170229 1080 605 475 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_27.v common 15.88 vpr 74.38 MiB 0.17 12296 -1 -1 1 0.32 -1 -1 36844 -1 -1 199 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76168 22 19 2385 1724 1 1395 248 19 19 361 clb auto 34.5 MiB 0.87 8353 59376 14664 39126 5586 74.4 MiB 1.05 0.01 9.03062 -667.978 -9.03062 9.03062 0.40 0.00460919 0.00421162 0.363484 0.330023 -1 -1 -1 -1 42 16704 48 8.16184e+06 5.69092e+06 904410. 2505.29 10.08 2.07236 1.82372 31162 217923 -1 12970 23 9018 10799 1228060 332168 8.43914 8.43914 -824.709 -8.43914 0 0 1.15452e+06 3198.10 0.05 0.46 0.17 -1 -1 0.05 0.21151 0.188231 1136 642 494 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_28.v common 14.65 vpr 74.91 MiB 0.17 12344 -1 -1 1 0.31 -1 -1 36780 -1 -1 205 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76704 22 19 2459 1781 1 1432 254 19 19 361 clb auto 35.4 MiB 0.92 9018 56534 13243 37560 5731 74.9 MiB 1.03 0.01 8.88955 -674.785 -8.88955 8.88955 0.40 0.00457118 0.00414258 0.346513 0.314297 -1 -1 -1 -1 44 17256 40 8.16184e+06 5.76699e+06 956673. 2650.06 8.81 2.05959 1.80858 31882 231471 -1 13572 25 8499 10267 1046865 284793 8.18713 8.18713 -942.053 -8.18713 0 0 1.20750e+06 3344.89 0.05 0.47 0.19 -1 -1 0.05 0.235997 0.209666 1174 661 513 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_29.v common 30.75 vpr 75.54 MiB 0.18 12552 -1 -1 1 0.32 -1 -1 37168 -1 -1 215 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77352 22 19 2565 1853 1 1503 265 22 22 484 mult_36 auto 36.2 MiB 0.97 9808 64015 16460 42593 4962 75.5 MiB 1.13 0.02 9.05375 -732.273 -9.05375 9.05375 0.55 0.00470731 0.00428202 0.384556 0.348512 -1 -1 -1 -1 40 18429 28 1.26954e+07 6.28977e+06 1.17677e+06 2431.33 24.28 2.52808 2.22106 41974 287914 -1 15451 24 9834 11638 1274098 332704 8.80894 8.80894 -983.307 -8.80894 0 0 1.53957e+06 3180.94 0.06 0.49 0.23 -1 -1 0.06 0.230917 0.205166 1226 694 532 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_30.v common 30.96 vpr 76.09 MiB 0.18 12684 -1 -1 1 0.33 -1 -1 37320 -1 -1 221 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77912 22 19 2639 1910 1 1539 271 22 22 484 mult_36 auto 36.4 MiB 0.97 10302 64219 16193 42182 5844 76.1 MiB 1.11 0.02 9.22277 -769.765 -9.22277 9.22277 0.55 0.00470315 0.00427788 0.370428 0.335355 -1 -1 -1 -1 40 19440 32 1.26954e+07 6.36584e+06 1.17677e+06 2431.33 24.43 2.31453 2.03196 41974 287914 -1 16183 23 10677 12986 1545071 400344 8.83708 8.83708 -956.651 -8.83708 0 0 1.53957e+06 3180.94 0.06 0.53 0.23 -1 -1 0.06 0.225759 0.200111 1264 713 551 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_31.v common 36.68 vpr 76.55 MiB 0.19 13056 -1 -1 1 0.34 -1 -1 37400 -1 -1 231 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78384 22 19 2744 1981 1 1609 281 22 22 484 mult_36 auto 36.9 MiB 0.98 10126 69281 17690 45544 6047 76.5 MiB 0.84 0.01 9.10009 -768.223 -9.10009 9.10009 0.46 0.00267629 0.00237504 0.201205 0.179204 -1 -1 -1 -1 42 19593 48 1.26954e+07 6.49262e+06 1.23890e+06 2559.71 30.41 2.62399 2.29404 42458 299760 -1 15731 23 10704 13040 1450388 391446 8.44833 8.44833 -982.709 -8.44833 0 0 1.58090e+06 3266.32 0.06 0.52 0.23 -1 -1 0.06 0.236328 0.209851 1315 745 570 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_32.v common 33.88 vpr 76.83 MiB 0.19 13080 -1 -1 1 0.35 -1 -1 36764 -1 -1 236 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78676 22 19 2818 2038 1 1646 286 22 22 484 mult_36 auto 37.1 MiB 1.00 10849 71802 17943 45490 8369 76.8 MiB 1.24 0.02 8.94501 -864.735 -8.94501 8.94501 0.55 0.0049957 0.00451827 0.413592 0.373677 -1 -1 -1 -1 44 20039 48 1.26954e+07 6.55601e+06 1.30964e+06 2705.88 27.00 2.68757 2.35224 43422 318546 -1 16205 24 10648 13048 1540264 386711 8.48928 8.48928 -1280.16 -8.48928 0 0 1.65337e+06 3416.05 0.07 0.58 0.25 -1 -1 0.07 0.259517 0.230539 1353 764 589 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_33.v common 12.19 vpr 77.92 MiB 0.21 13600 -1 -1 1 0.39 -1 -1 37420 -1 -1 247 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79792 22 19 2923 2109 1 1714 298 22 22 484 mult_36 auto 37.9 MiB 1.06 11169 76913 19397 50723 6793 77.9 MiB 1.47 0.02 9.55538 -843.83 -9.55538 9.55538 0.54 0.00549555 0.00492464 0.43521 0.392677 -1 -1 -1 -1 44 20485 28 1.26954e+07 7.09147e+06 1.30964e+06 2705.88 4.85 1.62228 1.43445 43422 318546 -1 16638 23 10430 12535 1417390 365747 9.23394 9.23394 -1234.03 -9.23394 0 0 1.65337e+06 3416.05 0.07 0.61 0.27 -1 -1 0.07 0.278222 0.248351 1404 796 608 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_34.v common 16.28 vpr 78.61 MiB 0.21 13756 -1 -1 1 0.38 -1 -1 37744 -1 -1 252 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80492 22 19 2997 2166 1 1752 303 22 22 484 mult_36 auto 38.4 MiB 1.07 11457 87765 22610 57079 8076 78.6 MiB 1.51 0.02 9.82175 -865.437 -9.82175 9.82175 0.55 0.00540951 0.00484292 0.486907 0.438951 -1 -1 -1 -1 46 21186 48 1.26954e+07 7.15486e+06 1.37878e+06 2848.72 8.99 2.50854 2.20394 43906 328446 -1 16146 22 10196 12149 1270751 325193 8.88451 8.88451 -1105.21 -8.88451 0 0 1.69059e+06 3492.95 0.07 0.52 0.26 -1 -1 0.07 0.252525 0.224198 1442 815 627 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_35.v common 13.68 vpr 79.01 MiB 0.21 14108 -1 -1 1 0.40 -1 -1 37612 -1 -1 261 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80908 22 19 3101 2236 1 1820 312 22 22 484 mult_36 auto 39.0 MiB 1.14 11934 82758 20880 50627 11251 79.0 MiB 1.34 0.02 9.8852 -892.43 -9.8852 9.8852 0.55 0.00546659 0.00496624 0.453327 0.409557 -1 -1 -1 -1 46 21645 30 1.26954e+07 7.26896e+06 1.37878e+06 2848.72 6.40 1.75215 1.5446 43906 328446 -1 17175 21 10779 12787 1299677 342288 9.12385 9.12385 -1139.57 -9.12385 0 0 1.69059e+06 3492.95 0.07 0.51 0.26 -1 -1 0.07 0.247777 0.220415 1492 846 646 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_36.v common 29.16 vpr 79.44 MiB 0.22 14152 -1 -1 1 0.41 -1 -1 38012 -1 -1 267 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81344 22 19 3175 2293 1 1856 318 22 22 484 clb mult_36 auto 39.3 MiB 0.67 12051 83863 20248 54692 8923 79.4 MiB 1.49 0.02 9.69546 -875.672 -9.69546 9.69546 0.55 0.00585588 0.00531908 0.47102 0.425378 -1 -1 -1 -1 44 22079 33 1.26954e+07 7.34503e+06 1.30964e+06 2705.88 22.17 2.83335 2.48803 43422 318546 -1 17786 20 10679 12928 1403115 375898 8.98554 8.98554 -1159.53 -8.98554 0 0 1.65337e+06 3416.05 0.07 0.54 0.25 -1 -1 0.07 0.247037 0.219984 1530 865 665 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_37.v common 50.73 vpr 79.91 MiB 0.22 14476 -1 -1 1 0.43 -1 -1 37432 -1 -1 277 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81824 22 19 3280 2364 1 1927 329 24 24 576 mult_36 auto 39.9 MiB 1.19 13089 93399 24069 58871 10459 79.9 MiB 1.64 0.02 10.0278 -931.458 -10.0278 10.0278 0.66 0.00585079 0.00529975 0.511938 0.462388 -1 -1 -1 -1 44 24746 48 1.53347e+07 7.86781e+06 1.55518e+06 2699.97 42.56 3.36034 2.94949 51346 378163 -1 19101 24 10483 12749 1445138 370779 9.15305 9.15305 -1315.65 -9.15305 0 0 1.96475e+06 3411.02 0.09 0.65 0.30 -1 -1 0.09 0.321108 0.286566 1581 897 684 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_38.v common 20.01 vpr 80.54 MiB 0.23 14548 -1 -1 1 0.44 -1 -1 37976 -1 -1 283 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82468 22 19 3354 2421 1 1964 335 24 24 576 mult_36 auto 40.2 MiB 1.19 13631 94538 24415 58151 11972 80.5 MiB 1.66 0.02 9.80243 -931.487 -9.80243 9.80243 0.66 0.00605148 0.00549069 0.519767 0.468167 -1 -1 -1 -1 48 24034 50 1.53347e+07 7.94387e+06 1.71014e+06 2969.00 11.77 3.1385 2.75051 52498 402441 -1 19170 21 10942 13302 1390572 367945 9.29225 9.29225 -1363.71 -9.29225 0 0 2.06880e+06 3591.66 0.09 0.60 0.32 -1 -1 0.09 0.283267 0.252032 1619 916 703 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_39.v common 40.95 vpr 81.18 MiB 0.23 14900 -1 -1 1 0.45 -1 -1 38280 -1 -1 291 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83124 22 19 3457 2490 1 2029 343 24 24 576 mult_36 auto 40.6 MiB 1.25 12908 104743 26953 66431 11359 81.2 MiB 1.87 0.02 9.65917 -959.165 -9.65917 9.65917 0.65 0.00628697 0.00567719 0.573666 0.514491 -1 -1 -1 -1 42 23417 37 1.53347e+07 8.0453e+06 1.47183e+06 2555.26 32.44 3.36348 2.95292 50198 355779 -1 19408 24 11435 14433 1471638 402159 9.72679 9.72679 -1325.66 -9.72679 0 0 1.87785e+06 3260.16 0.08 0.61 0.28 -1 -1 0.08 0.303259 0.269095 1668 946 722 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_40.v common 13.26 vpr 81.64 MiB 0.23 14808 -1 -1 1 0.47 -1 -1 38788 -1 -1 299 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83600 22 19 3531 2547 1 2068 351 24 24 576 mult_36 auto 41.0 MiB 1.23 13183 106733 27726 67701 11306 81.6 MiB 1.85 0.02 9.88753 -1024.27 -9.88753 9.88753 0.65 0.00631373 0.00572741 0.561308 0.5069 -1 -1 -1 -1 44 23661 29 1.53347e+07 8.14672e+06 1.55518e+06 2699.97 4.75 1.77696 1.57024 51346 378163 -1 19622 24 11957 13989 1618253 426850 9.21374 9.21374 -1234.06 -9.21374 0 0 1.96475e+06 3411.02 0.08 0.65 0.30 -1 -1 0.08 0.312691 0.277075 1706 965 741 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_41.v common 14.40 vpr 81.74 MiB 0.24 15312 -1 -1 1 0.50 -1 -1 38104 -1 -1 307 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83700 22 19 3634 2616 1 2134 360 24 24 576 mult_36 auto 41.2 MiB 1.26 14446 116840 32375 75565 8900 81.7 MiB 2.00 0.02 10.0236 -1008.9 -10.0236 10.0236 0.65 0.00633626 0.00573895 0.607276 0.546625 -1 -1 -1 -1 44 25761 32 1.53347e+07 8.64415e+06 1.55518e+06 2699.97 5.57 2.04139 1.80673 51346 378163 -1 21087 21 11271 13623 1477057 404011 9.5424 9.5424 -1335.1 -9.5424 0 0 1.96475e+06 3411.02 0.08 0.62 0.30 -1 -1 0.08 0.294523 0.261802 1755 995 760 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_42.v common 15.83 vpr 82.35 MiB 0.25 15496 -1 -1 1 0.50 -1 -1 38276 -1 -1 312 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84324 22 19 3708 2673 1 2172 365 24 24 576 mult_36 auto 41.8 MiB 1.31 14568 115029 30924 70354 13751 82.3 MiB 2.00 0.02 9.73334 -1047.71 -9.73334 9.73334 0.65 0.00655414 0.00593875 0.607151 0.546082 -1 -1 -1 -1 46 25511 38 1.53347e+07 8.70754e+06 1.63708e+06 2842.15 6.88 2.23733 1.96964 51922 389946 -1 20523 23 12018 14580 1427744 376333 9.00545 9.00545 -1418.97 -9.00545 0 0 2.00908e+06 3487.99 0.08 0.62 0.30 -1 -1 0.08 0.315271 0.279796 1793 1014 779 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_43.v common 41.00 vpr 83.03 MiB 0.25 15548 -1 -1 1 0.51 -1 -1 38884 -1 -1 321 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85024 22 19 3810 2741 1 2238 374 24 24 576 mult_36 auto 42.4 MiB 0.90 14397 121604 32463 78170 10971 83.0 MiB 2.15 0.02 9.61984 -1125.51 -9.61984 9.61984 0.65 0.00686253 0.00622174 0.651618 0.58606 -1 -1 -1 -1 44 26032 45 1.53347e+07 8.82164e+06 1.55518e+06 2699.97 32.23 3.85533 3.38126 51346 378163 -1 20775 24 11842 14675 1566458 429897 8.97559 8.97559 -1479.72 -8.97559 0 0 1.96475e+06 3411.02 0.08 0.69 0.29 -1 -1 0.08 0.342568 0.3041 1841 1043 798 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_44.v common 37.96 vpr 83.64 MiB 0.26 15740 -1 -1 1 0.54 -1 -1 38384 -1 -1 327 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85648 22 19 3884 2798 1 2275 380 24 24 576 mult_36 auto 42.6 MiB 1.35 15539 118630 31190 77073 10367 83.6 MiB 2.07 0.02 9.89644 -1110.17 -9.89644 9.89644 0.65 0.00661873 0.00598402 0.608096 0.546833 -1 -1 -1 -1 46 29486 49 1.53347e+07 8.89771e+06 1.63708e+06 2842.15 28.52 3.60187 3.15858 51922 389946 -1 22295 22 13164 15505 1637618 411285 9.16105 9.16105 -1468.88 -9.16105 0 0 2.00908e+06 3487.99 0.08 0.76 0.30 -1 -1 0.08 0.362661 0.324191 1879 1062 817 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_45.v common 15.25 vpr 83.84 MiB 0.15 16032 -1 -1 1 0.55 -1 -1 40476 -1 -1 335 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85856 22 19 3989 2869 1 2344 389 24 24 576 mult_36 auto 43.0 MiB 1.40 15478 116747 31175 71246 14326 83.8 MiB 2.04 0.03 9.77622 -1126.87 -9.77622 9.77622 0.67 0.00716547 0.00642942 0.62059 0.555725 -1 -1 -1 -1 46 26603 43 1.53347e+07 9.39513e+06 1.63708e+06 2842.15 5.93 2.23211 1.96414 51922 389946 -1 21385 24 11715 14453 1373115 371858 9.27519 9.27519 -1522.38 -9.27519 0 0 2.00908e+06 3487.99 0.08 0.69 0.31 -1 -1 0.08 0.382799 0.341776 1930 1094 836 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_46.v common 16.53 vpr 84.39 MiB 0.26 16156 -1 -1 1 0.55 -1 -1 40524 -1 -1 342 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86416 22 19 4063 2926 1 2380 396 24 24 576 clb mult_36 auto 43.4 MiB 1.36 15250 123901 32314 76950 14637 84.4 MiB 2.24 0.03 9.8168 -1154.71 -9.8168 9.8168 0.65 0.00778423 0.00705533 0.688833 0.617709 -1 -1 -1 -1 48 26138 26 1.53347e+07 9.48388e+06 1.71014e+06 2969.00 7.04 2.41932 2.13329 52498 402441 -1 21777 22 11340 13931 1353145 363176 9.30911 9.30911 -1575.38 -9.30911 0 0 2.06880e+06 3591.66 0.09 0.64 0.32 -1 -1 0.09 0.336986 0.299668 1968 1113 855 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_47.v common 32.49 vpr 84.93 MiB 0.27 16524 -1 -1 1 0.57 -1 -1 40764 -1 -1 352 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86964 22 19 4167 2996 1 2450 406 24 24 576 clb mult_36 auto 43.9 MiB 1.44 16343 143096 37626 91091 14379 84.9 MiB 2.63 0.03 9.76922 -1150.13 -9.76922 9.76922 0.65 0.00725651 0.00654316 0.732596 0.656751 -1 -1 -1 -1 48 28408 40 1.53347e+07 9.61066e+06 1.71014e+06 2969.00 22.66 3.80074 3.33967 52498 402441 -1 23072 22 12700 15659 1567969 422410 9.11553 9.11553 -1669.3 -9.11553 0 0 2.06880e+06 3591.66 0.08 0.75 0.24 -1 -1 0.08 0.3623 0.323049 2018 1144 874 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_48.v common 18.00 vpr 85.42 MiB 0.28 16652 -1 -1 1 0.60 -1 -1 40804 -1 -1 357 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87468 22 19 4241 3053 1 2487 411 25 25 625 clb auto 44.6 MiB 1.46 17117 127152 34394 82360 10398 85.4 MiB 2.38 0.03 9.74538 -1337.51 -9.74538 9.74538 0.72 0.00741054 0.00670671 0.661797 0.592729 -1 -1 -1 -1 48 30943 45 1.58291e+07 9.67405e+06 1.86575e+06 2985.21 7.70 2.31897 2.0396 56784 439662 -1 24260 24 15548 18942 2246437 579527 9.03454 9.03454 -1610.92 -9.03454 0 0 2.25743e+06 3611.89 0.10 0.80 0.34 -1 -1 0.10 0.366347 0.324821 2056 1163 893 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_49.v common 33.98 vpr 85.96 MiB 0.29 17048 -1 -1 1 0.62 -1 -1 41120 -1 -1 367 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88028 22 19 4346 3124 1 2556 422 25 25 625 clb auto 45.0 MiB 1.54 17917 164950 43977 106885 14088 86.0 MiB 2.98 0.03 9.72864 -1222.9 -9.72864 9.72864 0.72 0.00748269 0.00676769 0.832977 0.745272 -1 -1 -1 -1 48 29936 46 1.58291e+07 1.01968e+07 1.86575e+06 2985.21 22.95 4.21972 3.69785 56784 439662 -1 25226 23 13398 16104 1760306 465428 9.24793 9.24793 -1703.69 -9.24793 0 0 2.25743e+06 3611.89 0.10 0.73 0.35 -1 -1 0.10 0.364782 0.323472 2107 1195 912 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_50.v common 18.62 vpr 86.75 MiB 0.29 17068 -1 -1 1 0.62 -1 -1 41008 -1 -1 373 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88828 22 19 4420 3181 1 2593 428 25 25 625 clb auto 45.7 MiB 1.51 18261 148732 41199 95280 12253 86.7 MiB 2.57 0.03 9.79718 -1289.48 -9.79718 9.79718 0.71 0.00764436 0.00689871 0.720103 0.645993 -1 -1 -1 -1 48 31646 38 1.58291e+07 1.02729e+07 1.86575e+06 2985.21 7.90 2.54634 2.24429 56784 439662 -1 25317 22 14234 17411 1948373 506791 9.01254 9.01254 -2044.95 -9.01254 0 0 2.25743e+06 3611.89 0.10 0.86 0.34 -1 -1 0.10 0.407263 0.362966 2145 1214 931 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_51.v common 18.28 vpr 86.97 MiB 0.30 17412 -1 -1 1 0.66 -1 -1 41160 -1 -1 383 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 89060 22 19 4524 3251 1 2660 438 25 25 625 clb auto 46.0 MiB 1.53 18966 148356 39141 96657 12558 87.0 MiB 2.65 0.03 9.8742 -1310.12 -9.8742 9.8742 0.71 0.00878717 0.00798343 0.709788 0.637808 -1 -1 -1 -1 48 32817 33 1.58291e+07 1.03997e+07 1.86575e+06 2985.21 8.24 2.45853 2.17254 56784 439662 -1 26245 22 13943 16929 1864054 492094 9.52339 9.52339 -1741.57 -9.52339 0 0 2.25743e+06 3611.89 0.09 0.51 0.25 -1 -1 0.09 0.217762 0.195363 2195 1245 950 19 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml fir_nopipe_52.v common 18.19 vpr 87.73 MiB 0.30 17516 -1 -1 1 0.64 -1 -1 39364 -1 -1 389 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 89836 22 19 4598 3308 1 2699 444 25 25 625 clb auto 46.4 MiB 1.56 16791 164665 43738 102572 18355 87.7 MiB 2.77 0.03 9.57983 -1323.89 -9.57983 9.57983 0.71 0.00892576 0.00791173 0.83102 0.74501 -1 -1 -1 -1 50 26877 25 1.58291e+07 1.04757e+07 1.94653e+06 3114.45 7.18 2.79481 2.46273 57408 454416 -1 23850 23 15192 18227 1836466 484557 8.86851 8.86851 -1778.99 -8.86851 0 0 2.32897e+06 3726.35 0.10 0.81 0.36 -1 -1 0.10 0.4043 0.358163 2233 1264 969 19 0 0 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters_frac/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters_frac/config/golden_results.txt index 3b5b7fa0f97..5e8d0a1b566 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters_frac/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/FIR_filters_frac/config/golden_results.txt @@ -1,391 +1,391 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -k6_frac_2ripple_N8_22nm.xml fir_pipe_14.v common 8.50 vpr 71.57 MiB 0.11 10472 -1 -1 1 0.27 -1 -1 35440 -1 -1 65 22 0 4 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73284 22 19 1974 1653 1 1013 110 16 16 256 mult_36 auto 34.0 MiB 0.69 5272 15101 3393 9968 1740 71.6 MiB 0.37 0.01 4.0831 -1115.98 -4.0831 4.0831 0.58 0.0034759 0.00313328 0.217026 0.195977 64 9828 23 6.59459e+06 2.52492e+06 943753. 3686.54 3.60 0.988199 0.864659 27892 240595 -1 8477 16 3926 4549 486168 112677 4.27196 4.27196 -1212.54 -4.27196 0 0 1.19033e+06 4649.74 0.30 0.24 0.21 -1 -1 0.30 0.136181 0.121699 481 708 247 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_15.v common 9.16 vpr 72.44 MiB 0.06 10800 -1 -1 1 0.24 -1 -1 36992 -1 -1 72 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74180 22 19 2144 1789 1 1110 118 16 16 256 mult_36 auto 34.8 MiB 0.98 6136 19192 4400 11965 2827 72.4 MiB 0.47 0.01 4.07762 -1246.61 -4.07762 4.07762 0.53 0.00377881 0.00340845 0.27241 0.245631 68 11309 29 6.59459e+06 3.02225e+06 1.00038e+06 3907.74 3.77 1.15784 1.01647 28404 252462 -1 9516 17 4344 4959 564949 131023 4.27196 4.27196 -1329.64 -4.27196 0 0 1.24648e+06 4869.04 0.30 0.28 0.24 -1 -1 0.30 0.159052 0.141737 521 769 266 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_16.v common 10.44 vpr 72.95 MiB 0.08 10940 -1 -1 1 0.23 -1 -1 36856 -1 -1 74 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74700 22 19 2218 1846 1 1154 120 16 16 256 mult_36 auto 35.3 MiB 0.88 6159 16935 3952 11063 1920 72.9 MiB 0.44 0.01 4.14666 -1298.68 -4.14666 4.14666 0.59 0.00394912 0.00355481 0.245771 0.221636 64 13073 22 6.59459e+06 3.0512e+06 943753. 3686.54 5.23 1.15014 1.00888 27892 240595 -1 10191 20 4784 5574 648344 144961 4.39726 4.39726 -1411.91 -4.39726 0 0 1.19033e+06 4649.74 0.29 0.30 0.21 -1 -1 0.29 0.170515 0.151369 540 788 285 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_17.v common 28.01 vpr 74.32 MiB 0.10 11684 -1 -1 1 0.28 -1 -1 36576 -1 -1 83 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76104 22 19 2536 2130 1 1256 129 16 16 256 mult_36 auto 36.9 MiB 1.18 6865 15729 3064 11003 1662 74.3 MiB 0.42 0.01 4.27196 -1448.46 -4.27196 4.27196 0.58 0.00465454 0.00412377 0.234476 0.211013 62 14881 44 6.59459e+06 3.18149e+06 916467. 3579.95 22.37 2.23199 1.9324 27384 229598 -1 10624 18 4845 5517 591644 141708 4.27196 4.27196 -1513.48 -4.27196 0 0 1.13630e+06 4438.68 0.26 0.30 0.19 -1 -1 0.26 0.179178 0.159375 617 924 304 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_18.v common 9.57 vpr 74.83 MiB 0.10 11884 -1 -1 1 0.31 -1 -1 37200 -1 -1 86 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76624 22 19 2610 2187 1 1305 132 16 16 256 mult_36 auto 37.5 MiB 0.99 6869 16212 3205 10695 2312 74.8 MiB 0.42 0.01 4.03926 -1468.2 -4.03926 4.03926 0.58 0.00449043 0.00402742 0.234775 0.2109 70 12353 27 6.59459e+06 3.22491e+06 1.02522e+06 4004.78 3.93 1.22954 1.06835 28912 262511 -1 10716 20 5011 5723 704649 159235 4.27196 4.27196 -1543.19 -4.27196 0 0 1.29210e+06 5047.26 0.31 0.34 0.25 -1 -1 0.31 0.199818 0.1773 636 943 323 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_19.v common 10.50 vpr 75.61 MiB 0.11 12332 -1 -1 1 0.23 -1 -1 36868 -1 -1 91 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77428 22 19 2778 2321 1 1401 138 16 16 256 mult_36 auto 38.4 MiB 0.98 7751 20074 4172 13462 2440 75.6 MiB 0.50 0.01 4.20832 -1614.09 -4.20832 4.20832 0.59 0.00455987 0.00407148 0.282142 0.252858 70 14091 32 6.59459e+06 3.69329e+06 1.02522e+06 4004.78 4.69 1.46178 1.27549 28912 262511 -1 11597 19 5221 6080 670296 155538 4.27196 4.27196 -1747.26 -4.27196 0 0 1.29210e+06 5047.26 0.31 0.34 0.25 -1 -1 0.31 0.20489 0.182093 676 1002 342 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_20.v common 11.55 vpr 76.22 MiB 0.14 12328 -1 -1 1 0.36 -1 -1 36916 -1 -1 93 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78052 22 19 2852 2378 1 1441 140 16 16 256 mult_36 auto 39.0 MiB 1.20 7701 24098 5487 15382 3229 76.2 MiB 0.69 0.01 4.14666 -1615.69 -4.14666 4.14666 0.59 0.00482598 0.00432145 0.385718 0.345251 68 15216 40 6.59459e+06 3.72224e+06 1.00038e+06 3907.74 5.20 1.61757 1.41891 28404 252462 -1 12039 17 5419 6476 661435 153008 4.27196 4.27196 -1732.55 -4.27196 0 0 1.24648e+06 4869.04 0.32 0.34 0.23 -1 -1 0.32 0.201544 0.180315 695 1021 361 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_21.v common 13.54 vpr 77.15 MiB 0.15 12796 -1 -1 1 0.34 -1 -1 37844 -1 -1 97 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79000 22 19 3057 2549 1 1544 144 16 16 256 mult_36 auto 40.0 MiB 1.31 9367 24272 5008 16124 3140 77.1 MiB 0.68 0.01 4.39726 -1835.45 -4.39726 4.39726 0.58 0.00532694 0.00478054 0.376655 0.338089 74 16942 47 6.59459e+06 3.78015e+06 1.07073e+06 4182.55 6.89 2.11826 1.85409 29424 273870 -1 13957 14 5924 6833 901877 190814 4.52256 4.52256 -1968.88 -4.52256 0 0 1.33358e+06 5209.30 0.32 0.36 0.25 -1 -1 0.32 0.186047 0.16608 742 1099 380 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_22.v common 12.36 vpr 77.33 MiB 0.11 13000 -1 -1 1 0.38 -1 -1 37952 -1 -1 100 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79184 22 19 3131 2606 1 1587 147 16 16 256 mult_36 auto 40.3 MiB 1.11 8900 25689 5397 16690 3602 77.3 MiB 0.70 0.01 4.27196 -1832.45 -4.27196 4.27196 0.56 0.00564151 0.00505803 0.391706 0.351179 74 16546 36 6.59459e+06 3.82357e+06 1.07073e+06 4182.55 5.80 1.71816 1.50682 29424 273870 -1 13593 21 6218 7124 883830 200504 4.27196 4.27196 -1953.42 -4.27196 0 0 1.33358e+06 5209.30 0.32 0.45 0.24 -1 -1 0.32 0.256768 0.228242 762 1118 399 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_23.v common 14.10 vpr 78.45 MiB 0.13 13308 -1 -1 1 0.37 -1 -1 38060 -1 -1 107 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80332 22 19 3301 2742 1 1685 155 18 18 324 mult_36 auto 41.3 MiB 1.00 9550 28859 6368 19463 3028 78.4 MiB 0.57 0.01 4.27196 -1973.15 -4.27196 4.27196 0.66 0.00634227 0.00573287 0.287066 0.258115 68 18693 46 8.13932e+06 4.3209e+06 1.31159e+06 4048.11 7.26 1.84939 1.62041 36620 334356 -1 14822 18 6572 7617 914655 195234 4.39726 4.39726 -2060.9 -4.39726 0 0 1.63345e+06 5041.52 0.42 0.44 0.31 -1 -1 0.42 0.239853 0.214582 802 1179 418 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_24.v common 12.49 vpr 78.90 MiB 0.14 13444 -1 -1 1 0.29 -1 -1 38112 -1 -1 109 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80796 22 19 3375 2799 1 1732 157 18 18 324 mult_36 auto 41.8 MiB 0.75 10056 25960 5726 17532 2702 78.9 MiB 0.71 0.01 4.27196 -2004.23 -4.27196 4.27196 0.77 0.00625433 0.00566837 0.392726 0.352573 76 17719 30 8.13932e+06 4.34985e+06 1.43297e+06 4422.75 5.70 1.77721 1.56248 38232 369828 -1 14833 17 6600 7437 899992 195667 4.39726 4.39726 -2169.68 -4.39726 0 0 1.77541e+06 5479.65 0.46 0.43 0.33 -1 -1 0.46 0.242617 0.21773 821 1198 437 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_25.v common 13.23 vpr 79.76 MiB 0.14 14020 -1 -1 1 0.37 -1 -1 38096 -1 -1 116 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81676 22 19 3615 3005 1 1836 164 18 18 324 mult_36 auto 42.7 MiB 1.03 10729 33764 7877 22457 3430 79.8 MiB 0.90 0.01 4.39726 -2180.78 -4.39726 4.39726 0.78 0.00623701 0.00557538 0.503163 0.449551 76 19342 24 8.13932e+06 4.45118e+06 1.43297e+06 4422.75 5.58 1.93758 1.70715 38232 369828 -1 16315 17 6932 7862 1003575 222232 4.39726 4.39726 -2258.25 -4.39726 0 0 1.77541e+06 5479.65 0.46 0.52 0.33 -1 -1 0.46 0.27578 0.248193 877 1293 456 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_26.v common 15.22 vpr 80.33 MiB 0.15 14088 -1 -1 1 0.44 -1 -1 38552 -1 -1 118 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82260 22 19 3689 3062 1 1874 166 18 18 324 mult_36 auto 43.3 MiB 1.16 10442 30262 6705 20097 3460 80.3 MiB 0.77 0.01 4.27196 -2184.83 -4.27196 4.27196 0.79 0.00652778 0.00585374 0.404966 0.361867 72 20476 50 8.13932e+06 4.48013e+06 1.37338e+06 4238.83 7.42 2.18124 1.91119 37588 355536 -1 16153 20 7464 8416 998033 217072 4.14666 4.14666 -2311.78 -4.14666 0 0 1.72054e+06 5310.31 0.47 0.50 0.39 -1 -1 0.47 0.292601 0.260921 896 1312 475 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_27.v common 14.26 vpr 81.57 MiB 0.21 14520 -1 -1 1 0.45 -1 -1 38396 -1 -1 126 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83528 22 19 3871 3210 1 1982 175 18 18 324 mult_36 auto 44.4 MiB 1.24 11174 29515 6552 19982 2981 81.6 MiB 0.80 0.01 4.27196 -2274.04 -4.27196 4.27196 0.78 0.00630671 0.00561642 0.439461 0.393044 68 21260 31 8.13932e+06 4.99193e+06 1.31159e+06 4048.11 6.40 2.09377 1.83035 36620 334356 -1 17367 17 7795 9201 990857 222794 4.39726 4.39726 -2515.29 -4.39726 0 0 1.63345e+06 5041.52 0.42 0.49 0.30 -1 -1 0.42 0.282366 0.253531 944 1385 494 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_28.v common 13.93 vpr 81.64 MiB 0.14 14688 -1 -1 1 0.47 -1 -1 38576 -1 -1 128 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83604 22 19 3945 3267 1 2025 177 18 18 324 mult_36 auto 44.7 MiB 1.09 11734 34897 7640 23359 3898 81.6 MiB 0.90 0.01 4.27196 -2285.03 -4.27196 4.27196 0.77 0.00668871 0.00599553 0.483753 0.431257 72 22190 34 8.13932e+06 5.02088e+06 1.37338e+06 4238.83 5.98 2.12639 1.84804 37588 355536 -1 17870 17 7943 9223 1126928 247605 4.39726 4.39726 -2508.33 -4.39726 0 0 1.72054e+06 5310.31 0.45 0.56 0.30 -1 -1 0.45 0.304202 0.272596 962 1404 513 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_29.v common 18.58 vpr 82.79 MiB 0.19 14976 -1 -1 1 0.49 -1 -1 39616 -1 -1 135 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84780 22 19 4159 3447 1 2141 185 22 22 484 mult_36 auto 45.8 MiB 1.44 13174 35953 8427 24187 3339 82.8 MiB 1.04 0.02 4.22237 -2488.49 -4.22237 4.22237 1.18 0.00856951 0.00780558 0.564857 0.507552 72 25377 43 1.32347e+07 5.5182e+06 2.11301e+06 4365.72 8.73 2.57868 2.27583 56918 551676 -1 20346 15 8444 9848 1257155 262005 4.39726 4.39726 -2750.66 -4.39726 0 0 2.64603e+06 5467.00 0.75 0.46 0.50 -1 -1 0.75 0.2482 0.223951 1015 1491 532 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_30.v common 18.97 vpr 84.25 MiB 0.19 15232 -1 -1 1 0.52 -1 -1 40872 -1 -1 137 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86276 22 19 4233 3504 1 2181 187 22 22 484 mult_36 auto 46.4 MiB 1.32 13110 38635 8392 26373 3870 84.3 MiB 1.00 0.01 4.08302 -2563.57 -4.08302 4.08302 1.21 0.00716477 0.00630509 0.530592 0.472881 68 25874 37 1.32347e+07 5.54715e+06 2.01763e+06 4168.66 9.32 2.41307 2.11127 55470 518816 -1 20145 22 8858 10316 1212837 260181 4.14666 4.14666 -2787.95 -4.14666 0 0 2.51205e+06 5190.18 0.65 0.59 0.44 -1 -1 0.65 0.338688 0.299762 1034 1510 551 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_31.v common 18.06 vpr 85.23 MiB 0.23 15608 -1 -1 1 0.54 -1 -1 40796 -1 -1 143 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87276 22 19 4410 3647 1 2284 193 22 22 484 mult_36 auto 47.4 MiB 1.13 14030 46981 10944 31495 4542 85.2 MiB 1.28 0.02 4.39726 -2691.53 -4.39726 4.39726 1.26 0.00865791 0.00769648 0.685382 0.611844 76 25587 29 1.32347e+07 5.63401e+06 2.20457e+06 4554.90 8.03 2.41776 2.11977 57882 574062 -1 21637 17 8701 9904 1360390 269571 4.64786 4.64786 -2958.16 -4.64786 0 0 2.73077e+06 5642.09 0.76 0.63 0.37 -1 -1 0.76 0.339392 0.307222 1077 1578 570 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_32.v common 21.69 vpr 84.51 MiB 0.21 15728 -1 -1 1 0.55 -1 -1 40596 -1 -1 145 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86536 22 19 4484 3704 1 2331 195 22 22 484 mult_36 auto 47.4 MiB 1.32 14336 39180 9212 26344 3624 84.5 MiB 1.07 0.02 4.27196 -2750.64 -4.27196 4.27196 1.30 0.00779802 0.00686165 0.574051 0.513729 74 27846 43 1.32347e+07 5.66296e+06 2.15943e+06 4461.62 11.13 2.7468 2.41532 57402 562966 -1 21950 17 9362 10872 1422997 286951 4.52256 4.52256 -3005.56 -4.52256 0 0 2.68771e+06 5553.12 0.74 0.66 0.53 -1 -1 0.74 0.333597 0.299696 1096 1597 589 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_33.v common 22.52 vpr 86.98 MiB 0.26 16636 -1 -1 1 0.59 -1 -1 41576 -1 -1 157 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89064 22 19 4843 4029 1 2441 208 22 22 484 mult_36 auto 49.7 MiB 1.74 14676 47024 10400 32136 4488 87.0 MiB 1.30 0.02 4.39726 -2845.68 -4.39726 4.39726 1.30 0.00826196 0.00738647 0.697696 0.62331 78 24684 29 1.32347e+07 6.23266e+06 2.25108e+06 4650.99 10.99 3.39692 2.97879 58850 595650 -1 21723 14 8860 10340 1224784 254736 4.39726 4.39726 -3004.01 -4.39726 0 0 2.82299e+06 5832.63 0.74 0.56 0.53 -1 -1 0.74 0.31281 0.283115 1185 1756 608 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_34.v common 23.60 vpr 86.76 MiB 0.29 16752 -1 -1 1 0.62 -1 -1 41480 -1 -1 160 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88844 22 19 4917 4086 1 2486 211 22 22 484 mult_36 auto 50.2 MiB 1.67 14916 46609 10188 31704 4717 86.8 MiB 1.24 0.02 4.27196 -2997.24 -4.27196 4.27196 1.27 0.00858556 0.00770115 0.67088 0.597459 80 25429 32 1.32347e+07 6.27609e+06 2.29262e+06 4736.82 12.34 3.4883 3.04762 59334 607116 -1 22295 17 9208 10622 1372836 273552 4.27196 4.27196 -3123.26 -4.27196 0 0 2.87723e+06 5944.70 0.75 0.61 0.49 -1 -1 0.75 0.336562 0.300767 1205 1775 627 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_35.v common 20.24 vpr 87.50 MiB 0.25 17108 -1 -1 1 0.68 -1 -1 41776 -1 -1 163 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89604 22 19 5093 4228 1 2588 214 22 22 484 mult_36 auto 50.9 MiB 1.67 15536 45583 9917 31556 4110 87.5 MiB 1.27 0.02 4.27196 -3005.45 -4.27196 4.27196 1.31 0.00869664 0.00777674 0.669261 0.594241 76 28352 31 1.32347e+07 6.31951e+06 2.20457e+06 4554.90 9.25 2.8244 2.47362 57882 574062 -1 23607 17 9965 11831 1457758 304984 4.39726 4.39726 -3480.44 -4.39726 0 0 2.73077e+06 5642.09 0.74 0.67 0.43 -1 -1 0.74 0.353488 0.321039 1248 1842 646 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_36.v common 22.73 vpr 87.92 MiB 0.25 17160 -1 -1 1 0.67 -1 -1 41868 -1 -1 165 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 90028 22 19 5167 4285 1 2632 216 22 22 484 mult_36 auto 51.4 MiB 1.63 15667 41624 8267 29885 3472 87.9 MiB 1.23 0.02 4.33362 -3152.9 -4.33362 4.33362 1.28 0.00850204 0.00759229 0.636531 0.565249 74 29785 34 1.32347e+07 6.34846e+06 2.15943e+06 4461.62 11.37 3.30946 2.8967 57402 562966 -1 24080 19 10244 12040 1518165 313102 4.52256 4.52256 -3590.71 -4.52256 0 0 2.68771e+06 5553.12 0.75 0.71 0.49 -1 -1 0.75 0.40039 0.357387 1267 1861 665 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_37.v common 23.77 vpr 89.23 MiB 0.26 17788 -1 -1 1 0.66 -1 -1 40800 -1 -1 173 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 91368 22 19 5380 4464 1 2743 225 24 24 576 mult_36 auto 52.8 MiB 1.91 17323 51525 11897 34432 5196 89.2 MiB 1.39 0.02 4.28601 -3284.49 -4.28601 4.28601 1.54 0.00857551 0.00763061 0.733055 0.64925 74 30303 40 1.59675e+07 6.86027e+06 2.56259e+06 4448.94 10.91 3.19892 2.80024 67906 667765 -1 25244 18 10317 12089 1522453 322878 4.39726 4.39726 -3731.23 -4.39726 0 0 3.19068e+06 5539.38 0.95 0.75 0.60 -1 -1 0.95 0.40953 0.366886 1321 1947 684 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_38.v common 22.68 vpr 89.94 MiB 0.17 17808 -1 -1 1 0.71 -1 -1 42296 -1 -1 176 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 92100 22 19 5454 4521 1 2787 228 24 24 576 mult_36 auto 52.9 MiB 2.02 17173 57996 13827 39781 4388 89.4 MiB 1.61 0.02 4.52256 -3242.13 -4.52256 4.52256 1.46 0.00925643 0.00828699 0.842234 0.748468 74 29865 34 1.59675e+07 6.90369e+06 2.56259e+06 4448.94 9.75 3.22568 2.83864 67906 667765 -1 25656 15 10421 12099 1547300 316808 4.64786 4.64786 -3606.77 -4.64786 0 0 3.19068e+06 5539.38 0.98 0.68 0.62 -1 -1 0.98 0.359616 0.32315 1340 1966 703 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_39.v common 25.20 vpr 90.11 MiB 0.21 18144 -1 -1 1 0.71 -1 -1 40084 -1 -1 180 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 92272 22 19 5629 4662 1 2884 232 24 24 576 mult_36 auto 53.8 MiB 2.03 18624 55768 13035 37822 4911 90.1 MiB 1.61 0.02 4.39726 -3391.54 -4.39726 4.39726 1.50 0.0104887 0.00942536 0.862312 0.77126 76 33253 33 1.59675e+07 6.9616e+06 2.61600e+06 4541.67 11.98 3.38951 2.98079 68478 680951 -1 27050 17 10922 12570 1623278 339627 4.52256 4.52256 -3618.17 -4.52256 0 0 3.24203e+06 5628.53 0.93 0.73 0.59 -1 -1 0.93 0.390966 0.349857 1381 2032 722 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_40.v common 106.73 vpr 91.25 MiB 0.15 18340 -1 -1 1 0.75 -1 -1 42292 -1 -1 182 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 93440 22 19 5703 4719 1 2932 234 24 24 576 mult_36 auto 54.2 MiB 2.06 17761 53514 12161 36873 4480 90.6 MiB 1.51 0.02 4.3337 -3472.19 -4.3337 4.3337 1.47 0.0105528 0.00951556 0.779612 0.694323 70 33764 46 1.59675e+07 6.99055e+06 2.45377e+06 4260.01 93.93 6.55011 5.67694 66754 640332 -1 27180 18 11294 13295 1660649 350009 4.39726 4.39726 -3935.92 -4.39726 0 0 3.09179e+06 5367.68 0.84 0.73 0.53 -1 -1 0.84 0.400462 0.355338 1400 2051 741 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_41.v common 28.65 vpr 92.59 MiB 0.22 18828 -1 -1 1 0.74 -1 -1 41616 -1 -1 190 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94816 22 19 5950 4932 1 3040 243 24 24 576 mult_36 auto 55.3 MiB 2.19 19478 54819 12176 38018 4625 91.5 MiB 1.41 0.02 4.41131 -3650.22 -4.41131 4.41131 1.44 0.00941679 0.00837831 0.711187 0.628289 80 31276 23 1.59675e+07 7.50235e+06 2.72095e+06 4723.87 15.35 3.98026 3.46891 70206 720185 -1 27478 16 10740 12213 1485213 299160 4.52256 4.52256 -3708.57 -4.52256 0 0 3.41546e+06 5929.62 0.96 0.70 0.62 -1 -1 0.96 0.397874 0.356582 1461 2153 760 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_42.v common 24.36 vpr 92.43 MiB 0.21 19056 -1 -1 1 0.62 -1 -1 42764 -1 -1 193 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94644 22 19 6024 4989 1 3083 246 24 24 576 mult_36 auto 56.0 MiB 2.07 19232 63386 14561 42862 5963 92.0 MiB 1.77 0.02 4.64786 -3641.46 -4.64786 4.64786 1.43 0.0112474 0.010131 0.930823 0.832762 76 33762 25 1.59675e+07 7.54578e+06 2.61600e+06 4541.67 10.82 3.38503 2.97948 68478 680951 -1 28522 16 11374 13382 1767274 362795 4.77316 4.77316 -3938.14 -4.77316 0 0 3.24203e+06 5628.53 0.92 0.78 0.55 -1 -1 0.92 0.417719 0.37574 1480 2172 779 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_43.v common 35.71 vpr 93.63 MiB 0.30 19448 -1 -1 1 0.89 -1 -1 43352 -1 -1 199 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 95880 22 19 6198 5129 1 3182 252 24 24 576 mult_36 auto 56.7 MiB 2.19 20650 59877 13155 41281 5441 92.9 MiB 1.63 0.02 4.39726 -3811.72 -4.39726 4.39726 1.47 0.010274 0.00901819 0.831265 0.734367 78 35628 33 1.59675e+07 7.63263e+06 2.67122e+06 4637.53 21.70 4.45954 3.88855 69630 706637 -1 29571 16 11494 13119 1806404 359043 4.64786 4.64786 -3950.34 -4.64786 0 0 3.35110e+06 5817.88 0.91 0.77 0.65 -1 -1 0.91 0.453584 0.408737 1523 2237 798 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_44.v common 28.11 vpr 96.53 MiB 0.26 19612 -1 -1 1 0.87 -1 -1 43360 -1 -1 200 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 98848 22 19 6272 5186 1 3228 253 24 24 576 mult_36 auto 57.2 MiB 2.22 21041 60977 14663 40942 5372 93.6 MiB 1.92 0.02 4.39726 -3882.95 -4.39726 4.39726 1.50 0.0117697 0.0106141 0.99995 0.890587 82 35957 47 1.59675e+07 7.64711e+06 2.78508e+06 4835.20 14.12 4.51457 3.96961 70778 734779 -1 29743 17 11967 13657 1737679 357747 4.52256 4.52256 -4130.14 -4.52256 0 0 3.48632e+06 6052.64 1.01 0.82 0.55 -1 -1 1.01 0.438991 0.392591 1542 2256 817 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_45.v common 34.41 vpr 95.91 MiB 0.27 19884 -1 -1 1 0.93 -1 -1 43888 -1 -1 208 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 98212 22 19 6485 5365 1 3341 262 24 24 576 mult_36 auto 58.4 MiB 2.12 21508 64788 14398 44765 5625 94.4 MiB 1.79 0.02 4.39726 -3920.4 -4.39726 4.39726 1.51 0.010682 0.00953596 0.911987 0.812691 80 36519 26 1.59675e+07 8.15891e+06 2.72095e+06 4723.87 20.05 4.42524 3.86615 70206 720185 -1 30728 17 12367 14306 1778984 366147 4.52256 4.52256 -4282.82 -4.52256 0 0 3.41546e+06 5929.62 0.99 0.87 0.60 -1 -1 0.99 0.476257 0.426721 1593 2342 836 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_46.v common 29.34 vpr 97.47 MiB 0.34 19984 -1 -1 1 0.72 -1 -1 43824 -1 -1 210 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 99812 22 19 6559 5422 1 3381 264 24 24 576 mult_36 auto 58.8 MiB 2.17 20947 70482 16577 47310 6595 94.9 MiB 1.87 0.02 4.14666 -3968.32 -4.14666 4.14666 1.49 0.0109731 0.00979758 0.958647 0.849793 78 35129 34 1.59675e+07 8.18786e+06 2.67122e+06 4637.53 14.75 4.68983 4.09569 69630 706637 -1 30447 20 12649 14767 1718007 358511 4.39726 4.39726 -4098.93 -4.39726 0 0 3.35110e+06 5817.88 1.02 0.90 0.60 -1 -1 1.02 0.526412 0.470654 1613 2361 855 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_47.v common 34.39 vpr 97.74 MiB 0.31 20324 -1 -1 1 0.93 -1 -1 44544 -1 -1 216 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 100084 22 19 6735 5564 1 3478 270 24 24 576 mult_36 auto 59.6 MiB 2.33 22209 70030 15451 47782 6797 95.9 MiB 2.09 0.02 4.41516 -4128.21 -4.41516 4.41516 1.47 0.0129228 0.0116882 1.09035 0.970827 84 37671 32 1.59675e+07 8.27472e+06 2.84938e+06 4946.85 19.62 5.16013 4.52241 71930 760447 -1 31070 17 12543 14162 1836210 367421 4.52256 4.52256 -4366.36 -4.52256 0 0 3.60864e+06 6265.01 1.06 0.86 0.55 -1 -1 1.06 0.485985 0.435523 1656 2428 874 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_48.v common 33.45 vpr 97.87 MiB 0.32 20468 -1 -1 1 0.97 -1 -1 44052 -1 -1 218 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 100220 22 19 6809 5621 1 3528 272 24 24 576 mult_36 auto 60.2 MiB 2.27 22399 68109 15563 46856 5690 96.2 MiB 1.89 0.02 4.28601 -4165.16 -4.28601 4.28601 1.51 0.0106728 0.00949716 0.930706 0.824444 84 37990 31 1.59675e+07 8.30367e+06 2.84938e+06 4946.85 18.68 4.78227 4.17358 71930 760447 -1 31425 16 12475 14355 1676213 339117 4.64786 4.64786 -4427.09 -4.64786 0 0 3.60864e+06 6265.01 1.05 0.83 0.62 -1 -1 1.05 0.476709 0.428619 1674 2447 893 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_49.v common 30.74 vpr 104.11 MiB 0.26 21080 -1 -1 1 1.14 -1 -1 44408 -1 -1 228 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 106608 22 19 7094 5872 1 3643 283 24 24 576 mult_36 auto 61.6 MiB 2.42 22044 72667 16155 50381 6131 97.9 MiB 2.20 0.03 4.16456 -4302.53 -4.16456 4.16456 1.47 0.0132913 0.0117975 1.12979 0.99479 78 36952 26 1.59675e+07 8.84444e+06 2.67122e+06 4637.53 15.11 5.14497 4.49556 69630 706637 -1 31678 15 13251 15339 1784571 373556 4.39726 4.39726 -4573.37 -4.39726 0 0 3.35110e+06 5817.88 1.01 0.84 0.57 -1 -1 1.01 0.464629 0.417807 1745 2569 912 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_50.v common 37.38 vpr 105.47 MiB 0.26 21224 -1 -1 1 1.08 -1 -1 44328 -1 -1 230 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 108004 22 19 7168 5929 1 3677 285 24 24 576 mult_36 auto 61.9 MiB 2.40 23518 76182 17449 52130 6603 98.0 MiB 2.06 0.02 4.39726 -4337.05 -4.39726 4.39726 1.49 0.0118145 0.0105578 1.03214 0.916432 80 39367 34 1.59675e+07 8.87339e+06 2.72095e+06 4723.87 21.71 5.18845 4.52687 70206 720185 -1 33218 16 13686 16071 1927329 395418 4.39726 4.39726 -4628.99 -4.39726 0 0 3.41546e+06 5929.62 1.01 0.90 0.66 -1 -1 1.01 0.49266 0.442744 1764 2588 931 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_51.v common 35.94 vpr 103.58 MiB 0.37 21508 -1 -1 1 1.11 -1 -1 44760 -1 -1 235 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 106064 22 19 7344 6071 1 3784 290 24 24 576 mult_36 auto 62.9 MiB 2.61 23160 75092 17160 51061 6871 99.0 MiB 2.23 0.03 4.28601 -4423.35 -4.28601 4.28601 1.55 0.0133859 0.0120886 1.16131 1.03723 80 39279 28 1.59675e+07 8.94577e+06 2.72095e+06 4723.87 20.13 5.30123 4.63659 70206 720185 -1 33312 15 13811 15847 1871805 392568 4.52256 4.52256 -4830.06 -4.52256 0 0 3.41546e+06 5929.62 1.07 0.89 0.58 -1 -1 1.07 0.477973 0.430588 1808 2655 950 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_pipe_52.v common 33.54 vpr 106.84 MiB 0.21 21728 -1 -1 1 0.94 -1 -1 45300 -1 -1 237 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 109400 22 19 7418 6128 1 3829 292 24 24 576 mult_36 auto 63.4 MiB 2.53 24388 75796 17173 51253 7370 99.4 MiB 1.97 0.02 4.39726 -4541.6 -4.39726 4.39726 1.42 0.0121864 0.0108831 0.960739 0.84753 82 40166 40 1.59675e+07 8.97472e+06 2.78508e+06 4835.20 18.23 5.40215 4.7012 70778 734779 -1 34142 16 13821 15840 1861707 392582 4.52256 4.52256 -4916.53 -4.52256 0 0 3.48632e+06 6052.64 0.92 0.89 0.59 -1 -1 0.92 0.502002 0.449718 1827 2674 969 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_14.v common 9.57 vpr 67.63 MiB 0.09 9420 -1 -1 1 0.13 -1 -1 34724 -1 -1 43 22 0 4 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69256 22 19 1246 925 1 719 88 16 16 256 mult_36 auto 29.9 MiB 1.06 3708 12178 3140 7111 1927 67.6 MiB 0.29 0.00 7.85627 -369.053 -7.85627 7.85627 0.56 0.00247974 0.00227123 0.164562 0.151153 56 7704 42 6.59459e+06 2.20645e+06 849745. 3319.32 4.60 0.792333 0.701862 26364 208198 -1 6616 32 8380 9269 1473487 377056 8.18784 8.18784 -442.129 -8.18784 0 0 1.04740e+06 4091.43 0.25 0.51 0.24 -1 -1 0.25 0.174145 0.155285 299 344 247 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_15.v common 8.47 vpr 68.37 MiB 0.10 9592 -1 -1 1 0.16 -1 -1 35180 -1 -1 46 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70012 22 19 1344 989 1 778 92 16 16 256 mult_36 auto 30.5 MiB 0.89 4253 11891 2718 7916 1257 68.4 MiB 0.29 0.00 8.06786 -397.609 -8.06786 8.06786 0.58 0.00265339 0.00243857 0.163296 0.149912 54 9006 35 6.59459e+06 2.64588e+06 829453. 3240.05 3.79 0.796672 0.706837 26108 202796 -1 7077 27 6857 7815 969928 231501 8.88753 8.88753 -500.255 -8.88753 0 0 1.02522e+06 4004.78 0.24 0.35 0.17 -1 -1 0.24 0.148959 0.132915 321 369 266 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_16.v common 9.84 vpr 68.77 MiB 0.11 9752 -1 -1 1 0.17 -1 -1 35168 -1 -1 48 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70424 22 19 1418 1046 1 822 94 16 16 256 mult_36 auto 30.9 MiB 1.08 4528 13087 3331 8118 1638 68.8 MiB 0.34 0.01 7.80064 -415.87 -7.80064 7.80064 0.58 0.00301012 0.00277729 0.190385 0.174644 60 8307 44 6.59459e+06 2.67484e+06 890343. 3477.90 4.96 0.900933 0.799135 27128 224764 -1 7269 23 7299 8032 994162 223606 8.50533 8.50533 -467.681 -8.50533 0 0 1.11577e+06 4358.47 0.27 0.34 0.18 -1 -1 0.27 0.136378 0.121633 340 388 285 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_17.v common 10.49 vpr 69.04 MiB 0.11 10164 -1 -1 1 0.18 -1 -1 35384 -1 -1 52 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70692 22 19 1518 1112 1 879 98 16 16 256 mult_36 auto 31.3 MiB 1.02 4723 16523 4141 9979 2403 69.0 MiB 0.48 0.01 8.49449 -420.557 -8.49449 8.49449 0.66 0.00306434 0.00276878 0.261531 0.239561 56 9780 47 6.59459e+06 2.73274e+06 849745. 3319.32 5.12 1.05673 0.940382 26364 208198 -1 8101 27 9367 10451 1358860 310210 9.29868 9.29868 -518.713 -9.29868 0 0 1.04740e+06 4091.43 0.25 0.45 0.19 -1 -1 0.25 0.168453 0.150261 365 415 304 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_18.v common 11.55 vpr 69.42 MiB 0.08 10380 -1 -1 1 0.19 -1 -1 34960 -1 -1 55 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71088 22 19 1592 1169 1 918 101 16 16 256 mult_36 auto 31.5 MiB 1.29 5255 15846 3816 10145 1885 69.4 MiB 0.43 0.01 8.73075 -474.97 -8.73075 8.73075 0.54 0.00363037 0.00333098 0.234126 0.214278 58 9951 50 6.59459e+06 2.77617e+06 871168. 3403.00 6.13 1.0597 0.940004 26872 219187 -1 8328 26 8188 9162 1100395 244875 8.96668 8.96668 -544.981 -8.96668 0 0 1.09288e+06 4269.05 0.31 0.41 0.20 -1 -1 0.31 0.174447 0.155683 383 434 323 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_19.v common 11.58 vpr 69.89 MiB 0.05 10540 -1 -1 1 0.22 -1 -1 35332 -1 -1 58 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71564 22 19 1688 1231 1 975 105 16 16 256 mult_36 auto 32.2 MiB 1.26 5522 18136 4926 9933 3277 69.9 MiB 0.33 0.00 8.61576 -458.576 -8.61576 8.61576 0.58 0.00158923 0.00144049 0.173046 0.158003 58 11561 41 6.59459e+06 3.21559e+06 871168. 3403.00 6.32 1.01078 0.895587 26872 219187 -1 8681 26 8638 9740 1126779 262997 9.32648 9.32648 -596.474 -9.32648 0 0 1.09288e+06 4269.05 0.28 0.40 0.19 -1 -1 0.28 0.175645 0.156605 404 457 342 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_20.v common 10.15 vpr 70.29 MiB 0.13 10604 -1 -1 1 0.21 -1 -1 35724 -1 -1 59 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71972 22 19 1762 1288 1 1013 106 16 16 256 mult_36 auto 32.6 MiB 1.39 5934 14856 3578 9339 1939 70.3 MiB 0.41 0.01 8.51815 -499.89 -8.51815 8.51815 0.58 0.00331427 0.00303299 0.218235 0.19912 70 10400 29 6.59459e+06 3.23007e+06 1.02522e+06 4004.78 4.47 1.0271 0.911101 28912 262511 -1 9026 25 8234 9301 1245461 261737 8.78618 8.78618 -561.652 -8.78618 0 0 1.29210e+06 5047.26 0.31 0.41 0.23 -1 -1 0.31 0.172427 0.153817 423 476 361 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_21.v common 10.09 vpr 70.92 MiB 0.13 11064 -1 -1 1 0.22 -1 -1 35788 -1 -1 62 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72620 22 19 1859 1351 1 1072 109 16 16 256 mult_36 auto 33.2 MiB 1.38 6071 17789 4553 10762 2474 70.9 MiB 0.47 0.01 8.64699 -503.256 -8.64699 8.64699 0.57 0.00350866 0.00321066 0.255118 0.233082 70 10334 32 6.59459e+06 3.2735e+06 1.02522e+06 4004.78 4.12 1.1358 1.00634 28912 262511 -1 9048 24 8163 9276 1068033 251456 8.68598 8.68598 -630.827 -8.68598 0 0 1.29210e+06 5047.26 0.33 0.45 0.26 -1 -1 0.33 0.190795 0.170811 445 500 380 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_22.v common 11.76 vpr 71.06 MiB 0.14 11192 -1 -1 1 0.23 -1 -1 35848 -1 -1 66 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72768 22 19 1933 1408 1 1112 113 16 16 256 mult_36 auto 33.5 MiB 1.56 6284 16766 3772 10688 2306 71.1 MiB 0.44 0.01 8.66433 -531.367 -8.66433 8.66433 0.57 0.00358871 0.00327439 0.235653 0.214767 64 12202 40 6.59459e+06 3.3314e+06 943753. 3686.54 5.63 1.18362 1.04832 27892 240595 -1 9937 26 8773 9855 1261410 275837 8.85048 8.85048 -653.969 -8.85048 0 0 1.19033e+06 4649.74 0.29 0.49 0.23 -1 -1 0.29 0.220799 0.198239 464 519 399 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_23.v common 13.12 vpr 71.43 MiB 0.14 11392 -1 -1 1 0.24 -1 -1 36044 -1 -1 68 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73144 22 19 2031 1472 1 1172 116 18 18 324 mult_36 auto 34.0 MiB 1.74 6825 18164 4253 11642 2269 71.4 MiB 0.49 0.01 8.63545 -565.294 -8.63545 8.63545 0.75 0.00375134 0.00342384 0.258036 0.234917 64 12993 44 8.13932e+06 3.75635e+06 1.23838e+06 3822.15 6.11 1.34456 1.19415 35972 318676 -1 10932 27 9839 11273 1504542 329887 9.41448 9.41448 -741.79 -9.41448 0 0 1.56068e+06 4816.91 0.41 0.54 0.30 -1 -1 0.41 0.227957 0.204155 486 544 418 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_24.v common 14.94 vpr 72.08 MiB 0.10 11480 -1 -1 1 0.21 -1 -1 36180 -1 -1 71 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73812 22 19 2105 1529 1 1210 119 18 18 324 mult_36 auto 34.6 MiB 1.74 7123 25231 6335 15708 3188 72.1 MiB 0.66 0.01 8.55031 -569.619 -8.55031 8.55031 0.72 0.00385804 0.00347932 0.348154 0.316096 60 14337 47 8.13932e+06 3.79978e+06 1.16833e+06 3605.96 7.99 1.43833 1.27594 35004 297736 -1 11588 24 11181 12858 1586113 344104 8.96778 8.96778 -766.812 -8.96778 0 0 1.46313e+06 4515.82 0.37 0.51 0.27 -1 -1 0.37 0.201048 0.179535 505 563 437 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_25.v common 17.26 vpr 72.73 MiB 0.17 11832 -1 -1 1 0.27 -1 -1 36508 -1 -1 73 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74472 22 19 2201 1591 1 1267 121 18 18 324 mult_36 auto 35.3 MiB 1.60 7919 21948 5452 13185 3311 72.7 MiB 0.61 0.01 8.66171 -618.683 -8.66171 8.66171 0.75 0.00405132 0.00365538 0.319641 0.290242 60 16508 37 8.13932e+06 3.82873e+06 1.16833e+06 3605.96 10.13 1.38308 1.22732 35004 297736 -1 12761 25 12967 14678 2069285 453276 9.40498 9.40498 -864.999 -9.40498 0 0 1.46313e+06 4515.82 0.41 0.62 0.26 -1 -1 0.41 0.214304 0.191321 526 586 456 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_26.v common 12.66 vpr 72.79 MiB 0.16 11984 -1 -1 1 0.25 -1 -1 37432 -1 -1 76 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74540 22 19 2275 1648 1 1304 124 18 18 324 mult_36 auto 35.5 MiB 1.60 8331 21445 5256 13853 2336 72.8 MiB 0.60 0.01 8.87612 -625.532 -8.87612 8.87612 0.75 0.00420155 0.00383333 0.311373 0.282995 68 14555 27 8.13932e+06 3.87216e+06 1.31159e+06 4048.11 5.75 1.30024 1.15267 36620 334356 -1 12285 27 11023 12770 1526505 337406 8.79728 8.79728 -914.308 -8.79728 0 0 1.63345e+06 5041.52 0.41 0.54 0.30 -1 -1 0.41 0.23212 0.206883 546 605 475 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_27.v common 19.02 vpr 73.42 MiB 0.12 12128 -1 -1 1 0.35 -1 -1 36644 -1 -1 82 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75180 22 19 2385 1724 1 1377 131 18 18 324 mult_36 auto 36.2 MiB 1.78 8472 26027 6274 16532 3221 73.4 MiB 0.69 0.01 8.72365 -592.733 -8.72365 8.72365 0.75 0.00437438 0.00398433 0.368738 0.33545 58 17784 50 8.13932e+06 4.35501e+06 1.14310e+06 3528.09 11.45 1.60024 1.4182 34680 290288 -1 14148 29 14549 16458 2410411 525176 9.27518 9.27518 -913.009 -9.27518 0 0 1.43297e+06 4422.75 0.35 0.73 0.25 -1 -1 0.35 0.258717 0.230231 575 642 494 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_28.v common 13.73 vpr 73.90 MiB 0.18 12284 -1 -1 1 0.33 -1 -1 36780 -1 -1 83 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75676 22 19 2459 1781 1 1418 132 18 18 324 mult_36 auto 36.6 MiB 2.05 8415 20232 4376 13738 2118 73.9 MiB 0.55 0.01 8.74245 -615.172 -8.74245 8.74245 0.76 0.00441093 0.00400405 0.289377 0.262855 68 14718 31 8.13932e+06 4.36948e+06 1.31159e+06 4048.11 5.93 1.31648 1.16368 36620 334356 -1 12434 24 10798 12644 1438060 323636 8.84138 8.84138 -805.552 -8.84138 0 0 1.63345e+06 5041.52 0.44 0.58 0.29 -1 -1 0.44 0.248153 0.222193 594 661 513 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_29.v common 17.85 vpr 74.62 MiB 0.18 12472 -1 -1 1 0.34 -1 -1 37076 -1 -1 85 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76408 22 19 2565 1853 1 1483 135 22 22 484 mult_36 auto 37.2 MiB 2.36 8830 25047 6081 16121 2845 74.6 MiB 0.71 0.01 8.91879 -700.843 -8.91879 8.91879 1.20 0.00460922 0.0041981 0.359866 0.327151 62 17709 48 1.32347e+07 4.79443e+06 1.85176e+06 3825.95 8.27 1.6595 1.47179 53538 472186 -1 13797 24 12694 14750 1732893 386603 9.08828 9.08828 -955.656 -9.08828 0 0 2.29262e+06 4736.82 0.59 0.63 0.41 -1 -1 0.59 0.257101 0.229885 619 694 532 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_30.v common 17.54 vpr 74.86 MiB 0.12 12676 -1 -1 1 0.35 -1 -1 37348 -1 -1 89 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76652 22 19 2639 1910 1 1523 139 22 22 484 mult_36 auto 37.8 MiB 2.38 9348 24192 5766 15227 3199 74.9 MiB 0.67 0.01 8.94231 -671.912 -8.94231 8.94231 1.20 0.00478038 0.0043423 0.346996 0.315622 72 16803 30 1.32347e+07 4.85233e+06 2.11301e+06 4365.72 7.81 1.53012 1.35691 56918 551676 -1 14084 25 12303 13644 1958815 410079 9.25628 9.25628 -846.537 -9.25628 0 0 2.64603e+06 5467.00 0.68 0.66 0.45 -1 -1 0.68 0.260327 0.232409 639 713 551 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_31.v common 72.19 vpr 75.61 MiB 0.18 12936 -1 -1 1 0.34 -1 -1 37408 -1 -1 93 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77420 22 19 2744 1981 1 1589 143 22 22 484 mult_36 auto 38.3 MiB 2.25 10398 27745 6556 18229 2960 75.6 MiB 0.79 0.01 8.84777 -733.653 -8.84777 8.84777 1.21 0.0050278 0.00457911 0.39794 0.361066 60 21030 47 1.32347e+07 4.91023e+06 1.79840e+06 3715.71 62.39 3.13351 2.7603 53054 462096 -1 16299 28 16051 18584 2592891 539995 9.38798 9.38798 -984.877 -9.38798 0 0 2.25108e+06 4650.99 0.59 0.83 0.38 -1 -1 0.59 0.297336 0.264934 665 745 570 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_32.v common 18.89 vpr 75.58 MiB 0.14 12912 -1 -1 1 0.34 -1 -1 36856 -1 -1 96 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77392 22 19 2818 2038 1 1626 146 22 22 484 mult_36 auto 38.4 MiB 2.57 10138 32786 8279 20912 3595 75.6 MiB 0.90 0.01 8.84685 -737.429 -8.84685 8.84685 1.20 0.00517968 0.00470796 0.462384 0.419667 66 19171 39 1.32347e+07 4.95366e+06 1.96511e+06 4060.15 8.58 1.7574 1.55873 54986 507526 -1 15563 25 13541 16004 2089577 446360 8.79458 8.79458 -1157.81 -8.79458 0 0 2.45963e+06 5081.88 0.66 0.69 0.43 -1 -1 0.66 0.274569 0.245214 684 764 589 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_33.v common 80.90 vpr 76.48 MiB 0.14 13660 -1 -1 1 0.41 -1 -1 37412 -1 -1 100 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78312 22 19 2923 2109 1 1697 151 22 22 484 mult_36 auto 39.2 MiB 2.61 10339 29825 6892 19522 3411 76.5 MiB 0.89 0.01 9.50859 -774.184 -9.50859 9.50859 1.22 0.00541053 0.00492536 0.446645 0.406425 64 19486 28 1.32347e+07 5.40755e+06 1.90554e+06 3937.06 70.36 3.49661 3.08494 54502 494576 -1 16301 26 16157 18261 2566029 528186 10.0023 10.0023 -1068.85 -10.0023 0 0 2.40101e+06 4960.76 0.64 0.86 0.43 -1 -1 0.64 0.312502 0.279376 710 796 608 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_34.v common 75.30 vpr 76.67 MiB 0.20 13852 -1 -1 1 0.39 -1 -1 37816 -1 -1 101 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78508 22 19 2997 2166 1 1733 152 22 22 484 mult_36 auto 39.5 MiB 3.43 11393 32957 7932 21559 3466 76.7 MiB 0.91 0.01 9.96699 -781.499 -9.96699 9.96699 1.29 0.00537607 0.00483093 0.471056 0.426502 68 21905 43 1.32347e+07 5.42203e+06 2.01763e+06 4168.66 63.98 3.72082 3.27653 55470 518816 -1 17478 24 15277 17609 2209524 460763 9.70792 9.70792 -1341.02 -9.70792 0 0 2.51205e+06 5190.18 0.64 0.75 0.42 -1 -1 0.64 0.287788 0.256873 729 815 627 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_35.v common 22.46 vpr 77.18 MiB 0.11 13936 -1 -1 1 0.40 -1 -1 38012 -1 -1 106 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79028 22 19 3101 2236 1 1798 157 22 22 484 mult_36 auto 39.9 MiB 3.07 11076 33151 7719 21806 3626 77.2 MiB 1.02 0.01 9.46565 -787.471 -9.46565 9.46565 1.24 0.00569466 0.00517519 0.51332 0.464982 68 20627 48 1.32347e+07 5.49441e+06 2.01763e+06 4168.66 11.24 2.08136 1.84294 55470 518816 -1 16941 23 14184 16448 2056200 451208 9.46312 9.46312 -1330.53 -9.46312 0 0 2.51205e+06 5190.18 0.65 0.72 0.46 -1 -1 0.65 0.290779 0.259806 755 846 646 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_36.v common 69.16 vpr 77.59 MiB 0.21 14212 -1 -1 1 0.40 -1 -1 38020 -1 -1 107 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79456 22 19 3175 2293 1 1835 158 22 22 484 mult_36 auto 40.4 MiB 3.51 11333 30475 6946 20367 3162 77.6 MiB 0.87 0.01 9.75629 -817.637 -9.75629 9.75629 1.21 0.00575594 0.00522535 0.444008 0.402507 66 21761 48 1.32347e+07 5.50888e+06 1.96511e+06 4060.15 57.53 4.0587 3.55958 54986 507526 -1 17539 27 16171 18953 2330366 501744 9.95932 9.95932 -1222.31 -9.95932 0 0 2.45963e+06 5081.88 0.66 0.86 0.43 -1 -1 0.66 0.347439 0.310801 773 865 665 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_37.v common 26.60 vpr 78.42 MiB 0.24 14392 -1 -1 1 0.43 -1 -1 37452 -1 -1 111 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80304 22 19 3280 2364 1 1905 163 24 24 576 mult_36 auto 41.1 MiB 3.60 12992 37988 9582 24747 3659 78.4 MiB 1.15 0.01 9.59371 -904.981 -9.59371 9.59371 1.61 0.00618131 0.00562883 0.574473 0.520007 72 23175 47 1.59675e+07 5.96278e+06 2.50747e+06 4353.24 13.48 2.24929 1.99262 67330 654343 -1 18905 25 16599 18922 2890425 575861 10.1337 10.1337 -1166.9 -10.1337 0 0 3.14081e+06 5452.80 0.83 0.90 0.53 -1 -1 0.83 0.324629 0.288976 798 897 684 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_38.v common 25.36 vpr 78.93 MiB 0.18 14520 -1 -1 1 0.44 -1 -1 37972 -1 -1 113 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80824 22 19 3354 2421 1 1940 165 24 24 576 mult_36 auto 41.8 MiB 3.81 12402 35873 8875 23165 3833 78.9 MiB 1.03 0.01 9.44139 -985.249 -9.44139 9.44139 1.43 0.00630909 0.00574833 0.521188 0.470246 78 19594 28 1.59675e+07 5.99174e+06 2.67122e+06 4637.53 12.46 2.33031 2.06348 69630 706637 -1 17513 23 14127 16340 2018929 414501 9.66552 9.66552 -1278.49 -9.66552 0 0 3.35110e+06 5817.88 0.94 0.74 0.57 -1 -1 0.94 0.305055 0.273423 818 916 703 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_39.v common 68.96 vpr 79.27 MiB 0.20 14732 -1 -1 1 0.47 -1 -1 38428 -1 -1 117 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81172 22 19 3457 2490 1 2006 169 24 24 576 mult_36 auto 42.0 MiB 3.74 13614 27255 5416 19238 2601 79.3 MiB 0.83 0.01 9.69926 -906.242 -9.69926 9.69926 1.64 0.00759961 0.00689627 0.418235 0.378595 76 22595 29 1.59675e+07 6.04964e+06 2.61600e+06 4541.67 55.84 3.94051 3.47104 68478 680951 -1 19506 24 14772 17013 2305059 478080 9.92522 9.92522 -1192.41 -9.92522 0 0 3.24203e+06 5628.53 0.88 0.85 0.56 -1 -1 0.88 0.344885 0.307445 842 946 722 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_40.v common 24.32 vpr 79.46 MiB 0.22 14980 -1 -1 1 0.50 -1 -1 38812 -1 -1 120 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81364 22 19 3531 2547 1 2046 172 24 24 576 mult_36 auto 42.5 MiB 4.18 12855 39846 9122 26150 4574 79.5 MiB 1.20 0.02 9.60743 -951.577 -9.60743 9.60743 1.49 0.00787438 0.00717689 0.586677 0.530891 70 22646 29 1.59675e+07 6.09306e+06 2.45377e+06 4260.01 10.59 2.13443 1.89245 66754 640332 -1 19155 26 16387 18725 2648043 543957 9.41322 9.41322 -1230.64 -9.41322 0 0 3.09179e+06 5367.68 0.83 0.89 0.54 -1 -1 0.83 0.352944 0.313894 862 965 741 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_41.v common 23.82 vpr 80.32 MiB 0.24 15124 -1 -1 1 0.55 -1 -1 38240 -1 -1 122 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82248 22 19 3634 2616 1 2109 175 24 24 576 mult_36 auto 43.1 MiB 3.78 13732 39784 9257 26530 3997 80.3 MiB 1.17 0.01 9.77499 -937.901 -9.77499 9.77499 1.58 0.00698356 0.00636675 0.582762 0.527686 70 23378 41 1.59675e+07 6.51802e+06 2.45377e+06 4260.01 10.32 2.32553 2.05951 66754 640332 -1 19768 25 16507 18827 2418548 524828 9.67842 9.67842 -1441.57 -9.67842 0 0 3.09179e+06 5367.68 0.87 0.86 0.52 -1 -1 0.87 0.353529 0.315842 886 995 760 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_42.v common 96.59 vpr 80.43 MiB 0.25 15316 -1 -1 1 0.52 -1 -1 38272 -1 -1 125 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82360 22 19 3708 2673 1 2146 178 24 24 576 mult_36 auto 43.5 MiB 4.28 14252 40678 9580 27421 3677 80.4 MiB 1.13 0.01 9.70673 -1014.41 -9.70673 9.70673 1.45 0.00634739 0.00574571 0.550138 0.495854 70 25068 49 1.59675e+07 6.56144e+06 2.45377e+06 4260.01 82.76 4.55442 4.00176 66754 640332 -1 20491 25 16283 18762 2587609 530117 10.1586 10.1586 -1420.43 -10.1586 0 0 3.09179e+06 5367.68 0.82 0.83 0.51 -1 -1 0.82 0.325842 0.291496 906 1014 779 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_43.v common 24.73 vpr 81.05 MiB 0.26 15556 -1 -1 1 0.50 -1 -1 38820 -1 -1 129 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83000 22 19 3810 2741 1 2211 182 24 24 576 mult_36 auto 44.0 MiB 4.34 14383 37777 8939 25288 3550 81.1 MiB 1.18 0.02 9.56815 -970.936 -9.56815 9.56815 1.46 0.00753474 0.00685196 0.604191 0.545183 70 25146 43 1.59675e+07 6.61934e+06 2.45377e+06 4260.01 10.83 2.49518 2.21129 66754 640332 -1 20927 25 18462 21016 2744808 580983 9.95542 9.95542 -1312.26 -9.95542 0 0 3.09179e+06 5367.68 0.84 0.92 0.45 -1 -1 0.84 0.365357 0.32587 930 1043 798 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_44.v common 25.64 vpr 81.60 MiB 0.24 15820 -1 -1 1 0.56 -1 -1 38420 -1 -1 132 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83560 22 19 3884 2798 1 2252 185 24 24 576 mult_36 auto 44.3 MiB 4.52 14460 39635 8934 27519 3182 81.6 MiB 1.17 0.02 9.61645 -992.888 -9.61645 9.61645 1.47 0.00714735 0.00649086 0.568584 0.512395 74 24935 34 1.59675e+07 6.66277e+06 2.56259e+06 4448.94 11.11 2.33134 2.05741 67906 667765 -1 21311 26 17785 20500 2693830 566652 10.0696 10.0696 -1333.67 -10.0696 0 0 3.19068e+06 5539.38 0.89 1.02 0.58 -1 -1 0.89 0.415761 0.373188 949 1062 817 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_45.v common 26.06 vpr 81.98 MiB 0.25 15932 -1 -1 1 0.55 -1 -1 40488 -1 -1 135 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83944 22 19 3989 2869 1 2317 189 24 24 576 mult_36 auto 45.0 MiB 4.61 14618 41381 9719 27735 3927 82.0 MiB 1.20 0.02 9.77027 -1011.74 -9.77027 9.77027 1.47 0.00736802 0.00668993 0.588856 0.531874 72 25523 37 1.59675e+07 7.1022e+06 2.50747e+06 4353.24 11.47 2.51799 2.2285 67330 654343 -1 21225 23 16401 19162 2396371 518493 9.82682 9.82682 -1397.87 -9.82682 0 0 3.14081e+06 5452.80 0.91 0.90 0.55 -1 -1 0.91 0.37998 0.340237 975 1094 836 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_46.v common 29.93 vpr 82.05 MiB 0.14 16060 -1 -1 1 0.54 -1 -1 40688 -1 -1 136 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84020 22 19 4063 2926 1 2354 190 24 24 576 mult_36 auto 44.9 MiB 4.94 16350 37864 7792 26746 3326 82.1 MiB 1.14 0.02 9.83665 -1114.77 -9.83665 9.83665 1.50 0.00740843 0.00663842 0.54478 0.488634 82 25929 47 1.59675e+07 7.11667e+06 2.78508e+06 4835.20 15.04 3.2069 2.82375 70778 734779 -1 22200 23 15637 18321 2342525 476667 9.76082 9.76082 -1542.32 -9.76082 0 0 3.48632e+06 6052.64 0.98 0.78 0.64 -1 -1 0.98 0.322008 0.287989 993 1113 855 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_47.v common 25.24 vpr 82.53 MiB 0.26 16636 -1 -1 1 0.53 -1 -1 40820 -1 -1 141 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84508 22 19 4167 2996 1 2420 195 24 24 576 mult_36 auto 45.3 MiB 4.57 16260 49350 11375 33104 4871 82.5 MiB 1.50 0.02 9.79725 -1090.73 -9.79725 9.79725 1.51 0.00770204 0.00694054 0.720916 0.64862 76 27046 30 1.59675e+07 7.18905e+06 2.61600e+06 4541.67 10.15 2.52277 2.22975 68478 680951 -1 22864 26 18735 21708 2814560 591545 10.3465 10.3465 -1365.53 -10.3465 0 0 3.24203e+06 5628.53 0.91 1.05 0.55 -1 -1 0.91 0.429858 0.384067 1019 1144 874 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_48.v common 28.77 vpr 82.84 MiB 0.27 16496 -1 -1 1 0.62 -1 -1 40784 -1 -1 144 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84832 22 19 4241 3053 1 2458 198 24 24 576 mult_36 auto 45.8 MiB 5.03 15592 42822 9203 28894 4725 82.8 MiB 1.30 0.02 9.79149 -1061.63 -9.79149 9.79149 1.42 0.00824472 0.00749693 0.645625 0.584761 68 28638 50 1.59675e+07 7.23248e+06 2.39371e+06 4155.74 13.46 2.86351 2.53648 65606 615345 -1 22680 27 19526 22864 2812735 612091 10.3416 10.3416 -1585.91 -10.3416 0 0 2.98162e+06 5176.42 0.86 1.06 0.50 -1 -1 0.86 0.443576 0.394915 1038 1163 893 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_49.v common 25.76 vpr 83.68 MiB 0.29 17064 -1 -1 1 0.62 -1 -1 41236 -1 -1 145 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85692 22 19 4346 3124 1 2527 200 24 24 576 mult_36 auto 47.0 MiB 4.90 16724 42832 9460 29682 3690 83.7 MiB 1.33 0.02 10.0387 -1078.78 -10.0387 10.0387 1.47 0.00797343 0.00723367 0.636386 0.573427 76 27160 30 1.59675e+07 7.64295e+06 2.61600e+06 4541.67 10.61 2.57326 2.28062 68478 680951 -1 23572 25 18876 21876 2791246 590608 10.4455 10.4455 -1489.69 -10.4455 0 0 3.24203e+06 5628.53 0.91 1.04 0.44 -1 -1 0.91 0.431509 0.385957 1062 1195 912 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_50.v common 29.21 vpr 83.79 MiB 0.16 17144 -1 -1 1 0.67 -1 -1 41040 -1 -1 148 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85796 22 19 4420 3181 1 2563 203 24 24 576 mult_36 auto 47.2 MiB 5.26 17398 44307 10226 29911 4170 83.8 MiB 1.37 0.02 9.9037 -1079.02 -9.9037 9.9037 1.52 0.00831944 0.00739092 0.668411 0.598925 74 29993 39 1.59675e+07 7.68637e+06 2.56259e+06 4448.94 13.19 2.72523 2.40927 67906 667765 -1 25064 27 22957 25885 3706669 745505 9.89712 9.89712 -1512.67 -9.89712 0 0 3.19068e+06 5539.38 0.92 1.25 0.54 -1 -1 0.92 0.468746 0.417267 1082 1214 931 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_51.v common 29.07 vpr 84.34 MiB 0.27 17280 -1 -1 1 0.73 -1 -1 41072 -1 -1 152 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86360 22 19 4524 3251 1 2633 207 24 24 576 mult_36 auto 47.4 MiB 5.06 17274 43047 9353 29279 4415 84.3 MiB 1.13 0.02 9.72425 -1170.65 -9.72425 9.72425 1.50 0.00836409 0.00742991 0.5227 0.466561 76 29407 46 1.59675e+07 7.74428e+06 2.61600e+06 4541.67 13.39 2.72234 2.40206 68478 680951 -1 24555 25 19224 22166 3043731 614947 10.2548 10.2548 -1642.4 -10.2548 0 0 3.24203e+06 5628.53 0.89 1.10 0.55 -1 -1 0.89 0.452964 0.405044 1107 1245 950 19 0 0 -k6_frac_2ripple_N8_22nm.xml fir_nopipe_52.v common 28.26 vpr 84.80 MiB 0.30 17348 -1 -1 1 0.67 -1 -1 39500 -1 -1 155 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86832 22 19 4598 3308 1 2667 210 24 24 576 mult_36 auto 48.0 MiB 5.62 17604 46386 10173 32285 3928 84.8 MiB 1.48 0.02 9.68009 -1080.95 -9.68009 9.68009 1.59 0.00915384 0.0082116 0.70414 0.632133 72 30917 38 1.59675e+07 7.7877e+06 2.50747e+06 4353.24 11.45 2.81989 2.49644 67330 654343 -1 25360 25 21418 25117 3240485 694413 10.206 10.206 -1662.84 -10.206 0 0 3.14081e+06 5452.80 0.89 1.15 0.56 -1 -1 0.89 0.452531 0.404064 1127 1264 969 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_14.v common 9.62 vpr 71.29 MiB 0.11 10476 -1 -1 1 0.25 -1 -1 35508 -1 -1 65 22 0 4 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73000 22 19 1974 1653 1 1013 110 16 16 256 mult_36 auto 33.6 MiB 0.45 5297 17205 4342 10377 2486 71.3 MiB 0.42 0.01 4.14666 -1129.87 -4.14666 4.14666 0.58 0.00339471 0.00305405 0.248201 0.223626 56 11347 43 6.62819e+06 2.54052e+06 849745. 3319.32 4.90 1.11777 0.974098 26364 208198 -1 9317 19 4256 4818 612410 141530 4.39726 4.39726 -1244.22 -4.39726 0 0 1.04740e+06 4091.43 0.25 0.29 0.18 -1 -1 0.25 0.154654 0.137773 481 708 247 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_15.v common 9.84 vpr 72.22 MiB 0.08 10828 -1 -1 1 0.28 -1 -1 36908 -1 -1 72 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73952 22 19 2144 1789 1 1107 118 16 16 256 mult_36 auto 34.4 MiB 0.65 5790 18036 4123 11366 2547 72.2 MiB 0.41 0.00 4.11968 -1267.13 -4.11968 4.11968 0.60 0.00166501 0.00149431 0.220697 0.197374 58 11809 27 6.62819e+06 3.03953e+06 871168. 3403.00 4.87 1.10123 0.960951 26872 219187 -1 9868 19 4677 5264 620916 144337 4.14666 4.14666 -1356.44 -4.14666 0 0 1.09288e+06 4269.05 0.26 0.31 0.20 -1 -1 0.26 0.181583 0.162619 521 769 266 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_16.v common 32.82 vpr 72.61 MiB 0.09 10936 -1 -1 1 0.29 -1 -1 37020 -1 -1 74 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74348 22 19 2218 1846 1 1153 120 16 16 256 mult_36 auto 34.8 MiB 0.65 5931 16640 3652 10868 2120 72.6 MiB 0.41 0.01 4.14666 -1288.47 -4.14666 4.14666 0.57 0.00377805 0.00339693 0.234481 0.211024 60 12726 33 6.62819e+06 3.06896e+06 890343. 3477.90 27.70 2.238 1.94709 27128 224764 -1 10016 27 4853 5474 1043418 337630 4.27196 4.27196 -1404.7 -4.27196 0 0 1.11577e+06 4358.47 0.27 0.46 0.19 -1 -1 0.27 0.218022 0.192869 540 788 285 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_17.v common 30.59 vpr 74.12 MiB 0.14 11752 -1 -1 1 0.27 -1 -1 36588 -1 -1 83 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75904 22 19 2536 2130 1 1255 129 16 16 256 mult_36 auto 36.5 MiB 0.75 7206 19304 4115 12842 2347 74.1 MiB 0.48 0.01 4.27196 -1488.47 -4.27196 4.27196 0.59 0.00427741 0.00383947 0.274878 0.247049 62 16028 45 6.62819e+06 3.20141e+06 916467. 3579.95 24.91 2.39859 2.08409 27384 229598 -1 11410 20 5025 5916 767225 204270 4.27196 4.27196 -1617.84 -4.27196 0 0 1.13630e+06 4438.68 0.32 0.40 0.24 -1 -1 0.32 0.214184 0.191889 617 924 304 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_18.v common 10.11 vpr 74.53 MiB 0.15 11764 -1 -1 1 0.32 -1 -1 37120 -1 -1 86 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76320 22 19 2610 2187 1 1302 132 16 16 256 mult_36 auto 37.0 MiB 0.72 7013 22242 5431 13535 3276 74.5 MiB 0.59 0.01 4.14666 -1468.71 -4.14666 4.14666 0.62 0.00473157 0.00426815 0.331912 0.297322 66 13234 41 6.62819e+06 3.24555e+06 974584. 3806.97 4.45 1.53436 1.34977 28148 247068 -1 10694 15 4618 5355 589723 135547 4.27196 4.27196 -1523.57 -4.27196 0 0 1.22072e+06 4768.46 0.29 0.28 0.21 -1 -1 0.29 0.160347 0.14317 636 943 323 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_19.v common 10.48 vpr 75.45 MiB 0.17 12228 -1 -1 1 0.27 -1 -1 36780 -1 -1 91 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77260 22 19 2778 2321 1 1398 138 16 16 256 mult_36 auto 38.1 MiB 0.76 7745 26482 5909 16658 3915 75.4 MiB 0.71 0.01 4.32767 -1601.95 -4.32767 4.32767 0.60 0.00537075 0.00479166 0.395433 0.355347 68 13647 22 6.62819e+06 3.71513e+06 1.00038e+06 3907.74 4.67 1.52318 1.34514 28404 252462 -1 11177 18 4911 5677 568054 138793 4.14666 4.14666 -1691.92 -4.14666 0 0 1.24648e+06 4869.04 0.30 0.33 0.23 -1 -1 0.30 0.19934 0.177299 676 1002 342 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_20.v common 12.79 vpr 75.91 MiB 0.15 12328 -1 -1 1 0.31 -1 -1 37000 -1 -1 93 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77736 22 19 2852 2378 1 1440 140 16 16 256 mult_36 auto 38.6 MiB 0.79 8294 22646 5123 14901 2622 75.9 MiB 0.60 0.01 4.2084 -1649.58 -4.2084 4.2084 0.59 0.00519432 0.00459622 0.330436 0.295579 68 16097 38 6.62819e+06 3.74456e+06 1.00038e+06 3907.74 6.65 1.6338 1.43308 28404 252462 -1 12428 32 5526 6490 1025857 306320 4.39726 4.39726 -1796.73 -4.39726 0 0 1.24648e+06 4869.04 0.32 0.59 0.24 -1 -1 0.32 0.329705 0.29122 695 1021 361 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_21.v common 11.23 vpr 76.87 MiB 0.13 12808 -1 -1 1 0.34 -1 -1 37736 -1 -1 97 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78712 22 19 3057 2549 1 1542 144 16 16 256 mult_36 auto 39.6 MiB 0.88 8673 23895 5161 15228 3506 76.9 MiB 0.64 0.01 4.52256 -1743.09 -4.52256 4.52256 0.59 0.00509947 0.00455544 0.355011 0.31784 74 15361 35 6.62819e+06 3.80343e+06 1.07073e+06 4182.55 5.08 1.69428 1.48117 29424 273870 -1 12772 16 5516 6283 721984 162116 4.39726 4.39726 -1867.34 -4.39726 0 0 1.33358e+06 5209.30 0.37 0.37 0.23 -1 -1 0.37 0.219266 0.196635 742 1099 380 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_22.v common 11.01 vpr 77.04 MiB 0.14 13056 -1 -1 1 0.35 -1 -1 38004 -1 -1 100 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78884 22 19 3131 2606 1 1585 147 16 16 256 mult_36 auto 39.8 MiB 0.80 8566 16401 3002 11439 1960 77.0 MiB 0.46 0.01 4.20292 -1804.73 -4.20292 4.20292 0.58 0.00505943 0.00451654 0.250613 0.224921 70 15569 27 6.62819e+06 3.84757e+06 1.02522e+06 4004.78 5.00 1.57019 1.37496 28912 262511 -1 12915 18 5834 6628 735961 170375 4.27196 4.27196 -1954.66 -4.27196 0 0 1.29210e+06 5047.26 0.31 0.39 0.23 -1 -1 0.31 0.237635 0.212022 762 1118 399 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_23.v common 12.69 vpr 78.08 MiB 0.23 13264 -1 -1 1 0.36 -1 -1 37940 -1 -1 107 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79956 22 19 3301 2742 1 1683 155 18 18 324 mult_36 auto 40.7 MiB 0.77 9538 29691 6508 19815 3368 78.1 MiB 0.78 0.01 4.16456 -1929.44 -4.16456 4.16456 0.77 0.00543034 0.00486181 0.427346 0.382197 74 17207 25 8.18539e+06 4.34658e+06 1.40368e+06 4332.34 5.68 1.81502 1.59881 37912 362744 -1 14522 15 6182 7157 877389 187757 4.39726 4.39726 -2097.58 -4.39726 0 0 1.74764e+06 5393.95 0.44 0.39 0.32 -1 -1 0.44 0.220923 0.197744 802 1179 418 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_24.v common 14.98 vpr 78.37 MiB 0.16 13344 -1 -1 1 0.39 -1 -1 38272 -1 -1 109 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80252 22 19 3375 2799 1 1730 157 18 18 324 mult_36 auto 41.1 MiB 0.72 9993 25960 5685 17809 2466 78.4 MiB 0.69 0.01 4.14666 -1987.87 -4.14666 4.14666 0.78 0.00654875 0.00596535 0.380892 0.340812 66 20196 47 8.18539e+06 4.37601e+06 1.27759e+06 3943.17 8.17 2.00995 1.75922 36296 327148 -1 15425 16 6577 7667 933401 201564 4.27196 4.27196 -2140.03 -4.27196 0 0 1.59950e+06 4936.74 0.40 0.40 0.29 -1 -1 0.40 0.219115 0.195599 821 1198 437 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_25.v common 15.04 vpr 79.46 MiB 0.12 13996 -1 -1 1 0.41 -1 -1 38108 -1 -1 116 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81364 22 19 3615 3005 1 1835 164 18 18 324 mult_36 auto 42.2 MiB 0.80 11154 29284 6310 19654 3320 79.5 MiB 0.80 0.01 4.14666 -2148.01 -4.14666 4.14666 0.79 0.00586019 0.00523374 0.423027 0.375862 74 20993 41 8.18539e+06 4.47902e+06 1.40368e+06 4332.34 7.70 2.07686 1.81166 37912 362744 -1 16756 18 7134 8004 1128968 235536 4.39726 4.39726 -2385.21 -4.39726 0 0 1.74764e+06 5393.95 0.46 0.53 0.37 -1 -1 0.46 0.278899 0.249426 877 1293 456 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_26.v common 13.31 vpr 79.98 MiB 0.19 14172 -1 -1 1 0.42 -1 -1 38464 -1 -1 118 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81896 22 19 3689 3062 1 1872 166 18 18 324 mult_36 auto 42.9 MiB 0.73 10890 31630 7268 20957 3405 80.0 MiB 0.87 0.01 4.27196 -2181.76 -4.27196 4.27196 0.76 0.00606494 0.00540887 0.467527 0.418559 70 19335 31 8.18539e+06 4.50845e+06 1.34436e+06 4149.26 5.95 2.19285 1.93908 37264 347768 -1 16326 14 6732 7802 873866 193746 4.64786 4.64786 -2351.91 -4.64786 0 0 1.69344e+06 5226.66 0.44 0.45 0.31 -1 -1 0.44 0.250603 0.228761 896 1312 475 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_27.v common 14.41 vpr 80.97 MiB 0.24 14340 -1 -1 1 0.46 -1 -1 38332 -1 -1 126 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82916 22 19 3871 3210 1 1979 175 18 18 324 mult_36 auto 43.9 MiB 0.98 11935 36361 8458 24158 3745 81.0 MiB 0.94 0.01 4.27196 -2285.74 -4.27196 4.27196 0.75 0.00613784 0.00547274 0.503502 0.449047 74 21341 43 8.18539e+06 5.02217e+06 1.40368e+06 4332.34 6.40 2.23969 1.95842 37912 362744 -1 17442 28 7329 8264 1322461 328195 4.39726 4.39726 -2440.95 -4.39726 0 0 1.74764e+06 5393.95 0.44 0.76 0.34 -1 -1 0.44 0.424379 0.377177 944 1385 494 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_28.v common 14.60 vpr 81.27 MiB 0.18 14592 -1 -1 1 0.47 -1 -1 38396 -1 -1 128 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83216 22 19 3945 3267 1 2024 177 18 18 324 mult_36 auto 44.3 MiB 0.90 12138 33905 7358 22743 3804 81.3 MiB 0.87 0.01 4.2084 -2321.46 -4.2084 4.2084 0.75 0.00632408 0.00564454 0.466734 0.414007 70 21577 28 8.18539e+06 5.0516e+06 1.34436e+06 4149.26 7.04 2.21927 1.94826 37264 347768 -1 17740 16 7557 8666 1010701 226948 4.39726 4.39726 -2498.89 -4.39726 0 0 1.69344e+06 5226.66 0.44 0.51 0.32 -1 -1 0.44 0.287858 0.262005 962 1404 513 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_29.v common 17.47 vpr 82.56 MiB 0.20 14908 -1 -1 1 0.53 -1 -1 39728 -1 -1 135 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84544 22 19 4159 3447 1 2140 185 22 22 484 mult_36 auto 45.6 MiB 0.82 12922 36479 8016 24715 3748 82.6 MiB 0.96 0.01 4.27196 -2537.11 -4.27196 4.27196 1.19 0.00683899 0.00611129 0.513952 0.458181 72 24568 26 1.33067e+07 5.5506e+06 2.11301e+06 4365.72 7.99 2.21268 1.9378 56918 551676 -1 19622 18 7762 9120 1147231 234160 4.52256 4.52256 -2684.21 -4.52256 0 0 2.64603e+06 5467.00 0.81 0.59 0.50 -1 -1 0.81 0.327241 0.293336 1015 1491 532 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_30.v common 17.71 vpr 82.84 MiB 0.22 15256 -1 -1 1 0.50 -1 -1 40852 -1 -1 137 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84824 22 19 4233 3504 1 2179 187 22 22 484 mult_36 auto 45.8 MiB 1.00 12963 38101 8862 25522 3717 82.8 MiB 1.03 0.01 4.16456 -2491.22 -4.16456 4.16456 1.21 0.00728231 0.00641715 0.549748 0.485614 68 24170 26 1.33067e+07 5.58003e+06 2.01763e+06 4168.66 8.33 2.19929 1.91941 55470 518816 -1 19865 19 8475 9594 1119874 244580 4.27196 4.27196 -2605.99 -4.27196 0 0 2.51205e+06 5190.18 0.79 0.62 0.32 -1 -1 0.79 0.347772 0.311818 1034 1510 551 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_31.v common 19.21 vpr 84.78 MiB 0.19 15500 -1 -1 1 0.53 -1 -1 40888 -1 -1 143 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86816 22 19 4410 3647 1 2283 193 22 22 484 mult_36 auto 46.6 MiB 1.09 13261 42525 9859 28389 4277 84.8 MiB 1.12 0.01 4.20292 -2606.72 -4.20292 4.20292 1.23 0.00792387 0.00715548 0.607786 0.542467 68 26796 45 1.33067e+07 5.66832e+06 2.01763e+06 4168.66 9.59 2.60643 2.28092 55470 518816 -1 20620 18 9122 10596 1262791 274069 4.27196 4.27196 -2850.79 -4.27196 0 0 2.51205e+06 5190.18 0.66 0.58 0.41 -1 -1 0.66 0.313357 0.279844 1077 1578 570 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_32.v common 17.78 vpr 83.86 MiB 0.24 15564 -1 -1 1 0.65 -1 -1 40628 -1 -1 145 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85876 22 19 4484 3704 1 2328 195 22 22 484 mult_36 auto 46.7 MiB 1.10 14086 42570 10140 28365 4065 83.9 MiB 1.19 0.01 4.27196 -2656.53 -4.27196 4.27196 1.26 0.0074867 0.00669018 0.632666 0.566104 76 25174 22 1.33067e+07 5.69776e+06 2.20457e+06 4554.90 7.48 2.3411 2.05657 57882 574062 -1 21201 17 8736 10203 1208112 256654 4.52256 4.52256 -2899.81 -4.52256 0 0 2.73077e+06 5642.09 0.76 0.56 0.47 -1 -1 0.76 0.308971 0.276204 1096 1597 589 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_33.v common 19.59 vpr 86.55 MiB 0.18 16668 -1 -1 1 0.72 -1 -1 41584 -1 -1 157 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88624 22 19 4843 4029 1 2439 208 22 22 484 mult_36 auto 48.9 MiB 0.97 14930 47640 11114 32188 4338 86.5 MiB 1.36 0.02 4.39726 -2986.1 -4.39726 4.39726 1.21 0.00807193 0.00721129 0.720009 0.641175 72 28519 46 1.33067e+07 6.27034e+06 2.11301e+06 4365.72 9.31 2.92459 2.56125 56918 551676 -1 22603 18 8941 10278 1322426 274519 4.52256 4.52256 -3196.98 -4.52256 0 0 2.64603e+06 5467.00 0.69 0.62 0.44 -1 -1 0.69 0.344955 0.307973 1185 1756 608 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_34.v common 19.92 vpr 86.19 MiB 0.21 16844 -1 -1 1 0.66 -1 -1 41520 -1 -1 160 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88260 22 19 4917 4086 1 2483 211 22 22 484 mult_36 auto 49.5 MiB 1.07 15547 44101 10019 30168 3914 86.2 MiB 1.19 0.02 4.52256 -2910.9 -4.52256 4.52256 1.29 0.00850993 0.00765958 0.628457 0.56061 72 28972 45 1.33067e+07 6.31449e+06 2.11301e+06 4365.72 9.40 3.01041 2.65124 56918 551676 -1 23425 15 9029 10536 1317654 275619 4.77316 4.77316 -3227.02 -4.77316 0 0 2.64603e+06 5467.00 0.72 0.59 0.48 -1 -1 0.72 0.310447 0.278067 1205 1775 627 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_35.v common 19.61 vpr 86.97 MiB 0.19 17008 -1 -1 1 0.61 -1 -1 41780 -1 -1 163 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89056 22 19 5093 4228 1 2586 214 22 22 484 mult_36 auto 50.4 MiB 1.15 14368 50056 10737 34290 5029 87.0 MiB 1.36 0.02 4.0831 -3007.04 -4.0831 4.0831 1.30 0.00833478 0.00743564 0.68954 0.611847 70 26841 32 1.33067e+07 6.35863e+06 2.06816e+06 4273.05 8.76 2.96404 2.60102 56434 539830 -1 22266 17 9508 10903 1285918 285062 4.27196 4.27196 -3253.37 -4.27196 0 0 2.60483e+06 5381.88 0.75 0.66 0.47 -1 -1 0.75 0.377564 0.341646 1248 1842 646 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_36.v common 28.12 vpr 87.36 MiB 0.27 17256 -1 -1 1 0.66 -1 -1 42020 -1 -1 165 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89452 22 19 5167 4285 1 2630 216 22 22 484 mult_36 auto 50.8 MiB 1.17 17033 50682 11615 34548 4519 87.4 MiB 1.48 0.02 4.41516 -3107.93 -4.41516 4.41516 1.32 0.0095028 0.00838872 0.767027 0.68235 78 30105 32 1.33067e+07 6.38806e+06 2.25108e+06 4650.99 16.67 3.91497 3.43174 58850 595650 -1 24920 16 9692 11251 1483545 305489 4.52256 4.52256 -3425.17 -4.52256 0 0 2.82299e+06 5832.63 0.79 0.67 0.52 -1 -1 0.79 0.358575 0.322125 1267 1861 665 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_37.v common 22.25 vpr 88.59 MiB 0.24 17688 -1 -1 1 0.71 -1 -1 40812 -1 -1 173 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 90716 22 19 5380 4464 1 2739 225 24 24 576 mult_36 auto 52.1 MiB 1.21 16600 56313 12680 38864 4769 88.6 MiB 1.57 0.02 4.39726 -3260.8 -4.39726 4.39726 1.56 0.00877338 0.00782934 0.818708 0.726201 70 30941 28 1.60519e+07 6.90179e+06 2.45377e+06 4260.01 9.63 2.98262 2.61057 66754 640332 -1 25189 16 10124 11953 1441066 304403 4.52256 4.52256 -3510.18 -4.52256 0 0 3.09179e+06 5367.68 0.86 0.69 0.63 -1 -1 0.86 0.381342 0.340062 1321 1947 684 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_38.v common 23.40 vpr 90.68 MiB 0.27 17864 -1 -1 1 0.70 -1 -1 42304 -1 -1 176 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 92852 22 19 5454 4521 1 2784 228 24 24 576 mult_36 auto 52.1 MiB 1.20 17686 58692 14006 39560 5126 88.5 MiB 1.63 0.02 4.20237 -3287.95 -4.20237 4.20237 1.47 0.00905596 0.00808648 0.861451 0.765781 80 27661 18 1.60519e+07 6.94594e+06 2.72095e+06 4723.87 11.25 3.6755 3.21596 70206 720185 -1 25044 17 9392 10797 1238597 262408 4.39726 4.39726 -3387.93 -4.39726 0 0 3.41546e+06 5929.62 0.92 0.62 0.58 -1 -1 0.92 0.365106 0.326009 1340 1966 703 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_39.v common 21.01 vpr 92.66 MiB 0.31 18068 -1 -1 1 0.60 -1 -1 40220 -1 -1 180 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94884 22 19 5629 4662 1 2882 232 24 24 576 mult_36 auto 52.9 MiB 1.16 17139 55056 12561 37747 4748 89.5 MiB 1.32 0.01 4.39726 -3372.5 -4.39726 4.39726 1.43 0.00421205 0.00376248 0.673509 0.595022 72 32360 40 1.60519e+07 7.0048e+06 2.50747e+06 4353.24 9.53 3.12732 2.7338 67330 654343 -1 26063 15 10213 11721 1450566 306425 4.52256 4.52256 -3562.16 -4.52256 0 0 3.14081e+06 5452.80 0.88 0.66 0.52 -1 -1 0.88 0.362696 0.325953 1381 2032 722 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_40.v common 23.71 vpr 95.62 MiB 0.17 18332 -1 -1 1 0.64 -1 -1 42224 -1 -1 182 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 97920 22 19 5703 4719 1 2929 234 24 24 576 mult_36 auto 53.8 MiB 1.19 17730 54234 12385 37329 4520 90.3 MiB 1.44 0.02 4.27196 -3426.27 -4.27196 4.27196 1.45 0.0096553 0.00863034 0.736039 0.655051 74 32857 35 1.60519e+07 7.03423e+06 2.56259e+06 4448.94 11.86 3.74322 3.27327 67906 667765 -1 27007 17 10660 11973 1577287 328402 4.39726 4.39726 -3639.92 -4.39726 0 0 3.19068e+06 5539.38 0.88 0.72 0.53 -1 -1 0.88 0.399574 0.357659 1400 2051 741 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_41.v common 25.04 vpr 92.39 MiB 0.19 18932 -1 -1 1 0.84 -1 -1 41616 -1 -1 190 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94612 22 19 5950 4932 1 3039 243 24 24 576 mult_36 auto 54.5 MiB 1.28 18494 48755 10232 33831 4692 90.9 MiB 1.31 0.02 4.14666 -3593.15 -4.14666 4.14666 1.49 0.00924519 0.00822018 0.675753 0.598199 78 30524 25 1.60519e+07 7.54795e+06 2.67122e+06 4637.53 12.89 4.04171 3.53277 69630 706637 -1 26409 17 10464 12149 1422734 303195 4.27196 4.27196 -3758.11 -4.27196 0 0 3.35110e+06 5817.88 0.92 0.70 0.57 -1 -1 0.92 0.40952 0.366635 1461 2153 760 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_42.v common 27.13 vpr 92.70 MiB 0.23 18872 -1 -1 1 0.94 -1 -1 42772 -1 -1 193 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94928 22 19 6024 4989 1 3082 246 24 24 576 mult_36 auto 55.2 MiB 1.24 20030 64926 14885 44112 5929 91.5 MiB 1.76 0.02 4.39726 -3645.71 -4.39726 4.39726 1.56 0.00996243 0.008898 0.910422 0.8106 76 36054 42 1.60519e+07 7.5921e+06 2.61600e+06 4541.67 13.78 4.1362 3.61629 68478 680951 -1 29459 18 11439 13299 1697383 348587 4.39726 4.39726 -3948.23 -4.39726 0 0 3.24203e+06 5628.53 1.06 0.83 0.60 -1 -1 1.06 0.459419 0.411692 1480 2172 779 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_43.v common 23.59 vpr 92.35 MiB 0.25 19360 -1 -1 1 1.09 -1 -1 43276 -1 -1 199 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94568 22 19 6198 5129 1 3181 252 24 24 576 mult_36 auto 56.1 MiB 1.30 20168 59082 13911 39065 6106 92.4 MiB 1.54 0.02 4.64786 -3811.84 -4.64786 4.64786 1.45 0.00965356 0.0085898 0.791746 0.702729 74 34701 31 1.60519e+07 7.68039e+06 2.56259e+06 4448.94 10.77 3.6847 3.24442 67906 667765 -1 29515 15 11276 13062 1613817 335267 4.64786 4.64786 -4088.62 -4.64786 0 0 3.19068e+06 5539.38 0.91 0.74 0.58 -1 -1 0.91 0.402959 0.361589 1523 2237 798 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_44.v common 30.25 vpr 94.77 MiB 0.25 19504 -1 -1 1 0.92 -1 -1 43224 -1 -1 200 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 97048 22 19 6272 5186 1 3226 253 24 24 576 mult_36 auto 56.9 MiB 1.40 20928 66570 15265 44565 6740 93.2 MiB 1.86 0.02 4.52256 -3840.7 -4.52256 4.52256 1.62 0.0105936 0.00945656 0.966478 0.858883 78 34552 50 1.60519e+07 7.69511e+06 2.67122e+06 4637.53 16.75 4.95122 4.3327 69630 706637 -1 28997 15 11195 13019 1576795 325150 4.64786 4.64786 -4270.29 -4.64786 0 0 3.35110e+06 5817.88 1.03 0.72 0.58 -1 -1 1.03 0.422781 0.383052 1542 2256 817 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_45.v common 26.51 vpr 95.27 MiB 0.22 19780 -1 -1 1 1.06 -1 -1 43724 -1 -1 208 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 97556 22 19 6485 5365 1 3338 262 24 24 576 mult_36 auto 57.5 MiB 1.43 21050 68140 15742 46206 6192 93.8 MiB 1.96 0.02 4.3337 -3866.62 -4.3337 4.3337 1.72 0.0110034 0.00985175 1.00708 0.895221 76 37388 40 1.60519e+07 8.20883e+06 2.61600e+06 4541.67 12.17 3.96882 3.48336 68478 680951 -1 29833 26 12212 14243 1891537 439336 4.39726 4.39726 -4071.65 -4.39726 0 0 3.24203e+06 5628.53 0.94 1.11 0.57 -1 -1 0.94 0.649219 0.577456 1593 2342 836 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_46.v common 24.25 vpr 95.32 MiB 0.31 20044 -1 -1 1 1.01 -1 -1 43836 -1 -1 210 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 97604 22 19 6559 5422 1 3380 264 24 24 576 mult_36 auto 57.9 MiB 1.44 20986 65406 14272 45368 5766 94.0 MiB 1.89 0.02 4.41516 -3851.32 -4.41516 4.41516 1.75 0.0104864 0.00925677 0.951179 0.844485 76 35918 33 1.60519e+07 8.23826e+06 2.61600e+06 4541.67 9.96 3.65068 3.18914 68478 680951 -1 30049 16 12176 14229 1658356 357526 4.39726 4.39726 -4137.94 -4.39726 0 0 3.24203e+06 5628.53 0.96 0.81 0.56 -1 -1 0.96 0.45034 0.404231 1613 2361 855 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_47.v common 30.26 vpr 100.97 MiB 0.23 20468 -1 -1 1 0.98 -1 -1 44512 -1 -1 216 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 103392 22 19 6735 5564 1 3477 270 24 24 576 mult_36 auto 59.1 MiB 1.55 24055 64798 13570 44576 6652 95.1 MiB 1.71 0.02 4.39726 -4157.74 -4.39726 4.39726 1.78 0.0113045 0.0101147 0.845251 0.755155 86 40233 49 1.60519e+07 8.32656e+06 2.91907e+06 5067.82 15.99 4.313 3.78276 72506 773887 -1 33084 16 12748 14764 2101422 413693 4.64786 4.64786 -4356.52 -4.64786 0 0 3.65856e+06 6351.67 1.02 0.87 0.65 -1 -1 1.02 0.458208 0.411484 1656 2428 874 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_48.v common 35.47 vpr 96.93 MiB 0.32 20664 -1 -1 1 0.95 -1 -1 44236 -1 -1 218 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 99252 22 19 6809 5621 1 3526 272 24 24 576 mult_36 auto 59.3 MiB 1.50 22900 73395 16707 49770 6918 95.2 MiB 2.15 0.02 4.39726 -4153.76 -4.39726 4.39726 1.67 0.011406 0.0101958 1.08523 0.969545 80 37824 42 1.60519e+07 8.35599e+06 2.72095e+06 4723.87 20.80 5.22399 4.56195 70206 720185 -1 31870 17 12170 14127 1643072 340205 4.52256 4.52256 -4448.16 -4.52256 0 0 3.41546e+06 5929.62 0.98 0.91 0.60 -1 -1 0.98 0.514534 0.462797 1674 2447 893 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_49.v common 31.44 vpr 97.77 MiB 0.33 21116 -1 -1 1 1.20 -1 -1 44496 -1 -1 228 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 100120 22 19 7094 5872 1 3640 283 24 24 576 mult_36 auto 60.8 MiB 1.61 22621 68027 14330 47033 6664 96.8 MiB 1.93 0.02 4.32767 -4362.09 -4.32767 4.32767 1.64 0.0119534 0.0107154 0.980804 0.872047 78 38472 32 1.60519e+07 8.89916e+06 2.67122e+06 4637.53 16.89 5.12848 4.48135 69630 706637 -1 32337 18 12793 14786 1774390 369345 4.39726 4.39726 -4662.48 -4.39726 0 0 3.35110e+06 5817.88 0.96 0.89 0.59 -1 -1 0.96 0.506522 0.451565 1745 2569 912 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_50.v common 42.89 vpr 104.99 MiB 0.34 21260 -1 -1 1 1.07 -1 -1 44300 -1 -1 230 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 107512 22 19 7168 5929 1 3676 285 24 24 576 mult_36 auto 61.3 MiB 1.54 23567 73371 16206 50485 6680 97.6 MiB 2.07 0.02 4.52256 -4404.95 -4.52256 4.52256 1.61 0.0121815 0.0108962 1.01563 0.902893 80 39688 35 1.60519e+07 8.92859e+06 2.72095e+06 4723.87 28.13 5.30125 4.63165 70206 720185 -1 33305 16 12887 14936 1843889 377237 4.64786 4.64786 -4684.92 -4.64786 0 0 3.41546e+06 5929.62 0.97 0.88 0.58 -1 -1 0.97 0.48546 0.433223 1764 2588 931 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_51.v common 35.12 vpr 100.53 MiB 0.29 21748 -1 -1 1 1.16 -1 -1 44852 -1 -1 235 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 102940 22 19 7344 6071 1 3782 290 24 24 576 mult_36 auto 61.8 MiB 1.53 23722 73174 15715 50579 6880 97.9 MiB 2.23 0.02 4.459 -4442.21 -4.459 4.459 1.71 0.0129397 0.0114853 1.13602 1.00367 78 40381 49 1.60519e+07 9.00217e+06 2.67122e+06 4637.53 19.81 5.82881 5.09426 69630 706637 -1 33812 17 13492 15556 1913676 402938 4.39726 4.39726 -4781.62 -4.39726 0 0 3.35110e+06 5817.88 0.99 0.96 0.60 -1 -1 0.99 0.553941 0.498992 1808 2655 950 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_pipe_52.v common 29.93 vpr 103.93 MiB 0.35 21712 -1 -1 1 1.06 -1 -1 45144 -1 -1 237 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 106420 22 19 7418 6128 1 3828 292 24 24 576 mult_36 auto 63.0 MiB 1.65 25005 78700 18301 52935 7464 99.2 MiB 2.24 0.03 4.3337 -4441.83 -4.3337 4.3337 1.62 0.0141833 0.0126136 1.11433 0.987066 82 41723 40 1.60519e+07 9.0316e+06 2.78508e+06 4835.20 14.40 5.09586 4.46474 70778 734779 -1 34399 17 13048 14909 1766649 364634 4.64786 4.64786 -4948.18 -4.64786 0 0 3.48632e+06 6052.64 1.03 0.91 0.62 -1 -1 1.03 0.529155 0.472554 1827 2674 969 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_14.v common 8.30 vpr 67.70 MiB 0.06 9236 -1 -1 1 0.16 -1 -1 34796 -1 -1 43 22 0 4 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69320 22 19 1246 925 1 718 88 16 16 256 mult_36 auto 29.6 MiB 0.40 3890 12373 3373 7466 1534 67.7 MiB 0.29 0.00 7.60941 -361.611 -7.60941 7.60941 0.58 0.00248719 0.00227377 0.168746 0.154814 48 8671 44 6.62819e+06 2.21677e+06 755748. 2952.14 4.19 0.782373 0.696842 25088 180500 -1 6645 23 6937 7779 935577 227413 8.26573 8.26573 -437.931 -8.26573 0 0 916467. 3579.95 0.32 0.34 0.18 -1 -1 0.32 0.131416 0.117582 299 344 247 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_15.v common 7.86 vpr 67.93 MiB 0.10 9568 -1 -1 1 0.16 -1 -1 35164 -1 -1 46 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69560 22 19 1344 989 1 778 92 16 16 256 mult_36 auto 30.1 MiB 0.35 4185 14168 4013 8552 1603 67.9 MiB 0.34 0.00 7.6175 -392.139 -7.6175 7.6175 0.57 0.00268393 0.00245944 0.195077 0.179222 60 7437 28 6.62819e+06 2.65692e+06 890343. 3477.90 3.66 0.798125 0.713421 27128 224764 -1 6562 26 6006 6790 825899 214119 7.65538 7.65538 -446.805 -7.65538 0 0 1.11577e+06 4358.47 0.27 0.34 0.20 -1 -1 0.27 0.146346 0.13072 321 369 266 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_16.v common 7.58 vpr 68.24 MiB 0.11 9564 -1 -1 1 0.17 -1 -1 35172 -1 -1 48 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69880 22 19 1418 1046 1 821 94 16 16 256 mult_36 auto 30.5 MiB 0.39 4487 13513 3432 8383 1698 68.2 MiB 0.33 0.00 7.60494 -393.597 -7.60494 7.60494 0.58 0.0027842 0.00255165 0.187731 0.172143 56 8390 28 6.62819e+06 2.68636e+06 849745. 3319.32 3.33 0.831016 0.737597 26364 208198 -1 7216 25 7078 7963 962371 230897 7.99013 7.99013 -460.438 -7.99013 0 0 1.04740e+06 4091.43 0.25 0.36 0.25 -1 -1 0.25 0.150063 0.134273 340 388 285 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_17.v common 9.38 vpr 68.71 MiB 0.11 10216 -1 -1 1 0.15 -1 -1 35368 -1 -1 52 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70356 22 19 1518 1112 1 879 98 16 16 256 mult_36 auto 31.0 MiB 0.39 4625 16298 4224 8851 3223 68.7 MiB 0.40 0.01 8.32683 -417.873 -8.32683 8.32683 0.58 0.00298677 0.00271368 0.228688 0.209212 58 9112 39 6.62819e+06 2.74522e+06 871168. 3403.00 5.05 0.9919 0.882394 26872 219187 -1 7252 25 7366 7878 922895 225342 9.12512 9.12512 -480.323 -9.12512 0 0 1.09288e+06 4269.05 0.27 0.39 0.19 -1 -1 0.27 0.167818 0.150821 365 415 304 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_18.v common 11.18 vpr 69.09 MiB 0.12 10396 -1 -1 1 0.19 -1 -1 34952 -1 -1 55 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70752 22 19 1592 1169 1 918 101 16 16 256 mult_36 auto 31.2 MiB 0.42 5347 16316 4097 10275 1944 69.1 MiB 0.41 0.01 8.4422 -469.823 -8.4422 8.4422 0.57 0.00307543 0.00281496 0.225945 0.206695 56 10897 47 6.62819e+06 2.78937e+06 849745. 3319.32 6.50 1.05998 0.941705 26364 208198 -1 9026 32 9275 10182 1519161 349464 9.30818 9.30818 -562.145 -9.30818 0 0 1.04740e+06 4091.43 0.25 0.55 0.18 -1 -1 0.25 0.20576 0.183578 383 434 323 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_19.v common 10.03 vpr 69.58 MiB 0.08 10668 -1 -1 1 0.20 -1 -1 35488 -1 -1 58 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71252 22 19 1688 1231 1 976 105 16 16 256 mult_36 auto 31.8 MiB 0.46 5523 17642 4653 10328 2661 69.6 MiB 0.43 0.01 8.2901 -468.025 -8.2901 8.2901 0.57 0.00321502 0.00294453 0.244246 0.223338 58 10958 42 6.62819e+06 3.22951e+06 871168. 3403.00 5.27 1.08965 0.971784 26872 219187 -1 8881 23 8148 9336 1045786 240112 9.19702 9.19702 -670.638 -9.19702 0 0 1.09288e+06 4269.05 0.36 0.44 0.21 -1 -1 0.36 0.170417 0.152624 404 457 342 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_20.v common 9.80 vpr 70.05 MiB 0.08 10652 -1 -1 1 0.22 -1 -1 35588 -1 -1 59 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71732 22 19 1762 1288 1 1014 106 16 16 256 mult_36 auto 32.2 MiB 0.47 5650 16606 4202 10324 2080 70.1 MiB 0.45 0.01 8.4978 -488.18 -8.4978 8.4978 0.57 0.00334718 0.00305518 0.244371 0.222607 56 11507 38 6.62819e+06 3.24423e+06 849745. 3319.32 4.85 1.09951 0.975231 26364 208198 -1 9516 27 10307 11396 1607507 371242 9.22112 9.22112 -579.067 -9.22112 0 0 1.04740e+06 4091.43 0.27 0.59 0.21 -1 -1 0.27 0.202265 0.180619 423 476 361 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_21.v common 10.37 vpr 70.59 MiB 0.13 10924 -1 -1 1 0.23 -1 -1 35984 -1 -1 62 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72284 22 19 1859 1351 1 1072 109 16 16 256 mult_36 auto 32.8 MiB 0.52 6035 17789 4471 10978 2340 70.6 MiB 0.50 0.01 8.38109 -487.093 -8.38109 8.38109 0.58 0.00353177 0.00322401 0.258398 0.235679 60 12117 48 6.62819e+06 3.28838e+06 890343. 3477.90 5.30 1.15998 1.0304 27128 224764 -1 9393 23 8484 9643 1075611 255217 9.04658 9.04658 -638.837 -9.04658 0 0 1.11577e+06 4358.47 0.34 0.43 0.20 -1 -1 0.34 0.187693 0.169332 445 500 380 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_22.v common 9.75 vpr 71.11 MiB 0.15 11060 -1 -1 1 0.23 -1 -1 35992 -1 -1 66 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72816 22 19 1933 1408 1 1111 113 16 16 256 mult_36 auto 33.4 MiB 0.55 6447 14582 3335 9243 2004 71.1 MiB 0.40 0.01 8.38225 -539.049 -8.38225 8.38225 0.52 0.00372084 0.00340025 0.211763 0.193395 66 12032 36 6.62819e+06 3.34724e+06 974584. 3806.97 4.64 1.11576 0.989347 28148 247068 -1 9826 24 8221 9433 1042848 236369 8.80852 8.80852 -690.661 -8.80852 0 0 1.22072e+06 4768.46 0.29 0.44 0.23 -1 -1 0.29 0.195304 0.175492 464 519 399 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_23.v common 12.26 vpr 71.07 MiB 0.15 11404 -1 -1 1 0.25 -1 -1 36004 -1 -1 68 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72780 22 19 2031 1472 1 1174 116 18 18 324 mult_36 auto 33.5 MiB 0.60 6930 17882 4089 11335 2458 71.1 MiB 0.47 0.01 8.41364 -547.214 -8.41364 8.41364 0.75 0.00373301 0.0033942 0.252968 0.230155 60 13146 46 8.18539e+06 3.77267e+06 1.16833e+06 3605.96 6.44 1.26858 1.12367 35004 297736 -1 10629 25 9789 11037 1322884 293485 8.79022 8.79022 -667.882 -8.79022 0 0 1.46313e+06 4515.82 0.37 0.55 0.26 -1 -1 0.37 0.222269 0.199302 486 544 418 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_24.v common 28.06 vpr 71.67 MiB 0.15 11572 -1 -1 1 0.26 -1 -1 36336 -1 -1 71 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73388 22 19 2105 1529 1 1210 119 18 18 324 mult_36 auto 34.0 MiB 0.57 6947 19099 4545 12489 2065 71.7 MiB 0.50 0.01 8.54591 -592.304 -8.54591 8.54591 0.76 0.00387295 0.00353344 0.268825 0.244429 58 13826 37 8.18539e+06 3.81682e+06 1.14310e+06 3528.09 22.14 2.16612 1.90652 34680 290288 -1 11315 25 10013 11336 1455403 323969 9.26762 9.26762 -836.784 -9.26762 0 0 1.43297e+06 4422.75 0.38 0.51 0.26 -1 -1 0.38 0.191148 0.172557 505 563 437 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_25.v common 12.18 vpr 72.28 MiB 0.10 11840 -1 -1 1 0.28 -1 -1 36436 -1 -1 73 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74016 22 19 2201 1591 1 1268 121 18 18 324 mult_36 auto 34.8 MiB 0.59 7634 22247 5441 14246 2560 72.3 MiB 0.59 0.01 8.41835 -567.959 -8.41835 8.41835 0.75 0.00403852 0.00366175 0.317042 0.288294 64 14202 44 8.18539e+06 3.84625e+06 1.23838e+06 3822.15 6.20 1.44631 1.28376 35972 318676 -1 11820 29 12088 13740 1940094 442042 8.68898 8.68898 -781.144 -8.68898 0 0 1.56068e+06 4816.91 0.38 0.65 0.28 -1 -1 0.38 0.246613 0.219596 526 586 456 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_26.v common 11.94 vpr 72.46 MiB 0.10 11936 -1 -1 1 0.28 -1 -1 37420 -1 -1 76 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74204 22 19 2275 1648 1 1306 124 18 18 324 mult_36 auto 35.1 MiB 0.81 7751 20827 4662 13516 2649 72.5 MiB 0.57 0.01 8.56929 -568.623 -8.56929 8.56929 0.77 0.00413781 0.00376541 0.298181 0.271274 60 14701 32 8.18539e+06 3.8904e+06 1.16833e+06 3605.96 5.72 1.33007 1.17753 35004 297736 -1 12032 25 10795 12330 1483067 336752 8.81798 8.81798 -886.816 -8.81798 0 0 1.46313e+06 4515.82 0.37 0.55 0.25 -1 -1 0.37 0.224402 0.199898 546 605 475 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_27.v common 12.20 vpr 73.11 MiB 0.16 12176 -1 -1 1 0.31 -1 -1 36624 -1 -1 82 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74864 22 19 2385 1724 1 1378 131 18 18 324 mult_36 auto 35.7 MiB 0.67 8283 20383 4936 13018 2429 73.1 MiB 0.56 0.01 8.5461 -628.049 -8.5461 8.5461 0.75 0.00441244 0.00400671 0.289094 0.262967 68 14535 41 8.18539e+06 4.37469e+06 1.31159e+06 4048.11 5.93 1.42908 1.26783 36620 334356 -1 12409 26 10631 12441 1427422 320553 8.58227 8.58227 -875.158 -8.58227 0 0 1.63345e+06 5041.52 0.41 0.56 0.28 -1 -1 0.41 0.247584 0.221266 575 642 494 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_28.v common 16.70 vpr 73.46 MiB 0.17 12280 -1 -1 1 0.31 -1 -1 36788 -1 -1 83 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75224 22 19 2459 1781 1 1417 132 18 18 324 mult_36 auto 36.1 MiB 0.64 8787 24252 5813 15988 2451 73.5 MiB 0.63 0.01 8.59036 -640.13 -8.59036 8.59036 0.74 0.00438785 0.00398589 0.335875 0.305032 58 18144 50 8.18539e+06 4.3894e+06 1.14310e+06 3528.09 10.39 1.61231 1.42708 34680 290288 -1 13981 22 13072 15000 1915715 426043 9.02382 9.02382 -822.024 -9.02382 0 0 1.43297e+06 4422.75 0.36 0.64 0.24 -1 -1 0.36 0.241009 0.217144 594 661 513 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_29.v common 17.70 vpr 73.96 MiB 0.18 12728 -1 -1 1 0.32 -1 -1 37292 -1 -1 85 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75732 22 19 2565 1853 1 1485 135 22 22 484 mult_36 auto 36.7 MiB 0.71 9693 25047 5824 16462 2761 74.0 MiB 0.71 0.01 8.56824 -658.817 -8.56824 8.56824 1.22 0.00474006 0.0043231 0.362784 0.329646 64 18425 33 1.33067e+07 4.81483e+06 1.90554e+06 3937.06 9.45 1.53093 1.35853 54502 494576 -1 15063 28 14458 16832 2340289 516030 8.76628 8.76628 -928.497 -8.76628 0 0 2.40101e+06 4960.76 0.62 0.77 0.40 -1 -1 0.62 0.280264 0.250411 619 694 532 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_30.v common 15.00 vpr 74.31 MiB 0.08 12824 -1 -1 1 0.34 -1 -1 37428 -1 -1 89 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76096 22 19 2639 1910 1 1523 139 22 22 484 mult_36 auto 37.1 MiB 0.74 9384 25628 5988 16697 2943 74.3 MiB 0.72 0.01 8.44238 -674.317 -8.44238 8.44238 1.23 0.00474821 0.00431628 0.368392 0.334281 70 15871 29 1.33067e+07 4.87369e+06 2.06816e+06 4273.05 6.97 1.51562 1.34444 56434 539830 -1 14145 24 11726 13596 1807233 398151 9.10687 9.10687 -826.57 -9.10687 0 0 2.60483e+06 5381.88 0.69 0.64 0.44 -1 -1 0.69 0.255512 0.228396 639 713 551 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_31.v common 17.31 vpr 74.87 MiB 0.19 12964 -1 -1 1 0.39 -1 -1 37424 -1 -1 93 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76664 22 19 2744 1981 1 1590 143 22 22 484 mult_36 auto 37.7 MiB 0.74 9784 30356 7187 19530 3639 74.9 MiB 0.86 0.01 8.38567 -675.978 -8.38567 8.38567 1.22 0.00507411 0.00456402 0.440825 0.399147 64 18972 47 1.33067e+07 4.93255e+06 1.90554e+06 3937.06 9.03 1.81872 1.61299 54502 494576 -1 15109 27 13335 15415 2075489 440624 9.05667 9.05667 -930.353 -9.05667 0 0 2.40101e+06 4960.76 0.63 0.71 0.40 -1 -1 0.63 0.28603 0.255035 665 745 570 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_32.v common 59.78 vpr 75.20 MiB 0.13 13060 -1 -1 1 0.42 -1 -1 36820 -1 -1 96 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77008 22 19 2818 2038 1 1627 146 22 22 484 mult_36 auto 37.9 MiB 0.81 9765 27794 6626 18138 3030 75.2 MiB 0.78 0.01 8.43423 -706.833 -8.43423 8.43423 1.24 0.00503391 0.00455887 0.393484 0.356822 68 17281 43 1.33067e+07 4.9767e+06 2.01763e+06 4168.66 51.47 3.45154 3.04118 55470 518816 -1 14549 26 13387 15791 1843918 396984 8.48238 8.48238 -1019.62 -8.48238 0 0 2.51205e+06 5190.18 0.66 0.73 0.35 -1 -1 0.66 0.32025 0.287688 684 764 589 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_33.v common 18.67 vpr 75.77 MiB 0.20 13728 -1 -1 1 0.40 -1 -1 37556 -1 -1 100 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77588 22 19 2923 2109 1 1695 151 22 22 484 mult_36 auto 38.4 MiB 0.73 10394 32632 7799 20384 4449 75.8 MiB 0.93 0.01 9.15948 -780.094 -9.15948 9.15948 1.23 0.00550841 0.00496395 0.466464 0.422627 68 19006 40 1.33067e+07 5.43155e+06 2.01763e+06 4168.66 10.13 1.85464 1.64421 55470 518816 -1 15474 24 12536 14090 1741858 383228 9.73142 9.73142 -1174.71 -9.73142 0 0 2.51205e+06 5190.18 0.66 0.64 0.41 -1 -1 0.66 0.274146 0.24518 710 796 608 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_34.v common 17.07 vpr 76.12 MiB 0.15 13748 -1 -1 1 0.44 -1 -1 37840 -1 -1 101 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77944 22 19 2997 2166 1 1734 152 22 22 484 mult_36 auto 38.9 MiB 1.01 10711 29717 6877 19660 3180 76.1 MiB 0.85 0.01 9.29828 -782.067 -9.29828 9.29828 1.27 0.00537933 0.00488556 0.439577 0.398766 68 19336 39 1.33067e+07 5.44627e+06 2.01763e+06 4168.66 8.09 1.80439 1.59957 55470 518816 -1 15822 25 13222 15017 1923830 410698 9.61867 9.61867 -1083.26 -9.61867 0 0 2.51205e+06 5190.18 0.67 0.74 0.44 -1 -1 0.67 0.31043 0.277578 729 815 627 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_35.v common 18.30 vpr 76.68 MiB 0.23 14092 -1 -1 1 0.43 -1 -1 37608 -1 -1 106 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78520 22 19 3101 2236 1 1801 157 22 22 484 mult_36 auto 39.4 MiB 1.05 11402 28075 6295 18501 3279 76.7 MiB 0.81 0.01 9.47066 -829.471 -9.47066 9.47066 1.22 0.00609179 0.00558557 0.405266 0.367879 70 19800 47 1.33067e+07 5.51985e+06 2.06816e+06 4273.05 9.21 1.86486 1.65176 56434 539830 -1 16867 24 13697 15802 2138431 452706 9.74781 9.74781 -1050.32 -9.74781 0 0 2.60483e+06 5381.88 0.70 0.76 0.44 -1 -1 0.70 0.299055 0.26771 755 846 646 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_36.v common 21.97 vpr 77.04 MiB 0.21 14088 -1 -1 1 0.44 -1 -1 38016 -1 -1 107 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78888 22 19 3175 2293 1 1836 158 22 22 484 mult_36 auto 39.8 MiB 1.13 11170 30902 7166 20385 3351 77.0 MiB 0.94 0.01 9.18378 -834.69 -9.18378 9.18378 1.25 0.00580597 0.00527978 0.477711 0.431692 60 23060 49 1.33067e+07 5.53456e+06 1.79840e+06 3715.71 12.89 2.11193 1.86795 53054 462096 -1 17670 24 14840 17527 2134269 458631 9.53001 9.53001 -1214.3 -9.53001 0 0 2.25108e+06 4650.99 0.61 0.77 0.38 -1 -1 0.61 0.306378 0.273847 773 865 665 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_37.v common 21.82 vpr 77.66 MiB 0.22 14376 -1 -1 1 0.43 -1 -1 37340 -1 -1 111 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79520 22 19 3280 2364 1 1904 163 24 24 576 mult_36 auto 40.5 MiB 1.15 11916 36653 8512 22659 5482 77.7 MiB 1.10 0.01 9.503 -813.596 -9.503 9.503 1.55 0.00616994 0.00541883 0.561311 0.506567 68 21847 36 1.60519e+07 5.98942e+06 2.39371e+06 4155.74 11.44 2.14252 1.89965 65606 615345 -1 17297 31 13826 16479 2281397 551091 9.31947 9.31947 -1215.55 -9.31947 0 0 2.98162e+06 5176.42 0.78 0.89 0.49 -1 -1 0.78 0.378325 0.337347 798 897 684 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_38.v common 19.36 vpr 78.34 MiB 0.28 14508 -1 -1 1 0.44 -1 -1 37968 -1 -1 113 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80220 22 19 3354 2421 1 1941 165 24 24 576 mult_36 auto 41.1 MiB 1.27 13091 35421 8629 23184 3608 78.3 MiB 1.06 0.01 9.56954 -911.791 -9.56954 9.56954 1.50 0.0060493 0.00549289 0.531047 0.479941 70 22176 36 1.60519e+07 6.01886e+06 2.45377e+06 4260.01 8.83 2.08523 1.84725 66754 640332 -1 18678 23 12610 14627 1802919 388775 9.66152 9.66152 -1189.17 -9.66152 0 0 3.09179e+06 5367.68 0.87 0.71 0.52 -1 -1 0.87 0.310292 0.277961 818 916 703 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_39.v common 19.69 vpr 78.55 MiB 0.17 14732 -1 -1 1 0.45 -1 -1 38232 -1 -1 117 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80432 22 19 3457 2490 1 2007 169 24 24 576 mult_36 auto 41.5 MiB 1.09 13257 37062 8849 24893 3320 78.5 MiB 1.04 0.01 9.53513 -973.946 -9.53513 9.53513 1.47 0.00596731 0.00540136 0.518221 0.46788 66 24364 31 1.60519e+07 6.07772e+06 2.33135e+06 4047.49 9.54 2.08379 1.84877 65030 601923 -1 19382 25 15180 17874 2138458 453487 9.76651 9.76651 -1308.99 -9.76651 0 0 2.91907e+06 5067.82 0.82 0.81 0.48 -1 -1 0.82 0.344465 0.308244 842 946 722 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_40.v common 21.96 vpr 78.87 MiB 0.21 15004 -1 -1 1 0.47 -1 -1 38752 -1 -1 120 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80760 22 19 3531 2547 1 2046 172 24 24 576 mult_36 auto 41.7 MiB 1.34 13416 38890 9004 25965 3921 78.9 MiB 1.13 0.01 9.43624 -981.01 -9.43624 9.43624 1.43 0.00625586 0.00565441 0.543303 0.489943 70 23523 44 1.60519e+07 6.12186e+06 2.45377e+06 4260.01 11.31 2.23268 1.9759 66754 640332 -1 19563 25 14474 17290 2278221 477004 9.83772 9.83772 -1353.33 -9.83772 0 0 3.09179e+06 5367.68 0.86 0.84 0.51 -1 -1 0.86 0.367156 0.327513 862 965 741 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_41.v common 21.92 vpr 79.35 MiB 0.22 15180 -1 -1 1 0.52 -1 -1 38096 -1 -1 122 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81256 22 19 3634 2616 1 2113 175 24 24 576 mult_36 auto 42.3 MiB 1.31 14260 37339 8299 25744 3296 79.4 MiB 1.14 0.01 9.48942 -936.304 -9.48942 9.48942 1.49 0.00675422 0.00614536 0.558513 0.504178 76 24101 46 1.60519e+07 6.5473e+06 2.61600e+06 4541.67 11.02 2.44748 2.17082 68478 680951 -1 20450 26 13980 16375 2275565 472356 10.0482 10.0482 -1255.71 -10.0482 0 0 3.24203e+06 5628.53 0.92 0.87 0.54 -1 -1 0.92 0.371853 0.332549 886 995 760 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_42.v common 22.42 vpr 80.11 MiB 0.12 15260 -1 -1 1 0.45 -1 -1 38348 -1 -1 125 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82028 22 19 3708 2673 1 2147 178 24 24 576 mult_36 auto 42.9 MiB 1.36 13247 38178 8698 26287 3193 80.1 MiB 1.07 0.01 9.34555 -911.748 -9.34555 9.34555 1.44 0.0064956 0.00588021 0.52583 0.474314 68 24266 46 1.60519e+07 6.59144e+06 2.39371e+06 4155.74 12.11 2.37149 2.09472 65606 615345 -1 19305 25 14215 16917 2027268 431068 9.41247 9.41247 -1370.03 -9.41247 0 0 2.98162e+06 5176.42 0.76 0.76 0.48 -1 -1 0.76 0.345503 0.307881 906 1014 779 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_43.v common 23.50 vpr 80.20 MiB 0.16 15604 -1 -1 1 0.54 -1 -1 38872 -1 -1 129 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82124 22 19 3810 2741 1 2214 182 24 24 576 mult_36 auto 43.1 MiB 1.40 14854 39322 8760 27092 3470 80.2 MiB 1.18 0.01 9.43515 -973.044 -9.43515 9.43515 1.50 0.00665249 0.00603299 0.564376 0.511736 70 26179 39 1.60519e+07 6.6503e+06 2.45377e+06 4260.01 12.41 2.38973 2.11663 66754 640332 -1 21790 27 16236 19013 2690277 570799 10.0622 10.0622 -1353.82 -10.0622 0 0 3.09179e+06 5367.68 0.89 1.00 0.52 -1 -1 0.89 0.406695 0.362835 930 1043 798 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_44.v common 21.15 vpr 80.74 MiB 0.15 15680 -1 -1 1 0.55 -1 -1 38396 -1 -1 132 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82680 22 19 3884 2798 1 2251 185 24 24 576 mult_36 auto 43.6 MiB 1.51 14264 43317 10157 28920 4240 80.7 MiB 1.14 0.01 9.54931 -1049.89 -9.54931 9.54931 1.45 0.00442549 0.0040212 0.566381 0.512217 70 23866 43 1.60519e+07 6.69445e+06 2.45377e+06 4260.01 10.14 2.47136 2.1912 66754 640332 -1 20739 24 16345 18902 2422633 514103 9.94026 9.94026 -1346.73 -9.94026 0 0 3.09179e+06 5367.68 0.84 0.90 0.53 -1 -1 0.84 0.372298 0.33204 949 1062 817 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_45.v common 21.13 vpr 81.10 MiB 0.14 15976 -1 -1 1 0.58 -1 -1 40524 -1 -1 135 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83048 22 19 3989 2869 1 2318 189 24 24 576 mult_36 auto 43.9 MiB 1.45 15002 45717 10664 29972 5081 81.1 MiB 1.34 0.02 9.30374 -1001.57 -9.30374 9.30374 1.52 0.00746911 0.00679006 0.668085 0.604004 74 24106 34 1.60519e+07 7.1346e+06 2.56259e+06 4448.94 9.60 2.47418 2.18875 67906 667765 -1 21115 26 15678 18406 2326491 502931 9.44027 9.44027 -1425.49 -9.44027 0 0 3.19068e+06 5539.38 0.86 0.92 0.59 -1 -1 0.86 0.405328 0.361259 975 1094 836 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_46.v common 24.34 vpr 81.14 MiB 0.24 16108 -1 -1 1 0.56 -1 -1 40476 -1 -1 136 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83088 22 19 4063 2926 1 2357 190 24 24 576 mult_36 auto 44.1 MiB 1.54 15384 39502 8716 27417 3369 81.1 MiB 1.20 0.02 9.25444 -1065.06 -9.25444 9.25444 1.46 0.00819881 0.00737383 0.591398 0.531649 68 28280 44 1.60519e+07 7.14931e+06 2.39371e+06 4155.74 13.33 2.59794 2.29721 65606 615345 -1 22363 25 16582 19483 2454716 510839 9.51877 9.51877 -1579.55 -9.51877 0 0 2.98162e+06 5176.42 0.80 0.77 0.50 -1 -1 0.80 0.295053 0.265261 993 1113 855 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_47.v common 22.95 vpr 81.84 MiB 0.28 16676 -1 -1 1 0.57 -1 -1 40860 -1 -1 141 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83800 22 19 4167 2996 1 2421 195 24 24 576 mult_36 auto 44.9 MiB 1.52 15434 47655 11175 32212 4268 81.8 MiB 1.45 0.02 9.32624 -1067.91 -9.32624 9.32624 1.46 0.00866741 0.00766169 0.705291 0.6329 68 26939 44 1.60519e+07 7.22289e+06 2.39371e+06 4155.74 11.15 2.73486 2.41721 65606 615345 -1 22408 22 17205 20128 2344851 525433 9.69172 9.69172 -1531.77 -9.69172 0 0 2.98162e+06 5176.42 0.89 0.86 0.54 -1 -1 0.89 0.360779 0.3226 1019 1144 874 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_48.v common 26.04 vpr 84.46 MiB 0.28 16560 -1 -1 1 0.62 -1 -1 40944 -1 -1 144 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86488 22 19 4241 3053 1 2459 198 24 24 576 mult_36 auto 45.1 MiB 1.63 16101 43398 9885 28969 4544 82.2 MiB 1.32 0.02 9.15276 -1137.39 -9.15276 9.15276 1.41 0.00812705 0.00725182 0.602704 0.540065 74 28016 46 1.60519e+07 7.26704e+06 2.56259e+06 4448.94 14.11 3.02835 2.67053 67906 667765 -1 23184 26 19200 22279 3097523 635988 10.1736 10.1736 -1421.35 -10.1736 0 0 3.19068e+06 5539.38 0.89 1.12 0.55 -1 -1 0.89 0.441622 0.39392 1038 1163 893 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_49.v common 22.70 vpr 82.50 MiB 0.30 17020 -1 -1 1 0.63 -1 -1 41164 -1 -1 145 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84476 22 19 4346 3124 1 2527 200 24 24 576 mult_36 auto 45.8 MiB 1.58 16303 42248 9563 28218 4467 82.5 MiB 1.25 0.02 9.38798 -1053.9 -9.38798 9.38798 1.45 0.00782229 0.00708899 0.606041 0.545412 76 26459 35 1.60519e+07 7.67775e+06 2.61600e+06 4541.67 10.77 2.5998 2.30202 68478 680951 -1 22900 23 17127 19789 2450260 525170 9.49071 9.49071 -1363.71 -9.49071 0 0 3.24203e+06 5628.53 0.88 0.95 0.57 -1 -1 0.88 0.408657 0.365417 1062 1195 912 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_50.v common 24.93 vpr 84.88 MiB 0.30 16992 -1 -1 1 0.62 -1 -1 40960 -1 -1 148 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86916 22 19 4420 3181 1 2564 203 24 24 576 mult_36 auto 46.2 MiB 1.72 16495 43711 9469 30201 4041 82.7 MiB 1.31 0.02 9.43609 -1064.71 -9.43609 9.43609 1.44 0.0088878 0.00796896 0.634709 0.572282 74 29023 44 1.60519e+07 7.72189e+06 2.56259e+06 4448.94 12.97 2.85564 2.52665 67906 667765 -1 23678 25 18523 22416 2877968 597216 9.48707 9.48707 -1821.24 -9.48707 0 0 3.19068e+06 5539.38 0.86 1.01 0.55 -1 -1 0.86 0.421101 0.376085 1082 1214 931 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_51.v common 23.60 vpr 83.62 MiB 0.31 17388 -1 -1 1 0.50 -1 -1 41132 -1 -1 152 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85628 22 19 4524 3251 1 2634 207 24 24 576 mult_36 auto 46.9 MiB 1.47 16985 41823 9101 28954 3768 83.6 MiB 1.27 0.02 9.734 -1168.67 -9.734 9.734 1.49 0.00828626 0.00752653 0.605156 0.543297 72 29204 35 1.60519e+07 7.78076e+06 2.50747e+06 4353.24 11.88 2.7076 2.39559 67330 654343 -1 24180 25 18625 21935 2835680 592310 9.95301 9.95301 -1799.32 -9.95301 0 0 3.14081e+06 5452.80 0.84 1.10 0.55 -1 -1 0.84 0.463942 0.414065 1107 1245 950 19 0 0 -k6_frac_2uripple_N8_22nm.xml fir_nopipe_52.v common 23.86 vpr 86.70 MiB 0.30 17404 -1 -1 1 0.68 -1 -1 39356 -1 -1 155 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88776 22 19 4598 3308 1 2668 210 24 24 576 mult_36 auto 47.2 MiB 1.76 17259 43266 9678 28409 5179 84.2 MiB 1.25 0.02 9.7565 -1135.89 -9.7565 9.7565 1.46 0.00829854 0.00752642 0.618965 0.557544 72 30374 50 1.60519e+07 7.8249e+06 2.50747e+06 4353.24 11.75 2.94605 2.60428 67330 654343 -1 24994 25 20197 24076 3112218 653789 9.59001 9.59001 -1667.66 -9.59001 0 0 3.14081e+06 5452.80 0.89 1.12 0.53 -1 -1 0.89 0.451547 0.402446 1127 1264 969 19 0 0 -k6_frac_N8_22nm.xml fir_pipe_14.v common 35.06 vpr 70.03 MiB 0.07 10400 -1 -1 8 0.52 -1 -1 34576 -1 -1 79 22 0 4 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71708 22 19 1764 1664 1 1014 124 16 16 256 mult_36 auto 32.0 MiB 0.61 6309 13720 2598 9792 1330 70.0 MiB 0.31 0.01 4.27196 -1341.5 -4.27196 4.27196 0.57 0.00354648 0.00317141 0.178158 0.159799 62 13731 45 6.45408e+06 2.64829e+06 916467. 3579.95 30.10 2.06286 1.78614 26824 229188 -1 9858 17 4242 7313 542219 128340 4.27196 4.27196 -1342.23 -4.27196 0 0 1.13630e+06 4438.68 0.29 0.31 0.20 -1 -1 0.29 0.168254 0.151358 599 966 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_15.v common 9.44 vpr 71.33 MiB 0.12 10904 -1 -1 8 0.42 -1 -1 36132 -1 -1 85 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73044 22 19 1918 1801 1 1104 131 16 16 256 mult_36 auto 32.9 MiB 0.59 6626 16731 3359 11755 1617 71.3 MiB 0.41 0.01 4.52256 -1452.91 -4.52256 4.52256 0.59 0.00446844 0.00396271 0.2364 0.211929 64 12736 29 6.45408e+06 3.12512e+06 943753. 3686.54 4.24 1.25278 1.0975 27332 240185 -1 10846 16 4390 7653 589954 137377 4.39726 4.39726 -1545.05 -4.39726 0 0 1.19033e+06 4649.74 0.30 0.34 0.22 -1 -1 0.30 0.187048 0.169073 651 1047 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_16.v common 9.35 vpr 71.73 MiB 0.10 10872 -1 -1 8 0.52 -1 -1 37432 -1 -1 87 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73448 22 19 1976 1859 1 1141 133 16 16 256 mult_36 auto 33.4 MiB 0.64 7164 16066 3216 11368 1482 71.7 MiB 0.37 0.01 4.28601 -1479.04 -4.28601 4.28601 0.58 0.00387816 0.00346619 0.213837 0.190981 66 14097 31 6.45408e+06 3.15206e+06 974584. 3806.97 4.07 1.22789 1.07012 27588 246658 -1 11314 14 4644 8048 628529 143762 4.39726 4.39726 -1506.32 -4.39726 0 0 1.22072e+06 4768.46 0.30 0.29 0.24 -1 -1 0.30 0.152509 0.136574 679 1086 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_17.v common 10.64 vpr 72.72 MiB 0.19 11888 -1 -1 8 0.54 -1 -1 36740 -1 -1 102 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74464 22 19 2278 2144 1 1269 148 16 16 256 mult_36 auto 34.9 MiB 0.67 8095 16961 3169 12034 1758 72.7 MiB 0.39 0.01 4.27196 -1685.47 -4.27196 4.27196 0.61 0.00431669 0.00384312 0.216345 0.192777 66 15895 38 6.45408e+06 3.35414e+06 974584. 3806.97 4.97 1.40484 1.2203 27588 246658 -1 12871 17 5512 9156 773452 182436 4.14666 4.14666 -1714.79 -4.14666 0 0 1.22072e+06 4768.46 0.29 0.35 0.23 -1 -1 0.29 0.187032 0.166489 768 1242 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_18.v common 10.64 vpr 73.61 MiB 0.13 11900 -1 -1 8 0.64 -1 -1 37788 -1 -1 105 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75380 22 19 2336 2202 1 1299 151 16 16 256 mult_36 auto 35.2 MiB 0.49 8142 19800 3855 14118 1827 73.6 MiB 0.45 0.01 4.27196 -1743.36 -4.27196 4.27196 0.57 0.00455664 0.00406375 0.2538 0.22658 66 16357 31 6.45408e+06 3.39456e+06 974584. 3806.97 5.10 1.41202 1.23044 27588 246658 -1 13041 16 5315 9387 790244 175589 4.27196 4.27196 -1778.91 -4.27196 0 0 1.22072e+06 4768.46 0.29 0.38 0.23 -1 -1 0.29 0.193318 0.172161 794 1281 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_19.v common 24.75 vpr 74.32 MiB 0.14 12416 -1 -1 8 0.68 -1 -1 37468 -1 -1 111 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76104 22 19 2488 2337 1 1399 158 16 16 256 mult_36 auto 36.3 MiB 0.69 9188 20654 3849 14830 1975 74.3 MiB 0.46 0.01 4.52256 -1895.49 -4.52256 4.52256 0.57 0.00473762 0.00422106 0.259355 0.231093 68 18182 48 6.45408e+06 3.87139e+06 1.00038e+06 3907.74 18.65 2.74248 2.3778 27844 252052 -1 14297 34 5758 10179 1038312 262783 4.52256 4.52256 -1955.57 -4.52256 0 0 1.24648e+06 4869.04 0.30 0.58 0.21 -1 -1 0.30 0.341379 0.298117 837 1360 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_20.v common 11.64 vpr 74.53 MiB 0.15 12376 -1 -1 8 0.72 -1 -1 37532 -1 -1 114 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76316 22 19 2546 2395 1 1440 161 16 16 256 mult_36 auto 36.6 MiB 0.76 9425 20700 4100 14535 2065 74.5 MiB 0.49 0.01 4.32767 -1936.05 -4.32767 4.32767 0.60 0.00479328 0.00425549 0.262515 0.233316 70 17071 27 6.45408e+06 3.91181e+06 1.02522e+06 4004.78 5.44 1.50404 1.31263 28352 262101 -1 14775 14 5841 10666 895010 199961 4.39726 4.39726 -1935.26 -4.39726 0 0 1.29210e+06 5047.26 0.33 0.40 0.24 -1 -1 0.33 0.202434 0.182515 867 1399 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_21.v common 13.89 vpr 75.57 MiB 0.08 12880 -1 -1 8 0.83 -1 -1 37748 -1 -1 122 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77380 22 19 2735 2567 1 1547 169 16 16 256 mult_36 auto 37.5 MiB 0.80 10400 22118 4046 16008 2064 75.6 MiB 0.53 0.01 4.52256 -2137.28 -4.52256 4.52256 0.58 0.00516143 0.00458229 0.287244 0.254668 70 19515 48 6.45408e+06 4.01958e+06 1.02522e+06 4004.78 7.48 1.81902 1.57916 28352 262101 -1 15796 16 6443 11250 987187 224359 4.39726 4.39726 -2218.61 -4.39726 0 0 1.29210e+06 5047.26 0.31 0.44 0.24 -1 -1 0.31 0.220885 0.198288 931 1497 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_22.v common 13.34 vpr 75.62 MiB 0.30 13172 -1 -1 8 0.95 -1 -1 38144 -1 -1 126 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77440 22 19 2793 2625 1 1580 173 16 16 256 mult_36 auto 38.0 MiB 0.83 10482 25185 5093 17461 2631 75.6 MiB 0.56 0.01 4.39726 -2104.19 -4.39726 4.39726 0.57 0.00537934 0.0047865 0.308563 0.273921 72 20575 41 6.45408e+06 4.07347e+06 1.04740e+06 4091.43 6.34 1.82921 1.59368 28608 268066 -1 16169 16 6398 11556 979161 224597 4.39726 4.39726 -2198.12 -4.39726 0 0 1.31294e+06 5128.69 0.35 0.45 0.25 -1 -1 0.35 0.226758 0.202105 962 1536 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_23.v common 16.01 vpr 76.38 MiB 0.16 13296 -1 -1 8 0.89 -1 -1 38448 -1 -1 131 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78216 22 19 2947 2762 1 1693 179 18 18 324 mult_36 auto 39.0 MiB 0.93 10552 29411 6189 20863 2359 76.4 MiB 0.70 0.01 4.33362 -2228.91 -4.33362 4.33362 0.66 0.00580614 0.00516197 0.384101 0.340643 68 20948 46 7.94662e+06 4.53683e+06 1.31159e+06 4048.11 8.55 2.05696 1.79391 35852 333792 -1 17072 17 7143 12403 1006005 225429 4.27196 4.27196 -2354.78 -4.27196 0 0 1.63345e+06 5041.52 0.41 0.48 0.29 -1 -1 0.41 0.256808 0.22909 1008 1617 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_24.v common 14.93 vpr 76.59 MiB 0.17 13576 -1 -1 8 1.00 -1 -1 38700 -1 -1 135 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78424 22 19 3005 2820 1 1720 183 18 18 324 mult_36 auto 39.2 MiB 0.91 11450 28728 5932 20637 2159 76.6 MiB 0.66 0.01 4.52256 -2356.78 -4.52256 4.52256 0.75 0.00574229 0.00510157 0.358146 0.317759 72 21726 43 7.94662e+06 4.59072e+06 1.37338e+06 4238.83 7.31 1.99763 1.74589 36820 354972 -1 17934 15 7047 12424 1077888 233656 4.52256 4.52256 -2526.88 -4.52256 0 0 1.72054e+06 5310.31 0.42 0.49 0.31 -1 -1 0.42 0.23615 0.211458 1039 1656 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_25.v common 16.53 vpr 77.66 MiB 0.18 13972 -1 -1 8 1.00 -1 -1 40404 -1 -1 145 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79524 22 19 3229 3027 1 1824 193 18 18 324 mult_36 auto 40.2 MiB 0.96 12352 31385 6347 23011 2027 77.7 MiB 0.71 0.01 4.39726 -2511.96 -4.39726 4.39726 0.75 0.0065231 0.00581076 0.383994 0.340359 70 22936 50 7.94662e+06 4.72544e+06 1.34436e+06 4149.26 8.72 2.23382 1.94605 36496 347204 -1 19370 16 7698 13674 1225432 265565 4.39726 4.39726 -2525.78 -4.39726 0 0 1.69344e+06 5226.66 0.45 0.57 0.25 -1 -1 0.45 0.288571 0.258231 1106 1771 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_26.v common 33.53 vpr 78.91 MiB 0.16 14212 -1 -1 8 1.12 -1 -1 40760 -1 -1 151 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80804 22 19 3287 3085 1 1862 199 18 18 324 mult_36 auto 40.5 MiB 1.03 12525 30939 6097 22880 1962 78.9 MiB 0.72 0.01 4.39726 -2537.22 -4.39726 4.39726 0.75 0.00639641 0.00567426 0.382194 0.339475 70 24243 35 7.94662e+06 4.80627e+06 1.34436e+06 4149.26 25.31 3.65738 3.16723 36496 347204 -1 19814 18 7873 13828 1227157 263796 4.39726 4.39726 -2635.7 -4.39726 0 0 1.69344e+06 5226.66 0.42 0.57 0.29 -1 -1 0.42 0.293613 0.262418 1134 1810 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_27.v common 18.33 vpr 79.88 MiB 0.19 14624 -1 -1 8 1.17 -1 -1 39396 -1 -1 156 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81792 22 19 3453 3234 1 1964 205 18 18 324 mult_36 auto 41.5 MiB 0.86 13681 31613 6009 23435 2169 79.9 MiB 0.70 0.01 4.45297 -2674.52 -4.45297 4.45297 0.76 0.00674018 0.00597503 0.372184 0.32942 74 25230 39 7.94662e+06 5.26963e+06 1.40368e+06 4332.34 10.22 2.29136 2.00107 37144 362180 -1 20914 15 8108 14439 1272992 270691 4.39726 4.39726 -2705.27 -4.39726 0 0 1.74764e+06 5393.95 0.42 0.54 0.30 -1 -1 0.42 0.270946 0.242901 1189 1903 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_28.v common 16.27 vpr 80.38 MiB 0.19 14924 -1 -1 8 1.34 -1 -1 41120 -1 -1 160 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82308 22 19 3511 3292 1 2001 209 18 18 324 mult_36 auto 42.2 MiB 1.16 13533 36169 7851 25670 2648 80.4 MiB 0.81 0.01 4.27196 -2716.32 -4.27196 4.27196 0.77 0.00311184 0.00273883 0.42534 0.377914 76 24958 37 7.94662e+06 5.32352e+06 1.43297e+06 4422.75 7.46 2.14707 1.86573 37464 369264 -1 20464 15 8254 14560 1239406 267344 4.27196 4.27196 -2808.92 -4.27196 0 0 1.77541e+06 5479.65 0.44 0.56 0.31 -1 -1 0.44 0.280802 0.252252 1221 1942 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_29.v common 18.06 vpr 81.39 MiB 0.22 15232 -1 -1 8 1.30 -1 -1 39884 -1 -1 168 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83348 22 19 3709 3473 1 2127 218 22 22 484 mult_36 auto 43.1 MiB 1.13 14602 39518 9141 27857 2520 81.4 MiB 0.88 0.01 4.64786 -2935.24 -4.64786 4.64786 1.18 0.00704858 0.00623722 0.475426 0.419795 72 26438 32 1.29336e+07 5.8273e+06 2.11301e+06 4365.72 7.81 2.31239 2.02149 55718 550791 -1 22616 14 8048 14017 1235710 262139 4.64786 4.64786 -3093.81 -4.64786 0 0 2.64603e+06 5467.00 0.71 0.56 0.43 -1 -1 0.71 0.282288 0.253979 1281 2049 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_30.v common 19.14 vpr 81.88 MiB 0.15 15520 -1 -1 8 1.29 -1 -1 40308 -1 -1 170 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83848 22 19 3767 3531 1 2168 220 22 22 484 mult_36 auto 43.8 MiB 1.21 14136 38674 7872 27997 2805 81.9 MiB 0.88 0.01 4.27196 -2917.4 -4.27196 4.27196 1.12 0.00731678 0.00647748 0.47014 0.414099 70 26124 28 1.29336e+07 5.85424e+06 2.06816e+06 4273.05 8.74 2.28486 1.99526 55234 538945 -1 23007 16 8701 15898 1397998 301821 4.52256 4.52256 -3212.81 -4.52256 0 0 2.60483e+06 5381.88 0.72 0.59 0.43 -1 -1 0.72 0.284851 0.256579 1309 2088 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_31.v common 22.22 vpr 82.26 MiB 0.23 15852 -1 -1 8 1.37 -1 -1 41832 -1 -1 177 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84232 22 19 3928 3675 1 2252 227 22 22 484 mult_36 auto 44.1 MiB 1.26 15955 41055 8830 29506 2719 82.3 MiB 0.91 0.01 4.64786 -3128.05 -4.64786 4.64786 1.24 0.00730936 0.00646431 0.474372 0.418387 70 29680 35 1.29336e+07 5.94854e+06 2.06816e+06 4273.05 11.58 2.62503 2.30513 55234 538945 -1 25230 18 9528 17282 1610949 332876 4.52256 4.52256 -3269.54 -4.52256 0 0 2.60483e+06 5381.88 0.67 0.66 0.43 -1 -1 0.67 0.331341 0.294013 1363 2176 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_32.v common 20.13 vpr 82.86 MiB 0.25 15944 -1 -1 8 1.33 -1 -1 41876 -1 -1 181 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84852 22 19 3986 3733 1 2287 231 22 22 484 mult_36 auto 44.7 MiB 1.35 15887 40587 8585 29443 2559 82.9 MiB 1.00 0.02 4.39726 -3145.72 -4.39726 4.39726 1.36 0.00821089 0.00718473 0.520716 0.459366 76 29475 26 1.29336e+07 6.00243e+06 2.20457e+06 4554.90 8.94 2.51277 2.20238 56682 573177 -1 24951 17 9277 17002 1468776 311644 4.39726 4.39726 -3289.06 -4.39726 0 0 2.73077e+06 5642.09 0.73 0.68 0.39 -1 -1 0.73 0.347291 0.311644 1391 2215 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_33.v common 99.13 vpr 84.55 MiB 0.21 16864 -1 -1 8 1.46 -1 -1 40932 -1 -1 192 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86580 22 19 4329 4059 1 2422 243 22 22 484 mult_36 auto 46.4 MiB 1.36 16862 44965 10374 31657 2934 84.6 MiB 1.04 0.02 4.39726 -3352.56 -4.39726 4.39726 1.25 0.00828653 0.00736647 0.542118 0.47704 72 34065 42 1.29336e+07 6.54662e+06 2.11301e+06 4365.72 87.59 5.77988 4.99812 55718 550791 -1 26516 16 9852 17904 1643140 347448 4.52256 4.52256 -3515.92 -4.52256 0 0 2.64603e+06 5467.00 0.69 0.70 0.44 -1 -1 0.69 0.339087 0.302937 1494 2394 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_34.v common 20.90 vpr 85.07 MiB 0.21 17092 -1 -1 8 1.67 -1 -1 42772 -1 -1 198 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87116 22 19 4387 4117 1 2459 249 22 22 484 mult_36 auto 47.0 MiB 1.40 17068 44097 9577 31491 3029 85.1 MiB 1.06 0.02 4.39726 -3405.93 -4.39726 4.39726 1.28 0.00891162 0.00796796 0.552844 0.487065 72 32512 35 1.29336e+07 6.62746e+06 2.11301e+06 4365.72 9.24 2.76456 2.41224 55718 550791 -1 26613 16 9838 17813 1459212 312343 4.39726 4.39726 -3610.82 -4.39726 0 0 2.64603e+06 5467.00 0.72 0.69 0.44 -1 -1 0.72 0.357191 0.320219 1521 2433 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_35.v common 25.69 vpr 85.73 MiB 0.22 17368 -1 -1 8 1.74 -1 -1 41464 -1 -1 208 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87792 22 19 4547 4260 1 2575 259 22 22 484 mult_36 auto 47.7 MiB 1.42 17676 47284 9482 34731 3071 85.7 MiB 1.19 0.02 4.45892 -3532.9 -4.45892 4.45892 1.21 0.010738 0.00953501 0.597741 0.533751 72 34940 49 1.29336e+07 6.76218e+06 2.11301e+06 4365.72 13.54 3.41734 3.01024 55718 550791 -1 27945 15 10572 18938 1649152 351009 4.39726 4.39726 -3764.56 -4.39726 0 0 2.64603e+06 5467.00 0.74 0.82 0.45 -1 -1 0.74 0.404368 0.369945 1571 2520 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_36.v common 24.10 vpr 86.09 MiB 0.26 17580 -1 -1 8 1.67 -1 -1 43000 -1 -1 210 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88160 22 19 4605 4318 1 2609 261 22 22 484 mult_36 auto 47.8 MiB 1.42 19180 50241 11166 35970 3105 86.1 MiB 1.31 0.02 4.64786 -3637.14 -4.64786 4.64786 1.38 0.00984921 0.00882945 0.703275 0.626732 76 35018 33 1.29336e+07 6.78912e+06 2.20457e+06 4554.90 11.67 3.21155 2.82387 56682 573177 -1 28971 16 10574 19278 1656672 344536 4.39726 4.39726 -3778.28 -4.39726 0 0 2.73077e+06 5642.09 0.78 0.80 0.49 -1 -1 0.78 0.402756 0.360781 1597 2559 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_37.v common 23.92 vpr 87.76 MiB 0.25 17964 -1 -1 8 1.82 -1 -1 42760 -1 -1 218 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89864 22 19 4802 4498 1 2726 270 24 24 576 mult_36 auto 49.1 MiB 1.53 18971 52590 11478 38185 2927 87.0 MiB 1.26 0.02 4.52256 -3767.52 -4.52256 4.52256 1.61 0.0100493 0.00900254 0.652798 0.576051 76 34411 31 1.56141e+07 7.2929e+06 2.61600e+06 4541.67 10.33 2.96709 2.60687 67070 679911 -1 28926 17 10727 19259 1642623 351279 4.64786 4.64786 -3877.51 -4.64786 0 0 3.24203e+06 5628.53 0.97 0.83 0.59 -1 -1 0.97 0.461161 0.417045 1661 2665 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_38.v common 27.47 vpr 88.68 MiB 0.24 18188 -1 -1 8 2.04 -1 -1 43216 -1 -1 221 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 90812 22 19 4860 4556 1 2764 273 24 24 576 mult_36 auto 49.6 MiB 1.49 19598 57798 12862 41398 3538 87.5 MiB 1.32 0.02 4.52256 -3851.3 -4.52256 4.52256 1.47 0.0106099 0.00952517 0.681471 0.599071 74 37110 40 1.56141e+07 7.33331e+06 2.56259e+06 4448.94 13.81 3.59574 3.15746 66498 666725 -1 30085 15 10985 19570 1801298 370615 4.52256 4.52256 -3958.38 -4.52256 0 0 3.19068e+06 5539.38 1.02 0.82 0.56 -1 -1 1.02 0.400121 0.360024 1689 2704 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_39.v common 30.93 vpr 89.76 MiB 0.27 18552 -1 -1 8 2.07 -1 -1 43724 -1 -1 226 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 91916 22 19 5019 4698 1 2868 278 24 24 576 mult_36 auto 50.5 MiB 1.56 20910 61047 14284 42574 4189 88.2 MiB 1.46 0.02 4.41131 -4082.11 -4.41131 4.41131 1.61 0.0100292 0.00894577 0.751859 0.662253 78 35683 29 1.56141e+07 7.40067e+06 2.67122e+06 4637.53 16.36 4.26304 3.73599 68222 705597 -1 31672 25 11561 20714 2237775 528335 4.52256 4.52256 -4301.86 -4.52256 0 0 3.35110e+06 5817.88 0.98 1.28 0.56 -1 -1 0.98 0.622632 0.557724 1735 2790 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_40.v common 33.78 vpr 90.32 MiB 0.30 18884 -1 -1 8 2.25 -1 -1 44456 -1 -1 230 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 92484 22 19 5077 4756 1 2904 282 24 24 576 mult_36 auto 51.0 MiB 1.60 20948 66810 16155 46347 4308 88.8 MiB 1.58 0.02 4.64786 -4029.87 -4.64786 4.64786 1.53 0.0106379 0.0094818 0.790481 0.691024 78 35873 43 1.56141e+07 7.45456e+06 2.67122e+06 4637.53 19.04 4.67465 4.08376 68222 705597 -1 31594 14 11574 20582 1892028 402185 4.52256 4.52256 -4297.75 -4.52256 0 0 3.35110e+06 5817.88 0.96 0.82 0.63 -1 -1 0.96 0.389407 0.349233 1765 2829 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_41.v common 134.39 vpr 93.68 MiB 0.18 19280 -1 -1 8 2.15 -1 -1 44380 -1 -1 239 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 95928 22 19 5308 4970 1 3021 292 24 24 576 mult_36 auto 52.1 MiB 1.64 22266 65148 15301 45446 4401 89.7 MiB 1.42 0.02 4.53661 -4284.69 -4.53661 4.53661 1.49 0.00920152 0.00806761 0.699964 0.612746 78 38792 49 1.56141e+07 7.97181e+06 2.67122e+06 4637.53 119.41 7.0507 6.08179 68222 705597 -1 33859 17 11939 21586 2000521 410260 4.52256 4.52256 -4455.87 -4.52256 0 0 3.35110e+06 5817.88 0.95 0.92 0.68 -1 -1 0.95 0.467719 0.420303 1838 2951 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_42.v common 33.91 vpr 92.96 MiB 0.27 19344 -1 -1 8 2.21 -1 -1 44412 -1 -1 242 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 95188 22 19 5366 5028 1 3055 295 24 24 576 mult_36 auto 52.3 MiB 1.67 22679 61117 13773 43741 3603 89.7 MiB 1.46 0.02 4.66191 -4235.07 -4.66191 4.66191 1.55 0.0104843 0.0093203 0.743505 0.656289 82 39997 47 1.56141e+07 8.01222e+06 2.78508e+06 4835.20 19.03 4.89757 4.28252 69370 733739 -1 33886 15 12156 22275 1970079 405149 4.64786 4.64786 -4496.94 -4.64786 0 0 3.48632e+06 6052.64 1.09 0.91 0.61 -1 -1 1.09 0.474049 0.429477 1862 2990 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_43.v common 32.68 vpr 95.26 MiB 0.22 19732 -1 -1 8 2.21 -1 -1 44784 -1 -1 255 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 97544 22 19 5524 5169 1 3162 308 24 24 576 mult_36 auto 53.4 MiB 1.71 22460 73038 16907 51210 4921 90.0 MiB 1.74 0.02 4.45892 -4390.33 -4.45892 4.45892 1.45 0.0110264 0.0098125 0.883447 0.77097 80 37054 31 1.56141e+07 8.18736e+06 2.72095e+06 4723.87 17.63 4.82696 4.2197 68798 719145 -1 33280 16 11785 21759 1790126 370269 4.64786 4.64786 -4576.22 -4.64786 0 0 3.41546e+06 5929.62 1.08 0.92 0.61 -1 -1 1.08 0.492943 0.444477 1916 3075 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_44.v common 33.08 vpr 97.48 MiB 0.32 20084 -1 -1 8 2.28 -1 -1 45352 -1 -1 254 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 99820 22 19 5582 5227 1 3204 307 24 24 576 mult_36 auto 53.7 MiB 1.73 22502 66547 15787 46972 3788 90.5 MiB 1.74 0.02 4.52256 -4486.19 -4.52256 4.52256 1.66 0.0128681 0.0115662 0.914855 0.809073 74 42787 45 1.56141e+07 8.17389e+06 2.56259e+06 4448.94 17.42 4.20618 3.69055 66498 666725 -1 35196 16 13390 24231 2184528 458821 4.39726 4.39726 -4709.92 -4.39726 0 0 3.19068e+06 5539.38 1.14 1.10 0.59 -1 -1 1.14 0.563911 0.511043 1945 3114 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_45.v common 40.22 vpr 99.73 MiB 0.33 20408 -1 -1 8 2.51 -1 -1 45932 -1 -1 262 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 102128 22 19 5779 5407 1 3306 316 24 24 576 mult_36 auto 54.6 MiB 1.80 24550 70191 16510 49767 3914 91.4 MiB 1.64 0.02 4.64786 -4625.87 -4.64786 4.64786 1.44 0.0113318 0.0100705 0.795742 0.696744 78 43496 43 1.56141e+07 8.67766e+06 2.67122e+06 4637.53 24.78 5.19892 4.51387 68222 705597 -1 36693 16 12990 23039 2177528 443029 4.64786 4.64786 -4872.93 -4.64786 0 0 3.35110e+06 5817.88 1.02 1.00 0.62 -1 -1 1.02 0.50216 0.450919 2012 3220 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_46.v common 34.97 vpr 99.81 MiB 0.31 20476 -1 -1 8 2.56 -1 -1 46136 -1 -1 267 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 102208 22 19 5837 5465 1 3341 321 24 24 576 mult_36 auto 55.1 MiB 1.81 23014 78279 19395 54249 4635 91.8 MiB 1.78 0.02 4.77316 -4717.28 -4.77316 4.77316 1.59 0.0116703 0.0102154 0.869453 0.762032 78 41329 31 1.56141e+07 8.74502e+06 2.67122e+06 4637.53 18.96 4.80848 4.17403 68222 705597 -1 35603 14 12924 23433 2097034 435832 4.89846 4.89846 -5046.66 -4.89846 0 0 3.35110e+06 5817.88 1.18 1.01 0.59 -1 -1 1.18 0.491191 0.441516 2043 3259 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_47.v common 31.15 vpr 99.89 MiB 0.31 21036 -1 -1 8 2.74 -1 -1 44612 -1 -1 275 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 102284 22 19 5997 5608 1 3446 329 24 24 576 mult_36 auto 56.3 MiB 1.68 23890 79779 19615 56036 4128 94.6 MiB 1.86 0.02 4.52256 -4753.36 -4.52256 4.52256 1.56 0.0118662 0.0105584 0.935368 0.819414 76 42922 43 1.56141e+07 8.8528e+06 2.61600e+06 4541.67 15.25 4.36172 3.80744 67070 679911 -1 36043 16 13572 24201 2071974 442460 4.39726 4.39726 -5108.91 -4.39726 0 0 3.24203e+06 5628.53 0.96 1.00 0.58 -1 -1 0.96 0.508769 0.456973 2100 3346 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_48.v common 30.01 vpr 100.23 MiB 0.24 21072 -1 -1 8 2.56 -1 -1 46340 -1 -1 279 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 102632 22 19 6055 5666 1 3477 333 24 24 576 mult_36 auto 56.7 MiB 1.91 24651 81043 20011 56340 4692 94.9 MiB 1.78 0.03 4.41131 -4877.82 -4.41131 4.41131 1.44 0.0115864 0.0100158 0.868451 0.757897 74 45227 34 1.56141e+07 8.90669e+06 2.56259e+06 4448.94 14.34 4.18882 3.65387 66498 666725 -1 37604 17 14240 25302 2246621 473431 4.39726 4.39726 -5110.14 -4.39726 0 0 3.19068e+06 5539.38 0.88 1.04 0.57 -1 -1 0.88 0.529126 0.471919 2126 3385 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_49.v common 38.86 vpr 98.47 MiB 0.22 21620 -1 -1 8 2.80 -1 -1 46452 -1 -1 285 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 100836 22 19 6324 5918 1 3577 340 24 24 576 mult_36 auto 57.6 MiB 1.94 25847 78616 18544 55831 4241 95.8 MiB 1.79 0.03 4.57827 -4988.8 -4.57827 4.57827 1.48 0.0119756 0.0106082 0.881023 0.771829 80 42456 33 1.56141e+07 9.38352e+06 2.72095e+06 4723.87 22.52 5.45036 4.74664 68798 719145 -1 37978 14 13206 24374 2114449 435929 4.64786 4.64786 -5260.94 -4.64786 0 0 3.41546e+06 5929.62 0.91 0.94 0.63 -1 -1 0.91 0.492501 0.443599 2206 3527 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_50.v common 36.12 vpr 102.79 MiB 0.34 21936 -1 -1 8 3.02 -1 -1 47304 -1 -1 292 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 105252 22 19 6382 5976 1 3610 347 24 24 576 mult_36 auto 58.0 MiB 1.97 25875 80735 19460 56548 4727 96.2 MiB 1.76 0.03 4.52256 -5008.91 -4.52256 4.52256 1.44 0.0122172 0.0108498 0.850308 0.741374 78 45028 42 1.56141e+07 9.47782e+06 2.67122e+06 4637.53 19.39 5.61886 4.89556 68222 705597 -1 39583 16 14556 26399 2333973 504210 4.39726 4.39726 -5323.83 -4.39726 0 0 3.35110e+06 5817.88 0.91 1.04 0.60 -1 -1 0.91 0.534154 0.480296 2235 3566 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_51.v common 39.51 vpr 105.27 MiB 0.25 22220 -1 -1 8 2.91 -1 -1 47908 -1 -1 297 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 107796 22 19 6542 6119 1 3736 352 24 24 576 mult_36 auto 58.8 MiB 1.95 27181 89776 22152 62375 5249 97.1 MiB 2.07 0.03 4.77316 -5190.65 -4.77316 4.77316 1.47 0.0144313 0.0129502 1.03022 0.909186 82 46642 29 1.56141e+07 9.54518e+06 2.78508e+06 4835.20 22.42 5.66276 4.9523 69370 733739 -1 39735 14 14219 25417 2265389 476034 4.64786 4.64786 -5370.32 -4.64786 0 0 3.48632e+06 6052.64 1.03 1.04 0.59 -1 -1 1.03 0.511917 0.460249 2287 3653 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_pipe_52.v common 39.78 vpr 104.93 MiB 0.32 22280 -1 -1 8 3.10 -1 -1 47408 -1 -1 301 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 107452 22 19 6600 6177 1 3777 356 24 24 576 mult_36 auto 59.1 MiB 2.00 27822 86104 20994 59845 5265 97.3 MiB 1.95 0.03 4.52256 -5230.39 -4.52256 4.52256 1.55 0.0126873 0.0112633 0.939554 0.822382 78 47564 41 1.56141e+07 9.59907e+06 2.67122e+06 4637.53 22.63 5.88688 5.12476 68222 705597 -1 41368 15 15230 27813 2474674 526301 4.52256 4.52256 -5391.64 -4.52256 0 0 3.35110e+06 5817.88 0.95 1.14 0.60 -1 -1 0.95 0.554614 0.499773 2318 3692 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_14.v common 9.56 vpr 67.77 MiB 0.11 9172 -1 -1 10 0.44 -1 -1 35200 -1 -1 57 22 0 4 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69392 22 19 1149 1049 1 785 102 16 16 256 mult_36 auto 29.6 MiB 0.40 5037 10336 2127 7192 1017 67.8 MiB 0.24 0.00 13.5769 -436.724 -13.5769 13.5769 0.57 0.00283789 0.00259675 0.139608 0.127688 66 10619 38 6.45408e+06 2.3519e+06 974584. 3806.97 5.09 0.859487 0.760269 27588 246658 -1 9102 21 4960 9680 816171 175522 12.3606 12.3606 -501.162 -12.3606 0 0 1.22072e+06 4768.46 0.29 0.31 0.22 -1 -1 0.29 0.135528 0.121491 433 715 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_15.v common 11.47 vpr 68.09 MiB 0.10 9568 -1 -1 11 0.53 -1 -1 36096 -1 -1 63 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69728 22 19 1261 1144 1 857 109 16 16 256 mult_36 auto 29.8 MiB 0.31 5354 11029 1996 7826 1207 68.1 MiB 0.27 0.01 14.3075 -472.001 -14.3075 14.3075 0.61 0.00311906 0.00285732 0.153208 0.140305 60 11748 49 6.45408e+06 2.82874e+06 890343. 3477.90 6.85 1.00097 0.885675 26568 224354 -1 9547 19 5111 10092 834420 183795 13.1013 13.1013 -568.67 -13.1013 0 0 1.11577e+06 4358.47 0.28 0.38 0.20 -1 -1 0.28 0.15702 0.141497 471 790 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_16.v common 13.03 vpr 68.41 MiB 0.07 9512 -1 -1 11 0.54 -1 -1 35676 -1 -1 70 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70048 22 19 1336 1219 1 919 116 16 16 256 mult_36 auto 30.4 MiB 0.41 5988 14780 3169 10176 1435 68.4 MiB 0.34 0.01 15.4691 -502.458 -15.4691 15.4691 0.59 0.00321339 0.00293116 0.193966 0.176783 60 13547 46 6.45408e+06 2.92304e+06 890343. 3477.90 8.32 1.09104 0.964979 26568 224354 -1 10822 18 5906 11528 997034 212689 13.6285 13.6285 -588.196 -13.6285 0 0 1.11577e+06 4358.47 0.33 0.37 0.16 -1 -1 0.33 0.143771 0.129249 512 846 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_17.v common 27.96 vpr 68.99 MiB 0.07 10120 -1 -1 11 0.60 -1 -1 36268 -1 -1 77 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70648 22 19 1446 1312 1 981 123 16 16 256 mult_36 auto 31.2 MiB 0.40 6289 16593 3435 11440 1718 69.0 MiB 0.41 0.01 14.6023 -516.745 -14.6023 14.6023 0.57 0.00376618 0.00346715 0.226722 0.206413 58 14652 40 6.45408e+06 3.01734e+06 871168. 3403.00 23.06 2.15691 1.89833 26312 218777 -1 11958 21 6363 12408 1114223 259816 13.1832 13.1832 -634.162 -13.1832 0 0 1.09288e+06 4269.05 0.28 0.45 0.20 -1 -1 0.28 0.179143 0.161178 558 919 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_18.v common 11.67 vpr 69.31 MiB 0.14 10076 -1 -1 11 0.64 -1 -1 36144 -1 -1 79 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70972 22 19 1507 1373 1 1020 125 16 16 256 mult_36 auto 31.4 MiB 0.44 6726 16037 3280 11223 1534 69.3 MiB 0.37 0.01 14.4834 -543.036 -14.4834 14.4834 0.59 0.00363422 0.00330186 0.203721 0.185413 68 13928 32 6.45408e+06 3.04429e+06 1.00038e+06 3907.74 6.51 1.10046 0.97363 27844 252052 -1 11686 20 6201 12406 990131 213742 13.2267 13.2267 -690.745 -13.2267 0 0 1.24648e+06 4869.04 0.30 0.38 0.22 -1 -1 0.30 0.168494 0.15091 576 961 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_19.v common 11.35 vpr 69.98 MiB 0.13 10552 -1 -1 11 0.68 -1 -1 36148 -1 -1 80 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71656 22 19 1596 1445 1 1103 127 16 16 256 mult_36 auto 32.0 MiB 0.46 7348 16715 3403 11508 1804 70.0 MiB 0.39 0.01 14.8867 -546.505 -14.8867 14.8867 0.61 0.00383748 0.00350071 0.219016 0.199495 74 14383 47 6.45408e+06 3.45376e+06 1.07073e+06 4182.55 5.89 1.36261 1.21569 28864 273460 -1 12301 23 6003 11963 1225113 345124 13.7425 13.7425 -634.512 -13.7425 0 0 1.33358e+06 5209.30 0.32 0.48 0.25 -1 -1 0.32 0.195495 0.174987 615 1013 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_20.v common 11.43 vpr 70.18 MiB 0.12 10476 -1 -1 11 0.71 -1 -1 37016 -1 -1 86 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71864 22 19 1656 1505 1 1131 133 16 16 256 mult_36 auto 32.3 MiB 0.50 7846 15049 2924 10833 1292 70.2 MiB 0.36 0.01 15.2396 -554.475 -15.2396 15.2396 0.57 0.00381256 0.00344485 0.197804 0.179974 78 14532 25 6.45408e+06 3.53459e+06 1.11577e+06 4358.47 6.05 1.55153 1.36966 29628 289086 -1 12993 20 6297 12615 1007204 216794 13.8209 13.8209 -719.959 -13.8209 0 0 1.40012e+06 5469.22 0.33 0.42 0.25 -1 -1 0.33 0.18349 0.163832 637 1054 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_21.v common 10.99 vpr 70.82 MiB 0.08 10820 -1 -1 12 0.78 -1 -1 36952 -1 -1 91 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72520 22 19 1754 1586 1 1196 138 16 16 256 mult_36 auto 32.9 MiB 0.48 7990 15090 2622 11262 1206 70.8 MiB 0.36 0.01 15.6803 -617.052 -15.6803 15.6803 0.57 0.00411309 0.00370872 0.199158 0.181002 70 15670 41 6.45408e+06 3.60195e+06 1.02522e+06 4004.78 5.53 1.40516 1.24773 28352 262101 -1 13848 20 6781 13005 1123871 254420 14.4006 14.4006 -887.052 -14.4006 0 0 1.29210e+06 5047.26 0.31 0.42 0.23 -1 -1 0.31 0.189808 0.170084 662 1115 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_22.v common 12.31 vpr 71.13 MiB 0.11 10916 -1 -1 11 0.78 -1 -1 37892 -1 -1 97 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72836 22 19 1827 1659 1 1261 144 16 16 256 mult_36 auto 33.3 MiB 0.54 8682 12585 1999 9675 911 71.1 MiB 0.32 0.01 14.4771 -606.777 -14.4771 14.4771 0.59 0.00425811 0.0038667 0.164363 0.149722 74 17628 40 6.45408e+06 3.68278e+06 1.07073e+06 4182.55 6.64 1.38253 1.22543 28864 273460 -1 14632 18 7673 14950 1275962 280127 13.3561 13.3561 -716.782 -13.3561 0 0 1.33358e+06 5209.30 0.33 0.47 0.25 -1 -1 0.33 0.188624 0.169655 708 1169 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_23.v common 12.29 vpr 71.60 MiB 0.11 11308 -1 -1 12 0.88 -1 -1 38200 -1 -1 97 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73320 22 19 1905 1720 1 1293 145 18 18 324 mult_36 auto 33.9 MiB 0.53 9148 21425 4017 15587 1821 71.6 MiB 0.52 0.01 16.7814 -693.289 -16.7814 16.7814 0.80 0.00445708 0.00405429 0.28277 0.256794 72 18160 35 7.94662e+06 4.07878e+06 1.37338e+06 4238.83 5.79 1.42559 1.26369 36820 354972 -1 15344 17 6907 13721 1184988 258891 15.4142 15.4142 -835.99 -15.4142 0 0 1.72054e+06 5310.31 0.44 0.44 0.30 -1 -1 0.44 0.185723 0.167504 722 1210 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_24.v common 12.39 vpr 72.14 MiB 0.10 11428 -1 -1 12 0.87 -1 -1 36816 -1 -1 98 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73876 22 19 1979 1794 1 1336 146 18 18 324 mult_36 auto 34.2 MiB 0.56 8835 18962 3578 13867 1517 72.1 MiB 0.47 0.01 15.6002 -656.607 -15.6002 15.6002 0.78 0.00467573 0.00425148 0.258996 0.234975 70 16906 25 7.94662e+06 4.09226e+06 1.34436e+06 4149.26 5.81 1.37186 1.21779 36496 347204 -1 14857 18 7173 13718 1126372 251932 14.6043 14.6043 -914.656 -14.6043 0 0 1.69344e+06 5226.66 0.41 0.43 0.29 -1 -1 0.41 0.19392 0.174432 739 1265 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_25.v common 54.46 vpr 72.80 MiB 0.15 11616 -1 -1 12 0.97 -1 -1 37344 -1 -1 105 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74552 22 19 2073 1871 1 1394 153 18 18 324 mult_36 auto 35.2 MiB 0.56 9747 21012 4486 14783 1743 72.8 MiB 0.49 0.01 15.9811 -650.584 -15.9811 15.9811 0.75 0.00473226 0.00429919 0.271823 0.24654 68 20163 32 7.94662e+06 4.18656e+06 1.31159e+06 4048.11 47.37 3.21864 2.83632 35852 333792 -1 16458 31 7861 15403 1582531 407046 15.2351 15.2351 -843.341 -15.2351 0 0 1.63345e+06 5041.52 0.43 0.76 0.33 -1 -1 0.43 0.338135 0.303647 791 1322 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_26.v common 15.66 vpr 73.84 MiB 0.17 11888 -1 -1 12 1.01 -1 -1 37336 -1 -1 106 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75616 22 19 2130 1928 1 1451 154 18 18 324 mult_36 auto 35.9 MiB 0.59 10204 19518 3476 14463 1579 73.8 MiB 0.49 0.01 15.2934 -691.341 -15.2934 15.2934 0.77 0.00497894 0.00451692 0.268485 0.243242 78 18594 29 7.94662e+06 4.20003e+06 1.46313e+06 4515.82 8.78 1.90212 1.6799 38112 383040 -1 16631 19 8278 16241 1354684 288682 13.9932 13.9932 -860.935 -13.9932 0 0 1.83526e+06 5664.38 0.45 0.51 0.34 -1 -1 0.45 0.220491 0.197761 811 1360 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_27.v common 14.03 vpr 74.11 MiB 0.11 12080 -1 -1 12 1.10 -1 -1 37988 -1 -1 114 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75892 22 19 2238 2019 1 1541 163 18 18 324 mult_36 auto 36.0 MiB 0.62 11025 22858 4211 16623 2024 74.1 MiB 0.57 0.01 16.3551 -735.488 -16.3551 16.3551 0.80 0.00585114 0.00535646 0.309241 0.280048 76 21114 47 7.94662e+06 4.70381e+06 1.43297e+06 4422.75 6.80 1.77216 1.56975 37464 369264 -1 17850 18 8516 16298 1366025 295170 14.9675 14.9675 -881.208 -14.9675 0 0 1.77541e+06 5479.65 0.44 0.53 0.31 -1 -1 0.44 0.229164 0.206865 851 1431 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_28.v common 16.02 vpr 73.91 MiB 0.26 12204 -1 -1 12 1.02 -1 -1 38184 -1 -1 117 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75680 22 19 2299 2080 1 1575 166 18 18 324 mult_36 auto 36.4 MiB 0.62 10794 23422 4551 16955 1916 73.9 MiB 0.54 0.01 15.946 -732.667 -15.946 15.946 0.75 0.00517696 0.00467148 0.27908 0.251768 78 20079 29 7.94662e+06 4.74422e+06 1.46313e+06 4515.82 8.72 2.04218 1.79501 38112 383040 -1 17907 18 9336 18256 1527559 324376 14.7451 14.7451 -981.151 -14.7451 0 0 1.83526e+06 5664.38 0.45 0.57 0.32 -1 -1 0.45 0.229135 0.205229 874 1473 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_29.v common 18.81 vpr 75.03 MiB 0.12 12664 -1 -1 12 1.20 -1 -1 38612 -1 -1 121 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76828 22 19 2400 2164 1 1649 171 22 22 484 mult_36 auto 37.1 MiB 0.64 11709 28137 5812 19993 2332 75.0 MiB 0.66 0.01 15.3214 -777.403 -15.3214 15.3214 1.19 0.00570205 0.00512156 0.352766 0.31902 74 23883 36 1.29336e+07 5.19411e+06 2.15943e+06 4461.62 10.12 1.85364 1.64724 56202 562081 -1 20484 24 9805 18861 1973610 438335 14.0212 14.0212 -1043.93 -14.0212 0 0 2.68771e+06 5553.12 0.68 0.71 0.35 -1 -1 0.68 0.295137 0.264756 915 1537 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_30.v common 22.10 vpr 75.44 MiB 0.15 12692 -1 -1 12 1.18 -1 -1 38224 -1 -1 127 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77252 22 19 2474 2238 1 1692 177 22 22 484 mult_36 auto 37.5 MiB 0.66 11907 26961 5348 19369 2244 75.4 MiB 0.64 0.01 15.2789 -855.312 -15.2789 15.2789 1.22 0.0055669 0.00504026 0.34526 0.312191 70 24231 42 1.29336e+07 5.27494e+06 2.06816e+06 4273.05 13.20 1.90214 1.67925 55234 538945 -1 20580 19 11208 21825 2041793 427284 14.0646 14.0646 -1208.44 -14.0646 0 0 2.60483e+06 5381.88 0.67 0.73 0.44 -1 -1 0.67 0.273404 0.244783 947 1592 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_31.v common 21.05 vpr 76.11 MiB 0.29 12928 -1 -1 12 1.30 -1 -1 39768 -1 -1 137 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77932 22 19 2603 2350 1 1765 187 22 22 484 mult_36 auto 38.1 MiB 0.69 12262 31159 6432 22219 2508 76.1 MiB 0.74 0.01 16.1203 -785.932 -16.1203 16.1203 1.23 0.00611833 0.00555561 0.395018 0.356791 68 25196 45 1.29336e+07 5.40966e+06 2.01763e+06 4168.66 11.50 2.06046 1.82653 54270 517931 -1 20695 21 10470 20411 1826849 392287 14.5952 14.5952 -1200.15 -14.5952 0 0 2.51205e+06 5190.18 0.66 0.71 0.44 -1 -1 0.66 0.303571 0.273281 1001 1684 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_32.v common 18.43 vpr 76.16 MiB 0.18 13140 -1 -1 12 1.34 -1 -1 38432 -1 -1 141 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77992 22 19 2694 2441 1 1849 191 22 22 484 mult_36 auto 38.8 MiB 0.73 12941 28739 5515 21062 2162 76.2 MiB 0.67 0.01 16.1727 -911.506 -16.1727 16.1727 1.20 0.00602303 0.00545232 0.350625 0.316295 74 24735 34 1.29336e+07 5.46355e+06 2.15943e+06 4461.62 9.02 1.86707 1.65046 56202 562081 -1 21368 18 10183 19389 1708612 353718 14.5728 14.5728 -1178.82 -14.5728 0 0 2.68771e+06 5553.12 0.72 0.65 0.47 -1 -1 0.72 0.27233 0.246182 1040 1756 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_33.v common 22.26 vpr 76.82 MiB 0.20 13628 -1 -1 13 1.46 -1 -1 39832 -1 -1 140 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78660 22 19 2787 2517 1 1916 191 22 22 484 mult_36 auto 39.6 MiB 0.65 13465 35327 7716 24810 2801 76.8 MiB 0.82 0.01 16.2303 -861.433 -16.2303 16.2303 1.17 0.00619642 0.00560228 0.441015 0.397344 72 28617 47 1.29336e+07 5.84608e+06 2.11301e+06 4365.72 12.74 2.32135 2.06384 55718 550791 -1 22968 20 11049 21354 1933552 406347 15.1021 15.1021 -1245.64 -15.1021 0 0 2.64603e+06 5467.00 0.70 0.73 0.46 -1 -1 0.70 0.304053 0.273472 1070 1812 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_34.v common 67.97 vpr 76.89 MiB 0.20 13868 -1 -1 13 1.66 -1 -1 38812 -1 -1 142 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78736 22 19 2834 2564 1 1944 193 22 22 484 mult_36 auto 39.5 MiB 0.74 13469 30271 5714 22234 2323 76.9 MiB 0.72 0.01 16.0453 -909.324 -16.0453 16.0453 1.18 0.00635464 0.00574929 0.382473 0.3445 80 25009 28 1.29336e+07 5.87302e+06 2.29262e+06 4736.82 57.67 3.98386 3.50176 58134 606231 -1 21947 24 11598 22950 1898757 393575 14.9053 14.9053 -1295.89 -14.9053 0 0 2.87723e+06 5944.70 0.84 0.85 0.54 -1 -1 0.84 0.384773 0.344879 1084 1840 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_35.v common 22.97 vpr 77.67 MiB 0.53 14024 -1 -1 13 1.48 -1 -1 40452 -1 -1 150 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79536 22 19 2941 2654 1 2012 201 22 22 484 mult_36 auto 40.2 MiB 0.78 14333 34893 6946 25294 2653 77.7 MiB 0.81 0.01 16.6518 -906.845 -16.6518 16.6518 1.18 0.00655725 0.00592973 0.429636 0.387526 84 25918 26 1.29336e+07 5.9808e+06 2.40101e+06 4960.76 12.48 2.90109 2.57723 59582 640177 -1 22484 20 10291 19738 1555925 325659 15.3638 15.3638 -1059.3 -15.3638 0 0 3.03951e+06 6279.99 0.80 0.65 0.52 -1 -1 0.80 0.313369 0.282092 1131 1910 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_36.v common 22.50 vpr 78.09 MiB 0.22 14096 -1 -1 13 1.64 -1 -1 40556 -1 -1 153 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79960 22 19 3011 2724 1 2050 204 22 22 484 mult_36 auto 40.6 MiB 0.81 14333 33204 6759 24067 2378 78.1 MiB 0.82 0.01 16.0901 -925.304 -16.0901 16.0901 1.23 0.00711466 0.0064534 0.433504 0.389873 76 28442 48 1.29336e+07 6.02122e+06 2.20457e+06 4554.90 11.98 2.38845 2.11654 56682 573177 -1 23842 28 12073 23670 2540531 638646 14.9173 14.9173 -1511.95 -14.9173 0 0 2.73077e+06 5642.09 0.70 1.00 0.46 -1 -1 0.70 0.413026 0.369097 1168 1961 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_37.v common 26.82 vpr 79.39 MiB 0.25 14480 -1 -1 13 1.63 -1 -1 39240 -1 -1 158 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81300 22 19 3132 2828 1 2123 210 24 24 576 mult_36 auto 41.1 MiB 0.85 16072 33282 6623 24362 2297 79.4 MiB 0.83 0.01 16.4965 -1047.3 -16.4965 16.4965 1.44 0.00706155 0.00639046 0.428977 0.38598 80 28099 43 1.56141e+07 6.48458e+06 2.72095e+06 4723.87 15.57 3.09042 2.73815 68798 719145 -1 24743 19 11538 21936 2036930 411178 15.1133 15.1133 -1392.4 -15.1133 0 0 3.41546e+06 5929.62 0.89 0.77 0.59 -1 -1 0.89 0.326713 0.294003 1192 2045 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_38.v common 27.44 vpr 78.64 MiB 0.22 14496 -1 -1 13 1.67 -1 -1 41204 -1 -1 160 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80524 22 19 3159 2855 1 2172 212 24 24 576 mult_36 auto 41.5 MiB 0.90 15400 37441 7616 27633 2192 78.6 MiB 0.89 0.01 16.6277 -1074.04 -16.6277 16.6277 1.45 0.00754073 0.00683655 0.466712 0.419083 72 31514 49 1.56141e+07 6.51152e+06 2.50747e+06 4353.24 15.87 2.62843 2.33191 65922 653303 -1 25605 20 12401 23528 2242204 463472 15.3358 15.3358 -1479.25 -15.3358 0 0 3.14081e+06 5452.80 0.86 0.82 0.60 -1 -1 0.86 0.335776 0.300988 1207 2053 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_39.v common 19.87 vpr 79.98 MiB 0.23 14800 -1 -1 13 1.79 -1 -1 39908 -1 -1 169 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81904 22 19 3284 2963 1 2259 221 24 24 576 mult_36 auto 42.1 MiB 0.64 15993 38907 8122 28363 2422 79.5 MiB 0.90 0.01 17.5627 -1048.03 -17.5627 17.5627 1.39 0.00751196 0.00679551 0.462113 0.415849 76 30113 42 1.56141e+07 6.63277e+06 2.61600e+06 4541.67 8.99 2.2988 2.03591 67070 679911 -1 25981 19 11896 23197 1973836 423116 16.2556 16.2556 -1391.29 -16.2556 0 0 3.24203e+06 5628.53 0.85 0.76 0.56 -1 -1 0.85 0.333649 0.299451 1267 2141 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_40.v common 24.57 vpr 79.75 MiB 0.23 15076 -1 -1 13 1.75 -1 -1 40080 -1 -1 169 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81668 22 19 3343 3022 1 2282 221 24 24 576 mult_36 auto 42.5 MiB 0.92 15990 43576 9973 30398 3205 79.8 MiB 1.03 0.01 16.4294 -969.621 -16.4294 16.4294 1.46 0.00760405 0.00686572 0.535813 0.480165 76 30952 33 1.56141e+07 6.63277e+06 2.61600e+06 4541.67 12.77 2.57653 2.27861 67070 679911 -1 26278 19 12991 25311 2114866 467584 15.2497 15.2497 -1454.29 -15.2497 0 0 3.24203e+06 5628.53 0.86 0.80 0.57 -1 -1 0.86 0.344819 0.308242 1284 2181 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_41.v common 27.19 vpr 81.92 MiB 0.24 15360 -1 -1 13 2.00 -1 -1 41976 -1 -1 175 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83888 22 19 3448 3110 1 2364 228 24 24 576 mult_36 auto 43.3 MiB 0.90 17394 37812 7382 28088 2342 80.5 MiB 0.91 0.02 16.1075 -1051.7 -16.1075 16.1075 1.40 0.00800191 0.00714055 0.470431 0.42195 80 32710 50 1.56141e+07 7.1096e+06 2.72095e+06 4723.87 15.13 3.11565 2.75847 68798 719145 -1 27975 20 13581 26685 2399172 485688 14.745 14.745 -1420.2 -14.745 0 0 3.41546e+06 5929.62 0.89 0.90 0.62 -1 -1 0.89 0.371789 0.334716 1333 2249 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_42.v common 29.28 vpr 80.86 MiB 0.18 15508 -1 -1 13 1.95 -1 -1 40568 -1 -1 179 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82800 22 19 3510 3172 1 2403 232 24 24 576 mult_36 auto 43.6 MiB 1.01 17180 40816 8418 29993 2405 80.9 MiB 0.95 0.02 16.1912 -1016.75 -16.1912 16.1912 1.42 0.00753843 0.00671455 0.484684 0.434717 78 31825 33 1.56141e+07 7.16349e+06 2.67122e+06 4637.53 17.12 3.33801 2.95367 68222 705597 -1 28178 20 13315 25658 2235495 474011 14.82 14.82 -1393.15 -14.82 0 0 3.35110e+06 5817.88 0.93 0.88 0.57 -1 -1 0.93 0.380218 0.341939 1352 2292 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_43.v common 101.99 vpr 83.47 MiB 0.26 15696 -1 -1 13 2.20 -1 -1 38516 -1 -1 182 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85472 22 19 3598 3243 1 2469 235 24 24 576 mult_36 auto 44.2 MiB 1.01 18304 36435 6715 27575 2145 81.3 MiB 0.93 0.02 16.7224 -1137.41 -16.7224 16.7224 1.54 0.00847774 0.00767893 0.481449 0.431756 80 32742 43 1.56141e+07 7.2039e+06 2.72095e+06 4723.87 89.32 5.31576 4.66446 68798 719145 -1 28837 18 13104 25834 2196766 464822 15.2028 15.2028 -1813.65 -15.2028 0 0 3.41546e+06 5929.62 0.90 0.85 0.64 -1 -1 0.90 0.370256 0.33297 1391 2343 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_44.v common 30.26 vpr 83.66 MiB 0.27 15820 -1 -1 13 2.11 -1 -1 42436 -1 -1 189 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85664 22 19 3689 3334 1 2527 242 24 24 576 mult_36 auto 44.8 MiB 1.02 17450 43163 8464 32497 2202 82.9 MiB 0.88 0.02 15.8215 -1053.59 -15.8215 15.8215 1.46 0.00850938 0.00771115 0.410183 0.365757 78 32864 35 1.56141e+07 7.29821e+06 2.67122e+06 4637.53 17.95 3.3378 2.93687 68222 705597 -1 29085 22 13883 27525 2405573 500719 14.7058 14.7058 -1423.85 -14.7058 0 0 3.35110e+06 5817.88 0.94 0.86 0.57 -1 -1 0.94 0.340965 0.309465 1433 2415 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_45.v common 33.20 vpr 84.90 MiB 0.25 16080 -1 -1 13 2.29 -1 -1 38860 -1 -1 191 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86940 22 19 3763 3391 1 2591 245 24 24 576 mult_36 auto 45.2 MiB 1.10 18844 45439 9758 33174 2507 83.1 MiB 1.21 0.03 16.1092 -1223.71 -16.1092 16.1092 1.55 0.0159171 0.0142019 0.629245 0.560456 80 34440 28 1.56141e+07 7.72115e+06 2.72095e+06 4723.87 19.68 3.48973 3.07505 68798 719145 -1 29823 19 14053 27150 2539938 517717 14.6981 14.6981 -1643.43 -14.6981 0 0 3.41546e+06 5929.62 0.95 0.95 0.57 -1 -1 0.95 0.3926 0.354176 1453 2452 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_46.v common 29.39 vpr 83.80 MiB 0.20 16224 -1 -1 13 2.28 -1 -1 42424 -1 -1 195 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85812 22 19 3845 3473 1 2635 249 24 24 576 mult_36 auto 45.9 MiB 1.13 19078 44880 9246 33148 2486 83.8 MiB 1.07 0.02 16.4066 -1156.75 -16.4066 16.4066 1.46 0.00857654 0.00776513 0.550131 0.492533 76 38277 49 1.56141e+07 7.77504e+06 2.61600e+06 4541.67 16.16 3.19223 2.82495 67070 679911 -1 31279 21 14604 28625 2614110 539811 15.1678 15.1678 -1537.76 -15.1678 0 0 3.24203e+06 5628.53 0.90 1.02 0.54 -1 -1 0.90 0.430568 0.385437 1482 2515 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_47.v common 34.04 vpr 84.73 MiB 0.29 16576 -1 -1 13 2.36 -1 -1 42656 -1 -1 206 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86768 22 19 3983 3594 1 2724 260 24 24 576 mult_36 auto 46.9 MiB 1.17 19564 47513 9947 35207 2359 84.7 MiB 1.26 0.02 16.197 -1214.31 -16.197 16.197 1.43 0.00880364 0.00790823 0.658543 0.584785 78 35422 40 1.56141e+07 7.92323e+06 2.67122e+06 4637.53 20.46 3.89534 3.42477 68222 705597 -1 31712 20 14679 29149 2638098 545103 14.8312 14.8312 -1689.11 -14.8312 0 0 3.35110e+06 5817.88 0.88 0.99 0.56 -1 -1 0.88 0.41967 0.376975 1559 2616 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_48.v common 31.49 vpr 85.75 MiB 0.34 16884 -1 -1 13 2.35 -1 -1 38932 -1 -1 202 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87804 22 19 4025 3636 1 2760 256 24 24 576 mult_36 auto 47.1 MiB 1.17 19865 44104 8235 32908 2961 84.2 MiB 1.11 0.02 16.5555 -1237.6 -16.5555 16.5555 1.52 0.00951442 0.00845547 0.564856 0.504466 80 34964 32 1.56141e+07 7.86934e+06 2.72095e+06 4723.87 17.88 3.64247 3.20046 68798 719145 -1 31055 19 14926 29473 2357968 493685 15.3246 15.3246 -1886.04 -15.3246 0 0 3.41546e+06 5929.62 0.95 0.96 0.58 -1 -1 0.95 0.420428 0.376137 1547 2639 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_49.v common 29.42 vpr 88.52 MiB 0.26 17096 -1 -1 13 2.59 -1 -1 39384 -1 -1 213 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 90640 22 19 4164 3758 1 2857 268 24 24 576 mult_36 auto 48.2 MiB 1.19 20771 46007 8890 34471 2646 85.7 MiB 1.10 0.02 17.0529 -1256.54 -17.0529 17.0529 1.46 0.00946931 0.00857696 0.557609 0.499161 82 37329 31 1.56141e+07 8.41354e+06 2.78508e+06 4835.20 15.70 3.88689 3.43225 69370 733739 -1 32313 17 14152 27191 2278999 483397 15.8336 15.8336 -1741.68 -15.8336 0 0 3.48632e+06 6052.64 0.95 0.91 0.59 -1 -1 0.95 0.399336 0.360201 1622 2741 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_50.v common 36.12 vpr 86.42 MiB 0.20 17156 -1 -1 13 2.58 -1 -1 39324 -1 -1 212 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88496 22 19 4190 3784 1 2864 267 24 24 576 mult_36 auto 48.0 MiB 1.19 20719 47512 9780 35035 2697 84.8 MiB 1.16 0.02 16.5956 -1264.91 -16.5956 16.5956 1.47 0.0091073 0.00821237 0.577449 0.516343 80 37198 33 1.56141e+07 8.40006e+06 2.72095e+06 4723.87 22.19 4.055 3.58594 68798 719145 -1 32760 21 15413 30452 2837390 559448 15.403 15.403 -1780.07 -15.403 0 0 3.41546e+06 5929.62 0.95 1.10 0.58 -1 -1 0.95 0.474642 0.42666 1618 2748 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_51.v common 30.24 vpr 89.34 MiB 0.32 17612 -1 -1 13 2.71 -1 -1 43504 -1 -1 216 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 91480 22 19 4305 3882 1 2950 271 24 24 576 mult_36 auto 49.1 MiB 0.93 21440 51079 10257 37415 3407 86.6 MiB 1.27 0.02 16.714 -1309.99 -16.714 16.714 1.64 0.0119022 0.0109917 0.641407 0.572951 84 38763 39 1.56141e+07 8.45395e+06 2.84938e+06 4946.85 15.79 4.22066 3.74218 70522 759407 -1 32428 20 15429 30918 2308593 493125 15.4073 15.4073 -1971.83 -15.4073 0 0 3.60864e+06 6265.01 0.98 1.05 0.61 -1 -1 0.98 0.497871 0.448507 1666 2826 -1 -1 -1 -1 -k6_frac_N8_22nm.xml fir_nopipe_52.v common 152.77 vpr 89.94 MiB 0.33 17640 -1 -1 13 2.82 -1 -1 39776 -1 -1 227 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 92096 22 19 4363 3940 1 3005 282 24 24 576 mult_36 auto 49.3 MiB 1.21 21730 54798 11168 40568 3062 87.0 MiB 1.32 0.02 17.192 -1314.04 -17.192 17.192 1.52 0.0101418 0.00918253 0.66549 0.59325 76 43596 50 1.56141e+07 8.60214e+06 2.61600e+06 4541.67 137.76 7.36468 6.43712 67070 679911 -1 35261 20 17755 34183 2873298 612759 15.6501 15.6501 -1976.75 -15.6501 0 0 3.24203e+06 5628.53 0.91 1.24 0.60 -1 -1 0.91 0.56194 0.502549 1697 2865 -1 -1 -1 -1 -k6_frac_ripple_N8_22nm.xml fir_pipe_14.v common 7.16 vpr 70.80 MiB 0.07 10496 -1 -1 1 0.24 -1 -1 35480 -1 -1 81 22 0 4 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72496 22 19 1974 1653 1 1020 126 16 16 256 mult_36 auto 32.8 MiB 0.41 5707 14616 2612 9996 2008 70.8 MiB 0.35 0.01 4.27196 -1191.29 -4.27196 4.27196 0.57 0.00340592 0.00306896 0.179803 0.162328 60 9610 24 6.52434e+06 2.71588e+06 890343. 3477.90 2.78 0.899076 0.784711 27128 224764 -1 8185 17 3699 4190 423433 108714 4.39726 4.39726 -1140.75 -4.39726 0 0 1.11577e+06 4358.47 0.29 0.23 0.19 -1 -1 0.29 0.130495 0.117487 605 708 247 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_15.v common 20.60 vpr 71.64 MiB 0.08 10912 -1 -1 1 0.25 -1 -1 36976 -1 -1 88 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73356 22 19 2144 1789 1 1119 134 16 16 256 mult_36 auto 33.5 MiB 0.42 6078 18944 3753 12931 2260 71.6 MiB 0.44 0.01 4.22492 -1354.66 -4.22492 4.22492 0.67 0.0037036 0.00333617 0.21501 0.193696 52 14873 50 6.52434e+06 3.20969e+06 808720. 3159.06 15.69 1.74398 1.5257 25852 197779 -1 10045 20 4558 5394 572033 144141 4.52256 4.52256 -1396.34 -4.52256 0 0 1.00038e+06 3907.74 0.24 0.29 0.17 -1 -1 0.24 0.162087 0.143071 654 769 266 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_16.v common 8.25 vpr 71.93 MiB 0.11 10952 -1 -1 1 0.26 -1 -1 36912 -1 -1 91 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73652 22 19 2218 1846 1 1161 137 16 16 256 mult_36 auto 33.8 MiB 0.46 6793 19199 3651 12642 2906 71.9 MiB 0.46 0.01 4.29396 -1376.37 -4.29396 4.29396 0.55 0.00392286 0.00353131 0.222154 0.199557 56 12610 23 6.52434e+06 3.25161e+06 849745. 3319.32 3.35 1.08385 0.946389 26364 208198 -1 10765 21 4657 5400 648059 162428 4.52256 4.52256 -1436.25 -4.52256 0 0 1.04740e+06 4091.43 0.27 0.35 0.21 -1 -1 0.27 0.193181 0.170747 683 788 285 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_17.v common 9.50 vpr 73.33 MiB 0.13 11704 -1 -1 1 0.27 -1 -1 36580 -1 -1 103 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75088 22 19 2536 2130 1 1274 149 16 16 256 mult_36 auto 35.3 MiB 0.52 7563 19849 3721 13396 2732 73.3 MiB 0.51 0.01 4.29396 -1555.03 -4.29396 4.29396 0.60 0.00452081 0.00408155 0.252577 0.22717 54 14934 45 6.52434e+06 3.4193e+06 829453. 3240.05 4.40 1.45664 1.27771 26108 202796 -1 11220 19 5009 5929 575456 150599 4.29396 4.29396 -1585.29 -4.29396 0 0 1.02522e+06 4004.78 0.25 0.34 0.17 -1 -1 0.25 0.199787 0.177848 770 924 304 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_18.v common 10.95 vpr 74.29 MiB 0.12 11884 -1 -1 1 0.31 -1 -1 37120 -1 -1 107 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76068 22 19 2610 2187 1 1316 153 16 16 256 mult_36 auto 35.8 MiB 0.55 7580 22648 4139 15538 2971 74.3 MiB 0.55 0.01 4.22437 -1603.12 -4.22437 4.22437 0.58 0.00443525 0.00398183 0.273319 0.245768 56 14991 32 6.52434e+06 3.47519e+06 849745. 3319.32 5.86 1.41031 1.23076 26364 208198 -1 12118 24 5442 6385 763550 186400 5.14906 5.14906 -1681.4 -5.14906 0 0 1.04740e+06 4091.43 0.27 0.41 0.13 -1 -1 0.27 0.230417 0.203033 798 943 323 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_19.v common 10.76 vpr 75.11 MiB 0.17 12184 -1 -1 1 0.33 -1 -1 36724 -1 -1 113 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76916 22 19 2778 2321 1 1410 160 16 16 256 mult_36 auto 36.8 MiB 0.57 8169 22294 4065 14927 3302 75.1 MiB 0.53 0.01 4.2304 -1681.23 -4.2304 4.2304 0.58 0.0045314 0.00405318 0.256982 0.22945 58 14770 47 6.52434e+06 3.95503e+06 871168. 3403.00 5.40 1.56264 1.36024 26872 219187 -1 12035 18 5167 5841 646056 165802 4.29396 4.29396 -1705.82 -4.29396 0 0 1.09288e+06 4269.05 0.26 0.34 0.19 -1 -1 0.26 0.194726 0.171914 846 1002 342 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_20.v common 9.91 vpr 75.12 MiB 0.13 12376 -1 -1 1 0.35 -1 -1 37004 -1 -1 118 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76928 22 19 2852 2378 1 1454 165 16 16 256 mult_36 auto 37.3 MiB 0.56 8808 21861 3843 15034 2984 75.1 MiB 0.55 0.01 4.28986 -1739.48 -4.28986 4.28986 0.61 0.00497001 0.00446513 0.264268 0.23753 60 14824 27 6.52434e+06 4.0249e+06 890343. 3477.90 4.57 1.45122 1.26718 27128 224764 -1 12480 16 5276 6100 595745 151457 4.41926 4.41926 -1762.84 -4.41926 0 0 1.11577e+06 4358.47 0.28 0.34 0.20 -1 -1 0.28 0.194343 0.172377 875 1021 361 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_21.v common 11.49 vpr 76.05 MiB 0.15 12808 -1 -1 1 0.34 -1 -1 37824 -1 -1 122 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77880 22 19 3057 2549 1 1559 169 16 16 256 mult_36 auto 38.3 MiB 0.64 9015 24920 4571 15619 4730 76.1 MiB 0.64 0.01 4.20237 -1905.04 -4.20237 4.20237 0.58 0.00554434 0.00500669 0.309355 0.276796 60 16024 44 6.52434e+06 4.0808e+06 890343. 3477.90 5.69 1.73434 1.51865 27128 224764 -1 13134 16 5600 6594 636756 160290 4.29396 4.29396 -1928.97 -4.29396 0 0 1.11577e+06 4358.47 0.28 0.36 0.19 -1 -1 0.28 0.207694 0.184735 932 1099 380 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_22.v common 12.10 vpr 76.48 MiB 0.10 12960 -1 -1 1 0.35 -1 -1 37920 -1 -1 125 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78316 22 19 3131 2606 1 1599 172 16 16 256 mult_36 auto 38.8 MiB 0.53 9644 27896 4964 18195 4737 76.5 MiB 0.74 0.01 4.09962 -1964.96 -4.09962 4.09962 0.57 0.00549947 0.00489115 0.342589 0.303885 66 17711 35 6.52434e+06 4.12272e+06 974584. 3806.97 6.45 1.71183 1.49596 28148 247068 -1 13707 17 5831 6659 781116 185151 4.29396 4.29396 -1959.31 -4.29396 0 0 1.22072e+06 4768.46 0.31 0.39 0.19 -1 -1 0.31 0.215751 0.191625 961 1118 399 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_23.v common 13.73 vpr 77.29 MiB 0.17 13308 -1 -1 1 0.39 -1 -1 37936 -1 -1 133 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79140 22 19 3301 2742 1 1700 181 18 18 324 mult_36 auto 39.5 MiB 0.72 10087 30330 5799 21301 3230 77.3 MiB 0.74 0.01 4.29396 -2075.2 -4.29396 4.29396 0.77 0.00650178 0.00598133 0.346423 0.313697 60 18320 28 8.04299e+06 4.63052e+06 1.16833e+06 3605.96 7.06 1.72603 1.51148 35004 297736 -1 15010 17 6849 7695 873963 206522 4.29396 4.29396 -2095.97 -4.29396 0 0 1.46313e+06 4515.82 0.40 0.41 0.25 -1 -1 0.40 0.220321 0.195312 1012 1179 418 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_24.v common 13.85 vpr 77.54 MiB 0.13 13392 -1 -1 1 0.41 -1 -1 38108 -1 -1 137 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79396 22 19 3375 2799 1 1743 185 18 18 324 mult_36 auto 39.8 MiB 0.70 10300 29115 5219 20674 3222 77.5 MiB 0.80 0.01 4.22437 -2073.88 -4.22437 4.22437 0.77 0.006131 0.00553331 0.354774 0.317073 58 18565 31 8.04299e+06 4.68641e+06 1.14310e+06 3528.09 7.15 1.79694 1.56807 34680 290288 -1 15595 17 6816 7782 901255 220455 4.29396 4.29396 -2160.36 -4.29396 0 0 1.43297e+06 4422.75 0.36 0.44 0.26 -1 -1 0.36 0.237466 0.211355 1041 1198 437 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_25.v common 13.85 vpr 78.52 MiB 0.13 13888 -1 -1 1 0.40 -1 -1 38236 -1 -1 146 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80404 22 19 3615 3005 1 1847 194 18 18 324 mult_36 auto 40.8 MiB 0.67 10975 29927 5204 21640 3083 78.5 MiB 0.78 0.01 4.29396 -2273.15 -4.29396 4.29396 0.76 0.006101 0.00546094 0.361338 0.323248 58 20078 36 8.04299e+06 4.81218e+06 1.14310e+06 3528.09 7.25 1.94915 1.69787 34680 290288 -1 16168 17 7089 8219 904291 224983 4.41926 4.41926 -2410.63 -4.41926 0 0 1.43297e+06 4422.75 0.36 0.46 0.24 -1 -1 0.36 0.258436 0.230808 1107 1293 456 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_26.v common 14.51 vpr 79.02 MiB 0.09 14076 -1 -1 1 0.34 -1 -1 38412 -1 -1 148 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80916 22 19 3689 3062 1 1888 196 18 18 324 mult_36 auto 41.4 MiB 0.77 10816 33767 6403 23789 3575 79.0 MiB 0.90 0.01 4.09962 -2289.16 -4.09962 4.09962 0.77 0.00666653 0.00592456 0.405095 0.359897 60 19765 47 8.04299e+06 4.84013e+06 1.16833e+06 3605.96 7.57 2.1649 1.88473 35004 297736 -1 15783 16 6853 7919 833754 202360 4.41926 4.41926 -2402.93 -4.41926 0 0 1.46313e+06 4515.82 0.37 0.43 0.25 -1 -1 0.37 0.24325 0.216254 1135 1312 475 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_27.v common 12.41 vpr 80.23 MiB 0.18 14388 -1 -1 1 0.41 -1 -1 38456 -1 -1 156 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82160 22 19 3871 3210 1 1998 205 18 18 324 mult_36 auto 42.4 MiB 0.80 12132 28593 4296 20787 3510 80.2 MiB 0.74 0.01 4.41926 -2393.12 -4.41926 4.41926 0.77 0.006481 0.00579771 0.338432 0.302298 64 20586 19 8.04299e+06 5.34793e+06 1.23838e+06 3822.15 5.24 1.78906 1.56837 35972 318676 -1 17280 19 7116 8295 869286 210992 4.41926 4.41926 -2474.76 -4.41926 0 0 1.56068e+06 4816.91 0.44 0.51 0.30 -1 -1 0.44 0.295813 0.263743 1191 1385 494 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_28.v common 15.94 vpr 80.36 MiB 0.28 14584 -1 -1 1 0.47 -1 -1 38476 -1 -1 160 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82292 22 19 3945 3267 1 2043 209 18 18 324 mult_36 auto 42.6 MiB 0.83 12721 34929 5952 24376 4601 80.4 MiB 0.89 0.01 4.26697 -2429.16 -4.26697 4.26697 0.78 0.00701006 0.00631112 0.406399 0.363637 60 21797 48 8.04299e+06 5.40382e+06 1.16833e+06 3605.96 8.27 2.30836 2.01074 35004 297736 -1 18182 20 8118 9346 1020381 253908 4.41926 4.41926 -2516.38 -4.41926 0 0 1.46313e+06 4515.82 0.38 0.63 0.27 -1 -1 0.38 0.375108 0.337767 1219 1404 513 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_29.v common 17.64 vpr 81.52 MiB 0.12 15092 -1 -1 1 0.48 -1 -1 39648 -1 -1 170 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83480 22 19 4159 3447 1 2157 220 22 22 484 mult_36 auto 43.7 MiB 0.84 13597 41989 8196 30469 3324 81.5 MiB 1.05 0.02 4.16866 -2687.48 -4.16866 4.16866 1.22 0.00719836 0.00646222 0.481623 0.429453 56 27289 30 1.30842e+07 5.93957e+06 1.71605e+06 3545.56 8.95 2.22671 1.94498 51606 428054 -1 21919 20 9434 11001 1516906 343088 4.52256 4.52256 -2855.36 -4.52256 0 0 2.11301e+06 4365.72 0.56 0.39 0.34 -1 -1 0.56 0.187846 0.168815 1283 1491 532 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_30.v common 20.20 vpr 82.89 MiB 0.20 15032 -1 -1 1 0.60 -1 -1 40776 -1 -1 173 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84880 22 19 4233 3504 1 2198 223 22 22 484 mult_36 auto 44.3 MiB 0.85 14316 43487 8493 30718 4276 82.9 MiB 1.12 0.02 4.41926 -2750.54 -4.41926 4.41926 1.22 0.00742763 0.00666077 0.497987 0.444103 58 27441 46 1.30842e+07 5.98149e+06 1.75961e+06 3635.55 10.85 2.43679 2.13088 52570 450426 -1 21701 17 9112 10561 1278143 292476 4.54456 4.54456 -2870.39 -4.54456 0 0 2.20457e+06 4554.90 0.61 0.57 0.36 -1 -1 0.61 0.303403 0.26981 1311 1510 551 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_31.v common 16.67 vpr 83.57 MiB 0.23 15640 -1 -1 1 0.53 -1 -1 41024 -1 -1 179 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85576 22 19 4410 3647 1 2304 229 22 22 484 mult_36 auto 44.9 MiB 0.88 14559 42929 8301 31079 3549 83.6 MiB 1.12 0.02 4.54456 -2849.05 -4.54456 4.54456 1.21 0.00745103 0.00666239 0.502234 0.448841 60 26138 29 1.30842e+07 6.06533e+06 1.79840e+06 3715.71 7.35 2.34135 2.05057 53054 462096 -1 21367 18 8865 10303 1128192 264808 4.54456 4.54456 -2991.12 -4.54456 0 0 2.25108e+06 4650.99 0.62 0.59 0.39 -1 -1 0.62 0.318889 0.285441 1363 1578 570 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_32.v common 20.69 vpr 82.85 MiB 0.19 15572 -1 -1 1 0.54 -1 -1 40572 -1 -1 183 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84836 22 19 4484 3704 1 2346 233 22 22 484 mult_36 auto 45.1 MiB 0.91 14169 51069 10204 36498 4367 82.8 MiB 1.24 0.02 4.35562 -2870.14 -4.35562 4.35562 1.18 0.00727166 0.00648461 0.540705 0.481056 58 28562 42 1.30842e+07 6.12123e+06 1.75961e+06 3635.55 10.86 2.61612 2.28074 52570 450426 -1 21935 18 9198 10981 1225293 282955 4.41926 4.41926 -3046.59 -4.41926 0 0 2.20457e+06 4554.90 0.62 0.59 0.32 -1 -1 0.62 0.310706 0.275736 1393 1597 589 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_33.v common 24.20 vpr 85.52 MiB 0.23 16688 -1 -1 1 0.56 -1 -1 41628 -1 -1 196 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87572 22 19 4843 4029 1 2462 247 22 22 484 mult_36 auto 47.0 MiB 1.13 15624 49783 9117 36437 4229 85.5 MiB 1.21 0.02 4.64786 -3017.99 -4.64786 4.64786 1.24 0.00761602 0.00676614 0.533935 0.474376 60 29459 48 1.30842e+07 6.6989e+06 1.79840e+06 3715.71 14.26 2.84822 2.48391 53054 462096 -1 23118 17 9322 10866 1279018 283870 4.54456 4.54456 -3177.97 -4.54456 0 0 2.25108e+06 4650.99 0.61 0.62 0.46 -1 -1 0.61 0.353908 0.31735 1490 1756 608 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_34.v common 20.31 vpr 84.88 MiB 0.26 16896 -1 -1 1 0.63 -1 -1 41516 -1 -1 199 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86916 22 19 4917 4086 1 2503 250 22 22 484 mult_36 auto 47.4 MiB 0.95 16182 52192 10276 36322 5594 84.9 MiB 1.28 0.02 4.41926 -3092.45 -4.41926 4.41926 1.23 0.00760482 0.00676556 0.564061 0.500769 64 28538 36 1.30842e+07 6.74082e+06 1.90554e+06 3937.06 10.13 3.04467 2.68442 54502 494576 -1 22667 18 8811 10602 1146289 263961 4.54456 4.54456 -3188.99 -4.54456 0 0 2.40101e+06 4960.76 0.65 0.62 0.41 -1 -1 0.65 0.351193 0.312295 1519 1775 627 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_35.v common 21.36 vpr 85.83 MiB 0.32 17128 -1 -1 1 0.63 -1 -1 41764 -1 -1 207 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87888 22 19 5093 4228 1 2606 258 22 22 484 mult_36 auto 48.2 MiB 0.95 15281 55265 10444 39810 5011 85.8 MiB 1.34 0.02 4.16866 -3231.81 -4.16866 4.16866 1.23 0.00806113 0.00717252 0.590696 0.524331 60 28985 37 1.30842e+07 6.85261e+06 1.79840e+06 3715.71 11.05 2.9251 2.55373 53054 462096 -1 22720 20 9865 11925 1244020 294578 4.41926 4.41926 -3325.32 -4.41926 0 0 2.25108e+06 4650.99 0.64 0.69 0.37 -1 -1 0.64 0.399047 0.354548 1572 1842 646 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_36.v common 18.14 vpr 86.34 MiB 0.17 17204 -1 -1 1 0.65 -1 -1 41776 -1 -1 209 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88416 22 19 5167 4285 1 2653 260 22 22 484 mult_36 auto 48.8 MiB 0.98 16606 54145 10648 38527 4970 86.3 MiB 1.36 0.02 4.16866 -3259.63 -4.16866 4.16866 1.19 0.00868234 0.00775776 0.586893 0.521739 64 29957 28 1.30842e+07 6.88056e+06 1.90554e+06 3937.06 8.19 2.67123 2.33119 54502 494576 -1 23683 18 9902 11325 1259385 289004 4.41926 4.41926 -3287.54 -4.41926 0 0 2.40101e+06 4960.76 0.61 0.58 0.39 -1 -1 0.61 0.331583 0.292623 1600 1861 665 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_37.v common 21.09 vpr 88.22 MiB 0.26 17588 -1 -1 1 0.67 -1 -1 40772 -1 -1 218 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 90340 22 19 5380 4464 1 2755 270 24 24 576 mult_36 auto 49.9 MiB 1.06 18567 56078 10962 40406 4710 88.2 MiB 1.45 0.02 4.41926 -3517.74 -4.41926 4.41926 1.46 0.011845 0.0108667 0.625669 0.556627 62 34089 42 1.57908e+07 7.40233e+06 2.19658e+06 3813.51 10.12 3.24992 2.85968 63306 560109 -1 25982 17 10013 11925 1290386 299725 4.54456 4.54456 -3709.06 -4.54456 0 0 2.72095e+06 4723.87 0.70 0.60 0.43 -1 -1 0.70 0.335585 0.296844 1662 1947 684 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_38.v common 23.46 vpr 87.28 MiB 0.18 17932 -1 -1 1 0.70 -1 -1 42356 -1 -1 220 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89372 22 19 5454 4521 1 2802 272 24 24 576 mult_36 auto 49.6 MiB 1.08 18582 51370 9929 37950 3491 87.3 MiB 1.41 0.02 4.41926 -3447.93 -4.41926 4.41926 1.47 0.00885871 0.00790343 0.606093 0.53869 64 34209 36 1.57908e+07 7.43028e+06 2.26035e+06 3924.22 12.06 2.88108 2.51729 64454 586630 -1 26870 18 10485 12283 1454697 328137 4.54456 4.54456 -3636.25 -4.54456 0 0 2.84938e+06 4946.85 0.81 0.72 0.48 -1 -1 0.81 0.391969 0.349886 1690 1966 703 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_39.v common 23.62 vpr 88.21 MiB 0.29 18256 -1 -1 1 0.71 -1 -1 40212 -1 -1 228 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 90324 22 19 5629 4662 1 2909 280 24 24 576 mult_36 auto 50.9 MiB 1.08 18512 58840 11287 42675 4878 88.2 MiB 1.50 0.02 4.53661 -3591.01 -4.53661 4.53661 1.51 0.0104965 0.0094672 0.651336 0.579977 64 33376 33 1.57908e+07 7.54207e+06 2.26035e+06 3924.22 11.88 3.18095 2.78182 64454 586630 -1 26570 18 10607 12773 1398491 323531 4.52256 4.52256 -3729.32 -4.52256 0 0 2.84938e+06 4946.85 0.82 0.72 0.47 -1 -1 0.82 0.405198 0.361638 1742 2032 722 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_40.v common 24.07 vpr 89.79 MiB 0.34 18348 -1 -1 1 0.68 -1 -1 42284 -1 -1 232 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 91944 22 19 5703 4719 1 2951 284 24 24 576 mult_36 auto 51.8 MiB 1.09 19527 61862 12354 44168 5340 89.8 MiB 1.55 0.02 4.51758 -3660.42 -4.51758 4.51758 1.41 0.00911902 0.00811392 0.654527 0.579497 64 35385 33 1.57908e+07 7.59797e+06 2.26035e+06 3924.22 11.97 3.12936 2.74636 64454 586630 -1 27678 18 10750 12796 1471921 331561 4.64786 4.64786 -3839.37 -4.64786 0 0 2.84938e+06 4946.85 0.83 0.79 0.55 -1 -1 0.83 0.438235 0.393209 1771 2051 741 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_41.v common 24.48 vpr 89.66 MiB 0.21 18724 -1 -1 1 0.84 -1 -1 41504 -1 -1 240 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 91816 22 19 5950 4932 1 3065 293 24 24 576 mult_36 auto 52.4 MiB 1.12 20313 68333 13912 49003 5418 89.7 MiB 1.76 0.02 4.35562 -3781.12 -4.35562 4.35562 1.49 0.0100927 0.00897853 0.748474 0.663187 64 37437 47 1.57908e+07 8.10576e+06 2.26035e+06 3924.22 12.19 3.44676 2.99524 64454 586630 -1 29393 20 11515 13868 1578948 357275 4.54456 4.54456 -4027.56 -4.54456 0 0 2.84938e+06 4946.85 0.77 0.81 0.47 -1 -1 0.77 0.448202 0.39727 1841 2153 760 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_42.v common 25.47 vpr 92.35 MiB 0.23 18988 -1 -1 1 0.86 -1 -1 42916 -1 -1 242 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94564 22 19 6024 4989 1 3106 295 24 24 576 mult_36 auto 53.2 MiB 1.15 21018 65041 12819 46780 5442 90.3 MiB 1.67 0.02 4.54456 -3823.47 -4.54456 4.54456 1.51 0.0101508 0.00906076 0.715915 0.636736 64 36534 49 1.57908e+07 8.13371e+06 2.26035e+06 3924.22 13.19 3.56747 3.11957 64454 586630 -1 29646 18 11267 13244 1541469 352337 4.66986 4.66986 -3955.02 -4.66986 0 0 2.84938e+06 4946.85 0.75 0.75 0.46 -1 -1 0.75 0.430993 0.383901 1869 2172 779 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_43.v common 25.12 vpr 91.39 MiB 0.31 19256 -1 -1 1 0.89 -1 -1 43264 -1 -1 250 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 93588 22 19 6198 5129 1 3209 303 24 24 576 mult_36 auto 54.3 MiB 1.22 22338 66408 13114 47625 5669 91.4 MiB 1.76 0.03 4.47497 -4072.8 -4.47497 4.47497 1.50 0.0104487 0.00936437 0.738522 0.655007 68 37694 34 1.57908e+07 8.2455e+06 2.39371e+06 4155.74 12.43 3.35506 2.92455 65606 615345 -1 30383 15 11489 13385 1484469 334786 4.66986 4.66986 -4189.73 -4.66986 0 0 2.98162e+06 5176.42 1.02 0.78 0.49 -1 -1 1.02 0.421175 0.378984 1921 2237 798 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_44.v common 25.17 vpr 94.43 MiB 0.17 19552 -1 -1 1 0.85 -1 -1 43196 -1 -1 253 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 96696 22 19 6272 5186 1 3253 306 24 24 576 mult_36 auto 54.2 MiB 1.23 22629 67256 12828 47647 6781 91.3 MiB 1.75 0.03 4.41926 -4066.4 -4.41926 4.41926 1.56 0.0105256 0.0093916 0.741281 0.658657 70 37350 43 1.57908e+07 8.28742e+06 2.45377e+06 4260.01 12.42 3.6164 3.15387 66754 640332 -1 30782 20 11655 13506 1570135 362351 4.41926 4.41926 -4119.98 -4.41926 0 0 3.09179e+06 5367.68 0.82 0.82 0.51 -1 -1 0.82 0.468682 0.416096 1949 2256 817 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_45.v common 23.85 vpr 92.27 MiB 0.33 20028 -1 -1 1 0.90 -1 -1 43716 -1 -1 262 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94488 22 19 6485 5365 1 3362 316 24 24 576 mult_36 auto 55.3 MiB 1.26 21577 68041 12652 49574 5815 92.3 MiB 1.82 0.03 4.34967 -4219.28 -4.34967 4.34967 1.55 0.010752 0.00961779 0.738639 0.650742 72 36507 46 1.57908e+07 8.80919e+06 2.50747e+06 4353.24 10.81 3.77641 3.29091 67330 654343 -1 29810 22 11462 13137 1601544 361852 4.39726 4.39726 -4238.31 -4.39726 0 0 3.14081e+06 5452.80 0.85 0.91 0.52 -1 -1 0.85 0.527897 0.467341 2011 2342 836 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_46.v common 26.61 vpr 98.11 MiB 0.34 20028 -1 -1 1 0.87 -1 -1 43880 -1 -1 266 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 100468 22 19 6559 5422 1 3404 320 24 24 576 mult_36 auto 55.5 MiB 1.28 24101 72524 13138 53102 6284 92.7 MiB 2.08 0.03 4.66986 -4291.45 -4.66986 4.66986 1.46 0.0119672 0.0107794 0.83727 0.749668 68 40255 40 1.57908e+07 8.86508e+06 2.39371e+06 4155.74 13.34 3.88625 3.40879 65606 615345 -1 32521 18 12015 14089 1546690 340905 4.66986 4.66986 -4364.43 -4.66986 0 0 2.98162e+06 5176.42 0.79 0.84 0.52 -1 -1 0.79 0.471209 0.420824 2040 2361 855 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_47.v common 25.66 vpr 96.38 MiB 0.26 20328 -1 -1 1 0.98 -1 -1 44612 -1 -1 273 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 98692 22 19 6735 5564 1 3511 327 24 24 576 mult_36 auto 56.6 MiB 1.32 22785 72391 13683 52096 6612 93.7 MiB 2.12 0.03 4.36967 -4379.74 -4.36967 4.36967 1.44 0.0126992 0.011479 0.885818 0.786761 66 39572 35 1.57908e+07 8.9629e+06 2.33135e+06 4047.49 12.30 3.95827 3.45851 65030 601923 -1 31611 19 12384 14268 1564989 359786 4.54456 4.54456 -4464.94 -4.54456 0 0 2.91907e+06 5067.82 0.82 0.86 0.50 -1 -1 0.82 0.496205 0.441897 2092 2428 874 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_48.v common 27.56 vpr 98.24 MiB 0.39 20536 -1 -1 1 1.04 -1 -1 44100 -1 -1 276 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 100596 22 19 6809 5621 1 3555 330 24 24 576 mult_36 auto 57.0 MiB 1.36 23066 80060 16107 55507 8446 94.0 MiB 2.24 0.03 4.29396 -4332.56 -4.29396 4.29396 1.49 0.010321 0.00913554 0.900016 0.796321 70 39582 34 1.57908e+07 9.00482e+06 2.45377e+06 4260.01 13.48 3.67756 3.19309 66754 640332 -1 32506 18 12951 15266 1774464 412111 4.41926 4.41926 -4460.37 -4.41926 0 0 3.09179e+06 5367.68 0.84 0.87 0.54 -1 -1 0.84 0.478592 0.424893 2121 2447 893 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_49.v common 27.16 vpr 97.51 MiB 0.18 21252 -1 -1 1 1.04 -1 -1 44416 -1 -1 287 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 99852 22 19 7094 5872 1 3669 342 24 24 576 mult_36 auto 58.0 MiB 1.42 24245 82797 16885 57326 8586 95.1 MiB 2.25 0.03 4.52256 -4714.45 -4.52256 4.52256 1.44 0.0118561 0.0106259 0.900369 0.79678 72 41230 32 1.57908e+07 9.55454e+06 2.50747e+06 4353.24 13.37 3.97004 3.4626 67330 654343 -1 33608 16 12552 14465 1746432 385070 4.64786 4.64786 -4951.91 -4.64786 0 0 3.14081e+06 5452.80 0.85 0.85 0.53 -1 -1 0.85 0.455975 0.406164 2200 2569 912 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_50.v common 26.03 vpr 100.95 MiB 0.21 21304 -1 -1 1 1.04 -1 -1 44288 -1 -1 290 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 103372 22 19 7168 5929 1 3710 345 24 24 576 mult_36 auto 58.4 MiB 1.47 24589 80139 15697 56982 7460 95.4 MiB 2.13 0.03 4.41926 -4634.65 -4.41926 4.41926 1.48 0.0119433 0.010454 0.836358 0.737133 70 40446 44 1.57908e+07 9.59646e+06 2.45377e+06 4260.01 12.09 4.00842 3.47817 66754 640332 -1 34146 18 13413 15667 1907396 427492 4.54456 4.54456 -4822.29 -4.54456 0 0 3.09179e+06 5367.68 0.89 1.01 0.52 -1 -1 0.89 0.535964 0.47839 2229 2588 931 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_51.v common 28.63 vpr 99.47 MiB 0.37 21664 -1 -1 1 1.11 -1 -1 44756 -1 -1 297 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 101856 22 19 7344 6071 1 3814 352 24 24 576 mult_36 auto 59.4 MiB 1.64 25956 86050 17957 59580 8513 96.4 MiB 2.35 0.04 4.54456 -4790.18 -4.54456 4.54456 1.49 0.0162424 0.0148599 0.946895 0.838694 72 43615 28 1.57908e+07 9.69428e+06 2.50747e+06 4353.24 13.90 3.95891 3.45177 67330 654343 -1 35657 17 13386 15768 1879694 426603 4.64786 4.64786 -4925.15 -4.64786 0 0 3.14081e+06 5452.80 0.86 0.89 0.52 -1 -1 0.86 0.48718 0.433407 2282 2655 950 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_pipe_52.v common 25.95 vpr 99.39 MiB 0.28 21732 -1 -1 1 1.01 -1 -1 45068 -1 -1 301 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 101776 22 19 7418 6128 1 3859 356 24 24 576 mult_36 auto 60.1 MiB 1.47 27555 81060 16090 57104 7866 99.1 MiB 2.14 0.03 4.54456 -4802.82 -4.54456 4.54456 1.43 0.0120698 0.0107753 0.842413 0.740695 76 44587 45 1.57908e+07 9.75017e+06 2.61600e+06 4541.67 12.08 4.15845 3.61183 68478 680951 -1 37419 17 14263 16730 1964169 440460 4.52256 4.52256 -5062.61 -4.52256 0 0 3.24203e+06 5628.53 0.95 0.95 0.52 -1 -1 0.95 0.502544 0.447268 2310 2674 969 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_14.v common 8.81 vpr 67.31 MiB 0.13 9440 -1 -1 1 0.12 -1 -1 34712 -1 -1 58 22 0 4 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68924 22 19 1246 925 1 732 103 16 16 256 mult_36 auto 28.9 MiB 2.74 3886 14081 3199 8271 2611 67.3 MiB 0.31 0.01 8.43746 -386.648 -8.43746 8.43746 0.59 0.00249884 0.0022961 0.153735 0.141049 44 8107 32 6.52434e+06 2.39448e+06 686998. 2683.59 2.43 0.551985 0.492102 24576 170172 -1 6188 24 5707 6417 667481 172985 7.87084 7.87084 -462.711 -7.87084 0 0 871168. 3403.00 0.21 0.29 0.15 -1 -1 0.21 0.128358 0.11408 421 344 247 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_15.v common 9.80 vpr 67.93 MiB 0.10 9540 -1 -1 1 0.17 -1 -1 35144 -1 -1 61 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69560 22 19 1344 989 1 793 107 16 16 256 mult_36 auto 29.6 MiB 2.03 4415 16299 3732 8915 3652 67.9 MiB 0.37 0.01 8.61109 -402.505 -8.61109 8.61109 0.58 0.00265723 0.00243955 0.189356 0.173645 44 9925 41 6.52434e+06 2.8324e+06 686998. 2683.59 3.88 0.892944 0.7947 24576 170172 -1 7024 25 6220 7095 698142 184212 8.45004 8.45004 -463.679 -8.45004 0 0 871168. 3403.00 0.22 0.34 0.15 -1 -1 0.22 0.155405 0.14074 453 369 266 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_16.v common 11.29 vpr 68.10 MiB 0.10 9672 -1 -1 1 0.19 -1 -1 35136 -1 -1 65 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69736 22 19 1418 1046 1 832 111 16 16 256 mult_36 auto 30.1 MiB 2.96 4724 16869 4045 10706 2118 68.1 MiB 0.44 0.01 8.43651 -410.772 -8.43651 8.43651 0.62 0.0028132 0.00257563 0.200536 0.183658 48 9176 31 6.52434e+06 2.88829e+06 755748. 2952.14 4.31 0.897273 0.796679 25088 180500 -1 7305 24 6692 7397 851322 211567 7.80584 7.80584 -467.143 -7.80584 0 0 916467. 3579.95 0.22 0.34 0.17 -1 -1 0.22 0.143449 0.127696 481 388 285 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_17.v common 10.58 vpr 68.59 MiB 0.10 10212 -1 -1 1 0.15 -1 -1 35340 -1 -1 71 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70240 22 19 1518 1112 1 896 117 16 16 256 mult_36 auto 30.6 MiB 2.67 5238 17563 3887 11404 2272 68.6 MiB 0.46 0.01 9.04171 -433.063 -9.04171 9.04171 0.56 0.00413444 0.00385519 0.205719 0.187964 48 10177 28 6.52434e+06 2.97214e+06 755748. 2952.14 3.95 0.881042 0.782615 25088 180500 -1 8176 22 6890 7606 917926 237751 8.68028 8.68028 -493.626 -8.68028 0 0 916467. 3579.95 0.22 0.32 0.18 -1 -1 0.22 0.135487 0.121653 514 415 304 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_18.v common 13.14 vpr 68.97 MiB 0.12 10304 -1 -1 1 0.21 -1 -1 34948 -1 -1 74 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70628 22 19 1592 1169 1 934 120 16 16 256 mult_36 auto 30.9 MiB 3.32 5243 15755 3475 9888 2392 69.0 MiB 0.39 0.01 9.04775 -490.252 -9.04775 9.04775 0.55 0.00310114 0.00281456 0.183321 0.167683 48 11232 37 6.52434e+06 3.01406e+06 755748. 2952.14 5.98 0.941072 0.833617 25088 180500 -1 8633 23 7736 8750 1034775 249786 8.80158 8.80158 -540.639 -8.80158 0 0 916467. 3579.95 0.23 0.39 0.17 -1 -1 0.23 0.152496 0.136913 542 434 323 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_19.v common 11.51 vpr 69.29 MiB 0.11 10692 -1 -1 1 0.20 -1 -1 35236 -1 -1 79 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70956 22 19 1688 1231 1 994 126 16 16 256 mult_36 auto 31.4 MiB 2.90 5618 18711 3986 11280 3445 69.3 MiB 0.48 0.01 9.18492 -482.658 -9.18492 9.18492 0.58 0.00325551 0.00298378 0.223503 0.20475 52 10842 44 6.52434e+06 3.47993e+06 808720. 3159.06 4.48 1.093 0.971846 25852 197779 -1 8271 22 6752 7535 845938 215127 8.23108 8.23108 -551.85 -8.23108 0 0 1.00038e+06 3907.74 0.25 0.33 0.17 -1 -1 0.25 0.150906 0.134461 573 457 342 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_20.v common 12.15 vpr 69.87 MiB 0.12 10752 -1 -1 1 0.22 -1 -1 35508 -1 -1 81 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71544 22 19 1762 1288 1 1031 128 16 16 256 mult_36 auto 31.8 MiB 3.48 6535 19770 4199 12093 3478 69.9 MiB 0.50 0.01 9.33493 -488.07 -9.33493 9.33493 0.58 0.00393902 0.00361618 0.226774 0.206643 48 12219 33 6.52434e+06 3.50787e+06 755748. 2952.14 4.48 1.04501 0.925176 25088 180500 -1 9898 22 7504 8327 1040791 265119 8.96258 8.96258 -581.233 -8.96258 0 0 916467. 3579.95 0.23 0.39 0.16 -1 -1 0.23 0.156731 0.139761 601 476 361 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_21.v common 12.92 vpr 70.16 MiB 0.14 10972 -1 -1 1 0.23 -1 -1 35944 -1 -1 85 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71844 22 19 1859 1351 1 1093 132 16 16 256 mult_36 auto 32.1 MiB 3.48 6792 15542 2589 10760 2193 70.2 MiB 0.41 0.01 9.32007 -525.351 -9.32007 9.32007 0.61 0.00348662 0.00319064 0.180881 0.165392 52 13770 38 6.52434e+06 3.56377e+06 808720. 3159.06 5.06 1.13318 1.00524 25852 197779 -1 10144 26 8345 9522 1119762 274391 8.76698 8.76698 -629.803 -8.76698 0 0 1.00038e+06 3907.74 0.25 0.48 0.17 -1 -1 0.25 0.200326 0.179147 632 500 380 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_22.v common 12.79 vpr 70.51 MiB 0.09 11036 -1 -1 1 0.25 -1 -1 35780 -1 -1 90 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72200 22 19 1933 1408 1 1131 137 16 16 256 mult_36 auto 32.7 MiB 4.09 7153 18846 3425 12209 3212 70.5 MiB 0.49 0.01 9.31293 -505.408 -9.31293 9.31293 0.60 0.00360585 0.00328582 0.209128 0.190526 56 11937 28 6.52434e+06 3.63364e+06 849745. 3319.32 4.42 1.06006 0.938823 26364 208198 -1 10148 23 7351 8481 910874 235258 8.45968 8.45968 -707.138 -8.45968 0 0 1.04740e+06 4091.43 0.26 0.39 0.18 -1 -1 0.26 0.181491 0.161447 661 519 399 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_23.v common 16.10 vpr 71.06 MiB 0.18 11408 -1 -1 1 0.25 -1 -1 36016 -1 -1 94 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72768 22 19 2031 1472 1 1193 142 18 18 324 mult_36 auto 33.2 MiB 3.90 7470 21602 4449 14485 2668 71.1 MiB 0.53 0.01 9.36191 -552.695 -9.36191 9.36191 0.79 0.00376507 0.00343241 0.238367 0.217452 50 14673 42 8.04299e+06 4.08553e+06 1.03391e+06 3191.07 7.13 1.27319 1.12709 32744 246704 -1 11207 23 8954 10315 1227188 301096 8.80128 8.80128 -805.076 -8.80128 0 0 1.23838e+06 3822.15 0.31 0.48 0.22 -1 -1 0.31 0.19755 0.176261 693 544 418 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_24.v common 15.40 vpr 71.33 MiB 0.11 11492 -1 -1 1 0.23 -1 -1 36264 -1 -1 97 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73040 22 19 2105 1529 1 1232 145 18 18 324 mult_36 auto 33.3 MiB 4.14 7507 21425 4200 14405 2820 71.3 MiB 0.55 0.01 9.44155 -614.321 -9.44155 9.44155 0.78 0.0038934 0.00354525 0.238346 0.21681 50 14797 40 8.04299e+06 4.12745e+06 1.03391e+06 3191.07 6.30 1.24287 1.0989 32744 246704 -1 11508 23 9004 10353 1255675 301759 8.51938 8.51938 -832.526 -8.51938 0 0 1.23838e+06 3822.15 0.31 0.44 0.21 -1 -1 0.31 0.185596 0.165494 721 563 437 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_25.v common 14.99 vpr 71.78 MiB 0.08 11932 -1 -1 1 0.27 -1 -1 36564 -1 -1 101 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73500 22 19 2201 1591 1 1290 149 18 18 324 mult_36 auto 33.9 MiB 3.96 8738 27335 5810 17606 3919 71.8 MiB 0.75 0.01 9.29835 -606.15 -9.29835 9.29835 0.78 0.00474546 0.00429979 0.319794 0.290255 54 16607 42 8.04299e+06 4.18335e+06 1.08842e+06 3359.33 5.60 1.37437 1.21416 33712 268580 -1 12763 24 9307 10561 1197981 287506 8.67428 8.67428 -895.049 -8.67428 0 0 1.34436e+06 4149.26 0.34 0.51 0.24 -1 -1 0.34 0.215604 0.192549 751 586 456 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_26.v common 15.07 vpr 72.17 MiB 0.11 11824 -1 -1 1 0.27 -1 -1 37384 -1 -1 105 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73900 22 19 2275 1648 1 1330 153 18 18 324 mult_36 auto 34.3 MiB 4.64 8508 22239 4415 15566 2258 72.2 MiB 0.62 0.01 9.42265 -590.577 -9.42265 9.42265 0.80 0.00445864 0.00401596 0.257362 0.233603 58 14084 47 8.04299e+06 4.23924e+06 1.14310e+06 3528.09 5.07 1.33825 1.18192 34680 290288 -1 11882 25 8955 10040 1155840 295020 8.75428 8.75428 -771.843 -8.75428 0 0 1.43297e+06 4422.75 0.37 0.49 0.24 -1 -1 0.37 0.224963 0.200306 779 605 475 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_27.v common 15.95 vpr 72.68 MiB 0.12 12180 -1 -1 1 0.31 -1 -1 36636 -1 -1 111 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74420 22 19 2385 1724 1 1404 160 18 18 324 mult_36 auto 34.8 MiB 4.54 8706 29238 6495 17225 5518 72.7 MiB 0.73 0.01 9.5032 -657.318 -9.5032 9.5032 0.82 0.00494662 0.00454829 0.328609 0.29886 54 15872 37 8.04299e+06 4.7191e+06 1.08842e+06 3359.33 5.77 1.43293 1.26829 33712 268580 -1 12564 25 10940 12275 1423270 343940 8.66928 8.66928 -791.778 -8.66928 0 0 1.34436e+06 4149.26 0.34 0.56 0.23 -1 -1 0.34 0.235215 0.209343 817 642 494 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_28.v common 17.76 vpr 73.13 MiB 0.17 12176 -1 -1 1 0.35 -1 -1 36836 -1 -1 114 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74888 22 19 2459 1781 1 1443 163 18 18 324 mult_36 auto 35.5 MiB 5.17 9504 27753 5644 18640 3469 73.1 MiB 0.73 0.01 9.43607 -634.384 -9.43607 9.43607 0.76 0.00443496 0.00403627 0.311401 0.282893 56 17407 31 8.04299e+06 4.76102e+06 1.11497e+06 3441.27 6.82 1.4487 1.28367 34036 275796 -1 14124 23 11371 13051 1664037 404424 8.71328 8.71328 -982.566 -8.71328 0 0 1.37338e+06 4238.83 0.34 0.62 0.24 -1 -1 0.34 0.223686 0.19819 845 661 513 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_29.v common 21.18 vpr 73.47 MiB 0.18 12728 -1 -1 1 0.34 -1 -1 37124 -1 -1 118 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75236 22 19 2565 1853 1 1511 168 22 22 484 mult_36 auto 35.8 MiB 5.07 9474 28874 6138 19875 2861 73.5 MiB 0.84 0.01 9.50133 -723.607 -9.50133 9.50133 1.27 0.00561174 0.0050836 0.359574 0.328413 48 19694 45 1.30842e+07 5.21292e+06 1.52614e+06 3153.19 8.93 1.66619 1.47757 49190 371334 -1 15172 26 14085 16168 2028455 476792 9.32778 9.32778 -1106.44 -9.32778 0 0 1.85176e+06 3825.95 0.50 0.70 0.33 -1 -1 0.50 0.26154 0.232522 881 694 532 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_30.v common 23.43 vpr 73.83 MiB 0.18 12628 -1 -1 1 0.34 -1 -1 37408 -1 -1 123 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75604 22 19 2639 1910 1 1549 173 22 22 484 mult_36 auto 36.1 MiB 5.43 9440 36248 7919 24781 3548 73.8 MiB 0.99 0.01 9.36191 -670.158 -9.36191 9.36191 1.30 0.00494298 0.00448404 0.423993 0.384622 48 20360 50 1.30842e+07 5.28279e+06 1.52614e+06 3153.19 10.37 1.80964 1.60233 49190 371334 -1 15247 24 13469 15202 1910838 455736 8.95958 8.95958 -928.137 -8.95958 0 0 1.85176e+06 3825.95 0.50 0.72 0.32 -1 -1 0.50 0.254704 0.227272 910 713 551 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_31.v common 20.52 vpr 75.10 MiB 0.11 12956 -1 -1 1 0.35 -1 -1 37316 -1 -1 128 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76900 22 19 2744 1981 1 1618 178 22 22 484 mult_36 auto 37.0 MiB 5.38 9993 32678 6812 22677 3189 75.1 MiB 1.03 0.01 9.39202 -797.918 -9.39202 9.39202 1.34 0.0053927 0.00491095 0.427117 0.387263 52 20164 36 1.30842e+07 5.35266e+06 1.63434e+06 3376.74 7.65 1.82581 1.6196 50638 406276 -1 15115 23 10350 12253 1361270 325763 8.90628 8.90628 -1265.96 -8.90628 0 0 2.01763e+06 4168.66 0.54 0.66 0.34 -1 -1 0.54 0.277207 0.249324 946 745 570 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_32.v common 22.43 vpr 74.63 MiB 0.13 13120 -1 -1 1 0.36 -1 -1 36780 -1 -1 131 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76420 22 19 2818 2038 1 1657 181 22 22 484 mult_36 auto 37.1 MiB 6.28 10870 36462 7947 25089 3426 74.6 MiB 0.96 0.01 9.40709 -760.111 -9.40709 9.40709 1.21 0.00536095 0.00480148 0.393661 0.356475 54 20481 29 1.30842e+07 5.39458e+06 1.67518e+06 3461.11 8.52 1.67302 1.48349 51122 416746 -1 16006 25 13662 15590 1847779 424015 8.83758 8.83758 -1053.02 -8.83758 0 0 2.06816e+06 4273.05 0.59 0.75 0.35 -1 -1 0.59 0.284515 0.253659 974 764 589 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_33.v common 20.81 vpr 75.45 MiB 0.19 13676 -1 -1 1 0.29 -1 -1 37356 -1 -1 137 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77256 22 19 2923 2109 1 1726 188 22 22 484 mult_36 auto 37.9 MiB 5.35 10997 40538 8465 27874 4199 75.4 MiB 1.12 0.01 10.2864 -775.869 -10.2864 10.2864 1.27 0.00603775 0.00548455 0.463266 0.419405 52 22517 47 1.30842e+07 5.87443e+06 1.63434e+06 3376.74 8.03 1.96653 1.74365 50638 406276 -1 16574 24 12868 14850 1713334 417624 9.69202 9.69202 -1312.41 -9.69202 0 0 2.01763e+06 4168.66 0.52 0.60 0.36 -1 -1 0.52 0.259428 0.231175 1009 796 608 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_34.v common 23.72 vpr 75.49 MiB 0.15 13668 -1 -1 1 0.46 -1 -1 37768 -1 -1 140 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77304 22 19 2997 2166 1 1764 191 22 22 484 mult_36 auto 38.1 MiB 8.36 11197 34229 7090 23850 3289 75.5 MiB 0.93 0.01 10.179 -781.353 -10.179 10.179 1.24 0.0059064 0.00538226 0.383252 0.348114 54 21293 29 1.30842e+07 5.91636e+06 1.67518e+06 3461.11 7.73 1.7254 1.52965 51122 416746 -1 16721 23 13120 14891 1633684 395631 9.53142 9.53142 -1224.14 -9.53142 0 0 2.06816e+06 4273.05 0.54 0.66 0.38 -1 -1 0.54 0.27638 0.245962 1037 815 627 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_35.v common 23.83 vpr 76.11 MiB 0.25 14040 -1 -1 1 0.49 -1 -1 37616 -1 -1 145 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77940 22 19 3101 2236 1 1831 196 22 22 484 mult_36 auto 38.7 MiB 7.24 12065 39457 7984 27451 4022 76.1 MiB 1.09 0.02 10.526 -851.981 -10.526 10.526 1.24 0.00586591 0.00534859 0.436328 0.396044 56 22258 29 1.30842e+07 5.98623e+06 1.71605e+06 3545.56 8.54 1.85952 1.64959 51606 428054 -1 18193 24 12714 14593 1871341 439910 10.0876 10.0876 -1238.72 -10.0876 0 0 2.11301e+06 4365.72 0.61 0.73 0.36 -1 -1 0.61 0.297247 0.265421 1072 846 646 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_36.v common 24.33 vpr 76.50 MiB 0.20 14132 -1 -1 1 0.44 -1 -1 38020 -1 -1 148 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78332 22 19 3175 2293 1 1871 199 22 22 484 mult_36 auto 39.2 MiB 9.10 12207 40799 8234 28761 3804 76.5 MiB 1.07 0.02 10.1611 -829.474 -10.1611 10.1611 1.21 0.00612506 0.00560505 0.41581 0.376091 54 22885 31 1.30842e+07 6.02815e+06 1.67518e+06 3461.11 7.49 1.82689 1.61383 51122 416746 -1 17842 26 13429 15225 1699944 411787 9.66272 9.66272 -1103.79 -9.66272 0 0 2.06816e+06 4273.05 0.54 0.69 0.35 -1 -1 0.54 0.291022 0.25976 1100 865 665 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_37.v common 26.51 vpr 77.23 MiB 0.18 14556 -1 -1 1 0.43 -1 -1 37400 -1 -1 152 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79088 22 19 3280 2364 1 1938 204 24 24 576 mult_36 auto 39.9 MiB 8.11 12485 42204 8471 30168 3565 77.2 MiB 1.28 0.02 10.4948 -891.974 -10.4948 10.4948 1.64 0.00699807 0.00644165 0.491999 0.446277 54 24624 44 1.57908e+07 6.48005e+06 1.98675e+06 3449.22 9.32 2.1289 1.88566 60430 494267 -1 18748 25 15039 16700 2047326 478961 9.80372 9.80372 -1341.07 -9.80372 0 0 2.45377e+06 4260.01 0.69 0.79 0.41 -1 -1 0.69 0.320015 0.284418 1135 897 684 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_38.v common 33.75 vpr 77.77 MiB 0.22 14528 -1 -1 1 0.51 -1 -1 38012 -1 -1 157 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79640 22 19 3354 2421 1 1977 209 24 24 576 mult_36 auto 40.2 MiB 9.79 13772 42989 9121 30100 3768 77.8 MiB 1.25 0.02 10.1171 -857.01 -10.1171 10.1171 1.43 0.00791817 0.00722799 0.516006 0.465513 58 24942 46 1.57908e+07 6.54992e+06 2.08734e+06 3623.85 14.71 2.25275 1.99207 62154 534210 -1 19326 22 13894 15955 2071566 466040 9.47212 9.47212 -1334.31 -9.47212 0 0 2.61600e+06 4541.67 0.76 0.80 0.48 -1 -1 0.76 0.308207 0.275727 1164 916 703 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_39.v common 25.51 vpr 77.91 MiB 0.17 14792 -1 -1 1 0.46 -1 -1 38268 -1 -1 161 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79784 22 19 3457 2490 1 2044 213 24 24 576 mult_36 auto 40.5 MiB 8.89 13552 45933 9854 31922 4157 77.9 MiB 1.23 0.02 10.2548 -903.58 -10.2548 10.2548 1.43 0.0061585 0.00559162 0.50214 0.4543 56 23176 27 1.57908e+07 6.60581e+06 2.03561e+06 3534.04 7.69 2.02374 1.79471 61006 507707 -1 19371 24 12670 14651 1649493 401965 9.71472 9.71472 -1420.41 -9.71472 0 0 2.50747e+06 4353.24 0.70 0.73 0.46 -1 -1 0.70 0.328048 0.292553 1198 946 722 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_40.v common 29.98 vpr 78.39 MiB 0.21 14836 -1 -1 1 0.55 -1 -1 38816 -1 -1 164 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80272 22 19 3531 2547 1 2082 216 24 24 576 mult_36 auto 41.0 MiB 10.45 14377 40977 8198 29796 2983 78.4 MiB 1.20 0.04 10.1711 -960.277 -10.1711 10.1711 1.48 0.0143787 0.0127714 0.458028 0.414102 54 25953 34 1.57908e+07 6.64774e+06 1.98675e+06 3449.22 10.60 2.07487 1.83836 60430 494267 -1 20022 24 14385 16625 1937405 463879 9.81632 9.81632 -1428.6 -9.81632 0 0 2.45377e+06 4260.01 0.64 0.73 0.39 -1 -1 0.64 0.314374 0.279746 1226 965 741 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_41.v common 35.16 vpr 78.74 MiB 0.28 15280 -1 -1 1 0.49 -1 -1 38148 -1 -1 170 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80628 22 19 3634 2616 1 2147 223 24 24 576 mult_36 auto 41.5 MiB 9.41 15712 55655 12202 38909 4544 78.7 MiB 1.47 0.02 10.3763 -978.657 -10.3763 10.3763 1.47 0.00656435 0.00595435 0.56496 0.510207 58 28102 35 1.57908e+07 7.12758e+06 2.08734e+06 3623.85 16.17 2.31989 2.05554 62154 534210 -1 22155 26 15175 17368 2377028 526488 9.93862 9.93862 -1498.09 -9.93862 0 0 2.61600e+06 4541.67 0.75 0.89 0.44 -1 -1 0.75 0.371312 0.330875 1261 995 760 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_42.v common 36.65 vpr 79.30 MiB 0.17 15304 -1 -1 1 0.36 -1 -1 38260 -1 -1 173 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81208 22 19 3708 2673 1 2187 226 24 24 576 mult_36 auto 42.0 MiB 10.88 15421 43570 8598 29045 5927 79.3 MiB 1.18 0.02 10.3695 -1008.01 -10.3695 10.3695 1.53 0.00691599 0.00630311 0.506654 0.456311 58 26702 46 1.57908e+07 7.1695e+06 2.08734e+06 3623.85 16.72 2.38208 2.09631 62154 534210 -1 21054 23 15341 17740 2211258 504766 9.93232 9.93232 -1535.11 -9.93232 0 0 2.61600e+06 4541.67 0.71 0.81 0.45 -1 -1 0.71 0.329232 0.292614 1289 1014 779 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_43.v common 36.71 vpr 79.58 MiB 0.18 15700 -1 -1 1 0.52 -1 -1 39024 -1 -1 178 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81492 22 19 3810 2741 1 2253 231 24 24 576 mult_36 auto 42.4 MiB 10.00 15931 45543 9198 31101 5244 79.6 MiB 1.19 0.02 10.2488 -1034.23 -10.2488 10.2488 1.39 0.00703787 0.00638807 0.446452 0.40357 58 28790 31 1.57908e+07 7.23937e+06 2.08734e+06 3623.85 17.51 2.14457 1.89576 62154 534210 -1 22784 25 17469 20003 2871017 629000 9.78802 9.78802 -1425.18 -9.78802 0 0 2.61600e+06 4541.67 0.70 0.99 0.44 -1 -1 0.70 0.367709 0.327023 1323 1043 798 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_44.v common 31.84 vpr 79.89 MiB 0.19 15672 -1 -1 1 0.62 -1 -1 38428 -1 -1 181 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81804 22 19 3884 2798 1 2294 234 24 24 576 mult_36 auto 42.5 MiB 11.63 15026 47034 9339 32867 4828 79.9 MiB 1.26 0.02 10.6634 -975.806 -10.6634 10.6634 1.49 0.00718101 0.00652364 0.497842 0.448355 56 25505 43 1.57908e+07 7.28129e+06 2.03561e+06 3534.04 10.72 2.34961 2.07315 61006 507707 -1 21177 24 13604 15932 1830861 450606 10.1266 10.1266 -1497.53 -10.1266 0 0 2.50747e+06 4353.24 0.75 0.86 0.45 -1 -1 0.75 0.39966 0.357524 1351 1062 817 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_45.v common 34.45 vpr 80.38 MiB 0.20 15976 -1 -1 1 0.56 -1 -1 40620 -1 -1 186 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82304 22 19 3989 2869 1 2359 240 24 24 576 mult_36 auto 43.1 MiB 10.86 16504 49410 9364 35589 4457 80.4 MiB 1.34 0.02 10.4128 -1039.57 -10.4128 10.4128 1.47 0.00736411 0.00667934 0.524551 0.472825 58 28065 31 1.57908e+07 7.74716e+06 2.08734e+06 3623.85 14.02 2.31055 2.04279 62154 534210 -1 23178 24 14780 17083 2031719 479355 10.0216 10.0216 -1620.1 -10.0216 0 0 2.61600e+06 4541.67 0.76 0.85 0.46 -1 -1 0.76 0.380055 0.340905 1387 1094 836 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_46.v common 34.08 vpr 80.50 MiB 0.16 16112 -1 -1 1 0.51 -1 -1 40524 -1 -1 189 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82428 22 19 4063 2926 1 2398 243 24 24 576 mult_36 auto 43.3 MiB 12.69 15456 49513 9815 35157 4541 80.5 MiB 1.38 0.02 10.3988 -1055.79 -10.3988 10.3988 1.48 0.00738406 0.00670027 0.527894 0.477333 54 30376 49 1.57908e+07 7.78909e+06 1.98675e+06 3449.22 11.93 2.5705 2.26971 60430 494267 -1 22258 25 14010 16246 1792980 437746 10.0703 10.0703 -1496.13 -10.0703 0 0 2.45377e+06 4260.01 0.71 0.83 0.42 -1 -1 0.71 0.407104 0.363229 1414 1113 855 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_47.v common 31.04 vpr 80.99 MiB 0.29 16436 -1 -1 1 0.50 -1 -1 40912 -1 -1 194 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82936 22 19 4167 2996 1 2466 248 24 24 576 mult_36 auto 43.9 MiB 11.29 16457 49262 9642 34224 5396 81.0 MiB 1.33 0.02 10.2964 -1023.88 -10.2964 10.2964 1.45 0.00767253 0.00696798 0.528201 0.475734 62 27413 41 1.57908e+07 7.85896e+06 2.19658e+06 3813.51 10.15 2.47844 2.18562 63306 560109 -1 22126 24 15880 18201 1967159 487181 9.26852 9.26852 -1515.46 -9.26852 0 0 2.72095e+06 4723.87 0.80 0.85 0.42 -1 -1 0.80 0.382417 0.341094 1449 1144 874 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_48.v common 37.43 vpr 81.34 MiB 0.29 16504 -1 -1 1 0.60 -1 -1 40704 -1 -1 197 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83288 22 19 4241 3053 1 2505 251 24 24 576 mult_36 auto 44.2 MiB 13.09 16971 46920 8877 33152 4891 81.3 MiB 1.26 0.02 10.3426 -1105.68 -10.3426 10.3426 1.41 0.00707168 0.00637172 0.454538 0.408531 58 28357 39 1.57908e+07 7.90088e+06 2.08734e+06 3623.85 14.75 2.52654 2.23221 62154 534210 -1 23109 25 14819 17069 1985321 468184 9.32312 9.32312 -1714.79 -9.32312 0 0 2.61600e+06 4541.67 0.71 0.84 0.45 -1 -1 0.71 0.394003 0.351585 1477 1163 893 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_49.v common 31.77 vpr 81.89 MiB 0.24 16968 -1 -1 1 0.63 -1 -1 41060 -1 -1 204 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83852 22 19 4346 3124 1 2572 259 24 24 576 mult_36 auto 44.8 MiB 12.23 17818 58009 12065 38423 7521 81.9 MiB 1.51 0.02 10.4215 -1066.19 -10.4215 10.4215 1.46 0.0080745 0.00733701 0.604224 0.544123 64 27669 29 1.57908e+07 8.3947e+06 2.26035e+06 3924.22 9.46 2.45546 2.1712 64454 586630 -1 23761 23 15470 17571 1974721 480976 10.0026 10.0026 -1667.25 -10.0026 0 0 2.84938e+06 4946.85 0.77 0.83 0.50 -1 -1 0.77 0.375436 0.332674 1512 1195 912 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_50.v common 38.16 vpr 82.15 MiB 0.29 17100 -1 -1 1 0.66 -1 -1 41020 -1 -1 206 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84120 22 19 4420 3181 1 2611 261 24 24 576 mult_36 auto 44.8 MiB 13.82 18113 59404 12055 41197 6152 82.1 MiB 1.17 0.02 10.7633 -1102.87 -10.7633 10.7633 1.43 0.00500541 0.00457353 0.399298 0.359786 58 30827 50 1.57908e+07 8.42264e+06 2.08734e+06 3623.85 14.62 2.65311 2.33328 62154 534210 -1 25105 24 17430 20166 2474881 582739 9.91802 9.91802 -1434.59 -9.91802 0 0 2.61600e+06 4541.67 0.83 0.99 0.44 -1 -1 0.83 0.413443 0.368887 1541 1214 931 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_51.v common 35.76 vpr 82.72 MiB 0.36 17424 -1 -1 1 0.69 -1 -1 41064 -1 -1 211 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84708 22 19 4524 3251 1 2681 266 24 24 576 mult_36 auto 45.6 MiB 12.68 17903 58406 11821 39335 7250 82.7 MiB 1.54 0.02 10.1189 -1113.35 -10.1189 10.1189 1.47 0.00840097 0.00762828 0.598606 0.537073 66 28650 46 1.57908e+07 8.49251e+06 2.33135e+06 4047.49 12.41 2.79535 2.46899 65030 601923 -1 23838 22 15662 18095 2053216 483046 9.32782 9.32782 -1570.64 -9.32782 0 0 2.91907e+06 5067.82 0.88 0.90 0.53 -1 -1 0.88 0.406862 0.363976 1576 1245 950 19 0 0 -k6_frac_ripple_N8_22nm.xml fir_nopipe_52.v common 38.64 vpr 83.15 MiB 0.31 17420 -1 -1 1 0.66 -1 -1 39328 -1 -1 215 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85148 22 19 4598 3308 1 2718 270 24 24 576 mult_36 auto 46.1 MiB 14.46 18499 60438 12754 41701 5983 83.2 MiB 1.60 0.02 10.3652 -1274.39 -10.3652 10.3652 1.47 0.00856926 0.00761627 0.633263 0.568286 60 30704 47 1.57908e+07 8.54841e+06 2.13333e+06 3703.69 13.56 2.91685 2.57247 62730 548095 -1 24767 24 17273 19354 2179586 520270 9.64242 9.64242 -1770.68 -9.64242 0 0 2.67122e+06 4637.53 0.72 1.11 0.45 -1 -1 0.72 0.496032 0.445053 1605 1264 969 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_14.v common 7.87 vpr 70.55 MiB 0.07 10524 -1 -1 1 0.24 -1 -1 35484 -1 -1 81 22 0 4 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72248 22 19 1974 1653 1 1020 126 16 16 256 mult_36 auto 32.4 MiB 0.34 5566 18081 3979 11568 2534 70.6 MiB 0.43 0.01 4.18656 -1184 -4.18656 4.18656 0.67 0.00356539 0.00320963 0.220467 0.198858 50 12015 26 6.54114e+06 2.7256e+06 787708. 3076.99 3.45 1.01169 0.882887 25344 186282 -1 9252 17 4107 4636 547995 139041 4.41926 4.41926 -1238.23 -4.41926 0 0 943753. 3686.54 0.24 0.26 0.16 -1 -1 0.24 0.141423 0.125975 605 708 247 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_15.v common 8.30 vpr 71.43 MiB 0.11 10904 -1 -1 1 0.26 -1 -1 36900 -1 -1 88 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73144 22 19 2144 1789 1 1120 134 16 16 256 mult_36 auto 33.2 MiB 0.36 6319 20654 4053 14159 2442 71.4 MiB 0.51 0.01 4.29396 -1347.48 -4.29396 4.29396 0.58 0.00372143 0.00335451 0.253783 0.22888 50 12838 32 6.54114e+06 3.22025e+06 787708. 3076.99 3.77 1.17955 1.03156 25344 186282 -1 10194 15 4381 5331 543271 135603 4.41926 4.41926 -1427.22 -4.41926 0 0 943753. 3686.54 0.23 0.24 0.17 -1 -1 0.23 0.128701 0.114324 654 769 266 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_16.v common 8.39 vpr 71.61 MiB 0.10 10948 -1 -1 1 0.28 -1 -1 36840 -1 -1 91 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73332 22 19 2218 1846 1 1162 137 16 16 256 mult_36 auto 33.5 MiB 0.37 6746 20611 4243 13930 2438 71.6 MiB 0.50 0.01 4.27196 -1343.11 -4.27196 4.27196 0.59 0.0032663 0.00291636 0.239428 0.215294 56 12205 20 6.54114e+06 3.26253e+06 849745. 3319.32 3.49 1.14162 1.001 26364 208198 -1 10886 18 4466 5238 632605 153751 4.54456 4.54456 -1414.59 -4.54456 0 0 1.04740e+06 4091.43 0.29 0.30 0.19 -1 -1 0.29 0.15905 0.140984 683 788 285 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_17.v common 9.73 vpr 72.91 MiB 0.08 11792 -1 -1 1 0.32 -1 -1 36508 -1 -1 103 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74660 22 19 2536 2130 1 1275 149 16 16 256 mult_36 auto 34.6 MiB 0.47 7309 25759 5410 16752 3597 72.9 MiB 0.59 0.01 4.22437 -1538.56 -4.22437 4.22437 0.57 0.00421061 0.00376811 0.292735 0.261633 54 15370 50 6.54114e+06 3.43166e+06 829453. 3240.05 4.63 1.49103 1.29857 26108 202796 -1 11251 20 4866 5650 591941 150326 4.41926 4.41926 -1554.25 -4.41926 0 0 1.02522e+06 4004.78 0.27 0.32 0.18 -1 -1 0.27 0.191262 0.168408 770 924 304 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_18.v common 10.30 vpr 73.96 MiB 0.11 11880 -1 -1 1 0.29 -1 -1 37264 -1 -1 107 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75732 22 19 2610 2187 1 1316 153 16 16 256 mult_36 auto 35.4 MiB 0.45 7489 21012 3652 14420 2940 74.0 MiB 0.52 0.01 4.05741 -1594.66 -4.05741 4.05741 0.59 0.00442383 0.00397394 0.261885 0.235686 58 14224 36 6.54114e+06 3.48803e+06 871168. 3403.00 5.23 1.41298 1.23272 26872 219187 -1 11540 19 4929 5820 646042 159250 4.41926 4.41926 -1618.92 -4.41926 0 0 1.09288e+06 4269.05 0.26 0.39 0.19 -1 -1 0.26 0.204211 0.18126 798 943 323 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_19.v common 10.55 vpr 74.75 MiB 0.14 12128 -1 -1 1 0.25 -1 -1 36804 -1 -1 113 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76548 22 19 2778 2321 1 1412 160 16 16 256 mult_36 auto 36.3 MiB 0.47 8590 28804 5795 19118 3891 74.8 MiB 0.72 0.01 4.16866 -1754.96 -4.16866 4.16866 0.60 0.00457112 0.00408692 0.351306 0.314519 60 14551 41 6.54114e+06 3.96859e+06 890343. 3477.90 5.43 1.68264 1.47097 27128 224764 -1 12108 19 5195 6165 612347 148881 4.29396 4.29396 -1780.33 -4.29396 0 0 1.11577e+06 4358.47 0.27 0.35 0.17 -1 -1 0.27 0.205204 0.181382 846 1002 342 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_20.v common 11.37 vpr 74.61 MiB 0.10 12396 -1 -1 1 0.31 -1 -1 37000 -1 -1 118 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76400 22 19 2852 2378 1 1455 165 16 16 256 mult_36 auto 36.7 MiB 0.47 8719 21409 3812 14750 2847 74.6 MiB 0.53 0.01 4.23032 -1751.68 -4.23032 4.23032 0.57 0.00497053 0.00445561 0.253389 0.227057 58 15488 34 6.54114e+06 4.03906e+06 871168. 3403.00 6.21 1.50021 1.30756 26872 219187 -1 12591 16 5346 6342 671911 168571 4.29396 4.29396 -1828.31 -4.29396 0 0 1.09288e+06 4269.05 0.30 0.33 0.19 -1 -1 0.30 0.183129 0.162478 875 1021 361 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_21.v common 11.40 vpr 75.77 MiB 0.14 12892 -1 -1 1 0.38 -1 -1 37876 -1 -1 122 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77592 22 19 3057 2549 1 1560 169 16 16 256 mult_36 auto 37.8 MiB 0.52 9662 28189 5474 18473 4242 75.8 MiB 0.72 0.01 4.27196 -1924.27 -4.27196 4.27196 0.56 0.00527906 0.00471781 0.33261 0.296421 60 16376 39 6.54114e+06 4.09544e+06 890343. 3477.90 5.78 1.68732 1.4696 27128 224764 -1 13229 17 5417 6865 651246 161214 4.52256 4.52256 -1946.8 -4.52256 0 0 1.11577e+06 4358.47 0.29 0.37 0.20 -1 -1 0.29 0.214974 0.191547 932 1099 380 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_22.v common 11.22 vpr 75.77 MiB 0.15 13000 -1 -1 1 0.36 -1 -1 38084 -1 -1 125 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77584 22 19 3131 2606 1 1600 172 16 16 256 mult_36 auto 37.8 MiB 0.50 9746 26940 4762 17615 4563 75.8 MiB 0.74 0.01 4.1051 -1925.37 -4.1051 4.1051 0.60 0.00611816 0.00544144 0.356751 0.319711 64 17025 40 6.54114e+06 4.13772e+06 943753. 3686.54 5.32 1.70879 1.49331 27892 240595 -1 13419 19 5604 6604 696533 174227 4.41926 4.41926 -1888.34 -4.41926 0 0 1.19033e+06 4649.74 0.30 0.40 0.23 -1 -1 0.30 0.237528 0.209958 961 1118 399 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_23.v common 16.07 vpr 76.61 MiB 0.17 13432 -1 -1 1 0.37 -1 -1 37772 -1 -1 133 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78444 22 19 3301 2742 1 1700 181 18 18 324 mult_36 auto 38.7 MiB 0.59 10073 29819 5770 20700 3349 76.6 MiB 0.78 0.01 4.29396 -2072.96 -4.29396 4.29396 0.94 0.00542746 0.00490157 0.375376 0.33652 58 20039 44 8.06603e+06 4.64648e+06 1.14310e+06 3528.09 9.23 1.91764 1.67586 34680 290288 -1 15296 19 6397 7351 896472 206109 4.52256 4.52256 -2107.99 -4.52256 0 0 1.43297e+06 4422.75 0.40 0.44 0.25 -1 -1 0.40 0.240683 0.213451 1012 1179 418 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_24.v common 14.61 vpr 77.01 MiB 0.16 13444 -1 -1 1 0.37 -1 -1 38100 -1 -1 137 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78856 22 19 3375 2799 1 1744 185 18 18 324 mult_36 auto 39.0 MiB 0.53 10242 28589 5033 20765 2791 77.0 MiB 0.77 0.01 4.16866 -2095.84 -4.16866 4.16866 0.89 0.00584888 0.00521185 0.358826 0.320166 56 19239 34 8.06603e+06 4.70285e+06 1.11497e+06 3441.27 8.07 1.89179 1.65394 34036 275796 -1 15430 18 6668 8155 902923 218175 4.66986 4.66986 -2283.29 -4.66986 0 0 1.37338e+06 4238.83 0.34 0.45 0.23 -1 -1 0.34 0.243287 0.216124 1041 1198 437 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_25.v common 12.96 vpr 77.87 MiB 0.14 13944 -1 -1 1 0.45 -1 -1 38164 -1 -1 146 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79740 22 19 3615 3005 1 1848 194 18 18 324 mult_36 auto 39.9 MiB 0.63 11381 30488 5169 21849 3470 77.9 MiB 0.84 0.01 4.29396 -2317.79 -4.29396 4.29396 0.96 0.00648239 0.00577959 0.389275 0.347872 60 19735 24 8.06603e+06 4.8297e+06 1.16833e+06 3605.96 5.76 1.97602 1.73231 35004 297736 -1 15899 18 6600 7758 807688 195562 4.41926 4.41926 -2334.44 -4.41926 0 0 1.46313e+06 4515.82 0.41 0.46 0.27 -1 -1 0.41 0.266483 0.237429 1107 1293 456 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_26.v common 15.61 vpr 78.54 MiB 0.13 14192 -1 -1 1 0.42 -1 -1 38520 -1 -1 148 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80428 22 19 3689 3062 1 1888 196 18 18 324 mult_36 auto 40.5 MiB 0.63 11433 29784 5199 21859 2726 78.5 MiB 0.82 0.02 4.24437 -2303.41 -4.24437 4.24437 0.85 0.00711705 0.00628036 0.378532 0.339296 58 20982 37 8.06603e+06 4.85789e+06 1.14310e+06 3528.09 8.60 2.11816 1.84746 34680 290288 -1 16695 16 7063 8326 925400 221942 4.41926 4.41926 -2385.92 -4.41926 0 0 1.43297e+06 4422.75 0.36 0.47 0.24 -1 -1 0.36 0.260815 0.235816 1135 1312 475 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_27.v common 14.19 vpr 79.47 MiB 0.19 14444 -1 -1 1 0.48 -1 -1 38456 -1 -1 156 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81380 22 19 3871 3210 1 2002 205 18 18 324 mult_36 auto 41.4 MiB 0.56 12765 36445 6420 26320 3705 79.5 MiB 0.96 0.02 4.54456 -2408.49 -4.54456 4.54456 0.82 0.00685927 0.00612775 0.437842 0.392218 64 23264 38 8.06603e+06 5.36665e+06 1.23838e+06 3822.15 6.95 2.19503 1.91755 35972 318676 -1 18200 18 7220 8450 972098 233171 4.52256 4.52256 -2487.12 -4.52256 0 0 1.56068e+06 4816.91 0.48 0.50 0.28 -1 -1 0.48 0.289327 0.259022 1191 1385 494 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_28.v common 14.33 vpr 79.86 MiB 0.11 14480 -1 -1 1 0.47 -1 -1 38392 -1 -1 160 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81780 22 19 3945 3267 1 2045 209 18 18 324 mult_36 auto 41.9 MiB 0.70 12597 35549 6371 25304 3874 79.9 MiB 0.91 0.02 4.26697 -2505.98 -4.26697 4.26697 0.79 0.00592329 0.00528273 0.412317 0.367578 68 21534 29 8.06603e+06 5.42302e+06 1.31159e+06 4048.11 7.05 2.09847 1.8353 36620 334356 -1 17403 19 7212 8305 866718 201384 4.29396 4.29396 -2504.3 -4.29396 0 0 1.63345e+06 5041.52 0.41 0.50 0.28 -1 -1 0.41 0.292126 0.259432 1219 1404 513 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_29.v common 17.90 vpr 80.95 MiB 0.17 14996 -1 -1 1 0.48 -1 -1 39736 -1 -1 170 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82888 22 19 4159 3447 1 2159 220 22 22 484 mult_36 auto 42.9 MiB 0.66 13941 43978 8874 31271 3833 80.9 MiB 1.17 0.02 4.35022 -2631.97 -4.35022 4.35022 1.25 0.00774696 0.00697861 0.556098 0.499879 58 24926 40 1.31202e+07 5.95997e+06 1.75961e+06 3635.55 9.01 2.41905 2.11286 52570 450426 -1 20690 17 8007 9443 1068841 244740 4.54456 4.54456 -2664.53 -4.54456 0 0 2.20457e+06 4554.90 0.59 0.56 0.38 -1 -1 0.59 0.298787 0.265732 1283 1491 532 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_30.v common 17.61 vpr 81.04 MiB 0.31 15108 -1 -1 1 0.50 -1 -1 40796 -1 -1 173 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82984 22 19 4233 3504 1 2198 223 22 22 484 mult_36 auto 43.1 MiB 0.70 14275 43487 8406 30788 4293 81.0 MiB 1.13 0.02 4.29396 -2676.94 -4.29396 4.29396 1.20 0.00692972 0.00618355 0.508408 0.45329 62 26940 39 1.31202e+07 6.00225e+06 1.85176e+06 3825.95 8.47 2.36766 2.06397 53538 472186 -1 20137 19 7963 9293 978277 226339 4.39726 4.39726 -2703.02 -4.39726 0 0 2.29262e+06 4736.82 0.66 0.56 0.41 -1 -1 0.66 0.316801 0.28135 1311 1510 551 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_31.v common 20.11 vpr 82.97 MiB 0.24 15504 -1 -1 1 0.53 -1 -1 41024 -1 -1 179 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84960 22 19 4410 3647 1 2305 229 22 22 484 mult_36 auto 44.0 MiB 0.73 14328 48529 9744 34610 4175 83.0 MiB 1.21 0.02 4.31186 -2776.62 -4.31186 4.31186 1.19 0.00763571 0.00681491 0.544669 0.484896 60 26322 48 1.31202e+07 6.08682e+06 1.79840e+06 3715.71 10.61 2.63139 2.29142 53054 462096 -1 20969 18 8442 9887 1082581 257139 4.39726 4.39726 -2815.55 -4.39726 0 0 2.25108e+06 4650.99 0.71 0.59 0.38 -1 -1 0.71 0.326546 0.291041 1363 1578 570 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_32.v common 15.71 vpr 82.19 MiB 0.19 15580 -1 -1 1 0.53 -1 -1 40672 -1 -1 183 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84164 22 19 4484 3704 1 2348 233 22 22 484 mult_36 auto 44.2 MiB 0.73 14525 45341 8355 32699 4287 82.2 MiB 1.16 0.02 4.41926 -2881.16 -4.41926 4.41926 1.21 0.00766067 0.00686394 0.513788 0.459417 60 25283 24 1.31202e+07 6.14319e+06 1.79840e+06 3715.71 6.97 2.2262 1.94485 53054 462096 -1 21198 18 8307 9417 1043367 244738 4.41926 4.41926 -2865.53 -4.41926 0 0 2.25108e+06 4650.99 0.61 0.56 0.32 -1 -1 0.61 0.315484 0.280564 1393 1597 589 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_33.v common 18.91 vpr 84.66 MiB 0.22 16620 -1 -1 1 0.59 -1 -1 41660 -1 -1 196 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86688 22 19 4843 4029 1 2463 247 22 22 484 mult_36 auto 46.0 MiB 0.78 15998 49783 9671 35687 4425 84.7 MiB 1.28 0.02 4.54456 -3078.09 -4.54456 4.54456 1.19 0.00747416 0.00663489 0.560167 0.499174 62 30039 29 1.31202e+07 6.72242e+06 1.85176e+06 3825.95 9.26 2.48747 2.16446 53538 472186 -1 22471 18 8965 10546 1092220 257195 4.41926 4.41926 -3312.48 -4.41926 0 0 2.29262e+06 4736.82 0.59 0.58 0.41 -1 -1 0.59 0.352441 0.314917 1490 1756 608 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_34.v common 19.55 vpr 84.04 MiB 0.25 16760 -1 -1 1 0.57 -1 -1 41600 -1 -1 199 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86060 22 19 4917 4086 1 2505 250 22 22 484 mult_36 auto 46.4 MiB 0.77 15240 53766 10631 37846 5289 84.0 MiB 1.33 0.02 4.29396 -3047.21 -4.29396 4.29396 1.18 0.00808591 0.00721489 0.588263 0.523476 60 28229 35 1.31202e+07 6.7647e+06 1.79840e+06 3715.71 9.97 2.63886 2.29656 53054 462096 -1 22321 17 8852 10511 1134513 265850 4.52256 4.52256 -3384.85 -4.52256 0 0 2.25108e+06 4650.99 0.63 0.60 0.40 -1 -1 0.63 0.335676 0.2989 1519 1775 627 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_35.v common 23.64 vpr 85.00 MiB 0.24 17068 -1 -1 1 0.68 -1 -1 41824 -1 -1 207 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87040 22 19 5093 4228 1 2607 258 22 22 484 mult_36 auto 47.3 MiB 0.79 16561 53623 9690 39001 4932 85.0 MiB 1.34 0.02 4.27196 -3266.59 -4.27196 4.27196 1.24 0.00830998 0.0075757 0.581879 0.518075 60 31544 47 1.31202e+07 6.87745e+06 1.79840e+06 3715.71 13.58 3.07471 2.68119 53054 462096 -1 24567 20 9974 11801 1384303 319840 4.64786 4.64786 -3518.09 -4.64786 0 0 2.25108e+06 4650.99 0.64 0.77 0.37 -1 -1 0.64 0.428809 0.381439 1572 1842 646 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_36.v common 17.81 vpr 85.26 MiB 0.14 17200 -1 -1 1 0.65 -1 -1 41692 -1 -1 209 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 87308 22 19 5167 4285 1 2655 260 22 22 484 mult_36 auto 47.4 MiB 0.83 17463 54974 10444 39030 5500 85.3 MiB 1.42 0.02 4.35562 -3241.22 -4.35562 4.35562 1.19 0.00860278 0.00767459 0.617579 0.546968 66 29932 33 1.31202e+07 6.90564e+06 1.96511e+06 4060.15 7.92 2.75677 2.40228 54986 507526 -1 24310 15 9330 10872 1221412 282633 4.41926 4.41926 -3341.91 -4.41926 0 0 2.45963e+06 5081.88 0.65 0.58 0.40 -1 -1 0.65 0.312635 0.278695 1600 1861 665 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_37.v common 27.73 vpr 87.54 MiB 0.26 17768 -1 -1 1 0.54 -1 -1 40916 -1 -1 218 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89640 22 19 5380 4464 1 2756 270 24 24 576 mult_36 auto 48.8 MiB 0.86 18706 56950 10603 41531 4816 87.5 MiB 1.41 0.02 4.35562 -3473.4 -4.35562 4.35562 1.50 0.00838022 0.00746015 0.610354 0.542795 60 36033 48 1.58331e+07 7.42849e+06 2.13333e+06 3703.69 16.72 3.22557 2.81696 62730 548095 -1 27276 19 10671 12691 1595594 352262 4.54456 4.54456 -3667.6 -4.54456 0 0 2.67122e+06 4637.53 0.72 0.73 0.46 -1 -1 0.72 0.392459 0.34775 1662 1947 684 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_38.v common 22.21 vpr 86.79 MiB 0.26 17700 -1 -1 1 0.70 -1 -1 42288 -1 -1 220 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88868 22 19 5454 4521 1 2804 272 24 24 576 mult_36 auto 49.1 MiB 0.86 18631 58418 11427 42785 4206 86.8 MiB 1.49 0.02 4.29396 -3507.9 -4.29396 4.29396 1.45 0.00871041 0.00776012 0.630769 0.558119 62 35211 42 1.58331e+07 7.45668e+06 2.19658e+06 3813.51 11.05 3.14164 2.73658 63306 560109 -1 26641 17 10663 12453 1388485 323603 4.39726 4.39726 -3646.05 -4.39726 0 0 2.72095e+06 4723.87 0.74 0.69 0.45 -1 -1 0.74 0.371924 0.331025 1690 1966 703 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_39.v common 25.05 vpr 87.38 MiB 0.29 18144 -1 -1 1 0.73 -1 -1 40268 -1 -1 228 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89472 22 19 5629 4662 1 2910 280 24 24 576 mult_36 auto 49.9 MiB 0.89 20090 64330 13333 46393 4604 87.4 MiB 1.77 0.02 4.55861 -3641.68 -4.55861 4.55861 1.58 0.0105706 0.00923672 0.76296 0.67492 66 38359 44 1.58331e+07 7.56943e+06 2.33135e+06 4047.49 13.56 3.38858 2.95709 65030 601923 -1 28690 16 10858 13238 1585011 358083 4.54456 4.54456 -3858.1 -4.54456 0 0 2.91907e+06 5067.82 0.82 0.68 0.42 -1 -1 0.82 0.309942 0.278263 1742 2032 722 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_40.v common 26.03 vpr 88.15 MiB 0.16 18344 -1 -1 1 0.75 -1 -1 42292 -1 -1 232 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 90268 22 19 5703 4719 1 2952 284 24 24 576 mult_36 auto 50.3 MiB 0.97 18947 59996 11849 42843 5304 87.7 MiB 1.58 0.02 4.34967 -3655.08 -4.34967 4.34967 1.51 0.0108257 0.00976529 0.659967 0.583337 60 35879 41 1.58331e+07 7.62581e+06 2.13333e+06 3703.69 14.89 3.29162 2.87116 62730 548095 -1 27199 19 10579 12398 1314935 306848 4.41926 4.41926 -3778.74 -4.41926 0 0 2.67122e+06 4637.53 0.73 0.71 0.40 -1 -1 0.73 0.40986 0.363742 1771 2051 741 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_41.v common 25.49 vpr 88.72 MiB 0.22 18828 -1 -1 1 0.82 -1 -1 41672 -1 -1 240 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 90852 22 19 5950 4932 1 3067 293 24 24 576 mult_36 auto 51.2 MiB 1.04 20012 62501 12151 44656 5694 88.7 MiB 1.61 0.02 4.29396 -3822.03 -4.29396 4.29396 1.44 0.00959955 0.0085489 0.680194 0.600453 64 35939 46 1.58331e+07 8.13456e+06 2.26035e+06 3924.22 13.46 3.34983 2.90225 64454 586630 -1 28402 20 10993 12737 1517197 352014 4.41926 4.41926 -3929.74 -4.41926 0 0 2.84938e+06 4946.85 0.77 0.79 0.47 -1 -1 0.77 0.446001 0.395992 1841 2153 760 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_42.v common 22.35 vpr 92.71 MiB 0.29 18948 -1 -1 1 0.79 -1 -1 42732 -1 -1 242 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94940 22 19 6024 4989 1 3108 295 24 24 576 mult_36 auto 51.8 MiB 1.03 19910 60136 10755 44360 5021 89.2 MiB 1.61 0.02 4.29396 -3823.01 -4.29396 4.29396 1.49 0.00997355 0.00890021 0.669998 0.595416 68 34391 30 1.58331e+07 8.16275e+06 2.39371e+06 4155.74 10.26 3.27279 2.85609 65606 615345 -1 27865 17 10555 12711 1355075 308860 4.39726 4.39726 -4100.32 -4.39726 0 0 2.98162e+06 5176.42 0.81 0.68 0.52 -1 -1 0.81 0.389065 0.345358 1869 2172 779 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_43.v common 28.27 vpr 90.55 MiB 0.30 19252 -1 -1 1 0.89 -1 -1 43280 -1 -1 250 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 92720 22 19 6198 5129 1 3209 303 24 24 576 mult_36 auto 52.8 MiB 1.03 22784 60306 10847 44895 4564 90.0 MiB 1.63 0.03 4.66986 -4092.44 -4.66986 4.66986 1.56 0.010458 0.00933727 0.683433 0.609507 68 38832 41 1.58331e+07 8.2755e+06 2.39371e+06 4155.74 15.82 3.42817 2.98344 65606 615345 -1 31135 17 11588 13651 1552306 353970 4.54456 4.54456 -4162.55 -4.54456 0 0 2.98162e+06 5176.42 0.80 0.76 0.49 -1 -1 0.80 0.414118 0.368413 1921 2237 798 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_44.v common 24.51 vpr 90.27 MiB 0.24 19576 -1 -1 1 0.89 -1 -1 43548 -1 -1 253 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 92432 22 19 6272 5186 1 3255 306 24 24 576 mult_36 auto 52.8 MiB 1.02 21212 62106 11016 45638 5452 90.3 MiB 1.89 0.03 4.29396 -3963.31 -4.29396 4.29396 1.50 0.0118959 0.0107204 0.811675 0.728958 66 38086 47 1.58331e+07 8.31778e+06 2.33135e+06 4047.49 12.08 3.96345 3.47157 65030 601923 -1 29668 16 11714 13642 1536421 356784 4.52256 4.52256 -4103.45 -4.52256 0 0 2.91907e+06 5067.82 0.82 0.73 0.50 -1 -1 0.82 0.396822 0.353156 1949 2256 817 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_45.v common 23.95 vpr 93.04 MiB 0.29 19992 -1 -1 1 0.99 -1 -1 43872 -1 -1 262 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 95268 22 19 6485 5365 1 3364 316 24 24 576 mult_36 auto 53.9 MiB 1.06 21665 63741 11956 46622 5163 91.4 MiB 1.69 0.03 4.41926 -4168.43 -4.41926 4.41926 1.43 0.0109101 0.00976255 0.702499 0.622845 64 37095 22 1.58331e+07 8.84063e+06 2.26035e+06 3924.22 11.56 3.25556 2.83432 64454 586630 -1 31001 18 12007 14131 1617183 372391 4.54456 4.54456 -4244.66 -4.54456 0 0 2.84938e+06 4946.85 0.84 0.83 0.47 -1 -1 0.84 0.455739 0.405333 2011 2342 836 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_46.v common 28.82 vpr 94.72 MiB 0.17 20176 -1 -1 1 0.95 -1 -1 44120 -1 -1 266 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 96992 22 19 6559 5422 1 3406 320 24 24 576 mult_36 auto 54.3 MiB 1.11 22395 70336 13410 49504 7422 91.7 MiB 1.88 0.03 4.29396 -4167.58 -4.29396 4.29396 1.55 0.0104906 0.00933311 0.751473 0.664137 68 38012 39 1.58331e+07 8.897e+06 2.39371e+06 4155.74 15.77 3.61694 3.13945 65606 615345 -1 30883 18 11581 13686 1504829 345509 4.41926 4.41926 -4245.28 -4.41926 0 0 2.98162e+06 5176.42 0.78 0.81 0.53 -1 -1 0.78 0.463567 0.412278 2040 2361 855 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_47.v common 24.67 vpr 92.69 MiB 0.29 20348 -1 -1 1 0.94 -1 -1 44564 -1 -1 273 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94916 22 19 6735 5564 1 3513 327 24 24 576 mult_36 auto 55.2 MiB 1.19 22461 75769 14339 54266 7164 92.7 MiB 2.10 0.03 4.3557 -4269.53 -4.3557 4.3557 1.57 0.0129475 0.0117307 0.885512 0.791812 66 37826 29 1.58331e+07 8.99566e+06 2.33135e+06 4047.49 11.51 3.9521 3.47188 65030 601923 -1 31148 17 12152 14476 1574318 372450 4.41926 4.41926 -4319 -4.41926 0 0 2.91907e+06 5067.82 0.81 0.80 0.48 -1 -1 0.81 0.443075 0.393385 2092 2428 874 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_48.v common 27.91 vpr 97.22 MiB 0.31 20424 -1 -1 1 1.06 -1 -1 44100 -1 -1 276 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 99552 22 19 6809 5621 1 3556 330 24 24 576 mult_36 auto 55.5 MiB 1.24 24443 75504 14693 54581 6230 92.9 MiB 2.12 0.03 4.54456 -4333.82 -4.54456 4.54456 1.61 0.0130596 0.0117811 0.89344 0.798428 68 41304 32 1.58331e+07 9.03794e+06 2.39371e+06 4155.74 14.16 4.00794 3.5132 65606 615345 -1 32992 16 12193 14996 1577116 364367 4.66986 4.66986 -4785.3 -4.66986 0 0 2.98162e+06 5176.42 0.83 0.79 0.49 -1 -1 0.83 0.434988 0.387791 2121 2447 893 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_49.v common 25.51 vpr 96.57 MiB 0.23 21104 -1 -1 1 1.09 -1 -1 44384 -1 -1 287 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 98888 22 19 7094 5872 1 3671 342 24 24 576 mult_36 auto 56.6 MiB 1.18 24412 81602 15797 59095 6710 94.3 MiB 2.29 0.03 4.66986 -4735 -4.66986 4.66986 1.59 0.0116342 0.0102507 0.880052 0.775715 76 38504 31 1.58331e+07 9.58898e+06 2.61600e+06 4541.67 11.47 3.75695 3.26879 68478 680951 -1 32830 17 11929 13673 1640992 357972 4.92046 4.92046 -4741.32 -4.92046 0 0 3.24203e+06 5628.53 0.93 0.87 0.55 -1 -1 0.93 0.489288 0.436653 2200 2569 912 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_50.v common 27.54 vpr 98.38 MiB 0.35 21340 -1 -1 1 1.16 -1 -1 44232 -1 -1 290 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 100736 22 19 7168 5929 1 3712 345 24 24 576 mult_36 auto 57.1 MiB 1.25 25160 76512 14255 54563 7694 94.7 MiB 1.93 0.03 4.47552 -4598.48 -4.47552 4.47552 1.61 0.013865 0.0125473 0.804796 0.724721 70 41615 40 1.58331e+07 9.63126e+06 2.45377e+06 4260.01 13.51 4.10442 3.58662 66754 640332 -1 34443 17 12525 14659 1724396 391207 4.64786 4.64786 -4803.4 -4.64786 0 0 3.09179e+06 5367.68 0.88 0.87 0.51 -1 -1 0.88 0.476807 0.423447 2229 2588 931 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_51.v common 39.08 vpr 102.40 MiB 0.33 21612 -1 -1 1 1.12 -1 -1 44672 -1 -1 297 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 104860 22 19 7344 6071 1 3815 352 24 24 576 mult_36 auto 58.1 MiB 1.26 26112 84808 17528 58730 8550 95.6 MiB 2.44 0.03 4.41926 -4718.92 -4.41926 4.41926 1.58 0.0138815 0.0125488 1.00517 0.89552 72 45711 45 1.58331e+07 9.72992e+06 2.50747e+06 4353.24 24.53 4.58489 4.00396 67330 654343 -1 35468 17 13268 15995 2013855 438885 4.54456 4.54456 -4858.33 -4.54456 0 0 3.14081e+06 5452.80 0.86 0.93 0.55 -1 -1 0.86 0.495571 0.441007 2282 2655 950 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_pipe_52.v common 32.37 vpr 102.40 MiB 0.37 21760 -1 -1 1 1.14 -1 -1 45136 -1 -1 301 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 104856 22 19 7418 6128 1 3860 356 24 24 576 mult_36 auto 58.7 MiB 1.26 25970 87365 17770 61046 8549 96.3 MiB 2.54 0.03 4.34967 -4804.09 -4.34967 4.34967 1.60 0.0145542 0.0131418 1.06436 0.932564 72 44233 45 1.58331e+07 9.78629e+06 2.50747e+06 4353.24 17.54 4.64956 4.04739 67330 654343 -1 35405 17 12953 15244 1834273 401238 4.54456 4.54456 -4810.48 -4.54456 0 0 3.14081e+06 5452.80 0.85 0.91 0.53 -1 -1 0.85 0.514887 0.459964 2310 2674 969 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_14.v common 18.72 vpr 66.80 MiB 0.10 9440 -1 -1 1 0.19 -1 -1 34640 -1 -1 58 22 0 4 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68404 22 19 1246 925 1 732 103 16 16 256 mult_36 auto 28.4 MiB 1.17 4023 13117 2985 8070 2062 66.8 MiB 0.34 0.01 8.30942 -383.734 -8.30942 8.30942 0.59 0.00262001 0.00241154 0.150191 0.137092 40 8052 31 6.54114e+06 2.40144e+06 616420. 2407.89 13.83 1.33095 1.17365 23812 153515 -1 6887 25 6377 7252 840667 207113 7.83898 7.83898 -465.002 -7.83898 0 0 808720. 3159.06 0.20 0.35 0.14 -1 -1 0.20 0.139969 0.124794 421 344 247 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_15.v common 8.49 vpr 67.56 MiB 0.07 9596 -1 -1 1 0.18 -1 -1 35084 -1 -1 61 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69180 22 19 1344 989 1 791 107 16 16 256 mult_36 auto 29.3 MiB 0.96 4575 14022 3062 9140 1820 67.6 MiB 0.35 0.01 8.46467 -389.974 -8.46467 8.46467 0.59 0.00303809 0.00280853 0.166695 0.152832 42 9875 50 6.54114e+06 2.83972e+06 649763. 2538.14 3.81 0.88637 0.786788 24068 159480 -1 7244 23 6090 6933 810054 211687 7.95378 7.95378 -494.958 -7.95378 0 0 829453. 3240.05 0.22 0.34 0.14 -1 -1 0.22 0.139388 0.124672 453 369 266 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_16.v common 8.88 vpr 67.79 MiB 0.11 9644 -1 -1 1 0.18 -1 -1 35228 -1 -1 65 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69416 22 19 1418 1046 1 832 111 16 16 256 mult_36 auto 29.5 MiB 1.30 4773 16071 3747 10021 2303 67.8 MiB 0.39 0.01 8.40964 -409.362 -8.40964 8.40964 0.58 0.00292238 0.0026757 0.181568 0.165822 46 9093 31 6.54114e+06 2.89609e+06 723233. 2825.13 3.79 0.816121 0.72172 24832 174915 -1 7344 26 5474 6088 675835 171589 8.05383 8.05383 -514.535 -8.05383 0 0 890343. 3477.90 0.22 0.30 0.18 -1 -1 0.22 0.148422 0.131646 481 388 285 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_17.v common 10.40 vpr 68.43 MiB 0.10 10200 -1 -1 1 0.21 -1 -1 35356 -1 -1 71 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70072 22 19 1518 1112 1 895 117 16 16 256 mult_36 auto 30.0 MiB 1.18 5128 15847 3477 10520 1850 68.4 MiB 0.38 0.01 8.98451 -441.089 -8.98451 8.98451 0.58 0.0029563 0.00270358 0.181126 0.165532 46 10202 35 6.54114e+06 2.98066e+06 723233. 2825.13 5.32 0.966824 0.861479 24832 174915 -1 8120 24 6873 7643 882202 231673 8.64522 8.64522 -508.026 -8.64522 0 0 890343. 3477.90 0.22 0.36 0.16 -1 -1 0.22 0.153564 0.136801 514 415 304 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_18.v common 9.13 vpr 68.72 MiB 0.08 10212 -1 -1 1 0.21 -1 -1 35032 -1 -1 74 22 0 5 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70372 22 19 1592 1169 1 934 120 16 16 256 mult_36 auto 30.6 MiB 1.48 5562 18410 4023 12076 2311 68.7 MiB 0.50 0.01 9.11545 -462.729 -9.11545 9.11545 0.58 0.00313992 0.00286707 0.219509 0.20039 50 10839 29 6.54114e+06 3.02294e+06 787708. 3076.99 3.65 0.960923 0.851871 25344 186282 -1 8621 24 6644 7427 822442 205516 8.84223 8.84223 -564.118 -8.84223 0 0 943753. 3686.54 0.24 0.34 0.19 -1 -1 0.24 0.155445 0.138298 542 434 323 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_19.v common 9.72 vpr 68.82 MiB 0.11 10560 -1 -1 1 0.27 -1 -1 35344 -1 -1 79 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70468 22 19 1688 1231 1 993 126 16 16 256 mult_36 auto 30.8 MiB 1.32 5905 19656 4339 11653 3664 68.8 MiB 0.49 0.01 9.37523 -468.383 -9.37523 9.37523 0.58 0.00351254 0.00323258 0.232479 0.212033 48 11452 47 6.54114e+06 3.48941e+06 755748. 2952.14 4.24 1.13956 1.0091 25088 180500 -1 8957 23 5555 6342 718459 187069 8.83268 8.83268 -558.947 -8.83268 0 0 916467. 3579.95 0.23 0.33 0.16 -1 -1 0.23 0.163001 0.145746 573 457 342 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_20.v common 10.98 vpr 69.38 MiB 0.09 10784 -1 -1 1 0.23 -1 -1 35528 -1 -1 81 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71044 22 19 1762 1288 1 1031 128 16 16 256 mult_36 auto 31.4 MiB 1.59 6159 20092 4300 10622 5170 69.4 MiB 0.47 0.01 9.0553 -504.795 -9.0553 9.0553 0.58 0.00336194 0.003079 0.223133 0.20372 48 12002 45 6.54114e+06 3.51759e+06 755748. 2952.14 5.14 1.09027 0.964321 25088 180500 -1 9426 26 8013 9013 1087933 263252 8.51952 8.51952 -758.559 -8.51952 0 0 916467. 3579.95 0.27 0.43 0.18 -1 -1 0.27 0.182262 0.162271 601 476 361 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_21.v common 10.02 vpr 69.73 MiB 0.11 10904 -1 -1 1 0.24 -1 -1 35776 -1 -1 85 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71404 22 19 1859 1351 1 1092 132 16 16 256 mult_36 auto 31.6 MiB 1.57 6816 20232 4108 12738 3386 69.7 MiB 0.54 0.01 9.21366 -510.538 -9.21366 9.21366 0.59 0.00370234 0.00339557 0.232676 0.21175 54 12156 29 6.54114e+06 3.57397e+06 829453. 3240.05 4.16 1.10107 0.97694 26108 202796 -1 9502 23 6442 7334 699332 184639 8.23497 8.23497 -611.204 -8.23497 0 0 1.02522e+06 4004.78 0.24 0.34 0.18 -1 -1 0.24 0.17102 0.152253 632 500 380 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_22.v common 11.05 vpr 69.93 MiB 0.14 11016 -1 -1 1 0.26 -1 -1 35912 -1 -1 90 22 0 6 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71604 22 19 1933 1408 1 1130 137 16 16 256 mult_36 auto 31.8 MiB 1.89 6953 18846 3874 11726 3246 69.9 MiB 0.51 0.01 9.25639 -524.337 -9.25639 9.25639 0.60 0.00633064 0.00579152 0.229754 0.209796 54 12678 28 6.54114e+06 3.64444e+06 829453. 3240.05 4.77 1.12815 0.998589 26108 202796 -1 10018 23 7514 8545 880687 221653 8.27422 8.27422 -608.588 -8.27422 0 0 1.02522e+06 4004.78 0.26 0.42 0.19 -1 -1 0.26 0.195465 0.17486 661 519 399 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_23.v common 12.05 vpr 70.30 MiB 0.14 11296 -1 -1 1 0.24 -1 -1 35984 -1 -1 94 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71988 22 19 2031 1472 1 1193 142 18 18 324 mult_36 auto 32.4 MiB 1.88 7735 24562 5200 16532 2830 70.3 MiB 0.65 0.01 9.45758 -535.83 -9.45758 9.45758 0.78 0.00385623 0.00351616 0.276218 0.251396 50 14484 31 8.06603e+06 4.09681e+06 1.03391e+06 3191.07 5.08 1.22106 1.08246 32744 246704 -1 11690 23 7230 8414 1076073 253853 8.46493 8.46493 -695.821 -8.46493 0 0 1.23838e+06 3822.15 0.31 0.47 0.23 -1 -1 0.31 0.198031 0.176796 693 544 418 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_24.v common 15.78 vpr 70.71 MiB 0.17 11480 -1 -1 1 0.22 -1 -1 36208 -1 -1 97 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72404 22 19 2105 1529 1 1230 145 18 18 324 mult_36 auto 32.6 MiB 1.82 7180 26745 5794 17769 3182 70.7 MiB 0.44 0.01 9.12646 -589.17 -9.12646 9.12646 0.72 0.00174114 0.00155803 0.141052 0.12686 46 15589 39 8.06603e+06 4.13909e+06 948677. 2928.01 9.22 1.18426 1.04138 32096 231720 -1 11052 22 7422 8604 958262 236388 8.28608 8.28608 -757.327 -8.28608 0 0 1.16833e+06 3605.96 0.35 0.43 0.17 -1 -1 0.35 0.198698 0.177394 721 563 437 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_25.v common 13.08 vpr 71.23 MiB 0.19 11976 -1 -1 1 0.32 -1 -1 36508 -1 -1 101 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72944 22 19 2201 1591 1 1290 149 18 18 324 mult_36 auto 33.3 MiB 1.80 8299 21425 4201 14721 2503 71.2 MiB 0.63 0.01 9.40561 -605.89 -9.40561 9.40561 0.82 0.0049407 0.00448797 0.265454 0.241336 50 15707 32 8.06603e+06 4.19547e+06 1.03391e+06 3191.07 5.84 1.31782 1.16752 32744 246704 -1 12541 26 8887 10206 1316343 305538 8.90953 8.90953 -711.282 -8.90953 0 0 1.23838e+06 3822.15 0.31 0.54 0.24 -1 -1 0.31 0.239322 0.214263 751 586 456 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_26.v common 13.57 vpr 71.47 MiB 0.08 12020 -1 -1 1 0.29 -1 -1 37372 -1 -1 105 22 0 7 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73184 22 19 2275 1648 1 1330 153 18 18 324 mult_36 auto 33.7 MiB 2.04 8365 28783 6260 16543 5980 71.5 MiB 0.69 0.01 9.59957 -610.286 -9.59957 9.59957 0.79 0.00411691 0.00374728 0.321128 0.291926 48 16675 42 8.06603e+06 4.25184e+06 991730. 3060.90 6.21 1.43531 1.26884 32420 239176 -1 12949 23 9827 11166 1462580 349293 8.88453 8.88453 -788.036 -8.88453 0 0 1.20291e+06 3712.69 0.31 0.56 0.21 -1 -1 0.31 0.220435 0.196694 779 605 475 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_27.v common 13.18 vpr 72.21 MiB 0.12 12088 -1 -1 1 0.32 -1 -1 36688 -1 -1 111 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73948 22 19 2385 1724 1 1404 160 18 18 324 mult_36 auto 34.4 MiB 1.94 9241 28370 5807 18956 3607 72.2 MiB 0.83 0.01 9.27331 -683.845 -9.27331 9.27331 0.78 0.00442823 0.00404133 0.325043 0.29516 54 17136 39 8.06603e+06 4.73242e+06 1.08842e+06 3359.33 5.64 1.46239 1.29485 33712 268580 -1 13437 24 10877 12354 1477729 344669 8.64193 8.64193 -919.251 -8.64193 0 0 1.34436e+06 4149.26 0.36 0.59 0.22 -1 -1 0.36 0.252438 0.226581 817 642 494 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_28.v common 14.41 vpr 72.31 MiB 0.16 12296 -1 -1 1 0.32 -1 -1 36784 -1 -1 114 22 0 8 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74048 22 19 2459 1781 1 1443 163 18 18 324 mult_36 auto 34.3 MiB 2.32 9764 21523 4098 13503 3922 72.3 MiB 0.62 0.01 9.32934 -687.124 -9.32934 9.32934 0.78 0.00551631 0.00500842 0.259632 0.236424 56 16775 37 8.06603e+06 4.7747e+06 1.11497e+06 3441.27 6.63 1.46602 1.29663 34036 275796 -1 13980 23 9350 10755 1348955 317758 8.77023 8.77023 -898.217 -8.77023 0 0 1.37338e+06 4238.83 0.34 0.53 0.24 -1 -1 0.34 0.233752 0.208772 845 661 513 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_29.v common 16.69 vpr 73.07 MiB 0.19 12476 -1 -1 1 0.36 -1 -1 37116 -1 -1 118 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74828 22 19 2565 1853 1 1511 168 22 22 484 mult_36 auto 35.2 MiB 2.60 9948 31652 6879 21519 3254 73.1 MiB 0.77 0.01 9.31996 -692.815 -9.31996 9.31996 1.28 0.00488491 0.00446488 0.278446 0.250826 50 19158 34 1.31202e+07 5.22708e+06 1.59181e+06 3288.87 7.00 1.46714 1.2979 49674 382800 -1 14996 23 11360 12976 1660239 391147 8.81467 8.81467 -918.954 -8.81467 0 0 1.90554e+06 3937.06 0.51 0.61 0.34 -1 -1 0.51 0.238829 0.213143 881 694 532 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_30.v common 17.17 vpr 73.39 MiB 0.18 12660 -1 -1 1 0.37 -1 -1 37320 -1 -1 123 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75152 22 19 2639 1910 1 1548 173 22 22 484 mult_36 auto 35.8 MiB 2.42 9542 32881 6991 22506 3384 73.4 MiB 0.71 0.01 9.26617 -728.584 -9.26617 9.26617 1.19 0.00218694 0.001972 0.285336 0.25833 48 19580 38 1.31202e+07 5.29755e+06 1.52614e+06 3153.19 7.75 1.56872 1.38457 49190 371334 -1 15243 26 13014 14790 1866040 442392 8.73812 8.73812 -1114.85 -8.73812 0 0 1.85176e+06 3825.95 0.51 0.72 0.34 -1 -1 0.51 0.278832 0.248939 910 713 551 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_31.v common 17.12 vpr 73.88 MiB 0.22 13008 -1 -1 1 0.34 -1 -1 37388 -1 -1 128 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75652 22 19 2744 1981 1 1618 178 22 22 484 mult_36 auto 36.3 MiB 2.23 10316 35178 7031 23952 4195 73.9 MiB 0.97 0.01 9.59887 -815.227 -9.59887 9.59887 1.22 0.00501272 0.00456654 0.386801 0.350063 50 19789 33 1.31202e+07 5.36802e+06 1.59181e+06 3288.87 7.50 1.61243 1.42683 49674 382800 -1 15490 27 12044 14151 1818410 427333 9.02988 9.02988 -1082.14 -9.02988 0 0 1.90554e+06 3937.06 0.51 0.69 0.32 -1 -1 0.51 0.28382 0.251956 946 745 570 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_32.v common 18.10 vpr 73.72 MiB 0.19 12928 -1 -1 1 0.35 -1 -1 36972 -1 -1 131 22 0 9 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75492 22 19 2818 2038 1 1656 181 22 22 484 mult_36 auto 36.0 MiB 2.74 10985 33396 7014 22006 4376 73.7 MiB 0.94 0.01 9.18872 -729.727 -9.18872 9.18872 1.22 0.00555682 0.00501678 0.391822 0.354063 52 21147 46 1.31202e+07 5.4103e+06 1.63434e+06 3376.74 7.78 1.89748 1.68336 50638 406276 -1 15805 26 12963 14588 1925572 461503 8.66113 8.66113 -888.638 -8.66113 0 0 2.01763e+06 4168.66 0.56 0.76 0.37 -1 -1 0.56 0.298184 0.266224 974 764 589 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_33.v common 18.09 vpr 74.57 MiB 0.18 13792 -1 -1 1 0.37 -1 -1 37332 -1 -1 137 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76356 22 19 2923 2109 1 1725 188 22 22 484 mult_36 auto 36.9 MiB 2.39 11534 41076 8921 25709 6446 74.6 MiB 1.05 0.01 10.2924 -835.387 -10.2924 10.2924 1.27 0.00546535 0.00497593 0.44184 0.40093 54 21231 38 1.31202e+07 5.89087e+06 1.67518e+06 3461.11 8.17 1.90087 1.69027 51122 416746 -1 16854 24 11734 13578 1615248 384384 9.39377 9.39377 -1134.87 -9.39377 0 0 2.06816e+06 4273.05 0.54 0.62 0.34 -1 -1 0.54 0.267121 0.2382 1009 796 608 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_34.v common 21.65 vpr 74.83 MiB 0.21 13772 -1 -1 1 0.38 -1 -1 37876 -1 -1 140 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76624 22 19 2997 2166 1 1764 191 22 22 484 mult_36 auto 37.2 MiB 3.66 12266 39170 8245 26892 4033 74.8 MiB 1.07 0.01 9.97834 -770.817 -9.97834 9.97834 1.21 0.00571216 0.0052044 0.426004 0.386425 56 21922 39 1.31202e+07 5.93316e+06 1.71605e+06 3545.56 10.32 1.86387 1.65418 51606 428054 -1 18215 24 13841 15896 2255928 507616 9.94227 9.94227 -1246.47 -9.94227 0 0 2.11301e+06 4365.72 0.54 0.76 0.35 -1 -1 0.54 0.281343 0.250097 1037 815 627 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_35.v common 19.19 vpr 75.42 MiB 0.15 13984 -1 -1 1 0.39 -1 -1 37592 -1 -1 145 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77228 22 19 3101 2236 1 1830 196 22 22 484 mult_36 auto 37.6 MiB 3.22 11422 38319 7965 26269 4085 75.4 MiB 1.02 0.01 9.90229 -825.692 -9.90229 9.90229 1.23 0.00568749 0.00517513 0.40807 0.368882 54 21987 30 1.31202e+07 6.00363e+06 1.67518e+06 3461.11 8.36 1.90347 1.6945 51122 416746 -1 17198 23 12943 14962 1754919 421016 9.26291 9.26291 -1135.22 -9.26291 0 0 2.06816e+06 4273.05 0.56 0.70 0.35 -1 -1 0.56 0.285813 0.255425 1072 846 646 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_36.v common 20.38 vpr 75.86 MiB 0.22 14128 -1 -1 1 0.42 -1 -1 37996 -1 -1 148 22 0 10 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 77684 22 19 3175 2293 1 1870 199 22 22 484 mult_36 auto 38.1 MiB 3.88 11959 40219 8453 27913 3853 75.9 MiB 1.07 0.01 10.2141 -827.99 -10.2141 10.2141 1.31 0.00641648 0.00585224 0.454477 0.413046 54 22244 37 1.31202e+07 6.04591e+06 1.67518e+06 3461.11 8.61 2.04337 1.8116 51122 416746 -1 17420 23 11578 13322 1482709 359973 9.58852 9.58852 -1256.36 -9.58852 0 0 2.06816e+06 4273.05 0.56 0.65 0.35 -1 -1 0.56 0.289012 0.258213 1100 865 665 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_37.v common 23.90 vpr 76.29 MiB 0.21 14464 -1 -1 1 0.45 -1 -1 37372 -1 -1 152 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78116 22 19 3280 2364 1 1940 204 24 24 576 mult_36 auto 38.6 MiB 3.56 12413 41604 8759 29253 3592 76.3 MiB 1.07 0.02 10.1414 -859.737 -10.1414 10.1414 1.64 0.00602109 0.00548311 0.412304 0.372312 50 25735 44 1.58331e+07 6.49829e+06 1.88759e+06 3277.06 11.57 2.03071 1.79344 58706 454005 -1 18389 25 12459 14636 1793419 425436 9.27766 9.27766 -1319.74 -9.27766 0 0 2.26035e+06 3924.22 0.60 0.75 0.36 -1 -1 0.60 0.334717 0.30042 1135 897 684 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_38.v common 21.64 vpr 76.78 MiB 0.24 14576 -1 -1 1 0.46 -1 -1 37976 -1 -1 157 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78620 22 19 3354 2421 1 1977 209 24 24 576 mult_36 auto 39.0 MiB 4.17 12700 43609 9545 30570 3494 76.8 MiB 1.19 0.02 10.0282 -917.593 -10.0282 10.0282 1.49 0.00602725 0.00545141 0.471712 0.425047 56 21119 26 1.58331e+07 6.56876e+06 2.03561e+06 3534.04 8.64 1.92611 1.70534 61006 507707 -1 18139 27 11695 13188 1690980 410021 9.18442 9.18442 -1340.55 -9.18442 0 0 2.50747e+06 4353.24 0.70 0.76 0.43 -1 -1 0.70 0.348213 0.310179 1164 916 703 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_39.v common 22.11 vpr 77.25 MiB 0.12 14688 -1 -1 1 0.46 -1 -1 38264 -1 -1 161 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79100 22 19 3457 2490 1 2042 213 24 24 576 mult_36 auto 39.5 MiB 3.72 12894 46568 10474 28732 7362 77.2 MiB 1.22 0.02 10.1583 -949.474 -10.1583 10.1583 1.43 0.00638264 0.00581139 0.510789 0.461121 54 24143 46 1.58331e+07 6.62513e+06 1.98675e+06 3449.22 9.80 2.23656 1.97751 60430 494267 -1 18677 25 12663 14801 1632827 390484 9.97257 9.97257 -1318.13 -9.97257 0 0 2.45377e+06 4260.01 0.65 0.67 0.41 -1 -1 0.65 0.310012 0.275893 1198 946 722 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_40.v common 23.85 vpr 77.45 MiB 0.21 14836 -1 -1 1 0.44 -1 -1 38836 -1 -1 164 22 0 11 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79312 22 19 3531 2547 1 2082 216 24 24 576 mult_36 auto 39.7 MiB 4.44 13807 43565 9165 30733 3667 77.5 MiB 1.09 0.02 9.99186 -925.764 -9.99186 9.99186 1.47 0.00646404 0.00579106 0.420358 0.377761 54 25418 49 1.58331e+07 6.66742e+06 1.98675e+06 3449.22 10.71 2.2341 1.9696 60430 494267 -1 20060 24 14263 16167 1965856 473886 9.29411 9.29411 -1401.97 -9.29411 0 0 2.45377e+06 4260.01 0.74 0.75 0.39 -1 -1 0.74 0.315228 0.279899 1226 965 741 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_41.v common 23.91 vpr 77.20 MiB 0.24 15228 -1 -1 1 0.65 -1 -1 37944 -1 -1 170 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79056 22 19 3634 2616 1 2147 223 24 24 576 mult_36 auto 39.6 MiB 4.10 14145 47543 9891 33901 3751 77.2 MiB 1.30 0.02 9.91159 -1035.36 -9.91159 9.91159 1.48 0.00623866 0.0056463 0.502007 0.454474 54 26939 44 1.58331e+07 7.14798e+06 1.98675e+06 3449.22 10.23 2.24742 1.98593 60430 494267 -1 20614 24 15058 17233 2074607 480593 9.79086 9.79086 -1391.86 -9.79086 0 0 2.45377e+06 4260.01 0.70 0.87 0.42 -1 -1 0.70 0.368238 0.329446 1261 995 760 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_42.v common 29.03 vpr 78.09 MiB 0.27 15328 -1 -1 1 0.65 -1 -1 38240 -1 -1 173 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79964 22 19 3708 2673 1 2186 226 24 24 576 mult_36 auto 40.3 MiB 4.74 16104 42194 8322 28032 5840 78.1 MiB 1.14 0.02 10.4136 -1012.01 -10.4136 10.4136 1.46 0.00636253 0.00575312 0.426379 0.385045 62 27815 40 1.58331e+07 7.19026e+06 2.19658e+06 3813.51 14.85 2.26474 2.0088 63306 560109 -1 21638 25 14219 16394 2291368 490734 9.41877 9.41877 -1577.24 -9.41877 0 0 2.72095e+06 4723.87 0.71 0.81 0.46 -1 -1 0.71 0.336207 0.29879 1289 1014 779 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_43.v common 27.74 vpr 78.05 MiB 0.25 15604 -1 -1 1 0.65 -1 -1 38608 -1 -1 178 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79920 22 19 3810 2741 1 2253 231 24 24 576 mult_36 auto 40.4 MiB 4.30 15296 41295 8139 29365 3791 78.0 MiB 1.20 0.02 10.16 -1063.97 -10.16 10.16 1.54 0.0070497 0.00641468 0.455071 0.409328 56 28472 50 1.58331e+07 7.26073e+06 2.03561e+06 3534.04 13.96 2.40374 2.12442 61006 507707 -1 22090 23 15206 17473 2444394 558097 9.42572 9.42572 -1494.13 -9.42572 0 0 2.50747e+06 4353.24 0.67 0.80 0.47 -1 -1 0.67 0.286418 0.257228 1323 1043 798 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_44.v common 24.56 vpr 78.46 MiB 0.26 15644 -1 -1 1 0.65 -1 -1 38452 -1 -1 181 22 0 12 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80348 22 19 3884 2798 1 2294 234 24 24 576 mult_36 auto 40.8 MiB 4.95 15489 47034 9365 34232 3437 78.5 MiB 1.31 0.02 10.121 -975.462 -10.121 10.121 1.62 0.00727244 0.00650432 0.51744 0.466063 60 24207 29 1.58331e+07 7.30301e+06 2.13333e+06 3703.69 9.52 2.28995 2.02781 62730 548095 -1 21032 24 12683 14355 1753295 422656 9.00722 9.00722 -1352.2 -9.00722 0 0 2.67122e+06 4637.53 0.78 0.80 0.47 -1 -1 0.78 0.37169 0.331744 1351 1062 817 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_45.v common 26.81 vpr 78.86 MiB 0.26 15956 -1 -1 1 0.66 -1 -1 40372 -1 -1 186 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80756 22 19 3989 2869 1 2359 240 24 24 576 mult_36 auto 41.1 MiB 4.54 16340 50155 10505 31575 8075 78.9 MiB 1.30 0.02 10.0722 -985.253 -10.0722 10.0722 1.55 0.0074032 0.00672801 0.525196 0.47423 62 27929 47 1.58331e+07 7.76948e+06 2.19658e+06 3813.51 12.50 2.45785 2.1786 63306 560109 -1 21607 23 12498 14826 1663854 396826 9.12307 9.12307 -1451.12 -9.12307 0 0 2.72095e+06 4723.87 0.75 0.75 0.44 -1 -1 0.75 0.359917 0.320931 1387 1094 836 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_46.v common 24.18 vpr 78.90 MiB 0.20 16108 -1 -1 1 0.65 -1 -1 40268 -1 -1 189 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80796 22 19 4063 2926 1 2398 243 24 24 576 mult_36 auto 41.3 MiB 5.27 16549 53303 11025 37595 4683 78.9 MiB 1.40 0.02 10.5357 -1123.54 -10.5357 10.5357 1.51 0.0077541 0.00706757 0.555707 0.499832 64 25587 38 1.58331e+07 7.81177e+06 2.26035e+06 3924.22 9.27 2.46726 2.18285 64454 586630 -1 22060 23 10577 12608 1472265 347313 9.67737 9.67737 -1524.22 -9.67737 0 0 2.84938e+06 4946.85 0.74 0.64 0.46 -1 -1 0.74 0.336775 0.30084 1414 1113 855 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_47.v common 26.84 vpr 79.67 MiB 0.30 16508 -1 -1 1 0.66 -1 -1 40548 -1 -1 194 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81580 22 19 4167 2996 1 2465 248 24 24 576 mult_36 auto 42.2 MiB 4.83 16473 59376 11937 40248 7191 79.7 MiB 1.55 0.02 10.4408 -1061.77 -10.4408 10.4408 1.55 0.00789307 0.00718347 0.613169 0.553732 60 26903 48 1.58331e+07 7.88224e+06 2.13333e+06 3703.69 11.83 2.78199 2.45451 62730 548095 -1 21754 23 12304 14126 1550289 380177 9.28402 9.28402 -1464.85 -9.28402 0 0 2.67122e+06 4637.53 0.76 0.75 0.47 -1 -1 0.76 0.374453 0.33403 1449 1144 874 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_48.v common 23.87 vpr 79.73 MiB 0.23 16660 -1 -1 1 0.66 -1 -1 40516 -1 -1 197 22 0 13 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81648 22 19 4241 3053 1 2504 251 24 24 576 mult_36 auto 42.1 MiB 5.61 16474 57994 11394 40219 6381 79.7 MiB 1.47 0.02 10.5013 -1047.39 -10.5013 10.5013 1.43 0.00738982 0.00668981 0.570981 0.514404 64 25175 29 1.58331e+07 7.92452e+06 2.26035e+06 3924.22 8.19 2.34248 2.06535 64454 586630 -1 22200 23 12490 14529 1711643 410861 9.39387 9.39387 -1359.3 -9.39387 0 0 2.84938e+06 4946.85 0.85 0.79 0.56 -1 -1 0.85 0.382435 0.340426 1477 1163 893 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_49.v common 27.24 vpr 80.15 MiB 0.31 17092 -1 -1 1 0.64 -1 -1 40920 -1 -1 204 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82076 22 19 4346 3124 1 2572 259 24 24 576 mult_36 auto 42.5 MiB 5.24 17944 60484 12294 40037 8153 80.2 MiB 1.62 0.02 10.2711 -1057.18 -10.2711 10.2711 1.51 0.00781659 0.00709654 0.633263 0.569606 60 28418 34 1.58331e+07 8.41918e+06 2.13333e+06 3703.69 11.70 2.65564 2.34723 62730 548095 -1 23860 21 13375 15404 1783547 434526 9.48426 9.48426 -1463.7 -9.48426 0 0 2.67122e+06 4637.53 0.72 0.75 0.47 -1 -1 0.72 0.348599 0.310883 1512 1195 912 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_50.v common 28.32 vpr 80.52 MiB 0.33 17004 -1 -1 1 0.63 -1 -1 40820 -1 -1 206 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82452 22 19 4420 3181 1 2611 261 24 24 576 mult_36 auto 42.9 MiB 5.83 17532 50241 9749 32850 7642 80.5 MiB 1.23 0.02 10.0416 -1157.63 -10.0416 10.0416 1.43 0.00791432 0.00717592 0.494005 0.44298 58 28679 43 1.58331e+07 8.44736e+06 2.08734e+06 3623.85 12.76 2.75587 2.43133 62154 534210 -1 23883 25 16571 18768 2358557 558388 9.36196 9.36196 -1664.67 -9.36196 0 0 2.61600e+06 4541.67 0.71 0.94 0.36 -1 -1 0.71 0.411086 0.365325 1541 1214 931 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_51.v common 25.90 vpr 81.16 MiB 0.30 17344 -1 -1 1 0.66 -1 -1 40992 -1 -1 211 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83108 22 19 4524 3251 1 2680 266 24 24 576 mult_36 auto 43.7 MiB 5.40 18368 60116 11930 38131 10055 81.2 MiB 1.56 0.02 10.5845 -1178.84 -10.5845 10.5845 1.50 0.00810344 0.0073282 0.63031 0.566185 66 27293 26 1.58331e+07 8.51783e+06 2.33135e+06 4047.49 10.14 2.66231 2.36457 65030 601923 -1 23810 20 12167 13847 1609632 377723 9.57737 9.57737 -1575.72 -9.57737 0 0 2.91907e+06 5067.82 0.83 0.75 0.58 -1 -1 0.83 0.371055 0.333013 1576 1245 950 19 0 0 -k6_frac_uripple_N8_22nm.xml fir_nopipe_52.v common 39.44 vpr 81.29 MiB 0.31 17444 -1 -1 1 0.66 -1 -1 39540 -1 -1 215 22 0 14 success v8.0.0-10981-ge72dccf2d release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T17:16:23 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83244 22 19 4598 3308 1 2717 270 24 24 576 mult_36 auto 43.9 MiB 6.37 18189 61310 12677 40484 8149 81.3 MiB 1.72 0.03 10.1072 -1112.57 -10.1072 10.1072 1.50 0.0101785 0.00922809 0.672075 0.603798 58 33606 48 1.58331e+07 8.57421e+06 2.08734e+06 3623.85 22.48 2.99387 2.64132 62154 534210 -1 25197 24 15147 17510 2264940 516528 9.45177 9.45177 -1662.09 -9.45177 0 0 2.61600e+06 4541.67 0.75 0.98 0.38 -1 -1 0.75 0.434057 0.386885 1605 1264 969 19 0 0 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length + k6_frac_2ripple_N8_22nm.xml fir_pipe_14.v common 16.72 vpr 72.14 MiB 0.07 10524 -1 -1 1 0.22 -1 -1 35652 -1 -1 84 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73868 22 19 1974 1653 1 1008 129 16 16 256 mult_36 auto 32.4 MiB 1.05 5469 18004 3993 12227 1784 72.1 MiB 0.40 0.01 4.14666 -1156.94 -4.14666 4.14666 0.24 0.00342963 0.00309238 0.20424 0.184059 -1 -1 -1 -1 56 11505 39 6.59459e+06 2.79996e+06 849745. 3319.32 12.41 2.02021 1.75562 26364 208198 -1 8946 18 3982 4651 528508 140814 4.27196 4.27196 -1272.08 -4.27196 0 0 1.04740e+06 4091.43 0.04 0.25 0.16 -1 -1 0.04 0.141457 0.12562 484 708 247 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_15.v common 19.14 vpr 72.98 MiB 0.12 11000 -1 -1 1 0.23 -1 -1 36912 -1 -1 91 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74728 22 19 2144 1789 1 1098 137 16 16 256 mult_36 auto 32.8 MiB 1.14 6449 18140 3739 12138 2263 73.0 MiB 0.42 0.01 4.2084 -1285.89 -4.2084 4.2084 0.29 0.00366309 0.0032955 0.207603 0.186642 -1 -1 -1 -1 60 11346 28 6.59459e+06 3.29729e+06 890343. 3477.90 14.45 2.04346 1.77558 27128 224764 -1 9924 15 4014 4825 517826 124024 4.52256 4.52256 -1413.46 -4.52256 0 0 1.11577e+06 4358.47 0.04 0.24 0.18 -1 -1 0.04 0.141843 0.127125 530 769 266 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_16.v common 14.66 vpr 73.31 MiB 0.12 11016 -1 -1 1 0.25 -1 -1 36848 -1 -1 95 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75068 22 19 2218 1846 1 1149 141 16 16 256 mult_36 auto 33.5 MiB 1.17 6354 26127 6328 16590 3209 73.3 MiB 0.56 0.01 4.14666 -1291.57 -4.14666 4.14666 0.29 0.00371593 0.00333977 0.283845 0.254972 -1 -1 -1 -1 58 11321 19 6.59459e+06 3.3552e+06 871168. 3403.00 9.81 1.99337 1.72807 26872 219187 -1 9771 15 4029 4643 472364 123551 4.27196 4.27196 -1356.04 -4.27196 0 0 1.09288e+06 4269.05 0.04 0.23 0.17 -1 -1 0.04 0.142859 0.127598 542 788 285 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_17.v common 9.52 vpr 74.89 MiB 0.13 11840 -1 -1 1 0.28 -1 -1 36564 -1 -1 105 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76688 22 19 2536 2130 1 1248 151 16 16 256 mult_36 auto 34.6 MiB 1.32 7125 25815 5770 16465 3580 74.9 MiB 0.60 0.01 4.14666 -1502.79 -4.14666 4.14666 0.29 0.0043997 0.00396071 0.299354 0.2688 -1 -1 -1 -1 62 13136 31 6.59459e+06 3.49995e+06 916467. 3579.95 4.19 1.38223 1.20973 27384 229598 -1 10471 15 4464 5302 563967 138818 4.27196 4.27196 -1544.54 -4.27196 0 0 1.13630e+06 4438.68 0.04 0.26 0.18 -1 -1 0.04 0.163273 0.146007 626 924 304 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_18.v common 18.51 vpr 75.32 MiB 0.13 11904 -1 -1 1 0.29 -1 -1 37196 -1 -1 109 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77128 22 19 2610 2187 1 1298 155 16 16 256 mult_36 auto 35.2 MiB 1.47 7636 24283 5242 15791 3250 75.3 MiB 0.56 0.01 4.43213 -1522.52 -4.43213 4.43213 0.29 0.00450813 0.00401165 0.282476 0.25243 -1 -1 -1 -1 58 14189 47 6.59459e+06 3.55786e+06 871168. 3403.00 13.16 2.56559 2.22056 26872 219187 -1 11289 14 4653 5817 571255 141773 4.52256 4.52256 -1639.76 -4.52256 0 0 1.09288e+06 4269.05 0.04 0.28 0.17 -1 -1 0.04 0.161049 0.144363 640 943 323 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_19.v common 28.47 vpr 76.27 MiB 0.14 12216 -1 -1 1 0.31 -1 -1 36788 -1 -1 116 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78104 22 19 2778 2321 1 1389 163 16 16 256 mult_36 auto 36.0 MiB 1.36 8349 28643 6138 19307 3198 76.3 MiB 0.67 0.01 4.32767 -1701.92 -4.32767 4.32767 0.29 0.00489133 0.00440702 0.328294 0.294928 -1 -1 -1 -1 64 15175 30 6.59459e+06 4.05519e+06 943753. 3686.54 22.89 2.88759 2.49841 27892 240595 -1 11744 16 4803 5611 621335 143640 4.27196 4.27196 -1714.96 -4.27196 0 0 1.19033e+06 4649.74 0.04 0.31 0.20 -1 -1 0.04 0.186567 0.166709 682 1002 342 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_20.v common 11.70 vpr 76.78 MiB 0.13 12496 -1 -1 1 0.31 -1 -1 37004 -1 -1 120 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78624 22 19 2852 2378 1 1436 167 16 16 256 mult_36 auto 36.3 MiB 1.50 8625 27248 5505 18304 3439 76.8 MiB 0.65 0.01 4.14666 -1715.01 -4.14666 4.14666 0.29 0.00495482 0.00440708 0.316595 0.283157 -1 -1 -1 -1 70 14429 32 6.59459e+06 4.11309e+06 1.02522e+06 4004.78 5.88 1.93855 1.68495 28912 262511 -1 12229 15 4988 6062 657401 157185 4.39726 4.39726 -1831.73 -4.39726 0 0 1.29210e+06 5047.26 0.05 0.32 0.22 -1 -1 0.05 0.185301 0.165937 698 1021 361 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_21.v common 16.29 vpr 77.82 MiB 0.14 12836 -1 -1 1 0.33 -1 -1 37920 -1 -1 127 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79692 22 19 3057 2549 1 1530 174 16 16 256 mult_36 auto 37.3 MiB 1.69 9407 29274 6094 20036 3144 77.8 MiB 0.68 0.01 4.39726 -1836.04 -4.39726 4.39726 0.29 0.00492634 0.00440186 0.321459 0.286924 -1 -1 -1 -1 66 16247 26 6.59459e+06 4.21442e+06 974584. 3806.97 10.23 2.48885 2.15797 28148 247068 -1 13345 14 5086 6583 661370 157706 4.39726 4.39726 -1887.67 -4.39726 0 0 1.22072e+06 4768.46 0.05 0.31 0.20 -1 -1 0.05 0.184106 0.164797 753 1099 380 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_22.v common 10.03 vpr 78.00 MiB 0.15 12940 -1 -1 1 0.35 -1 -1 38076 -1 -1 132 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79872 22 19 3131 2606 1 1582 179 16 16 256 clb mult_36 auto 37.6 MiB 1.86 9373 28907 5836 19644 3427 78.0 MiB 0.67 0.01 4.14666 -1863.42 -4.14666 4.14666 0.29 0.00503095 0.00447325 0.313185 0.279499 -1 -1 -1 -1 70 15389 40 6.59459e+06 4.2868e+06 1.02522e+06 4004.78 3.64 1.66397 1.44601 28912 262511 -1 13012 17 5257 6418 623080 148984 4.27196 4.27196 -1984 -4.27196 0 0 1.29210e+06 5047.26 0.05 0.37 0.22 -1 -1 0.05 0.238724 0.214603 767 1118 399 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_23.v common 12.86 vpr 79.31 MiB 0.16 13284 -1 -1 1 0.36 -1 -1 37856 -1 -1 137 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81212 22 19 3301 2742 1 1674 185 18 18 324 mult_36 auto 38.6 MiB 1.73 9667 35953 8201 24162 3590 79.3 MiB 0.81 0.01 4.08302 -1956.51 -4.08302 4.08302 0.37 0.00534428 0.00476735 0.383905 0.341954 -1 -1 -1 -1 70 15181 18 8.13932e+06 4.75517e+06 1.34436e+06 4149.26 6.15 1.99097 1.7297 37264 347768 -1 13781 15 5508 6599 652119 161259 4.27196 4.27196 -2026.43 -4.27196 0 0 1.69344e+06 5226.66 0.06 0.33 0.28 -1 -1 0.06 0.206974 0.184915 812 1179 418 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_24.v common 20.55 vpr 79.41 MiB 0.17 13484 -1 -1 1 0.37 -1 -1 38048 -1 -1 144 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81316 22 19 3375 2799 1 1720 192 18 18 324 mult_36 auto 38.5 MiB 1.64 9997 35031 7324 24280 3427 79.4 MiB 0.78 0.01 4.2263 -2010.98 -4.2263 4.2263 0.37 0.00551458 0.00492416 0.367684 0.328149 -1 -1 -1 -1 60 17113 25 8.13932e+06 4.8565e+06 1.16833e+06 3605.96 13.83 3.1404 2.72282 35004 297736 -1 14817 17 6221 7512 731941 182840 4.27196 4.27196 -2101.85 -4.27196 0 0 1.46313e+06 4515.82 0.06 0.40 0.24 -1 -1 0.06 0.241793 0.217237 826 1198 437 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_25.v common 24.17 vpr 80.90 MiB 0.18 14024 -1 -1 1 0.41 -1 -1 38176 -1 -1 150 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82840 22 19 3615 3005 1 1813 198 18 18 324 mult_36 auto 39.9 MiB 1.74 10048 37638 8260 25340 4038 80.9 MiB 0.85 0.01 4.14666 -2190.25 -4.14666 4.14666 0.37 0.00573555 0.00511089 0.403337 0.358296 -1 -1 -1 -1 66 17382 33 8.13932e+06 4.94335e+06 1.27759e+06 3943.17 17.16 3.41995 2.95671 36296 327148 -1 14915 14 5955 7259 740555 175354 4.27196 4.27196 -2315.28 -4.27196 0 0 1.59950e+06 4936.74 0.06 0.36 0.26 -1 -1 0.06 0.218664 0.195875 891 1293 456 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_26.v common 12.13 vpr 81.18 MiB 0.18 14036 -1 -1 1 0.42 -1 -1 38344 -1 -1 155 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83124 22 19 3689 3062 1 1866 203 18 18 324 mult_36 auto 40.2 MiB 1.83 11664 39539 8297 27644 3598 81.2 MiB 0.94 0.01 4.32822 -2193.84 -4.32822 4.32822 0.38 0.00618329 0.00554329 0.444192 0.396888 -1 -1 -1 -1 70 19059 50 8.13932e+06 5.01573e+06 1.34436e+06 4149.26 4.87 2.00789 1.76024 37264 347768 -1 16587 14 6313 7558 771721 180460 4.39726 4.39726 -2296.1 -4.39726 0 0 1.69344e+06 5226.66 0.06 0.37 0.28 -1 -1 0.06 0.223904 0.200778 902 1312 475 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_27.v common 12.57 vpr 82.23 MiB 0.19 14392 -1 -1 1 0.44 -1 -1 38364 -1 -1 163 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84208 22 19 3871 3210 1 1968 212 18 18 324 mult_36 auto 41.1 MiB 1.99 12023 44382 10194 30776 3412 82.2 MiB 1.05 0.02 4.20292 -2349.21 -4.20292 4.20292 0.38 0.00659093 0.00580707 0.491377 0.4372 -1 -1 -1 -1 68 20094 25 8.13932e+06 5.52752e+06 1.31159e+06 4048.11 4.93 2.10498 1.84779 36620 334356 -1 16951 14 6726 8075 873672 207343 4.27196 4.27196 -2453.38 -4.27196 0 0 1.63345e+06 5041.52 0.06 0.38 0.26 -1 -1 0.06 0.226187 0.202006 957 1385 494 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_28.v common 12.54 vpr 82.45 MiB 0.19 14708 -1 -1 1 0.45 -1 -1 38536 -1 -1 166 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84432 22 19 3945 3267 1 2018 215 18 18 324 clb mult_36 auto 41.3 MiB 2.05 11893 42653 9008 29536 4109 82.5 MiB 0.97 0.01 4.41516 -2375.26 -4.41516 4.41516 0.37 0.00678405 0.00608857 0.450572 0.401158 -1 -1 -1 -1 70 19000 28 8.13932e+06 5.57095e+06 1.34436e+06 4149.26 4.92 2.36423 2.05946 37264 347768 -1 16536 13 6285 7712 712029 172378 4.52256 4.52256 -2485.52 -4.52256 0 0 1.69344e+06 5226.66 0.06 0.34 0.28 -1 -1 0.06 0.221833 0.198683 969 1404 513 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_29.v common 43.46 vpr 83.59 MiB 0.21 15076 -1 -1 1 0.48 -1 -1 39672 -1 -1 173 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85600 22 19 4159 3447 1 2120 223 22 22 484 mult_36 auto 42.1 MiB 2.24 13145 50247 11458 34717 4072 83.6 MiB 1.18 0.02 4.27196 -2509.14 -4.27196 4.27196 0.58 0.0067975 0.00606117 0.545202 0.48528 -1 -1 -1 -1 64 22983 33 1.32347e+07 6.06827e+06 1.90554e+06 3937.06 34.62 3.94425 3.40693 54502 494576 -1 19231 15 6978 8475 904205 208760 4.39726 4.39726 -2793.49 -4.39726 0 0 2.40101e+06 4960.76 0.09 0.42 0.38 -1 -1 0.09 0.252186 0.224771 1022 1491 532 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_30.v common 40.59 vpr 84.00 MiB 0.20 15272 -1 -1 1 0.50 -1 -1 40776 -1 -1 179 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86016 22 19 4233 3504 1 2174 229 22 22 484 mult_36 auto 42.6 MiB 2.19 12823 49929 11428 34943 3558 84.0 MiB 1.10 0.02 4.27196 -2525.34 -4.27196 4.27196 0.58 0.0065951 0.00586339 0.503897 0.447818 -1 -1 -1 -1 62 22961 40 1.32347e+07 6.15512e+06 1.85176e+06 3825.95 31.78 3.98361 3.44449 53538 472186 -1 18850 14 7518 9345 917099 214842 4.39726 4.39726 -2598.37 -4.39726 0 0 2.29262e+06 4736.82 0.11 0.45 0.41 -1 -1 0.11 0.262052 0.234969 1041 1510 551 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_31.v common 41.01 vpr 85.09 MiB 0.21 15580 -1 -1 1 0.52 -1 -1 40960 -1 -1 186 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87128 22 19 4410 3647 1 2271 236 22 22 484 mult_36 auto 43.6 MiB 2.20 14018 52724 11872 36802 4050 85.1 MiB 1.19 0.02 4.27196 -2696.98 -4.27196 4.27196 0.58 0.00673486 0.00598091 0.546307 0.486035 -1 -1 -1 -1 68 23776 31 1.32347e+07 6.25645e+06 2.01763e+06 4168.66 32.03 4.18929 3.62207 55470 518816 -1 19896 15 7515 9114 964589 218689 4.39726 4.39726 -2735.78 -4.39726 0 0 2.51205e+06 5190.18 0.10 0.44 0.40 -1 -1 0.10 0.262513 0.233995 1090 1578 570 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_32.v common 20.11 vpr 93.03 MiB 0.21 15704 -1 -1 1 0.50 -1 -1 40736 -1 -1 191 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 95260 22 19 4484 3704 1 2319 241 22 22 484 mult_36 auto 44.0 MiB 2.55 14938 55667 12760 38384 4523 85.4 MiB 1.27 0.02 4.52256 -2746.97 -4.52256 4.52256 0.59 0.00725221 0.00647339 0.570716 0.50828 -1 -1 -1 -1 76 23639 32 1.32347e+07 6.32883e+06 2.20457e+06 4554.90 10.50 3.19826 2.79849 57882 574062 -1 21164 15 7526 9262 1023008 231216 4.64786 4.64786 -2879.88 -4.64786 0 0 2.73077e+06 5642.09 0.11 0.49 0.46 -1 -1 0.11 0.293395 0.263197 1103 1597 589 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_33.v common 41.57 vpr 86.09 MiB 0.23 16560 -1 -1 1 0.59 -1 -1 41664 -1 -1 203 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88152 22 19 4843 4029 1 2423 254 22 22 484 mult_36 auto 45.2 MiB 2.47 15348 60554 13857 41959 4738 86.1 MiB 1.35 0.02 4.39726 -2933.65 -4.39726 4.39726 0.58 0.00740638 0.00656635 0.609079 0.538986 -1 -1 -1 -1 62 27539 35 1.32347e+07 6.89853e+06 1.85176e+06 3825.95 31.78 4.67856 4.04549 53538 472186 -1 21969 16 8212 10013 1002815 231979 4.64786 4.64786 -3088.28 -4.64786 0 0 2.29262e+06 4736.82 0.09 0.52 0.36 -1 -1 0.09 0.3178 0.284058 1197 1756 608 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_34.v common 42.25 vpr 87.02 MiB 0.23 16732 -1 -1 1 0.59 -1 -1 41528 -1 -1 205 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 89104 22 19 4917 4086 1 2472 256 22 22 484 mult_36 auto 46.0 MiB 2.84 15342 65216 15343 44895 4978 87.0 MiB 1.51 0.02 4.27196 -2983.22 -4.27196 4.27196 0.58 0.00820567 0.00732922 0.68289 0.60628 -1 -1 -1 -1 64 26524 47 1.32347e+07 6.92748e+06 1.90554e+06 3937.06 31.85 5.00486 4.33161 54502 494576 -1 22280 19 8657 10761 1149380 265025 4.27196 4.27196 -3171.17 -4.27196 0 0 2.40101e+06 4960.76 0.10 0.57 0.38 -1 -1 0.10 0.350481 0.310918 1211 1775 627 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_35.v common 17.60 vpr 88.11 MiB 0.24 17272 -1 -1 1 0.63 -1 -1 41736 -1 -1 213 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90224 22 19 5093 4228 1 2574 264 22 22 484 mult_36 auto 47.1 MiB 2.76 16563 56946 12872 40185 3889 88.1 MiB 1.29 0.02 4.39726 -3141.79 -4.39726 4.39726 0.58 0.00790271 0.00700838 0.582679 0.517433 -1 -1 -1 -1 74 25977 22 1.32347e+07 7.04329e+06 2.15943e+06 4461.62 7.31 3.02908 2.64447 57402 562966 -1 23326 13 8161 9787 1069976 240381 4.64786 4.64786 -3263.7 -4.64786 0 0 2.68771e+06 5553.12 0.11 0.52 0.45 -1 -1 0.11 0.312762 0.281927 1259 1842 646 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_36.v common 21.42 vpr 97.20 MiB 0.24 17428 -1 -1 1 0.64 -1 -1 41872 -1 -1 218 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 99536 22 19 5167 4285 1 2624 269 22 22 484 mult_36 auto 47.0 MiB 2.84 17378 63633 14576 44654 4403 87.9 MiB 1.48 0.02 4.28986 -3221.61 -4.28986 4.28986 0.58 0.00859234 0.00767412 0.651167 0.577992 -1 -1 -1 -1 76 27605 34 1.32347e+07 7.11567e+06 2.20457e+06 4554.90 10.86 3.45022 2.99651 57882 574062 -1 24408 13 8387 10315 1163098 254670 4.52256 4.52256 -3348.15 -4.52256 0 0 2.73077e+06 5642.09 0.11 0.50 0.45 -1 -1 0.11 0.29115 0.260669 1274 1861 665 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_37.v common 57.67 vpr 89.67 MiB 0.25 17668 -1 -1 1 0.67 -1 -1 40784 -1 -1 225 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91820 22 19 5380 4464 1 2722 277 24 24 576 mult_36 auto 48.3 MiB 2.89 17295 68829 16323 46977 5529 89.7 MiB 1.54 0.02 4.39726 -3317.6 -4.39726 4.39726 0.68 0.00806556 0.00711894 0.675621 0.596281 -1 -1 -1 -1 64 30337 43 1.59675e+07 7.61299e+06 2.26035e+06 3924.22 46.54 5.80695 5.01905 64454 586630 -1 25537 14 10006 12304 1351231 309239 4.27196 4.27196 -3634.45 -4.27196 0 0 2.84938e+06 4946.85 0.11 0.58 0.45 -1 -1 0.11 0.322533 0.288771 1329 1947 684 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_38.v common 83.68 vpr 93.30 MiB 0.26 18012 -1 -1 1 0.69 -1 -1 42276 -1 -1 230 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 95536 22 19 5454 4521 1 2774 282 24 24 576 mult_36 auto 48.6 MiB 3.02 18372 74202 17623 50747 5832 89.8 MiB 1.66 0.02 4.39726 -3412.27 -4.39726 4.39726 0.69 0.00828286 0.00733321 0.71783 0.634346 -1 -1 -1 -1 66 33477 34 1.59675e+07 7.68537e+06 2.33135e+06 4047.49 72.17 5.87064 5.07898 65030 601923 -1 26771 15 10113 12331 1558832 331041 4.52256 4.52256 -3688.96 -4.52256 0 0 2.91907e+06 5067.82 0.12 0.64 0.47 -1 -1 0.12 0.346471 0.310252 1348 1966 703 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_39.v common 18.94 vpr 92.62 MiB 0.15 18176 -1 -1 1 0.71 -1 -1 40220 -1 -1 237 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 94840 22 19 5629 4662 1 2875 289 24 24 576 mult_36 auto 49.0 MiB 3.05 18971 77644 19157 52348 6139 90.4 MiB 1.79 0.02 4.3516 -3486.91 -4.3516 4.3516 0.70 0.00880034 0.00779509 0.790405 0.701606 -1 -1 -1 -1 72 30268 19 1.59675e+07 7.7867e+06 2.50747e+06 4353.24 7.13 2.99364 2.61042 67330 654343 -1 26391 18 9465 11521 1245662 279224 4.39726 4.39726 -3679.26 -4.39726 0 0 3.14081e+06 5452.80 0.13 0.64 0.52 -1 -1 0.13 0.395722 0.352302 1394 2032 722 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_40.v common 71.49 vpr 91.26 MiB 0.28 18520 -1 -1 1 0.73 -1 -1 42384 -1 -1 241 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 93448 22 19 5703 4719 1 2920 293 24 24 576 mult_36 auto 49.7 MiB 3.06 18098 76109 18116 52636 5357 91.3 MiB 1.84 0.02 4.14666 -3439.71 -4.14666 4.14666 0.69 0.00946622 0.00847626 0.824802 0.729872 -1 -1 -1 -1 64 32159 37 1.59675e+07 7.8446e+06 2.26035e+06 3924.22 59.55 6.57781 5.68155 64454 586630 -1 26321 16 10508 13300 1388982 315901 4.52256 4.52256 -3746.74 -4.52256 0 0 2.84938e+06 4946.85 0.11 0.60 0.46 -1 -1 0.11 0.352436 0.313159 1409 2051 741 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_41.v common 52.08 vpr 94.00 MiB 0.27 19048 -1 -1 1 0.78 -1 -1 41580 -1 -1 250 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 96256 22 19 5950 4932 1 3024 303 24 24 576 mult_36 auto 50.4 MiB 3.18 19412 81663 20021 54863 6779 92.1 MiB 1.83 0.02 4.52256 -3666.93 -4.52256 4.52256 0.69 0.00919612 0.00815677 0.80326 0.710567 -1 -1 -1 -1 64 32823 29 1.59675e+07 8.37088e+06 2.26035e+06 3924.22 39.89 5.56814 4.81137 64454 586630 -1 27297 14 10224 13072 1308214 301675 4.77316 4.77316 -3867.19 -4.77316 0 0 2.84938e+06 4946.85 0.11 0.60 0.46 -1 -1 0.11 0.352382 0.314739 1475 2153 760 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_42.v common 63.08 vpr 93.99 MiB 0.28 18972 -1 -1 1 0.84 -1 -1 42852 -1 -1 254 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 96248 22 19 6024 4989 1 3072 307 24 24 576 mult_36 auto 50.8 MiB 3.25 19488 86212 20260 58326 7626 92.3 MiB 1.95 0.02 4.27196 -3730.35 -4.27196 4.27196 0.68 0.00937444 0.00831084 0.843478 0.747416 -1 -1 -1 -1 66 33346 38 1.59675e+07 8.42879e+06 2.33135e+06 4047.49 50.54 5.87296 5.0647 65030 601923 -1 27784 16 10361 12852 1427026 323696 4.39726 4.39726 -3980.15 -4.39726 0 0 2.91907e+06 5067.82 0.12 0.66 0.47 -1 -1 0.12 0.387143 0.345226 1489 2172 779 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_43.v common 67.28 vpr 94.80 MiB 0.29 19280 -1 -1 1 0.85 -1 -1 43344 -1 -1 260 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 97076 22 19 6198 5129 1 3169 313 24 24 576 mult_36 auto 51.6 MiB 3.15 19785 81025 19291 54599 7135 93.5 MiB 1.86 0.02 4.22665 -3840.41 -4.22665 4.22665 0.70 0.0104063 0.00924493 0.798779 0.704527 -1 -1 -1 -1 70 32765 30 1.59675e+07 8.51564e+06 2.45377e+06 4260.01 54.67 6.49785 5.61964 66754 640332 -1 28307 18 10831 13302 1449472 322690 4.27196 4.27196 -4048.13 -4.27196 0 0 3.09179e+06 5367.68 0.12 0.71 0.50 -1 -1 0.12 0.430586 0.383223 1537 2237 798 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_44.v common 20.21 vpr 99.05 MiB 0.29 19520 -1 -1 1 0.85 -1 -1 43200 -1 -1 265 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 101428 22 19 6272 5186 1 3219 318 24 24 576 mult_36 auto 52.1 MiB 3.37 20190 87118 21011 58256 7851 93.8 MiB 2.02 0.02 4.27196 -3927.5 -4.27196 4.27196 0.70 0.0104848 0.00934783 0.876687 0.776946 -1 -1 -1 -1 76 32073 23 1.59675e+07 8.58802e+06 2.61600e+06 4541.67 7.09 3.0322 2.65226 68478 680951 -1 28256 17 10386 12677 1421455 323279 4.39726 4.39726 -4060.76 -4.39726 0 0 3.24203e+06 5628.53 0.14 0.73 0.54 -1 -1 0.14 0.452841 0.403543 1550 2256 817 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_45.v common 78.04 vpr 98.20 MiB 0.30 19952 -1 -1 1 0.90 -1 -1 43888 -1 -1 273 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 100560 22 19 6485 5365 1 3318 327 24 24 576 mult_36 auto 53.0 MiB 3.46 22436 91533 21483 62833 7217 95.2 MiB 2.18 0.03 4.64786 -4096.11 -4.64786 4.64786 0.69 0.0105015 0.00935759 0.936831 0.830152 -1 -1 -1 -1 70 36336 37 1.59675e+07 9.09984e+06 2.45377e+06 4260.01 64.74 6.47554 5.57842 66754 640332 -1 31318 14 11200 14089 1549110 348134 4.77316 4.77316 -4247.77 -4.77316 0 0 3.09179e+06 5367.68 0.12 0.66 0.50 -1 -1 0.12 0.377153 0.336891 1607 2342 836 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_46.v common 21.49 vpr 97.75 MiB 0.30 20204 -1 -1 1 0.88 -1 -1 43904 -1 -1 279 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 100092 22 19 6559 5422 1 3368 333 24 24 576 mult_36 auto 53.3 MiB 3.48 22683 90267 21473 61099 7695 95.1 MiB 2.06 0.03 4.28986 -4090.24 -4.28986 4.28986 0.70 0.0103805 0.00924863 0.87426 0.770131 -1 -1 -1 -1 72 36743 32 1.59675e+07 9.1867e+06 2.50747e+06 4353.24 8.06 3.59075 3.12436 67330 654343 -1 31346 18 11509 14289 1567838 356852 4.39726 4.39726 -4580.66 -4.39726 0 0 3.14081e+06 5452.80 0.14 0.80 0.52 -1 -1 0.14 0.477755 0.425879 1624 2361 855 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_47.v common 72.17 vpr 100.92 MiB 0.32 20332 -1 -1 1 0.92 -1 -1 44552 -1 -1 285 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 103344 22 19 6735 5564 1 3471 339 24 24 576 mult_36 auto 54.3 MiB 3.56 22607 90095 21334 61811 6950 96.6 MiB 2.08 0.03 4.39726 -4149.73 -4.39726 4.39726 0.70 0.0107914 0.00961282 0.87402 0.771002 -1 -1 -1 -1 76 35812 33 1.59675e+07 9.27356e+06 2.61600e+06 4541.67 58.56 6.7991 5.85872 68478 680951 -1 31249 14 11118 13914 1474968 334003 4.52256 4.52256 -4433.08 -4.52256 0 0 3.24203e+06 5628.53 0.14 0.69 0.55 -1 -1 0.14 0.406567 0.364315 1672 2428 874 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_48.v common 58.18 vpr 101.20 MiB 0.23 20520 -1 -1 1 0.96 -1 -1 44072 -1 -1 289 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 103628 22 19 6809 5621 1 3519 343 24 24 576 mult_36 auto 54.7 MiB 3.64 23517 98743 23649 66302 8792 97.0 MiB 2.31 0.03 4.39726 -4252.88 -4.39726 4.39726 0.70 0.0108587 0.00965144 0.979401 0.863504 -1 -1 -1 -1 70 37795 30 1.59675e+07 9.33146e+06 2.45377e+06 4260.01 44.34 6.07597 5.24279 66754 640332 -1 32590 14 11847 14093 1582855 363602 4.39726 4.39726 -4635.63 -4.39726 0 0 3.09179e+06 5367.68 0.12 0.70 0.50 -1 -1 0.12 0.397697 0.355302 1686 2447 893 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_49.v common 24.32 vpr 106.85 MiB 0.35 21296 -1 -1 1 0.95 -1 -1 44456 -1 -1 298 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 109412 22 19 7094 5872 1 3618 353 24 24 576 mult_36 auto 55.9 MiB 3.77 24435 98866 23610 67132 8124 98.3 MiB 2.30 0.03 4.39726 -4404.97 -4.39726 4.39726 0.69 0.0111994 0.00994997 0.962872 0.844365 -1 -1 -1 -1 74 38788 33 1.59675e+07 9.85776e+06 2.56259e+06 4448.94 10.21 4.40301 3.82348 67906 667765 -1 33690 18 12209 15005 1736118 386567 4.52256 4.52256 -4662.77 -4.52256 0 0 3.19068e+06 5539.38 0.13 0.81 0.52 -1 -1 0.13 0.489132 0.434428 1759 2569 912 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_50.v common 27.47 vpr 103.11 MiB 0.34 21336 -1 -1 1 1.03 -1 -1 44168 -1 -1 304 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 105588 22 19 7168 5929 1 3671 359 24 24 576 mult_36 auto 56.4 MiB 3.83 25518 102359 25619 68660 8080 98.8 MiB 2.37 0.03 4.52256 -4539.09 -4.52256 4.52256 0.69 0.0112879 0.0100409 0.981277 0.864755 -1 -1 -1 -1 76 41324 44 1.59675e+07 9.94462e+06 2.61600e+06 4541.67 12.97 5.03896 4.38193 68478 680951 -1 34966 14 12130 14915 1729535 372861 4.64786 4.64786 -4764.3 -4.64786 0 0 3.24203e+06 5628.53 0.13 0.74 0.58 -1 -1 0.13 0.41363 0.369187 1776 2588 931 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_51.v common 23.43 vpr 104.80 MiB 0.34 21600 -1 -1 1 1.05 -1 -1 44728 -1 -1 310 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 107320 22 19 7344 6071 1 3770 365 24 24 576 mult_36 auto 57.1 MiB 4.04 24884 96787 21858 67422 7507 99.7 MiB 2.28 0.03 4.64786 -4591.41 -4.64786 4.64786 0.70 0.0119859 0.0106767 0.95437 0.841785 -1 -1 -1 -1 74 38659 30 1.59675e+07 1.00315e+07 2.56259e+06 4448.94 8.69 3.82993 3.34116 67906 667765 -1 33825 14 11891 14401 1624187 357790 4.77316 4.77316 -4898.9 -4.77316 0 0 3.19068e+06 5539.38 0.14 0.74 0.53 -1 -1 0.14 0.437507 0.390358 1824 2655 950 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_pipe_52.v common 58.43 vpr 106.54 MiB 0.34 21712 -1 -1 1 1.13 -1 -1 45180 -1 -1 316 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 109096 22 19 7418 6128 1 3819 371 24 24 576 mult_36 auto 57.6 MiB 4.09 24618 110927 26905 75155 8867 100.3 MiB 2.55 0.03 4.37027 -4623.87 -4.37027 4.37027 0.70 0.0118578 0.0105527 1.06721 0.939435 -1 -1 -1 -1 72 38891 30 1.59675e+07 1.01183e+07 2.50747e+06 4353.24 43.24 7.1997 6.21465 67330 654343 -1 34248 14 12315 15106 1600586 366230 4.64786 4.64786 -4879.44 -4.64786 0 0 3.14081e+06 5452.80 0.13 0.75 0.52 -1 -1 0.13 0.441075 0.394744 1839 2674 969 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_14.v common 6.91 vpr 69.28 MiB 0.10 9404 -1 -1 1 0.16 -1 -1 34752 -1 -1 55 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70940 22 19 1246 925 1 715 100 16 16 256 mult_36 auto 29.0 MiB 0.97 3919 16340 4185 9554 2601 69.3 MiB 0.36 0.00 7.75364 -386.699 -7.75364 7.75364 0.29 0.00244916 0.00225056 0.185155 0.169601 -1 -1 -1 -1 56 7480 28 6.59459e+06 2.38016e+06 849745. 3319.32 2.89 0.733073 0.64839 26364 208198 -1 6324 27 6878 7649 920609 244139 8.11743 8.11743 -409.305 -8.11743 0 0 1.04740e+06 4091.43 0.04 0.31 0.17 -1 -1 0.04 0.138326 0.12339 301 344 247 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_15.v common 6.89 vpr 68.94 MiB 0.10 9672 -1 -1 1 0.18 -1 -1 35392 -1 -1 61 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70592 22 19 1344 989 1 776 107 16 16 256 mult_36 auto 29.4 MiB 1.00 4288 17564 4654 10546 2364 68.9 MiB 0.39 0.01 7.83504 -386.104 -7.83504 7.83504 0.29 0.00263327 0.00241841 0.19507 0.178636 -1 -1 -1 -1 54 8278 38 6.59459e+06 2.86302e+06 829453. 3240.05 2.79 0.842416 0.74625 26108 202796 -1 6687 25 6362 7037 709543 186931 8.34003 8.34003 -442.79 -8.34003 0 0 1.02522e+06 4004.78 0.04 0.30 0.16 -1 -1 0.04 0.1442 0.129333 324 369 266 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_16.v common 7.54 vpr 69.18 MiB 0.10 9848 -1 -1 1 0.17 -1 -1 35092 -1 -1 63 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70836 22 19 1418 1046 1 812 109 16 16 256 mult_36 auto 29.8 MiB 1.31 4533 14669 3310 9666 1693 69.2 MiB 0.33 0.01 7.99071 -420.631 -7.99071 7.99071 0.29 0.00274396 0.00251711 0.16608 0.152151 -1 -1 -1 -1 56 8267 39 6.59459e+06 2.89197e+06 849745. 3319.32 3.18 0.863261 0.761529 26364 208198 -1 7412 22 7148 8101 892481 235182 8.14544 8.14544 -447.752 -8.14544 0 0 1.04740e+06 4091.43 0.04 0.31 0.18 -1 -1 0.04 0.136535 0.122 343 388 285 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_17.v common 9.70 vpr 69.56 MiB 0.12 10304 -1 -1 1 0.18 -1 -1 35372 -1 -1 68 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71228 22 19 1518 1112 1 874 114 16 16 256 mult_36 auto 30.3 MiB 1.44 5245 24402 6450 15009 2943 69.6 MiB 0.54 0.01 8.71055 -438.026 -8.71055 8.71055 0.29 0.00292705 0.00267783 0.270838 0.247842 -1 -1 -1 -1 54 10377 43 6.59459e+06 2.96435e+06 829453. 3240.05 4.94 1.17091 1.04076 26108 202796 -1 8188 26 8292 9448 963929 243570 9.34558 9.34558 -558.37 -9.34558 0 0 1.02522e+06 4004.78 0.04 0.34 0.16 -1 -1 0.04 0.156995 0.139831 366 415 304 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_18.v common 8.03 vpr 69.85 MiB 0.12 10260 -1 -1 1 0.19 -1 -1 34968 -1 -1 71 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71524 22 19 1592 1169 1 911 117 16 16 256 mult_36 auto 30.7 MiB 1.49 5039 19279 4966 11980 2333 69.8 MiB 0.46 0.01 8.63558 -456.497 -8.63558 8.63558 0.29 0.00305118 0.00276672 0.219495 0.200351 -1 -1 -1 -1 58 9615 33 6.59459e+06 3.00778e+06 871168. 3403.00 3.19 0.929987 0.821943 26872 219187 -1 8100 26 7350 8202 927331 231090 8.91648 8.91648 -563.646 -8.91648 0 0 1.09288e+06 4269.05 0.04 0.35 0.18 -1 -1 0.04 0.16531 0.147115 385 434 323 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_19.v common 11.67 vpr 70.29 MiB 0.12 10624 -1 -1 1 0.20 -1 -1 35316 -1 -1 75 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71980 22 19 1688 1231 1 970 122 16 16 256 mult_36 auto 30.9 MiB 1.51 5761 23376 6212 13259 3905 70.3 MiB 0.52 0.01 8.60356 -487.553 -8.60356 8.60356 0.29 0.00318779 0.00292108 0.260422 0.237923 -1 -1 -1 -1 62 10425 45 6.59459e+06 3.46168e+06 916467. 3579.95 6.63 1.71576 1.51305 27384 229598 -1 8531 27 8100 9147 1007274 238049 8.54388 8.54388 -576.436 -8.54388 0 0 1.13630e+06 4438.68 0.04 0.36 0.18 -1 -1 0.04 0.178144 0.15859 407 457 342 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_20.v common 19.24 vpr 70.88 MiB 0.13 10668 -1 -1 1 0.21 -1 -1 35540 -1 -1 77 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72576 22 19 1762 1288 1 1008 124 16 16 256 mult_36 auto 31.2 MiB 1.58 5696 19900 4820 12143 2937 70.9 MiB 0.47 0.01 8.72494 -497.85 -8.72494 8.72494 0.29 0.00333636 0.00304322 0.232955 0.21242 -1 -1 -1 -1 62 10220 48 6.59459e+06 3.49063e+06 916467. 3579.95 14.15 1.93836 1.69903 27384 229598 -1 8514 24 7371 8214 846629 211248 8.69588 8.69588 -542.413 -8.69588 0 0 1.13630e+06 4438.68 0.04 0.34 0.19 -1 -1 0.04 0.173473 0.154762 425 476 361 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_21.v common 27.75 vpr 71.22 MiB 0.13 10948 -1 -1 1 0.23 -1 -1 35792 -1 -1 81 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72932 22 19 1859 1351 1 1067 128 16 16 256 mult_36 auto 31.7 MiB 1.62 6364 22024 5378 13076 3570 71.2 MiB 0.52 0.01 8.56832 -508.444 -8.56832 8.56832 0.29 0.00350334 0.00320374 0.255967 0.233581 -1 -1 -1 -1 64 11042 45 6.59459e+06 3.54854e+06 943753. 3686.54 22.47 2.28973 2.01387 27892 240595 -1 9092 26 7736 8576 973194 238854 8.82228 8.82228 -598.366 -8.82228 0 0 1.19033e+06 4649.74 0.05 0.38 0.20 -1 -1 0.05 0.192218 0.171009 447 500 380 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_22.v common 8.93 vpr 71.42 MiB 0.14 11044 -1 -1 1 0.24 -1 -1 35884 -1 -1 84 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73132 22 19 1933 1408 1 1105 131 16 16 256 mult_36 auto 31.8 MiB 1.69 6613 19387 4426 12555 2406 71.4 MiB 0.49 0.01 8.68371 -576.607 -8.68371 8.68371 0.29 0.00361745 0.00330276 0.231014 0.210384 -1 -1 -1 -1 64 11510 32 6.59459e+06 3.59196e+06 943753. 3686.54 3.57 1.09921 0.971727 27892 240595 -1 9867 25 8936 10054 1161617 270205 8.73628 8.73628 -648.894 -8.73628 0 0 1.19033e+06 4649.74 0.04 0.42 0.20 -1 -1 0.04 0.194085 0.17289 467 519 399 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_23.v common 10.20 vpr 72.12 MiB 0.15 11536 -1 -1 1 0.25 -1 -1 36192 -1 -1 89 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73852 22 19 2031 1472 1 1165 137 18 18 324 mult_36 auto 32.4 MiB 1.97 6607 24847 6078 16057 2712 72.1 MiB 0.58 0.01 8.77065 -585.399 -8.77065 8.77065 0.38 0.00371784 0.0033888 0.279109 0.254234 -1 -1 -1 -1 60 11760 29 8.13932e+06 4.06034e+06 1.16833e+06 3605.96 4.12 1.23315 1.08814 35004 297736 -1 10266 23 8574 9686 1112924 275660 8.74538 8.74538 -695.082 -8.74538 0 0 1.46313e+06 4515.82 0.06 0.40 0.24 -1 -1 0.06 0.186676 0.166502 489 544 418 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_24.v common 10.12 vpr 72.77 MiB 0.15 11568 -1 -1 1 0.26 -1 -1 36196 -1 -1 92 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74516 22 19 2105 1529 1 1204 140 18 18 324 mult_36 auto 33.0 MiB 1.88 7023 23009 5432 15615 1962 72.8 MiB 0.56 0.01 8.59027 -599.588 -8.59027 8.59027 0.37 0.00389428 0.00354815 0.26051 0.236893 -1 -1 -1 -1 60 12548 27 8.13932e+06 4.10377e+06 1.16833e+06 3605.96 4.12 1.13211 0.999115 35004 297736 -1 10624 23 8524 9667 1084700 263305 8.64568 8.64568 -770.611 -8.64568 0 0 1.46313e+06 4515.82 0.06 0.39 0.24 -1 -1 0.06 0.190269 0.169691 508 563 437 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_25.v common 13.99 vpr 73.21 MiB 0.12 11768 -1 -1 1 0.22 -1 -1 36520 -1 -1 95 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74964 22 19 2201 1591 1 1260 143 18 18 324 mult_36 auto 33.2 MiB 1.76 8194 27745 7100 17403 3242 73.2 MiB 0.69 0.01 8.73499 -572.467 -8.73499 8.73499 0.38 0.0042082 0.00384116 0.33006 0.299895 -1 -1 -1 -1 66 14299 30 8.13932e+06 4.1472e+06 1.27759e+06 3943.17 7.86 1.99307 1.75537 36296 327148 -1 12283 23 11448 12868 1680012 391916 9.04958 9.04958 -692.805 -9.04958 0 0 1.59950e+06 4936.74 0.06 0.52 0.26 -1 -1 0.06 0.207578 0.185122 529 586 456 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_26.v common 11.08 vpr 73.43 MiB 0.16 12004 -1 -1 1 0.27 -1 -1 37400 -1 -1 98 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75196 22 19 2275 1648 1 1297 146 18 18 324 mult_36 auto 33.5 MiB 1.85 7999 24338 5668 16273 2397 73.4 MiB 0.61 0.01 8.96415 -597.178 -8.96415 8.96415 0.38 0.00414662 0.00377152 0.279333 0.253882 -1 -1 -1 -1 64 13196 41 8.13932e+06 4.19062e+06 1.23838e+06 3822.15 4.87 1.49552 1.32311 35972 318676 -1 11865 25 9874 11325 1293973 304995 8.82748 8.82748 -808.147 -8.82748 0 0 1.56068e+06 4816.91 0.06 0.47 0.25 -1 -1 0.06 0.223626 0.199116 549 605 475 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_27.v common 14.39 vpr 74.11 MiB 0.17 12224 -1 -1 1 0.30 -1 -1 36688 -1 -1 104 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75884 22 19 2385 1724 1 1372 153 18 18 324 mult_36 auto 34.2 MiB 2.02 8777 30419 7799 19239 3381 74.1 MiB 0.75 0.01 8.86475 -625.413 -8.86475 8.86475 0.37 0.00429284 0.00389463 0.34172 0.309996 -1 -1 -1 -1 76 13707 24 8.13932e+06 4.67347e+06 1.43297e+06 4422.75 7.73 2.18313 1.92481 38232 369828 -1 12614 23 9422 10787 1205229 288478 8.68188 8.68188 -858.473 -8.68188 0 0 1.77541e+06 5479.65 0.07 0.45 0.30 -1 -1 0.07 0.220245 0.196444 577 642 494 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_28.v common 12.54 vpr 74.55 MiB 0.17 12360 -1 -1 1 0.31 -1 -1 36800 -1 -1 108 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76336 22 19 2459 1781 1 1407 157 18 18 324 mult_36 auto 34.7 MiB 2.09 8925 30190 7619 18347 4224 74.5 MiB 0.72 0.01 8.65855 -666.267 -8.65855 8.65855 0.37 0.00438766 0.0039739 0.340069 0.308012 -1 -1 -1 -1 66 15195 32 8.13932e+06 4.73137e+06 1.27759e+06 3943.17 5.83 1.66023 1.46255 36296 327148 -1 13273 22 10080 11530 1391089 314694 9.17008 9.17008 -831.006 -9.17008 0 0 1.59950e+06 4936.74 0.06 0.47 0.26 -1 -1 0.06 0.217458 0.194342 597 661 513 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_29.v common 14.48 vpr 75.21 MiB 0.17 12648 -1 -1 1 0.32 -1 -1 37264 -1 -1 113 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77016 22 19 2565 1853 1 1476 163 22 22 484 mult_36 auto 35.3 MiB 2.43 8788 31313 8132 20016 3165 75.2 MiB 0.75 0.01 8.77133 -653.597 -8.77133 8.77133 0.57 0.00456648 0.00414506 0.342094 0.310038 -1 -1 -1 -1 60 16044 31 1.32347e+07 5.19974e+06 1.79840e+06 3715.71 6.68 1.77323 1.56874 53054 462096 -1 14002 26 12311 13966 1641221 386070 8.97268 8.97268 -940.012 -8.97268 0 0 2.25108e+06 4650.99 0.09 0.57 0.36 -1 -1 0.09 0.258122 0.229689 624 694 532 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_30.v common 37.52 vpr 75.79 MiB 0.18 12724 -1 -1 1 0.33 -1 -1 37384 -1 -1 116 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77612 22 19 2639 1910 1 1513 166 22 22 484 mult_36 auto 35.7 MiB 2.31 9829 38014 9787 24213 4014 75.8 MiB 0.92 0.01 8.89745 -718.165 -8.89745 8.89745 0.63 0.00483606 0.00436692 0.417939 0.378381 -1 -1 -1 -1 60 17662 44 1.32347e+07 5.24317e+06 1.79840e+06 3715.71 29.53 2.77806 2.43738 53054 462096 -1 14976 26 14162 15683 2047113 466931 9.32988 9.32988 -962.034 -9.32988 0 0 2.25108e+06 4650.99 0.09 0.62 0.35 -1 -1 0.09 0.26033 0.231013 642 713 551 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_31.v common 17.37 vpr 76.07 MiB 0.19 13076 -1 -1 1 0.34 -1 -1 37400 -1 -1 122 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77892 22 19 2744 1981 1 1582 172 22 22 484 mult_36 auto 36.0 MiB 2.34 9962 37456 9624 23986 3846 76.1 MiB 0.88 0.01 9.01122 -735.377 -9.01122 9.01122 0.58 0.00480079 0.00435853 0.411451 0.372955 -1 -1 -1 -1 62 16902 30 1.32347e+07 5.33002e+06 1.85176e+06 3825.95 9.37 2.38341 2.10772 53538 472186 -1 14494 25 13585 15278 1741611 422758 8.68788 8.68788 -958.808 -8.68788 0 0 2.29262e+06 4736.82 0.09 0.58 0.36 -1 -1 0.09 0.2667 0.237499 668 745 570 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_32.v common 45.12 vpr 76.42 MiB 0.18 13092 -1 -1 1 0.35 -1 -1 36844 -1 -1 125 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78256 22 19 2818 2038 1 1619 175 22 22 484 mult_36 auto 36.3 MiB 2.65 10123 40762 10328 26197 4237 76.4 MiB 1.01 0.01 8.64525 -753.236 -8.64525 8.64525 0.58 0.00494269 0.00448959 0.447181 0.404453 -1 -1 -1 -1 60 19016 39 1.32347e+07 5.37345e+06 1.79840e+06 3715.71 36.52 2.95198 2.59279 53054 462096 -1 15727 28 15158 16896 2212716 518101 9.67018 9.67018 -1067.45 -9.67018 0 0 2.25108e+06 4650.99 0.09 0.72 0.37 -1 -1 0.09 0.300042 0.266595 687 764 589 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_33.v common 17.11 vpr 76.84 MiB 0.20 13716 -1 -1 1 0.37 -1 -1 37440 -1 -1 131 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78684 22 19 2923 2109 1 1687 182 22 22 484 mult_36 auto 36.7 MiB 2.42 10744 50652 12619 32468 5565 76.8 MiB 1.16 0.01 9.89949 -781.7 -9.89949 9.89949 0.59 0.00520838 0.00473357 0.521502 0.472186 -1 -1 -1 -1 62 19702 49 1.32347e+07 5.85629e+06 1.85176e+06 3825.95 8.61 2.33065 2.05719 53538 472186 -1 16281 24 13171 14982 1824746 403148 9.94642 9.94642 -1036.96 -9.94642 0 0 2.29262e+06 4736.82 0.09 0.63 0.36 -1 -1 0.09 0.280595 0.250528 713 796 608 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_34.v common 15.53 vpr 77.34 MiB 0.20 13852 -1 -1 1 0.38 -1 -1 37812 -1 -1 134 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79200 22 19 2997 2166 1 1725 185 22 22 484 mult_36 auto 37.2 MiB 2.92 10554 42791 11074 26968 4749 77.3 MiB 1.02 0.01 9.74659 -875.224 -9.74659 9.74659 0.58 0.00534295 0.00484971 0.460197 0.41692 -1 -1 -1 -1 68 18126 32 1.32347e+07 5.89972e+06 2.01763e+06 4168.66 6.63 1.77985 1.57734 55470 518816 -1 15616 23 11627 13209 1652804 355882 9.71192 9.71192 -1165.74 -9.71192 0 0 2.51205e+06 5190.18 0.10 0.57 0.40 -1 -1 0.10 0.267451 0.238867 732 815 627 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_35.v common 20.13 vpr 83.96 MiB 0.21 14112 -1 -1 1 0.41 -1 -1 37596 -1 -1 139 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85976 22 19 3101 2236 1 1790 190 22 22 484 mult_36 auto 37.4 MiB 2.61 11021 47692 12219 30533 4940 77.8 MiB 1.13 0.01 9.56443 -885.804 -9.56443 9.56443 0.59 0.00546545 0.00494609 0.503059 0.45533 -1 -1 -1 -1 70 18238 27 1.32347e+07 5.9721e+06 2.06816e+06 4273.05 11.25 2.87427 2.5377 56434 539830 -1 16296 24 13112 14657 1754009 402401 9.41632 9.41632 -1036.75 -9.41632 0 0 2.60483e+06 5381.88 0.10 0.59 0.42 -1 -1 0.10 0.27947 0.249055 758 846 646 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_36.v common 15.06 vpr 78.20 MiB 0.21 14232 -1 -1 1 0.41 -1 -1 38016 -1 -1 142 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80072 22 19 3175 2293 1 1829 193 22 22 484 mult_36 auto 37.8 MiB 2.98 11262 46981 12511 29752 4718 78.2 MiB 1.10 0.01 9.84955 -821.59 -9.84955 9.84955 0.58 0.00553292 0.00502362 0.495729 0.448356 -1 -1 -1 -1 62 19614 35 1.32347e+07 6.01552e+06 1.85176e+06 3825.95 6.59 1.88795 1.67438 53538 472186 -1 16722 23 14473 16103 1811287 431175 9.68762 9.68762 -1086.81 -9.68762 0 0 2.29262e+06 4736.82 0.08 0.41 0.28 -1 -1 0.08 0.167793 0.152409 776 865 665 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_37.v common 16.66 vpr 78.78 MiB 0.22 14524 -1 -1 1 0.42 -1 -1 37444 -1 -1 146 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80672 22 19 3280 2364 1 1895 198 24 24 576 mult_36 auto 38.3 MiB 2.97 11785 50310 13568 32659 4083 78.8 MiB 1.20 0.01 9.62045 -894.87 -9.62045 9.62045 0.68 0.00565775 0.0051116 0.534051 0.481538 -1 -1 -1 -1 70 18718 26 1.59675e+07 6.46943e+06 2.45377e+06 4260.01 7.20 2.18232 1.9298 66754 640332 -1 16929 24 13125 14648 1792304 411051 9.95352 9.95352 -1247.13 -9.95352 0 0 3.09179e+06 5367.68 0.14 0.41 0.50 -1 -1 0.14 0.172064 0.155776 802 897 684 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_38.v common 45.57 vpr 79.25 MiB 0.22 14476 -1 -1 1 0.43 -1 -1 38128 -1 -1 151 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81148 22 19 3354 2421 1 1933 203 24 24 576 mult_36 auto 38.5 MiB 3.16 11960 55631 14645 35050 5936 79.2 MiB 1.29 0.01 9.65605 -925.726 -9.65605 9.65605 0.69 0.00579162 0.0052439 0.562237 0.507722 -1 -1 -1 -1 62 21133 38 1.59675e+07 6.5418e+06 2.19658e+06 3813.51 35.56 3.32857 2.92728 63306 560109 -1 17669 25 14898 16694 1875852 440065 9.94262 9.94262 -1243.36 -9.94262 0 0 2.72095e+06 4723.87 0.11 0.67 0.43 -1 -1 0.11 0.316549 0.281613 822 916 703 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_39.v common 49.74 vpr 79.94 MiB 0.23 14728 -1 -1 1 0.44 -1 -1 38268 -1 -1 154 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81860 22 19 3457 2490 1 1998 206 24 24 576 mult_36 auto 39.4 MiB 3.19 12211 52494 13894 33587 5013 79.9 MiB 1.23 0.01 9.45587 -893.576 -9.45587 9.45587 0.69 0.00587978 0.00532732 0.536333 0.484589 -1 -1 -1 -1 62 21650 47 1.59675e+07 6.58523e+06 2.19658e+06 3813.51 39.66 3.91093 3.42989 63306 560109 -1 17910 23 14406 16215 1775796 421284 10.0165 10.0165 -1178.94 -10.0165 0 0 2.72095e+06 4723.87 0.11 0.65 0.43 -1 -1 0.11 0.311608 0.278086 846 946 722 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_40.v common 47.02 vpr 80.04 MiB 0.23 14952 -1 -1 1 0.47 -1 -1 38792 -1 -1 158 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81964 22 19 3531 2547 1 2036 210 24 24 576 mult_36 auto 39.3 MiB 3.35 12627 56370 15303 35426 5641 80.0 MiB 1.31 0.01 9.70719 -961.877 -9.70719 9.70719 0.68 0.00598931 0.00541404 0.572095 0.516377 -1 -1 -1 -1 58 22664 45 1.59675e+07 6.64313e+06 2.08734e+06 3623.85 36.64 4.00082 3.51169 62154 534210 -1 18945 25 15739 17755 2033738 506131 9.99322 9.99322 -1316.01 -9.99322 0 0 2.61600e+06 4541.67 0.10 0.74 0.41 -1 -1 0.10 0.335834 0.298499 866 965 741 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_41.v common 24.58 vpr 95.55 MiB 0.24 15320 -1 -1 1 0.48 -1 -1 38148 -1 -1 162 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 97840 22 19 3634 2616 1 2101 215 24 24 576 mult_36 auto 39.8 MiB 3.24 13458 57442 14687 37756 4999 80.6 MiB 1.33 0.01 9.71143 -952.201 -9.71143 9.71143 0.68 0.00599849 0.00541907 0.570506 0.514227 -1 -1 -1 -1 72 21225 29 1.59675e+07 7.09704e+06 2.50747e+06 4353.24 14.07 3.56314 3.14373 67330 654343 -1 18819 25 14278 16193 1924565 436098 9.50282 9.50282 -1406.33 -9.50282 0 0 3.14081e+06 5452.80 0.13 0.72 0.51 -1 -1 0.13 0.347459 0.309305 890 995 760 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_42.v common 19.41 vpr 81.22 MiB 0.25 15512 -1 -1 1 0.50 -1 -1 38272 -1 -1 166 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83168 22 19 3708 2673 1 2140 219 24 24 576 mult_36 auto 40.4 MiB 3.53 13775 61506 16700 38725 6081 81.2 MiB 1.46 0.02 9.83139 -996.816 -9.83139 9.83139 0.70 0.00644026 0.00582523 0.631014 0.567289 -1 -1 -1 -1 66 22911 32 1.59675e+07 7.15494e+06 2.33135e+06 4047.49 8.43 2.57054 2.27169 65030 601923 -1 19951 24 16474 18372 2331941 531244 10.2169 10.2169 -1298.41 -10.2169 0 0 2.91907e+06 5067.82 0.12 0.74 0.46 -1 -1 0.12 0.326926 0.290638 910 1014 779 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_43.v common 23.89 vpr 96.05 MiB 0.25 15768 -1 -1 1 0.52 -1 -1 38824 -1 -1 171 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 98356 22 19 3810 2741 1 2203 224 24 24 576 mult_36 auto 40.5 MiB 3.48 13868 57344 14226 36826 6292 81.6 MiB 1.35 0.02 9.73287 -972.533 -9.73287 9.73287 0.69 0.00697028 0.0061794 0.591845 0.530524 -1 -1 -1 -1 78 21209 27 1.59675e+07 7.22731e+06 2.67122e+06 4637.53 12.90 3.47622 3.05136 69630 706637 -1 19383 23 14174 16368 1945313 443957 9.59962 9.59962 -1413.69 -9.59962 0 0 3.35110e+06 5817.88 0.14 0.70 0.57 -1 -1 0.14 0.337885 0.301381 934 1043 798 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_44.v common 45.11 vpr 82.12 MiB 0.25 15660 -1 -1 1 0.53 -1 -1 38420 -1 -1 173 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84092 22 19 3884 2798 1 2241 226 24 24 576 mult_36 auto 41.2 MiB 3.77 13596 62146 16562 40449 5135 82.1 MiB 0.92 0.01 9.75985 -1105.17 -9.75985 9.75985 0.56 0.00356972 0.00321631 0.342066 0.30592 -1 -1 -1 -1 62 23476 29 1.59675e+07 7.25627e+06 2.19658e+06 3813.51 34.52 3.24889 2.8407 63306 560109 -1 19916 24 16102 18340 1960845 458096 9.89572 9.89572 -1319.43 -9.89572 0 0 2.72095e+06 4723.87 0.11 0.74 0.43 -1 -1 0.11 0.361881 0.322204 953 1062 817 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_45.v common 21.26 vpr 82.24 MiB 0.25 16060 -1 -1 1 0.55 -1 -1 40440 -1 -1 179 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84216 22 19 3989 2869 1 2308 233 24 24 576 mult_36 auto 41.3 MiB 3.71 14829 63241 16559 40917 5765 82.2 MiB 1.57 0.02 9.78006 -1063.54 -9.78006 9.78006 0.69 0.00683743 0.00618717 0.659778 0.592396 -1 -1 -1 -1 68 25067 46 1.59675e+07 7.73912e+06 2.39371e+06 4155.74 9.69 3.01284 2.65958 65606 615345 -1 21493 25 17171 19058 2466105 543495 10.0743 10.0743 -1315.25 -10.0743 0 0 2.98162e+06 5176.42 0.12 0.82 0.48 -1 -1 0.12 0.372905 0.331577 978 1094 836 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_46.v common 49.00 vpr 84.15 MiB 0.26 16180 -1 -1 1 0.55 -1 -1 40684 -1 -1 182 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86168 22 19 4063 2926 1 2346 236 24 24 576 mult_36 auto 41.8 MiB 4.03 15238 65117 16677 42387 6053 82.5 MiB 1.61 0.02 9.53972 -1060.15 -9.53972 9.53972 0.70 0.0073215 0.00663063 0.695466 0.624844 -1 -1 -1 -1 70 24406 32 1.59675e+07 7.78254e+06 2.45377e+06 4260.01 36.96 4.58654 4.0198 66754 640332 -1 21715 26 16355 18371 2220626 508376 9.90042 9.90042 -1292.8 -9.90042 0 0 3.09179e+06 5367.68 0.12 0.83 0.50 -1 -1 0.12 0.396913 0.352708 997 1113 855 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_47.v common 21.48 vpr 83.58 MiB 0.27 16472 -1 -1 1 0.57 -1 -1 40736 -1 -1 187 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85584 22 19 4167 2996 1 2413 241 24 24 576 mult_36 auto 42.2 MiB 3.73 15406 69898 17938 44219 7741 83.0 MiB 1.71 0.02 9.70719 -1155.97 -9.70719 9.70719 0.70 0.00759019 0.00677225 0.747607 0.66958 -1 -1 -1 -1 72 25486 39 1.59675e+07 7.85492e+06 2.50747e+06 4353.24 9.46 3.06328 2.69494 67330 654343 -1 21777 23 16671 18405 2251773 525355 9.88172 9.88172 -1300.23 -9.88172 0 0 3.14081e+06 5452.80 0.13 0.85 0.52 -1 -1 0.13 0.39649 0.354602 1023 1144 874 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_48.v common 20.96 vpr 86.18 MiB 0.28 16668 -1 -1 1 0.45 -1 -1 40780 -1 -1 190 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88244 22 19 4241 3053 1 2450 244 24 24 576 mult_36 auto 43.2 MiB 4.12 15987 64252 16188 41946 6118 84.1 MiB 1.55 0.02 9.69425 -1075.36 -9.69425 9.69425 0.69 0.00754135 0.00672918 0.664636 0.597293 -1 -1 -1 -1 68 27027 47 1.59675e+07 7.89835e+06 2.39371e+06 4155.74 9.03 2.6556 2.33849 65606 615345 -1 22911 26 19615 21811 2925413 664325 9.59962 9.59962 -1414.68 -9.59962 0 0 2.98162e+06 5176.42 0.12 0.88 0.48 -1 -1 0.12 0.353764 0.314185 1042 1163 893 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_49.v common 53.77 vpr 84.30 MiB 0.29 17180 -1 -1 1 0.60 -1 -1 41232 -1 -1 195 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86328 22 19 4346 3124 1 2518 250 24 24 576 mult_36 auto 43.1 MiB 3.91 16256 67145 16212 45494 5439 84.3 MiB 1.66 0.02 9.78703 -1194.34 -9.78703 9.78703 0.69 0.00767709 0.00695213 0.680042 0.612067 -1 -1 -1 -1 66 27367 31 1.59675e+07 8.36672e+06 2.33135e+06 4047.49 41.64 4.74429 4.16269 65030 601923 -1 23563 25 18232 20565 2585825 587677 9.64782 9.64782 -1622.03 -9.64782 0 0 2.91907e+06 5067.82 0.12 0.90 0.47 -1 -1 0.12 0.417422 0.372123 1068 1195 912 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_50.v common 61.41 vpr 84.96 MiB 0.29 17088 -1 -1 1 0.61 -1 -1 40952 -1 -1 197 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87000 22 19 4420 3181 1 2557 252 24 24 576 mult_36 auto 43.8 MiB 4.27 15845 74187 20102 47576 6509 84.9 MiB 1.78 0.02 9.72509 -1196.42 -9.72509 9.72509 0.69 0.0074719 0.00674227 0.740241 0.666316 -1 -1 -1 -1 66 27731 30 1.59675e+07 8.39567e+06 2.33135e+06 4047.49 48.53 5.10281 4.46919 65030 601923 -1 23265 24 19297 21415 2701027 609589 9.92392 9.92392 -1680.67 -9.92392 0 0 2.91907e+06 5067.82 0.14 0.96 0.51 -1 -1 0.14 0.424046 0.376198 1087 1214 931 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_51.v common 59.79 vpr 85.77 MiB 0.30 17380 -1 -1 1 0.64 -1 -1 41164 -1 -1 202 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87828 22 19 4524 3251 1 2622 257 24 24 576 mult_36 auto 43.9 MiB 4.34 16892 66353 17517 43346 5490 85.3 MiB 1.59 0.02 9.76029 -1133.15 -9.76029 9.76029 0.70 0.00785573 0.00709717 0.67548 0.606329 -1 -1 -1 -1 68 29525 34 1.59675e+07 8.46805e+06 2.39371e+06 4155.74 47.01 5.17286 4.52931 65606 615345 -1 24521 22 19485 22051 2700529 616762 9.77072 9.77072 -1396.1 -9.77072 0 0 2.98162e+06 5176.42 0.12 0.95 0.48 -1 -1 0.12 0.421077 0.375283 1112 1245 950 19 0 0 + k6_frac_2ripple_N8_22nm.xml fir_nopipe_52.v common 21.63 vpr 87.09 MiB 0.31 17644 -1 -1 1 0.65 -1 -1 39496 -1 -1 206 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 89184 22 19 4598 3308 1 2659 261 24 24 576 mult_36 auto 44.3 MiB 4.22 17704 73565 18676 46734 8155 85.6 MiB 1.71 0.02 9.73349 -1199.63 -9.73349 9.73349 0.68 0.00771372 0.00685397 0.68828 0.617258 -1 -1 -1 -1 72 28984 31 1.59675e+07 8.52596e+06 2.50747e+06 4353.24 8.88 2.82032 2.48995 67330 654343 -1 25203 25 19549 21588 2695392 598784 9.86402 9.86402 -1625.52 -9.86402 0 0 3.14081e+06 5452.80 0.13 0.94 0.55 -1 -1 0.13 0.431561 0.382872 1132 1264 969 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_14.v common 6.54 vpr 71.82 MiB 0.11 10508 -1 -1 1 0.22 -1 -1 35576 -1 -1 84 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73544 22 19 1974 1653 1 1005 129 16 16 256 mult_36 auto 32.1 MiB 0.76 5469 19954 4641 13223 2090 71.8 MiB 0.44 0.01 4.14666 -1158.78 -4.14666 4.14666 0.29 0.0033934 0.00306174 0.226496 0.204387 -1 -1 -1 -1 52 11046 30 6.62819e+06 2.82012e+06 808720. 3159.06 2.55 0.976593 0.851574 25852 197779 -1 8626 14 3557 4302 444693 116813 4.27196 4.27196 -1266.56 -4.27196 0 0 1.00038e+06 3907.74 0.04 0.19 0.16 -1 -1 0.04 0.11531 0.102675 484 708 247 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_15.v common 9.14 vpr 72.87 MiB 0.11 10856 -1 -1 1 0.23 -1 -1 36900 -1 -1 91 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74616 22 19 2144 1789 1 1096 137 16 16 256 mult_36 auto 32.8 MiB 0.83 6252 23082 5311 14826 2945 72.9 MiB 0.51 0.01 4.27196 -1278.5 -4.27196 4.27196 0.29 0.00368719 0.00331551 0.260562 0.234651 -1 -1 -1 -1 56 12471 39 6.62819e+06 3.31913e+06 849745. 3319.32 4.71 1.38491 1.20834 26364 208198 -1 9905 17 4015 4904 579812 151105 4.39726 4.39726 -1386.98 -4.39726 0 0 1.04740e+06 4091.43 0.04 0.27 0.17 -1 -1 0.04 0.152253 0.13572 530 769 266 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_16.v common 6.62 vpr 73.04 MiB 0.12 11024 -1 -1 1 0.25 -1 -1 36876 -1 -1 95 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74796 22 19 2218 1846 1 1145 141 16 16 256 mult_36 auto 33.1 MiB 0.85 6376 26493 6250 17150 3093 73.0 MiB 0.57 0.01 4.16456 -1287 -4.16456 4.16456 0.29 0.00373482 0.00336187 0.289659 0.260473 -1 -1 -1 -1 60 10502 18 6.62819e+06 3.378e+06 890343. 3477.90 2.17 1.07393 0.93737 27128 224764 -1 9452 14 3609 4261 402324 103665 4.27196 4.27196 -1328.61 -4.27196 0 0 1.11577e+06 4358.47 0.04 0.21 0.18 -1 -1 0.04 0.13343 0.118953 542 788 285 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_17.v common 9.13 vpr 74.71 MiB 0.13 11764 -1 -1 1 0.27 -1 -1 36744 -1 -1 105 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76500 22 19 2536 2130 1 1244 151 16 16 256 mult_36 auto 34.4 MiB 0.99 7357 25013 5494 16739 2780 74.7 MiB 0.58 0.01 4.27196 -1495.5 -4.27196 4.27196 0.29 0.00432307 0.00388436 0.290317 0.26063 -1 -1 -1 -1 64 11924 18 6.62819e+06 3.52515e+06 943753. 3686.54 4.33 1.52369 1.32729 27892 240595 -1 10367 13 3891 4822 465587 110718 4.39726 4.39726 -1547.18 -4.39726 0 0 1.19033e+06 4649.74 0.04 0.23 0.19 -1 -1 0.04 0.141088 0.126035 626 924 304 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_18.v common 18.98 vpr 75.04 MiB 0.14 12088 -1 -1 1 0.29 -1 -1 37196 -1 -1 109 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76844 22 19 2610 2187 1 1294 155 16 16 256 mult_36 auto 34.8 MiB 1.00 7590 26363 5670 17344 3349 75.0 MiB 0.60 0.01 4.27196 -1501.79 -4.27196 4.27196 0.29 0.00441521 0.00396634 0.295764 0.264719 -1 -1 -1 -1 60 12665 27 6.62819e+06 3.58402e+06 890343. 3477.90 14.05 2.24077 1.94257 27128 224764 -1 10775 14 4304 5044 481409 123415 4.27196 4.27196 -1534.38 -4.27196 0 0 1.11577e+06 4358.47 0.04 0.25 0.18 -1 -1 0.04 0.155665 0.139027 640 943 323 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_19.v common 20.47 vpr 75.87 MiB 0.14 12224 -1 -1 1 0.30 -1 -1 36808 -1 -1 116 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77692 22 19 2778 2321 1 1384 163 16 16 256 mult_36 auto 35.5 MiB 1.07 8317 26863 5513 18325 3025 75.9 MiB 0.62 0.01 4.20292 -1641.06 -4.20292 4.20292 0.29 0.0045099 0.00402813 0.298182 0.266933 -1 -1 -1 -1 62 14659 31 6.62819e+06 4.08303e+06 916467. 3579.95 15.23 2.46993 2.13903 27384 229598 -1 11629 16 4749 5692 553157 136051 4.27196 4.27196 -1738.13 -4.27196 0 0 1.13630e+06 4438.68 0.04 0.30 0.19 -1 -1 0.04 0.185692 0.165829 682 1002 342 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_20.v common 12.65 vpr 76.31 MiB 0.14 12328 -1 -1 1 0.31 -1 -1 36972 -1 -1 120 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78140 22 19 2852 2378 1 1431 167 16 16 256 mult_36 auto 36.0 MiB 1.08 9109 29543 6251 19805 3487 76.3 MiB 0.71 0.01 4.33405 -1719.45 -4.33405 4.33405 0.31 0.00480446 0.00430083 0.339688 0.304336 -1 -1 -1 -1 72 15149 30 6.62819e+06 4.14189e+06 1.04740e+06 4091.43 7.24 2.26964 1.97187 29168 268476 -1 12761 14 4845 5724 641063 148686 4.39726 4.39726 -1772.51 -4.39726 0 0 1.31294e+06 5128.69 0.05 0.29 0.22 -1 -1 0.05 0.172114 0.154045 698 1021 361 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_21.v common 15.79 vpr 77.49 MiB 0.16 12836 -1 -1 1 0.34 -1 -1 37928 -1 -1 127 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79352 22 19 3057 2549 1 1525 174 16 16 256 mult_36 auto 36.8 MiB 1.18 9204 29759 6005 20068 3686 77.5 MiB 0.70 0.01 4.14666 -1801.04 -4.14666 4.14666 0.29 0.00524925 0.00471824 0.338607 0.30328 -1 -1 -1 -1 60 17420 49 6.62819e+06 4.2449e+06 890343. 3477.90 10.49 2.67703 2.31824 27128 224764 -1 13488 13 5285 6462 700343 168874 4.27196 4.27196 -1893.68 -4.27196 0 0 1.11577e+06 4358.47 0.04 0.31 0.13 -1 -1 0.04 0.173545 0.155923 753 1099 380 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_22.v common 9.15 vpr 77.76 MiB 0.15 13152 -1 -1 1 0.35 -1 -1 37948 -1 -1 132 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79628 22 19 3131 2606 1 1577 179 16 16 256 clb mult_36 auto 37.1 MiB 1.19 9447 28907 6096 19308 3503 77.8 MiB 0.67 0.01 4.14666 -1850.53 -4.14666 4.14666 0.29 0.00517269 0.00463664 0.316476 0.282309 -1 -1 -1 -1 70 15436 29 6.62819e+06 4.31848e+06 1.02522e+06 4004.78 3.55 1.51847 1.32216 28912 262511 -1 13215 13 5011 6542 632676 151913 4.27196 4.27196 -2008.49 -4.27196 0 0 1.29210e+06 5047.26 0.05 0.30 0.21 -1 -1 0.05 0.17786 0.159106 767 1118 399 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_23.v common 26.94 vpr 78.96 MiB 0.16 13372 -1 -1 1 0.36 -1 -1 37860 -1 -1 137 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80860 22 19 3301 2742 1 1668 185 18 18 324 mult_36 auto 38.2 MiB 1.26 9936 39635 9284 26237 4114 79.0 MiB 0.87 0.01 4.27196 -1943.17 -4.27196 4.27196 0.38 0.00549131 0.00492146 0.429574 0.383309 -1 -1 -1 -1 64 17083 44 8.18539e+06 4.78805e+06 1.23838e+06 3822.15 20.72 3.57704 3.10779 35972 318676 -1 14291 13 5441 6771 686695 163452 4.52256 4.52256 -2038.39 -4.52256 0 0 1.56068e+06 4816.91 0.06 0.32 0.25 -1 -1 0.06 0.190148 0.170603 812 1179 418 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_24.v common 11.41 vpr 79.12 MiB 0.16 13400 -1 -1 1 0.37 -1 -1 38148 -1 -1 144 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81020 22 19 3375 2799 1 1714 192 18 18 324 mult_36 auto 38.3 MiB 1.30 10272 41114 9322 27711 4081 79.1 MiB 0.91 0.01 4.27196 -1997.84 -4.27196 4.27196 0.37 0.00533425 0.00474901 0.425349 0.379214 -1 -1 -1 -1 64 17643 38 8.18539e+06 4.89105e+06 1.23838e+06 3822.15 5.12 2.13177 1.85389 35972 318676 -1 14576 15 5630 7019 676860 159359 4.39726 4.39726 -2124.85 -4.39726 0 0 1.56068e+06 4816.91 0.06 0.34 0.25 -1 -1 0.06 0.209862 0.187467 826 1198 437 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_25.v common 21.74 vpr 80.57 MiB 0.18 13928 -1 -1 1 0.40 -1 -1 38100 -1 -1 150 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82504 22 19 3615 3005 1 1807 198 18 18 324 mult_36 auto 39.4 MiB 1.38 10242 38214 8215 26103 3896 80.6 MiB 0.86 0.01 4.22665 -2157.26 -4.22665 4.22665 0.42 0.00581616 0.00517666 0.409019 0.363853 -1 -1 -1 -1 62 18218 46 8.18539e+06 4.97935e+06 1.20291e+06 3712.69 15.15 3.33537 2.87876 35328 304176 -1 14927 16 6073 7295 716490 176824 4.27196 4.27196 -2232.43 -4.27196 0 0 1.49010e+06 4599.06 0.06 0.36 0.24 -1 -1 0.06 0.22827 0.202908 891 1293 456 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_26.v common 17.26 vpr 80.83 MiB 0.18 14164 -1 -1 1 0.42 -1 -1 38460 -1 -1 155 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82772 22 19 3689 3062 1 1860 203 18 18 324 mult_36 auto 39.6 MiB 1.47 11023 37751 8406 25969 3376 80.8 MiB 0.84 0.01 4.16456 -2171.36 -4.16456 4.16456 0.38 0.0048643 0.00431504 0.393116 0.349693 -1 -1 -1 -1 60 19054 50 8.18539e+06 5.05292e+06 1.16833e+06 3605.96 10.59 3.14919 2.71783 35004 297736 -1 16130 16 6374 7689 723806 179097 4.39726 4.39726 -2341.92 -4.39726 0 0 1.46313e+06 4515.82 0.06 0.37 0.23 -1 -1 0.06 0.232874 0.207115 902 1312 475 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_27.v common 17.56 vpr 81.95 MiB 0.18 14512 -1 -1 1 0.44 -1 -1 38544 -1 -1 163 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83916 22 19 3871 3210 1 1961 212 18 18 324 mult_36 auto 40.6 MiB 1.39 12455 41858 9262 28856 3740 81.9 MiB 0.94 0.01 4.22665 -2321.89 -4.22665 4.22665 0.38 0.00610267 0.00543918 0.436304 0.388245 -1 -1 -1 -1 64 20362 31 8.18539e+06 5.56664e+06 1.23838e+06 3822.15 10.66 3.06699 2.65935 35972 318676 -1 17351 15 6672 8255 797683 192953 4.27196 4.27196 -2485.86 -4.27196 0 0 1.56068e+06 4816.91 0.06 0.40 0.25 -1 -1 0.06 0.240464 0.214739 957 1385 494 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_28.v common 11.57 vpr 81.88 MiB 0.19 14704 -1 -1 1 0.46 -1 -1 38476 -1 -1 166 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83840 22 19 3945 3267 1 2011 215 18 18 324 clb mult_36 auto 40.7 MiB 1.56 12112 38795 8205 26783 3807 81.9 MiB 0.92 0.01 4.41516 -2362.57 -4.41516 4.41516 0.38 0.00650651 0.00582281 0.424785 0.378869 -1 -1 -1 -1 66 20179 44 8.18539e+06 5.61079e+06 1.27759e+06 3943.17 4.50 2.03096 1.76562 36296 327148 -1 16799 15 6381 7971 759341 176639 4.64786 4.64786 -2449.73 -4.64786 0 0 1.59950e+06 4936.74 0.06 0.38 0.26 -1 -1 0.06 0.238526 0.212491 969 1404 513 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_29.v common 39.24 vpr 83.40 MiB 0.20 15076 -1 -1 1 0.48 -1 -1 39604 -1 -1 173 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85404 22 19 4159 3447 1 2113 223 22 22 484 mult_36 auto 41.6 MiB 1.71 12988 48895 11293 33872 3730 83.4 MiB 1.11 0.02 4.27196 -2486.87 -4.27196 4.27196 0.58 0.00660494 0.00587501 0.51702 0.460237 -1 -1 -1 -1 64 21203 25 1.33067e+07 6.10979e+06 1.90554e+06 3937.06 31.09 4.25954 3.70958 54502 494576 -1 18616 13 6662 8352 836928 192187 4.52256 4.52256 -2627.61 -4.52256 0 0 2.40101e+06 4960.76 0.10 0.40 0.39 -1 -1 0.10 0.238522 0.214013 1022 1491 532 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_30.v common 14.14 vpr 83.52 MiB 0.20 15236 -1 -1 1 0.50 -1 -1 40812 -1 -1 179 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85524 22 19 4233 3504 1 2167 229 22 22 484 mult_36 auto 41.9 MiB 1.75 13182 47829 10607 33801 3421 83.5 MiB 1.09 0.02 4.27196 -2486.12 -4.27196 4.27196 0.58 0.00699511 0.0062644 0.502693 0.4478 -1 -1 -1 -1 66 21981 15 1.33067e+07 6.19808e+06 1.96511e+06 4060.15 5.85 2.32685 2.02492 54986 507526 -1 19079 15 7028 8549 880601 202351 4.39726 4.39726 -2648.15 -4.39726 0 0 2.45963e+06 5081.88 0.10 0.43 0.43 -1 -1 0.10 0.260152 0.232171 1041 1510 551 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_31.v common 14.58 vpr 84.55 MiB 0.21 15568 -1 -1 1 0.53 -1 -1 41028 -1 -1 186 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86584 22 19 4410 3647 1 2263 236 22 22 484 mult_36 auto 43.1 MiB 1.69 14163 53453 12299 37206 3948 84.6 MiB 1.20 0.02 4.28986 -2672.25 -4.28986 4.28986 0.58 0.00716782 0.00639788 0.550448 0.489274 -1 -1 -1 -1 66 23977 24 1.33067e+07 6.30109e+06 1.96511e+06 4060.15 5.88 2.45152 2.13216 54986 507526 -1 20556 31 7425 9171 1160953 302433 4.39726 4.39726 -2940.78 -4.39726 0 0 2.45963e+06 5081.88 0.10 0.78 0.41 -1 -1 0.10 0.49692 0.440086 1090 1578 570 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_32.v common 34.96 vpr 85.06 MiB 0.22 15608 -1 -1 1 0.53 -1 -1 40548 -1 -1 191 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87100 22 19 4484 3704 1 2311 241 22 22 484 mult_36 auto 43.6 MiB 1.91 13866 53420 12917 36028 4475 85.1 MiB 1.20 0.02 4.20832 -2690.24 -4.20832 4.20832 0.58 0.00750416 0.00669454 0.555101 0.493671 -1 -1 -1 -1 64 23569 21 1.33067e+07 6.37467e+06 1.90554e+06 3937.06 26.21 3.663 3.16718 54502 494576 -1 20185 16 7545 9962 916322 211395 4.39726 4.39726 -2897.96 -4.39726 0 0 2.40101e+06 4960.76 0.10 0.48 0.39 -1 -1 0.10 0.293441 0.261147 1103 1597 589 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_33.v common 38.48 vpr 86.36 MiB 0.24 16756 -1 -1 1 0.59 -1 -1 41664 -1 -1 203 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88428 22 19 4843 4029 1 2415 254 22 22 484 mult_36 auto 44.7 MiB 1.84 14303 62162 14803 42213 5146 86.4 MiB 1.36 0.02 4.27196 -2887.35 -4.27196 4.27196 0.59 0.00760741 0.00675986 0.626707 0.555332 -1 -1 -1 -1 62 25062 37 1.33067e+07 6.94725e+06 1.85176e+06 3825.95 29.23 4.74697 4.10362 53538 472186 -1 20927 20 8125 9944 954094 233809 4.27196 4.27196 -3091.53 -4.27196 0 0 2.29262e+06 4736.82 0.09 0.58 0.36 -1 -1 0.09 0.372566 0.330312 1197 1756 608 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_34.v common 51.06 vpr 86.29 MiB 0.23 16696 -1 -1 1 0.60 -1 -1 41544 -1 -1 205 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88356 22 19 4917 4086 1 2464 256 22 22 484 mult_36 auto 45.6 MiB 2.02 15959 66028 16018 44938 5072 86.3 MiB 1.53 0.02 4.27196 -2974.32 -4.27196 4.27196 0.59 0.00809468 0.00722459 0.695783 0.61723 -1 -1 -1 -1 66 27258 26 1.33067e+07 6.97668e+06 1.96511e+06 4060.15 41.52 5.11907 4.42453 54986 507526 -1 23072 14 8311 10240 1129571 252889 4.39726 4.39726 -3214.8 -4.39726 0 0 2.45963e+06 5081.88 0.10 0.49 0.39 -1 -1 0.10 0.283669 0.253334 1211 1775 627 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_35.v common 18.00 vpr 87.47 MiB 0.16 17220 -1 -1 1 0.64 -1 -1 41928 -1 -1 213 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 89572 22 19 5093 4228 1 2565 264 22 22 484 mult_36 auto 46.4 MiB 1.95 16875 60330 13691 42830 3809 87.5 MiB 1.40 0.02 4.27196 -3162.36 -4.27196 4.27196 0.58 0.00831948 0.00741604 0.633243 0.56154 -1 -1 -1 -1 66 29099 48 1.33067e+07 7.09441e+06 1.96511e+06 4060.15 8.20 3.32337 2.90402 54986 507526 -1 24073 34 8614 10404 1308592 334712 4.39726 4.39726 -3405.88 -4.39726 0 0 2.45963e+06 5081.88 0.10 0.88 0.39 -1 -1 0.10 0.569295 0.499536 1259 1842 646 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_36.v common 43.08 vpr 87.52 MiB 0.24 17328 -1 -1 1 0.64 -1 -1 41920 -1 -1 218 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 89616 22 19 5167 4285 1 2615 269 22 22 484 mult_36 auto 46.4 MiB 2.00 16069 58425 13045 41288 4092 87.5 MiB 1.37 0.02 4.14666 -3103.48 -4.14666 4.14666 0.59 0.00850806 0.00759247 0.612613 0.540227 -1 -1 -1 -1 68 26606 32 1.33067e+07 7.16799e+06 2.01763e+06 4168.66 33.64 4.92022 4.25241 55470 518816 -1 22667 16 8232 10559 1080064 247544 4.39726 4.39726 -3366.92 -4.39726 0 0 2.51205e+06 5190.18 0.10 0.53 0.40 -1 -1 0.10 0.328721 0.292807 1274 1861 665 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_37.v common 60.35 vpr 92.10 MiB 0.25 17608 -1 -1 1 0.67 -1 -1 40796 -1 -1 225 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 94308 22 19 5380 4464 1 2713 277 24 24 576 mult_36 auto 47.6 MiB 2.15 17372 69731 16905 46997 5829 88.9 MiB 1.54 0.02 4.33064 -3232.54 -4.33064 4.33064 0.69 0.00826774 0.00733997 0.688287 0.607291 -1 -1 -1 -1 64 29416 43 1.60519e+07 7.66699e+06 2.26035e+06 3924.22 49.98 5.84923 5.05204 64454 586630 -1 24923 17 9133 11244 1120972 262655 4.39726 4.39726 -3507.5 -4.39726 0 0 2.84938e+06 4946.85 0.12 0.57 0.47 -1 -1 0.12 0.354907 0.31553 1329 1947 684 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_38.v common 55.51 vpr 90.84 MiB 0.25 17888 -1 -1 1 0.69 -1 -1 42328 -1 -1 230 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 93020 22 19 5454 4521 1 2765 282 24 24 576 mult_36 auto 48.0 MiB 2.16 17328 73278 17215 50190 5873 89.1 MiB 1.59 0.02 4.27196 -3259.2 -4.27196 4.27196 0.68 0.00835779 0.00743284 0.704965 0.624369 -1 -1 -1 -1 66 29473 30 1.60519e+07 7.74057e+06 2.33135e+06 4047.49 45.01 4.76532 4.11837 65030 601923 -1 24505 17 8765 10530 1121799 256022 4.39726 4.39726 -3475.76 -4.39726 0 0 2.91907e+06 5067.82 0.12 0.58 0.47 -1 -1 0.12 0.362503 0.322661 1348 1966 703 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_39.v common 16.66 vpr 91.16 MiB 0.26 18244 -1 -1 1 0.71 -1 -1 40288 -1 -1 237 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 93348 22 19 5629 4662 1 2865 289 24 24 576 mult_36 auto 48.6 MiB 2.26 18564 78599 19301 53547 5751 89.9 MiB 1.78 0.02 4.39726 -3433.59 -4.39726 4.39726 0.69 0.00908122 0.00808478 0.771697 0.682331 -1 -1 -1 -1 72 30430 25 1.60519e+07 7.84358e+06 2.50747e+06 4353.24 5.86 2.82403 2.45721 67330 654343 -1 26540 15 9408 11765 1330571 297662 4.39726 4.39726 -3728.16 -4.39726 0 0 3.14081e+06 5452.80 0.13 0.59 0.51 -1 -1 0.13 0.34293 0.305136 1394 2032 722 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_40.v common 17.68 vpr 90.69 MiB 0.27 18392 -1 -1 1 0.73 -1 -1 42296 -1 -1 241 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92868 22 19 5703 4719 1 2910 293 24 24 576 mult_36 auto 48.8 MiB 2.32 19779 77081 18789 52609 5683 90.4 MiB 1.74 0.02 4.20292 -3543.68 -4.20292 4.20292 0.69 0.00878383 0.00780054 0.730115 0.644664 -1 -1 -1 -1 74 32340 19 1.60519e+07 7.90244e+06 2.56259e+06 4448.94 6.66 2.7111 2.35452 67906 667765 -1 28395 15 9810 12283 1479909 320504 4.39726 4.39726 -3824.99 -4.39726 0 0 3.19068e+06 5539.38 0.13 0.62 0.52 -1 -1 0.13 0.345286 0.307655 1409 2051 741 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_41.v common 49.48 vpr 93.64 MiB 0.27 18936 -1 -1 1 0.78 -1 -1 41568 -1 -1 250 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 95888 22 19 5950 4932 1 3014 303 24 24 576 mult_36 auto 50.2 MiB 2.37 19631 86748 21835 58575 6338 91.8 MiB 1.88 0.02 4.27196 -3583.2 -4.27196 4.27196 0.69 0.00915705 0.00814194 0.811342 0.714881 -1 -1 -1 -1 64 33527 46 1.60519e+07 8.43088e+06 2.26035e+06 3924.22 38.18 5.73438 4.95108 64454 586630 -1 27773 15 9740 11890 1255912 286014 4.52256 4.52256 -3888.51 -4.52256 0 0 2.84938e+06 4946.85 0.11 0.60 0.45 -1 -1 0.11 0.364799 0.324955 1475 2153 760 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_42.v common 68.73 vpr 92.92 MiB 0.28 19068 -1 -1 1 0.83 -1 -1 42780 -1 -1 254 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 95148 22 19 6024 4989 1 3062 307 24 24 576 mult_36 auto 50.2 MiB 2.42 20124 76897 18285 52289 6323 91.6 MiB 1.71 0.02 4.52256 -3635.05 -4.52256 4.52256 0.69 0.00930721 0.00826422 0.720793 0.637194 -1 -1 -1 -1 70 32698 38 1.60519e+07 8.48975e+06 2.45377e+06 4260.01 57.35 6.33504 5.48313 66754 640332 -1 27981 12 9518 11778 1227759 281753 4.77316 4.77316 -3827.55 -4.77316 0 0 3.09179e+06 5367.68 0.13 0.54 0.50 -1 -1 0.13 0.313415 0.280878 1489 2172 779 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_43.v common 21.12 vpr 98.72 MiB 0.29 19312 -1 -1 1 0.85 -1 -1 43352 -1 -1 260 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 101088 22 19 6198 5129 1 3158 313 24 24 576 mult_36 auto 51.2 MiB 2.41 20834 89521 21211 60156 8154 92.9 MiB 2.10 0.03 4.27196 -3941.09 -4.27196 4.27196 0.69 0.0111446 0.0100146 0.922015 0.820017 -1 -1 -1 -1 74 34002 30 1.60519e+07 8.57804e+06 2.56259e+06 4448.94 9.35 3.53893 3.10614 67906 667765 -1 29063 15 10068 12447 1423785 306451 4.39726 4.39726 -4266.03 -4.39726 0 0 3.19068e+06 5539.38 0.13 0.64 0.53 -1 -1 0.13 0.376812 0.336036 1537 2237 798 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_44.v common 60.53 vpr 94.93 MiB 0.29 19576 -1 -1 1 0.87 -1 -1 43300 -1 -1 265 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 97204 22 19 6272 5186 1 3208 318 24 24 576 mult_36 auto 51.5 MiB 2.52 20348 78438 17980 52781 7677 93.3 MiB 1.09 0.01 4.27196 -3823.84 -4.27196 4.27196 0.55 0.00535215 0.00481527 0.403836 0.356911 -1 -1 -1 -1 68 34335 47 1.60519e+07 8.65162e+06 2.39371e+06 4155.74 49.42 5.79605 4.98958 65606 615345 -1 28170 18 10302 12429 1313112 303215 4.52256 4.52256 -4004.14 -4.52256 0 0 2.98162e+06 5176.42 0.13 0.76 0.49 -1 -1 0.13 0.478902 0.428121 1550 2256 817 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_45.v common 75.40 vpr 100.65 MiB 0.30 19812 -1 -1 1 0.90 -1 -1 43732 -1 -1 273 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 103068 22 19 6485 5365 1 3307 327 24 24 576 mult_36 auto 52.4 MiB 2.58 22793 97163 23836 65072 8255 94.5 MiB 2.24 0.02 4.55743 -4008.86 -4.55743 4.55743 0.69 0.00998241 0.00886046 0.951447 0.83844 -1 -1 -1 -1 68 39012 50 1.60519e+07 9.16537e+06 2.39371e+06 4155.74 63.05 7.27443 6.27094 65606 615345 -1 31195 15 10824 13673 1447770 323959 4.64786 4.64786 -4316.9 -4.64786 0 0 2.98162e+06 5176.42 0.12 0.67 0.48 -1 -1 0.12 0.399525 0.356794 1607 2342 836 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_46.v common 24.52 vpr 113.65 MiB 0.30 20104 -1 -1 1 0.92 -1 -1 43972 -1 -1 279 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 116376 22 19 6559 5422 1 3357 333 24 24 576 mult_36 auto 52.7 MiB 2.61 22406 90267 21721 60203 8343 94.6 MiB 2.07 0.02 4.39726 -4046.35 -4.39726 4.39726 0.71 0.0104668 0.00929801 0.876281 0.77094 -1 -1 -1 -1 78 32502 28 1.60519e+07 9.25366e+06 2.67122e+06 4637.53 12.17 4.05282 3.51662 69630 706637 -1 29553 14 9738 12583 1239941 276945 4.64786 4.64786 -4383.48 -4.64786 0 0 3.35110e+06 5817.88 0.13 0.60 0.55 -1 -1 0.13 0.36882 0.328561 1624 2361 855 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_47.v common 55.31 vpr 99.71 MiB 0.31 20524 -1 -1 1 0.96 -1 -1 44572 -1 -1 285 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 102108 22 19 6735 5564 1 3459 339 24 24 576 mult_36 auto 53.8 MiB 2.68 22066 88914 21039 60181 7694 96.0 MiB 2.13 0.03 4.3337 -4100.67 -4.3337 4.3337 0.70 0.0126089 0.0113564 0.929937 0.823631 -1 -1 -1 -1 70 37213 44 1.60519e+07 9.34196e+06 2.45377e+06 4260.01 42.62 6.71574 5.82101 66754 640332 -1 31461 14 11565 14360 1509307 350603 4.39726 4.39726 -4394.35 -4.39726 0 0 3.09179e+06 5367.68 0.13 0.70 0.50 -1 -1 0.13 0.404381 0.362658 1672 2428 874 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_48.v common 62.69 vpr 99.15 MiB 0.31 20560 -1 -1 1 0.93 -1 -1 44040 -1 -1 289 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 101532 22 19 6809 5621 1 3507 343 24 24 576 mult_36 auto 53.7 MiB 2.78 22629 95143 22449 64805 7889 96.3 MiB 2.24 0.03 4.39726 -4269.48 -4.39726 4.39726 0.70 0.0127095 0.0114682 0.951519 0.844074 -1 -1 -1 -1 68 38196 38 1.60519e+07 9.40082e+06 2.39371e+06 4155.74 49.82 6.78302 5.87287 65606 615345 -1 31873 15 11388 14024 1495734 346422 4.52256 4.52256 -4477.48 -4.52256 0 0 2.98162e+06 5176.42 0.13 0.72 0.48 -1 -1 0.13 0.427333 0.381884 1686 2447 893 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_49.v common 22.17 vpr 106.53 MiB 0.33 21068 -1 -1 1 1.05 -1 -1 44476 -1 -1 298 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 109084 22 19 7094 5872 1 3606 353 24 24 576 mult_36 auto 55.2 MiB 2.90 23671 95125 22006 65282 7837 97.8 MiB 2.21 0.03 4.32767 -4372.26 -4.32767 4.32767 0.71 0.011426 0.0101695 0.945973 0.834505 -1 -1 -1 -1 74 37454 33 1.60519e+07 9.92928e+06 2.56259e+06 4448.94 8.86 4.27789 3.72564 67906 667765 -1 33010 17 11616 14137 1562798 352165 4.39726 4.39726 -4673.83 -4.39726 0 0 3.19068e+06 5539.38 0.13 0.75 0.52 -1 -1 0.13 0.457146 0.406018 1759 2569 912 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_50.v common 67.59 vpr 105.32 MiB 0.33 21324 -1 -1 1 1.04 -1 -1 44084 -1 -1 304 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 107852 22 19 7168 5929 1 3659 359 24 24 576 mult_36 auto 55.5 MiB 2.85 23633 104909 25715 69775 9419 98.1 MiB 2.47 0.03 4.27196 -4444.05 -4.27196 4.27196 0.70 0.0115939 0.0103212 1.04865 0.920953 -1 -1 -1 -1 68 39120 49 1.60519e+07 1.00176e+07 2.39371e+06 4155.74 54.19 7.37285 6.35088 65606 615345 -1 32524 15 11945 14710 1513659 347471 4.52256 4.52256 -4931.35 -4.52256 0 0 2.98162e+06 5176.42 0.12 0.76 0.48 -1 -1 0.12 0.464399 0.415434 1776 2588 931 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_51.v common 43.69 vpr 102.34 MiB 0.34 21560 -1 -1 1 1.09 -1 -1 44780 -1 -1 310 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 104796 22 19 7344 6071 1 3757 365 24 24 576 mult_36 auto 56.1 MiB 2.97 24608 98090 22362 67926 7802 98.7 MiB 2.23 0.04 4.39726 -4521.87 -4.39726 4.39726 0.68 0.0152238 0.0135541 0.916544 0.811133 -1 -1 -1 -1 68 40269 35 1.60519e+07 1.01059e+07 2.39371e+06 4155.74 30.30 6.51685 5.66079 65606 615345 -1 33688 13 11788 14462 1469324 334518 4.64786 4.64786 -5269.86 -4.64786 0 0 2.98162e+06 5176.42 0.12 0.68 0.48 -1 -1 0.12 0.410861 0.368215 1824 2655 950 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_pipe_52.v common 56.99 vpr 106.24 MiB 0.34 21880 -1 -1 1 1.08 -1 -1 45188 -1 -1 316 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 108788 22 19 7418 6128 1 3806 371 24 24 576 mult_36 auto 56.7 MiB 2.94 23383 109595 25312 74739 9544 99.3 MiB 2.45 0.03 4.22665 -4476.27 -4.22665 4.22665 0.69 0.0136564 0.0120132 1.0029 0.877948 -1 -1 -1 -1 72 37197 32 1.60519e+07 1.01942e+07 2.50747e+06 4353.24 43.13 7.3225 6.32319 67330 654343 -1 32739 14 11858 14730 1481888 352254 4.39726 4.39726 -4723.21 -4.39726 0 0 3.14081e+06 5452.80 0.13 0.74 0.51 -1 -1 0.13 0.451204 0.402799 1839 2674 969 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_14.v common 8.24 vpr 67.88 MiB 0.10 9368 -1 -1 1 0.16 -1 -1 34720 -1 -1 55 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69512 22 19 1246 925 1 714 100 16 16 256 mult_36 auto 28.7 MiB 0.44 3900 16572 4569 9307 2696 67.9 MiB 0.35 0.00 7.70824 -359.174 -7.70824 7.70824 0.29 0.00250774 0.00230636 0.185442 0.169929 -1 -1 -1 -1 60 6504 34 6.62819e+06 2.39336e+06 890343. 3477.90 4.92 1.23597 1.09281 27128 224764 -1 5789 24 4863 5384 556260 138271 7.70738 7.70738 -402.649 -7.70738 0 0 1.11577e+06 4358.47 0.04 0.23 0.18 -1 -1 0.04 0.119916 0.106583 301 344 247 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_15.v common 15.59 vpr 69.55 MiB 0.10 9676 -1 -1 1 0.17 -1 -1 35520 -1 -1 61 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71220 22 19 1344 989 1 775 107 16 16 256 mult_36 auto 29.0 MiB 0.45 4292 17564 4843 10557 2164 69.6 MiB 0.39 0.01 7.68637 -378.45 -7.68637 7.68637 0.29 0.00263186 0.00240492 0.194506 0.17802 -1 -1 -1 -1 54 8373 42 6.62819e+06 2.87766e+06 829453. 3240.05 12.15 1.44276 1.27007 26108 202796 -1 6749 24 6009 6602 659638 170948 7.78353 7.78353 -419.618 -7.78353 0 0 1.02522e+06 4004.78 0.04 0.27 0.16 -1 -1 0.04 0.131974 0.117342 324 369 266 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_16.v common 6.70 vpr 68.91 MiB 0.11 9704 -1 -1 1 0.18 -1 -1 35112 -1 -1 63 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70564 22 19 1418 1046 1 811 109 16 16 256 mult_36 auto 29.4 MiB 0.58 4599 21689 5280 13095 3314 68.9 MiB 0.48 0.01 7.72731 -410.721 -7.72731 7.72731 0.29 0.00276275 0.00253646 0.241906 0.221544 -1 -1 -1 -1 56 8734 34 6.62819e+06 2.90709e+06 849745. 3319.32 2.90 0.89124 0.790075 26364 208198 -1 7582 27 7627 8454 966616 242915 8.23968 8.23968 -513.96 -8.23968 0 0 1.04740e+06 4091.43 0.04 0.33 0.16 -1 -1 0.04 0.147869 0.131143 343 388 285 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_17.v common 22.16 vpr 69.66 MiB 0.12 10296 -1 -1 1 0.19 -1 -1 35392 -1 -1 68 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71332 22 19 1518 1112 1 874 114 16 16 256 mult_36 auto 30.3 MiB 0.63 5196 19710 5445 11819 2446 69.7 MiB 0.47 0.01 8.45239 -453.637 -8.45239 8.45239 0.29 0.00302513 0.00277978 0.229393 0.209995 -1 -1 -1 -1 52 11585 50 6.62819e+06 2.98067e+06 808720. 3159.06 18.20 1.69619 1.49381 25852 197779 -1 8467 26 7939 9134 1049694 260543 9.07812 9.07812 -543.726 -9.07812 0 0 1.00038e+06 3907.74 0.04 0.35 0.16 -1 -1 0.04 0.155655 0.138475 366 415 304 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_18.v common 6.76 vpr 69.75 MiB 0.12 10348 -1 -1 1 0.19 -1 -1 34968 -1 -1 71 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71420 22 19 1592 1169 1 911 117 16 16 256 mult_36 auto 30.4 MiB 0.59 4987 18707 4488 11836 2383 69.7 MiB 0.44 0.01 8.40961 -456.46 -8.40961 8.40961 0.29 0.00303097 0.00276508 0.210566 0.192301 -1 -1 -1 -1 56 9431 30 6.62819e+06 3.02482e+06 849745. 3319.32 2.88 0.906122 0.79997 26364 208198 -1 7952 28 7885 8966 968628 256171 9.09082 9.09082 -547.158 -9.09082 0 0 1.04740e+06 4091.43 0.04 0.36 0.16 -1 -1 0.04 0.168911 0.149834 385 434 323 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_19.v common 8.80 vpr 70.06 MiB 0.12 10748 -1 -1 1 0.20 -1 -1 35388 -1 -1 75 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71740 22 19 1688 1231 1 970 122 16 16 256 mult_36 auto 30.6 MiB 0.69 5739 20356 5170 11865 3321 70.1 MiB 0.47 0.01 8.3395 -459.442 -8.3395 8.3395 0.30 0.0033038 0.00302835 0.241695 0.220825 -1 -1 -1 -1 56 11043 42 6.62819e+06 3.47968e+06 849745. 3319.32 4.68 1.26037 1.11382 26364 208198 -1 9216 24 8063 9080 1096913 285974 8.71202 8.71202 -563.678 -8.71202 0 0 1.04740e+06 4091.43 0.04 0.36 0.16 -1 -1 0.04 0.160054 0.142628 407 457 342 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_20.v common 10.90 vpr 70.70 MiB 0.13 10776 -1 -1 1 0.22 -1 -1 35584 -1 -1 77 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72392 22 19 1762 1288 1 1008 124 16 16 256 mult_36 auto 30.8 MiB 0.69 5999 18664 4745 10349 3570 70.7 MiB 0.43 0.01 8.44399 -489.626 -8.44399 8.44399 0.29 0.00329135 0.00301182 0.214426 0.195715 -1 -1 -1 -1 60 10845 46 6.62819e+06 3.50911e+06 890343. 3477.90 6.73 1.74798 1.5395 27128 224764 -1 9125 26 7850 8670 1007859 245221 8.94852 8.94852 -630.307 -8.94852 0 0 1.11577e+06 4358.47 0.04 0.35 0.18 -1 -1 0.04 0.173105 0.15366 425 476 361 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_21.v common 9.35 vpr 71.00 MiB 0.13 10912 -1 -1 1 0.23 -1 -1 35836 -1 -1 81 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72700 22 19 1859 1351 1 1066 128 16 16 256 mult_36 auto 31.4 MiB 0.64 6651 15906 3361 10839 1706 71.0 MiB 0.42 0.01 8.5157 -538.295 -8.5157 8.5157 0.29 0.00354233 0.00324065 0.190244 0.173925 -1 -1 -1 -1 60 13423 46 6.62819e+06 3.56798e+06 890343. 3477.90 5.12 1.16322 1.03061 27128 224764 -1 10477 26 8810 10076 1239059 289569 8.99652 8.99652 -664.413 -8.99652 0 0 1.11577e+06 4358.47 0.04 0.43 0.18 -1 -1 0.04 0.192065 0.171062 447 500 380 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_22.v common 7.79 vpr 71.36 MiB 0.14 11248 -1 -1 1 0.24 -1 -1 35992 -1 -1 84 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73076 22 19 1933 1408 1 1104 131 16 16 256 mult_36 auto 31.5 MiB 0.71 6689 14407 2740 9482 2185 71.4 MiB 0.37 0.01 8.41515 -512.633 -8.41515 8.41515 0.29 0.00358851 0.00326597 0.174888 0.159059 -1 -1 -1 -1 62 11287 26 6.62819e+06 3.61212e+06 916467. 3579.95 3.56 1.09676 0.967779 27384 229598 -1 9398 25 8268 9380 964046 244664 8.50532 8.50532 -619.909 -8.50532 0 0 1.13630e+06 4438.68 0.04 0.38 0.19 -1 -1 0.04 0.192162 0.170823 467 519 399 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_23.v common 9.14 vpr 71.85 MiB 0.15 11432 -1 -1 1 0.24 -1 -1 36192 -1 -1 89 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73576 22 19 2031 1472 1 1164 137 18 18 324 mult_36 auto 32.2 MiB 0.79 6746 28730 7542 17338 3850 71.9 MiB 0.68 0.01 8.54591 -533.672 -8.54591 8.54591 0.38 0.0037745 0.00344253 0.325506 0.296594 -1 -1 -1 -1 56 12955 47 8.18539e+06 4.0817e+06 1.11497e+06 3441.27 4.18 1.39605 1.23432 34036 275796 -1 10923 24 9524 10733 1204326 316235 9.44578 9.44578 -667.58 -9.44578 0 0 1.37338e+06 4238.83 0.05 0.44 0.22 -1 -1 0.05 0.197167 0.175668 489 544 418 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_24.v common 10.17 vpr 72.61 MiB 0.15 11564 -1 -1 1 0.26 -1 -1 36176 -1 -1 92 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74348 22 19 2105 1529 1 1203 140 18 18 324 mult_36 auto 32.8 MiB 0.78 7540 27728 6934 17719 3075 72.6 MiB 0.68 0.01 8.4868 -574.519 -8.4868 8.4868 0.38 0.00380774 0.00344602 0.311599 0.282887 -1 -1 -1 -1 58 14467 42 8.18539e+06 4.12585e+06 1.14310e+06 3528.09 5.02 1.31051 1.15728 34680 290288 -1 11549 32 9966 11132 1397834 348826 8.91342 8.91342 -732.797 -8.91342 0 0 1.43297e+06 4422.75 0.06 0.56 0.23 -1 -1 0.06 0.262216 0.233022 508 563 437 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_25.v common 22.92 vpr 72.81 MiB 0.14 12016 -1 -1 1 0.27 -1 -1 36576 -1 -1 95 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74560 22 19 2201 1591 1 1258 143 18 18 324 mult_36 auto 32.8 MiB 0.82 7946 28491 7431 17993 3067 72.8 MiB 0.69 0.01 8.5601 -592.518 -8.5601 8.5601 0.38 0.00414511 0.00377733 0.32849 0.297989 -1 -1 -1 -1 54 16686 48 8.18539e+06 4.17e+06 1.08842e+06 3359.33 17.82 2.28858 2.01201 33712 268580 -1 12532 25 11284 12758 1388286 348067 8.92752 8.92752 -735.845 -8.92752 0 0 1.34436e+06 4149.26 0.05 0.49 0.21 -1 -1 0.05 0.21674 0.192646 529 586 456 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_26.v common 10.05 vpr 73.15 MiB 0.16 12116 -1 -1 1 0.27 -1 -1 37532 -1 -1 98 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74908 22 19 2275 1648 1 1295 146 18 18 324 mult_36 auto 33.2 MiB 0.84 7984 31634 7960 20296 3378 73.2 MiB 0.76 0.01 8.63835 -586.259 -8.63835 8.63835 0.38 0.00419075 0.00380605 0.365536 0.332242 -1 -1 -1 -1 58 14795 27 8.18539e+06 4.21414e+06 1.14310e+06 3528.09 4.81 1.53989 1.35663 34680 290288 -1 12436 25 10027 11313 1315347 327506 8.83247 8.83247 -754.574 -8.83247 0 0 1.43297e+06 4422.75 0.05 0.47 0.23 -1 -1 0.05 0.222096 0.197527 549 605 475 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_27.v common 22.51 vpr 73.62 MiB 0.18 12268 -1 -1 1 0.30 -1 -1 36652 -1 -1 104 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75384 22 19 2385 1724 1 1370 153 18 18 324 mult_36 auto 33.7 MiB 0.88 8092 32055 7887 20603 3565 73.6 MiB 0.73 0.01 8.64809 -651.306 -8.64809 8.64809 0.38 0.00428401 0.00390161 0.353171 0.320778 -1 -1 -1 -1 58 14837 29 8.18539e+06 4.69843e+06 1.14310e+06 3528.09 17.13 2.39591 2.1037 34680 290288 -1 12470 25 10099 11558 1309249 328905 9.16302 9.16302 -843.909 -9.16302 0 0 1.43297e+06 4422.75 0.06 0.49 0.23 -1 -1 0.06 0.233777 0.208169 577 642 494 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_28.v common 14.78 vpr 74.25 MiB 0.17 12316 -1 -1 1 0.32 -1 -1 36856 -1 -1 108 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76036 22 19 2459 1781 1 1405 157 18 18 324 mult_36 auto 34.3 MiB 0.80 8817 27652 6930 17818 2904 74.3 MiB 0.70 0.01 8.57758 -666.443 -8.57758 8.57758 0.38 0.00437036 0.00397809 0.30606 0.278012 -1 -1 -1 -1 62 15931 49 8.18539e+06 4.75729e+06 1.20291e+06 3712.69 9.40 2.34786 2.06378 35328 304176 -1 12970 25 11403 13071 1522609 365274 8.70368 8.70368 -866.211 -8.70368 0 0 1.49010e+06 4599.06 0.06 0.52 0.24 -1 -1 0.06 0.23833 0.211958 597 661 513 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_29.v common 10.69 vpr 74.64 MiB 0.11 12616 -1 -1 1 0.33 -1 -1 37144 -1 -1 113 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76432 22 19 2565 1853 1 1474 163 22 22 484 mult_36 auto 34.5 MiB 0.95 8698 33538 8821 21323 3394 74.6 MiB 0.80 0.01 8.42323 -676.525 -8.42323 8.42323 0.58 0.00462789 0.00421028 0.36531 0.331391 -1 -1 -1 -1 64 14899 24 1.33067e+07 5.22686e+06 1.90554e+06 3937.06 4.50 1.40887 1.24749 54502 494576 -1 13166 23 10146 11495 1317169 310234 8.45047 8.45047 -1120.57 -8.45047 0 0 2.40101e+06 4960.76 0.09 0.48 0.39 -1 -1 0.09 0.234987 0.209555 624 694 532 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_30.v common 11.82 vpr 75.09 MiB 0.19 12864 -1 -1 1 0.34 -1 -1 37336 -1 -1 116 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76896 22 19 2639 1910 1 1511 166 22 22 484 mult_36 auto 35.0 MiB 0.88 9479 38926 10191 24600 4135 75.1 MiB 0.93 0.01 8.50719 -712.328 -8.50719 8.50719 0.58 0.00472227 0.00427336 0.420925 0.380867 -1 -1 -1 -1 66 15828 30 1.33067e+07 5.27101e+06 1.96511e+06 4060.15 5.41 1.60473 1.41717 54986 507526 -1 13916 23 11516 12994 1574899 369345 8.64677 8.64677 -1031.52 -8.64677 0 0 2.45963e+06 5081.88 0.10 0.51 0.40 -1 -1 0.10 0.230175 0.204798 642 713 551 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_31.v common 36.39 vpr 75.70 MiB 0.18 13144 -1 -1 1 0.34 -1 -1 37484 -1 -1 122 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77520 22 19 2744 1981 1 1580 172 22 22 484 mult_36 auto 35.6 MiB 0.99 9301 39368 9931 25209 4228 75.7 MiB 0.95 0.01 8.53095 -763.383 -8.53095 8.53095 0.60 0.0048313 0.00437234 0.428179 0.38748 -1 -1 -1 -1 56 17795 42 1.33067e+07 5.3593e+06 1.71605e+06 3545.56 29.62 3.14151 2.75966 51606 428054 -1 14842 27 13314 14950 1719645 433309 8.77922 8.77922 -999.273 -8.77922 0 0 2.11301e+06 4365.72 0.09 0.64 0.33 -1 -1 0.09 0.285584 0.253813 668 745 570 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_32.v common 36.36 vpr 75.86 MiB 0.20 13112 -1 -1 1 0.35 -1 -1 36800 -1 -1 125 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77676 22 19 2818 2038 1 1617 175 22 22 484 mult_36 auto 35.6 MiB 1.00 9507 35872 9176 23186 3510 75.9 MiB 0.83 0.01 8.45015 -744.082 -8.45015 8.45015 0.58 0.00493604 0.00448027 0.387039 0.350343 -1 -1 -1 -1 56 18638 38 1.33067e+07 5.40345e+06 1.71605e+06 3545.56 29.68 3.14594 2.76296 51606 428054 -1 15453 25 15088 17067 2002067 509708 9.43072 9.43072 -993.23 -9.43072 0 0 2.11301e+06 4365.72 0.08 0.66 0.33 -1 -1 0.08 0.270369 0.240111 687 764 589 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_33.v common 41.86 vpr 76.42 MiB 0.21 13864 -1 -1 1 0.38 -1 -1 37452 -1 -1 131 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78256 22 19 2923 2109 1 1685 182 22 22 484 mult_36 auto 36.0 MiB 1.02 10467 42412 10772 27290 4350 76.4 MiB 0.99 0.01 9.23124 -775.255 -9.23124 9.23124 0.59 0.00511855 0.00465108 0.452124 0.409704 -1 -1 -1 -1 62 18706 27 1.33067e+07 5.88773e+06 1.85176e+06 3825.95 34.93 3.01986 2.65826 53538 472186 -1 15635 26 12201 13967 1616019 371177 9.41177 9.41177 -1029.86 -9.41177 0 0 2.29262e+06 4736.82 0.09 0.59 0.36 -1 -1 0.09 0.288666 0.256975 713 796 608 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_34.v common 40.64 vpr 77.03 MiB 0.21 13788 -1 -1 1 0.38 -1 -1 37976 -1 -1 134 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78876 22 19 2997 2166 1 1723 185 22 22 484 mult_36 auto 36.5 MiB 1.08 10829 46473 12053 29773 4647 77.0 MiB 1.13 0.01 9.32193 -809.037 -9.32193 9.32193 0.58 0.00540372 0.00490414 0.501635 0.453489 -1 -1 -1 -1 56 21825 43 1.33067e+07 5.93188e+06 1.71605e+06 3545.56 33.24 3.46668 3.04249 51606 428054 -1 17383 27 15817 18054 2173428 514586 10.0172 10.0172 -1071.78 -10.0172 0 0 2.11301e+06 4365.72 0.09 0.82 0.33 -1 -1 0.09 0.329771 0.293185 732 815 627 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_35.v common 43.44 vpr 77.55 MiB 0.21 14128 -1 -1 1 0.40 -1 -1 37844 -1 -1 139 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79408 22 19 3101 2236 1 1788 190 22 22 484 mult_36 auto 37.1 MiB 1.07 12219 41140 9883 27169 4088 77.5 MiB 1.00 0.01 9.54818 -843.583 -9.54818 9.54818 0.58 0.00551588 0.00500318 0.441327 0.399693 -1 -1 -1 -1 60 22396 38 1.33067e+07 6.00546e+06 1.79840e+06 3715.71 36.19 3.50205 3.07591 53054 462096 -1 18603 24 15056 17264 2203276 502985 10.1086 10.1086 -1290.85 -10.1086 0 0 2.25108e+06 4650.99 0.09 0.72 0.36 -1 -1 0.09 0.292619 0.260707 758 846 646 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_36.v common 15.99 vpr 77.88 MiB 0.21 14076 -1 -1 1 0.41 -1 -1 38084 -1 -1 142 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79748 22 19 3175 2293 1 1827 193 22 22 484 mult_36 auto 37.2 MiB 1.09 11192 46981 11935 30319 4727 77.9 MiB 1.14 0.01 9.26063 -847.797 -9.26063 9.26063 0.58 0.00560484 0.00508039 0.506167 0.45638 -1 -1 -1 -1 56 22589 47 1.33067e+07 6.0496e+06 1.71605e+06 3545.56 8.50 2.34267 2.06956 51606 428054 -1 18135 26 15751 17754 2112747 522199 10.3552 10.3552 -1197.51 -10.3552 0 0 2.11301e+06 4365.72 0.09 0.78 0.33 -1 -1 0.09 0.32451 0.288552 776 865 665 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_37.v common 50.88 vpr 78.57 MiB 0.22 14492 -1 -1 1 0.42 -1 -1 37412 -1 -1 146 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80452 22 19 3280 2364 1 1893 198 24 24 576 mult_36 auto 37.8 MiB 0.76 12055 52038 13345 34146 4547 78.6 MiB 0.74 0.01 9.2419 -891.529 -9.2419 9.2419 0.55 0.00276267 0.00250233 0.259167 0.232019 -1 -1 -1 -1 60 21903 46 1.60519e+07 6.50447e+06 2.13333e+06 3703.69 44.36 3.52588 3.0832 62730 548095 -1 17975 25 13410 15432 1788791 424771 9.66857 9.66857 -1203.99 -9.66857 0 0 2.67122e+06 4637.53 0.11 0.65 0.43 -1 -1 0.11 0.305197 0.271236 802 897 684 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_38.v common 51.38 vpr 78.86 MiB 0.12 14728 -1 -1 1 0.46 -1 -1 37924 -1 -1 151 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80752 22 19 3354 2421 1 1931 203 24 24 576 mult_36 auto 38.1 MiB 1.22 12101 50267 13506 32214 4547 78.9 MiB 1.16 0.01 9.33684 -832.76 -9.33684 9.33684 0.70 0.0059329 0.00537952 0.526174 0.474257 -1 -1 -1 -1 56 23313 48 1.60519e+07 6.57804e+06 2.03561e+06 3534.04 43.56 3.92867 3.4397 61006 507707 -1 18864 24 16072 17906 2176021 547324 9.86487 9.86487 -1218.67 -9.86487 0 0 2.50747e+06 4353.24 0.10 0.73 0.39 -1 -1 0.10 0.304538 0.270825 822 916 703 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_39.v common 15.84 vpr 79.53 MiB 0.23 14916 -1 -1 1 0.45 -1 -1 38296 -1 -1 154 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81436 22 19 3457 2490 1 1996 206 24 24 576 mult_36 auto 38.7 MiB 1.24 12366 53102 14067 33835 5200 79.5 MiB 1.27 0.01 9.17563 -939.028 -9.17563 9.17563 0.69 0.00666706 0.00573959 0.566409 0.510129 -1 -1 -1 -1 62 21092 38 1.60519e+07 6.62219e+06 2.19658e+06 3813.51 7.70 2.52043 2.22764 63306 560109 -1 17743 26 14500 16244 1808012 428311 9.39647 9.39647 -1118.37 -9.39647 0 0 2.72095e+06 4723.87 0.11 0.67 0.43 -1 -1 0.11 0.33314 0.296135 846 946 722 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_40.v common 15.52 vpr 79.83 MiB 0.23 14912 -1 -1 1 0.48 -1 -1 38820 -1 -1 158 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81748 22 19 3531 2547 1 2034 210 24 24 576 mult_36 auto 39.1 MiB 1.22 12927 61362 16466 38312 6584 79.8 MiB 1.45 0.01 9.34492 -945.921 -9.34492 9.34492 0.68 0.0059797 0.0054096 0.635961 0.573674 -1 -1 -1 -1 66 21138 32 1.60519e+07 6.68105e+06 2.33135e+06 4047.49 7.19 2.41337 2.12697 65030 601923 -1 18402 25 14114 15741 1885533 440587 9.55501 9.55501 -1233.61 -9.55501 0 0 2.91907e+06 5067.82 0.12 0.68 0.47 -1 -1 0.12 0.328452 0.291938 866 965 741 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_41.v common 42.51 vpr 80.10 MiB 0.27 15432 -1 -1 1 0.48 -1 -1 38144 -1 -1 162 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82024 22 19 3634 2616 1 2099 215 24 24 576 mult_36 auto 39.1 MiB 1.23 14025 56156 14584 36513 5059 80.1 MiB 1.29 0.01 9.6508 -956.287 -9.6508 9.6508 0.68 0.00610524 0.00552431 0.568472 0.513717 -1 -1 -1 -1 66 22649 29 1.60519e+07 7.13592e+06 2.33135e+06 4047.49 34.53 4.17522 3.68411 65030 601923 -1 19901 25 13285 14875 1852563 427426 10.012 10.012 -1356.94 -10.012 0 0 2.91907e+06 5067.82 0.12 0.52 0.37 -1 -1 0.12 0.218658 0.197144 890 995 760 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_42.v common 17.66 vpr 80.45 MiB 0.24 15404 -1 -1 1 0.50 -1 -1 38352 -1 -1 166 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82384 22 19 3708 2673 1 2138 219 24 24 576 mult_36 auto 39.4 MiB 1.32 14086 62165 15450 40534 6181 80.5 MiB 1.43 0.02 9.17495 -914.586 -9.17495 9.17495 0.68 0.00643799 0.00582771 0.614845 0.553551 -1 -1 -1 -1 64 25454 50 1.60519e+07 7.19478e+06 2.26035e+06 3924.22 9.01 2.74872 2.41355 64454 586630 -1 20229 27 15623 17974 2284348 515062 9.73471 9.73471 -1266.11 -9.73471 0 0 2.84938e+06 4946.85 0.11 0.76 0.45 -1 -1 0.11 0.35533 0.315033 910 1014 779 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_43.v common 51.87 vpr 81.42 MiB 0.25 15564 -1 -1 1 0.52 -1 -1 39020 -1 -1 171 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83372 22 19 3810 2741 1 2201 224 24 24 576 mult_36 auto 40.4 MiB 1.39 14618 61424 15533 40253 5638 81.4 MiB 1.45 0.02 9.34052 -1000.87 -9.34052 9.34052 0.69 0.00637708 0.00574181 0.628857 0.565391 -1 -1 -1 -1 64 24252 44 1.60519e+07 7.26835e+06 2.26035e+06 3924.22 42.94 4.57318 4.0115 64454 586630 -1 21228 25 14874 16978 2043639 467187 9.48472 9.48472 -1520.3 -9.48472 0 0 2.84938e+06 4946.85 0.13 0.80 0.46 -1 -1 0.13 0.375706 0.335245 934 1043 798 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_44.v common 56.38 vpr 81.47 MiB 0.26 15808 -1 -1 1 0.54 -1 -1 38452 -1 -1 173 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83424 22 19 3884 2798 1 2239 226 24 24 576 mult_36 auto 40.4 MiB 1.41 13659 62146 16228 39699 6219 81.5 MiB 1.50 0.02 9.37321 -1067.91 -9.37321 9.37321 0.71 0.00656265 0.00591419 0.667413 0.600464 -1 -1 -1 -1 64 23736 35 1.60519e+07 7.29779e+06 2.26035e+06 3924.22 47.25 4.48342 3.92972 64454 586630 -1 20059 26 15021 16875 2065725 476391 9.55807 9.55807 -1520.46 -9.55807 0 0 2.84938e+06 4946.85 0.12 0.82 0.46 -1 -1 0.12 0.393708 0.35031 953 1062 817 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_45.v common 49.23 vpr 81.77 MiB 0.27 16104 -1 -1 1 0.57 -1 -1 40552 -1 -1 179 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83732 22 19 3989 2869 1 2306 233 24 24 576 mult_36 auto 40.6 MiB 1.43 14286 61093 15864 40064 5165 81.8 MiB 1.48 0.02 9.19364 -1039.24 -9.19364 9.19364 0.69 0.0070101 0.006244 0.632332 0.568066 -1 -1 -1 -1 60 25103 40 1.60519e+07 7.78208e+06 2.13333e+06 3703.69 39.99 4.6944 4.12064 62730 548095 -1 21415 25 18165 20786 2472992 585639 9.80487 9.80487 -1459.62 -9.80487 0 0 2.67122e+06 4637.53 0.12 0.90 0.43 -1 -1 0.12 0.392635 0.349743 978 1094 836 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_46.v common 44.54 vpr 82.15 MiB 0.27 16176 -1 -1 1 0.55 -1 -1 40520 -1 -1 182 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84120 22 19 4063 2926 1 2344 236 24 24 576 mult_36 auto 41.4 MiB 1.51 14872 60014 15078 39615 5321 82.1 MiB 1.44 0.02 9.28096 -1098.48 -9.28096 9.28096 0.69 0.0074086 0.00661337 0.616187 0.553219 -1 -1 -1 -1 62 25203 32 1.60519e+07 7.82622e+06 2.19658e+06 3813.51 35.42 4.11363 3.60512 63306 560109 -1 21082 25 16327 18646 1975838 464786 9.72042 9.72042 -1598.14 -9.72042 0 0 2.72095e+06 4723.87 0.11 0.73 0.43 -1 -1 0.11 0.369671 0.328317 997 1113 855 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_47.v common 57.65 vpr 82.36 MiB 0.27 16476 -1 -1 1 0.57 -1 -1 40916 -1 -1 187 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84336 22 19 4167 2996 1 2411 241 24 24 576 mult_36 auto 41.5 MiB 1.43 15037 60910 15422 39650 5838 82.4 MiB 1.53 0.02 9.12779 -1126.2 -9.12779 9.12779 0.70 0.00726374 0.00658061 0.619912 0.558115 -1 -1 -1 -1 62 25824 50 1.60519e+07 7.8998e+06 2.19658e+06 3813.51 48.45 4.61195 4.05291 63306 560109 -1 21550 23 17152 19416 2085731 501877 9.73337 9.73337 -1853.31 -9.73337 0 0 2.72095e+06 4723.87 0.11 0.78 0.43 -1 -1 0.11 0.369183 0.32874 1023 1144 874 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_48.v common 48.22 vpr 83.17 MiB 0.31 16560 -1 -1 1 0.59 -1 -1 40804 -1 -1 190 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85168 22 19 4241 3053 1 2448 244 24 24 576 mult_36 auto 42.1 MiB 1.53 15630 70348 18662 44400 7286 83.2 MiB 1.69 0.02 9.34394 -1132.15 -9.34394 9.34394 0.70 0.00746043 0.00674512 0.709667 0.638469 -1 -1 -1 -1 60 26883 50 1.60519e+07 7.94395e+06 2.13333e+06 3703.69 38.58 5.00965 4.39657 62730 548095 -1 22910 24 16428 19005 2263178 541360 10.0827 10.0827 -1594.62 -10.0827 0 0 2.67122e+06 4637.53 0.11 0.83 0.42 -1 -1 0.11 0.384788 0.341501 1042 1163 893 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_49.v common 66.02 vpr 83.77 MiB 0.29 17168 -1 -1 1 0.51 -1 -1 41056 -1 -1 195 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85784 22 19 4346 3124 1 2516 250 24 24 576 mult_36 auto 42.5 MiB 1.55 16043 72654 18241 46376 8037 83.8 MiB 1.78 0.02 9.69562 -1153.1 -9.69562 9.69562 0.71 0.00774146 0.00699975 0.754042 0.67991 -1 -1 -1 -1 62 30721 49 1.60519e+07 8.41352e+06 2.19658e+06 3813.51 56.05 4.99746 4.37675 63306 560109 -1 23407 27 18396 21029 2606331 592791 9.78487 9.78487 -1585.61 -9.78487 0 0 2.72095e+06 4723.87 0.12 0.99 0.44 -1 -1 0.12 0.452759 0.40235 1068 1195 912 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_50.v common 48.40 vpr 84.25 MiB 0.31 17076 -1 -1 1 0.63 -1 -1 41012 -1 -1 197 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86268 22 19 4420 3181 1 2555 252 24 24 576 mult_36 auto 43.1 MiB 1.55 15829 70212 18351 45919 5942 84.2 MiB 1.67 0.02 9.43888 -1159.3 -9.43888 9.43888 0.69 0.0076144 0.00687775 0.704694 0.631921 -1 -1 -1 -1 60 29079 44 1.60519e+07 8.44296e+06 2.13333e+06 3703.69 38.39 4.84204 4.2336 62730 548095 -1 24009 33 21534 24101 3072368 721189 10.0275 10.0275 -1481.38 -10.0275 0 0 2.67122e+06 4637.53 0.11 1.14 0.42 -1 -1 0.11 0.517469 0.457048 1087 1214 931 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_51.v common 56.17 vpr 84.99 MiB 0.30 17276 -1 -1 1 0.65 -1 -1 40800 -1 -1 202 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87028 22 19 4524 3251 1 2620 257 24 24 576 mult_36 auto 43.5 MiB 1.67 16348 71249 18074 46955 6220 85.0 MiB 1.77 0.02 9.47158 -1195.73 -9.47158 9.47158 0.70 0.00783291 0.00697028 0.736269 0.658731 -1 -1 -1 -1 64 27903 41 1.60519e+07 8.51654e+06 2.26035e+06 3924.22 46.05 5.03576 4.41315 64454 586630 -1 23714 26 18424 20659 2514429 575844 9.89167 9.89167 -1654.92 -9.89167 0 0 2.84938e+06 4946.85 0.12 0.93 0.46 -1 -1 0.12 0.439993 0.390918 1112 1245 950 19 0 0 + k6_frac_2uripple_N8_22nm.xml fir_nopipe_52.v common 21.22 vpr 85.60 MiB 0.30 17560 -1 -1 1 0.65 -1 -1 39484 -1 -1 206 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87652 22 19 4598 3308 1 2657 261 24 24 576 mult_36 auto 43.4 MiB 1.60 18209 71066 17781 47232 6053 85.0 MiB 1.85 0.02 9.40839 -1280.5 -9.40839 9.40839 0.70 0.00770859 0.0069921 0.723318 0.647422 -1 -1 -1 -1 68 29770 41 1.60519e+07 8.5754e+06 2.39371e+06 4155.74 10.97 3.34834 2.94311 65606 615345 -1 25458 23 19855 22610 2957137 676553 9.54586 9.54586 -1813.32 -9.54586 0 0 2.98162e+06 5176.42 0.12 0.93 0.48 -1 -1 0.12 0.398678 0.354547 1132 1264 969 19 0 0 + k6_frac_N8_22nm.xml fir_pipe_14.v common 7.76 vpr 70.30 MiB 0.11 10508 -1 -1 8 0.47 -1 -1 34540 -1 -1 92 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71984 22 19 1764 1664 1 971 137 16 16 256 mult_36 auto 30.4 MiB 0.92 6490 19199 4034 13002 2163 70.3 MiB 0.40 0.01 4.33405 -1315.31 -4.33405 4.33405 0.29 0.00356325 0.00315708 0.210222 0.186995 -1 -1 -1 -1 58 13834 44 6.45408e+06 2.82342e+06 871168. 3403.00 3.26 1.18605 1.02823 26312 218777 -1 10934 14 4048 7614 600079 145084 4.39726 4.39726 -1401.38 -4.39726 0 0 1.09288e+06 4269.05 0.04 0.25 0.17 -1 -1 0.04 0.129707 0.115797 624 966 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_15.v common 7.77 vpr 70.93 MiB 0.11 10820 -1 -1 8 0.49 -1 -1 36240 -1 -1 98 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72636 22 19 1918 1801 1 1073 144 16 16 256 mult_36 auto 31.0 MiB 1.04 6783 20502 4402 14042 2058 70.9 MiB 0.43 0.01 4.39726 -1418.86 -4.39726 4.39726 0.29 0.00380688 0.00339834 0.224598 0.200843 -1 -1 -1 -1 60 13260 31 6.45408e+06 3.30026e+06 890343. 3477.90 3.03 1.11881 0.973244 26568 224354 -1 11136 14 4316 7871 609747 148883 4.39726 4.39726 -1429.95 -4.39726 0 0 1.11577e+06 4358.47 0.04 0.26 0.19 -1 -1 0.04 0.14091 0.126003 670 1047 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_16.v common 19.32 vpr 71.41 MiB 0.12 10984 -1 -1 8 0.53 -1 -1 37532 -1 -1 103 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73120 22 19 1976 1859 1 1113 149 16 16 256 mult_36 auto 31.5 MiB 1.12 7046 18273 3511 13239 1523 71.4 MiB 0.39 0.01 4.39726 -1507.57 -4.39726 4.39726 0.29 0.00384298 0.00344091 0.19883 0.177699 -1 -1 -1 -1 58 14368 38 6.45408e+06 3.36762e+06 871168. 3403.00 14.30 2.30563 1.99136 26312 218777 -1 11892 26 4608 8704 728627 203178 4.52256 4.52256 -1538.65 -4.52256 0 0 1.09288e+06 4269.05 0.04 0.39 0.17 -1 -1 0.04 0.221365 0.194884 709 1086 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_17.v common 9.31 vpr 72.75 MiB 0.15 11788 -1 -1 8 0.59 -1 -1 36916 -1 -1 115 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74500 22 19 2278 2144 1 1232 161 16 16 256 mult_36 auto 32.8 MiB 1.16 8109 26381 5918 17817 2646 72.8 MiB 0.59 0.01 4.39726 -1730.64 -4.39726 4.39726 0.29 0.00468901 0.0042038 0.301023 0.268529 -1 -1 -1 -1 64 14769 25 6.45408e+06 3.52928e+06 943753. 3686.54 3.86 1.5827 1.37706 27332 240185 -1 12652 14 4635 8508 651949 158475 4.39726 4.39726 -1774.35 -4.39726 0 0 1.19033e+06 4649.74 0.04 0.30 0.20 -1 -1 0.04 0.164286 0.146639 798 1242 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_18.v common 16.24 vpr 73.38 MiB 0.11 11968 -1 -1 8 0.63 -1 -1 37840 -1 -1 119 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75144 22 19 2336 2202 1 1270 165 16 16 256 mult_36 auto 33.2 MiB 1.24 8677 20505 3922 14970 1613 73.4 MiB 0.45 0.01 4.39726 -1762.23 -4.39726 4.39726 0.29 0.00448991 0.00398669 0.227495 0.202885 -1 -1 -1 -1 60 17023 43 6.45408e+06 3.58317e+06 890343. 3477.90 10.83 2.17196 1.87214 26568 224354 -1 13823 15 5281 9839 814301 192362 4.64786 4.64786 -1843.69 -4.64786 0 0 1.11577e+06 4358.47 0.04 0.33 0.18 -1 -1 0.04 0.174783 0.155506 826 1281 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_19.v common 11.85 vpr 73.75 MiB 0.15 12272 -1 -1 8 0.67 -1 -1 37472 -1 -1 127 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75516 22 19 2488 2337 1 1370 174 16 16 256 mult_36 auto 33.5 MiB 1.32 9786 25394 4849 17877 2668 73.7 MiB 0.55 0.01 4.52256 -1861.03 -4.52256 4.52256 0.29 0.00474632 0.00422214 0.280237 0.248779 -1 -1 -1 -1 70 15875 29 6.45408e+06 4.08694e+06 1.02522e+06 4004.78 5.75 1.84585 1.59475 28352 262101 -1 14513 33 4894 9020 900862 295816 4.64786 4.64786 -1938.87 -4.64786 0 0 1.29210e+06 5047.26 0.05 0.62 0.22 -1 -1 0.05 0.352058 0.310295 873 1360 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_20.v common 11.21 vpr 74.23 MiB 0.14 12512 -1 -1 8 0.74 -1 -1 37528 -1 -1 130 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76008 22 19 2546 2395 1 1400 177 16 16 256 mult_36 auto 34.1 MiB 1.34 9759 23489 4654 16487 2348 74.2 MiB 0.50 0.01 4.39726 -1922.02 -4.39726 4.39726 0.29 0.00489979 0.0043463 0.251839 0.223408 -1 -1 -1 -1 68 17695 33 6.45408e+06 4.12736e+06 1.00038e+06 3907.74 5.29 1.91023 1.65397 27844 252052 -1 15130 18 5443 10125 827039 192726 4.39726 4.39726 -2005.28 -4.39726 0 0 1.24648e+06 4869.04 0.05 0.41 0.23 -1 -1 0.05 0.232099 0.207288 904 1399 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_21.v common 11.64 vpr 75.11 MiB 0.16 12924 -1 -1 8 0.82 -1 -1 37596 -1 -1 136 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76916 22 19 2735 2567 1 1495 183 16 16 256 clb mult_36 auto 34.4 MiB 1.39 10367 26652 5295 18796 2561 75.1 MiB 0.60 0.01 4.39726 -2100.69 -4.39726 4.39726 0.29 0.0054149 0.00482335 0.296787 0.262886 -1 -1 -1 -1 68 18763 25 6.45408e+06 4.20819e+06 1.00038e+06 3907.74 5.41 1.7705 1.53168 27844 252052 -1 15599 13 5739 10652 863054 203612 4.52256 4.52256 -2188.79 -4.52256 0 0 1.24648e+06 4869.04 0.05 0.36 0.21 -1 -1 0.05 0.188592 0.168766 964 1497 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_22.v common 19.76 vpr 75.71 MiB 0.15 13040 -1 -1 8 0.82 -1 -1 38100 -1 -1 144 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77528 22 19 2793 2625 1 1534 191 17 17 289 clb auto 35.1 MiB 1.47 10658 28739 5587 20488 2664 75.7 MiB 0.64 0.01 4.43646 -2098.03 -4.43646 4.43646 0.33 0.00536324 0.00469604 0.306897 0.271492 -1 -1 -1 -1 66 20742 50 6.79088e+06 4.31597e+06 1.11570e+06 3860.55 13.21 3.08478 2.64659 31150 283249 -1 16491 15 6177 11459 917517 212955 4.39726 4.39726 -2102.29 -4.39726 0 0 1.39736e+06 4835.16 0.05 0.40 0.23 -1 -1 0.05 0.211526 0.188534 994 1536 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_23.v common 18.50 vpr 76.31 MiB 0.14 13600 -1 -1 8 0.86 -1 -1 38576 -1 -1 151 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78144 22 19 2947 2762 1 1629 199 18 18 324 mult_36 auto 35.7 MiB 1.55 11009 30359 6014 22131 2214 76.3 MiB 0.67 0.01 4.39726 -2246.55 -4.39726 4.39726 0.38 0.00569384 0.00506563 0.327512 0.289863 -1 -1 -1 -1 62 20752 48 7.94662e+06 4.80627e+06 1.20291e+06 3712.69 11.72 2.60103 2.25562 34560 303612 -1 16672 15 6548 12057 909281 223526 4.39726 4.39726 -2300.1 -4.39726 0 0 1.49010e+06 4599.06 0.06 0.40 0.24 -1 -1 0.06 0.223392 0.199429 1051 1617 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_24.v common 23.23 vpr 76.73 MiB 0.17 13612 -1 -1 8 0.91 -1 -1 38716 -1 -1 153 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78572 22 19 3005 2820 1 1666 201 18 18 324 mult_36 auto 35.9 MiB 1.60 11471 33129 6656 23862 2611 76.7 MiB 0.70 0.01 4.39726 -2288.25 -4.39726 4.39726 0.37 0.00549669 0.00486032 0.339843 0.299958 -1 -1 -1 -1 66 21312 36 7.94662e+06 4.83322e+06 1.27759e+06 3943.17 16.13 3.20109 2.76578 35528 326584 -1 17435 13 6379 11888 940995 223064 4.39726 4.39726 -2314.92 -4.39726 0 0 1.59950e+06 4936.74 0.06 0.41 0.26 -1 -1 0.06 0.214931 0.192852 1076 1656 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_25.v common 24.94 vpr 77.63 MiB 0.17 14120 -1 -1 8 0.99 -1 -1 40276 -1 -1 164 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79496 22 19 3229 3027 1 1778 212 18 18 324 mult_36 auto 36.6 MiB 1.75 12215 36810 7707 26469 2634 77.6 MiB 0.83 0.01 4.39726 -2486.3 -4.39726 4.39726 0.38 0.00624591 0.00554359 0.388695 0.34392 -1 -1 -1 -1 64 24293 49 7.94662e+06 4.98141e+06 1.23838e+06 3822.15 17.41 3.52743 3.02805 35204 318112 -1 19377 14 7296 13880 1047306 246792 4.52256 4.52256 -2714.61 -4.52256 0 0 1.56068e+06 4816.91 0.06 0.45 0.25 -1 -1 0.06 0.236985 0.212071 1149 1771 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_26.v common 16.86 vpr 77.90 MiB 0.18 14284 -1 -1 8 1.08 -1 -1 40624 -1 -1 168 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79768 22 19 3287 3085 1 1809 216 18 18 324 clb mult_36 auto 37.0 MiB 1.81 13224 36448 7523 26410 2515 77.9 MiB 0.80 0.01 4.39726 -2561.52 -4.39726 4.39726 0.37 0.00606708 0.00534762 0.378699 0.334378 -1 -1 -1 -1 72 23776 46 7.94662e+06 5.0353e+06 1.37338e+06 4238.83 9.04 3.05869 2.63725 36820 354972 -1 20355 17 7221 13498 1091721 254593 4.39726 4.39726 -2615.79 -4.39726 0 0 1.72054e+06 5310.31 0.07 0.49 0.28 -1 -1 0.07 0.27017 0.240144 1176 1810 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_27.v common 15.22 vpr 79.47 MiB 0.19 14668 -1 -1 8 1.10 -1 -1 39420 -1 -1 179 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81376 22 19 3453 3234 1 1920 228 18 18 324 clb mult_36 auto 38.0 MiB 1.87 14899 42684 9390 30124 3170 79.5 MiB 0.91 0.01 4.39726 -2709.16 -4.39726 4.39726 0.37 0.00652461 0.00576553 0.425403 0.374742 -1 -1 -1 -1 72 26663 29 7.94662e+06 5.57949e+06 1.37338e+06 4238.83 7.10 2.51462 2.17579 36820 354972 -1 21909 14 7541 14381 1192916 270742 4.52256 4.52256 -2876.33 -4.52256 0 0 1.72054e+06 5310.31 0.07 0.49 0.28 -1 -1 0.07 0.253484 0.226958 1238 1903 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_28.v common 24.60 vpr 79.50 MiB 0.19 14788 -1 -1 8 1.12 -1 -1 41192 -1 -1 185 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81404 22 19 3511 3292 1 1962 234 18 18 324 clb mult_36 auto 38.2 MiB 1.97 14013 47034 10967 32835 3232 79.5 MiB 1.02 0.02 4.39726 -2738.45 -4.39726 4.39726 0.37 0.00695469 0.00619628 0.474502 0.419483 -1 -1 -1 -1 70 23852 31 7.94662e+06 5.66032e+06 1.34436e+06 4149.26 16.24 3.88097 3.34091 36496 347204 -1 21054 16 7232 13970 1070733 250607 4.39726 4.39726 -2882.48 -4.39726 0 0 1.69344e+06 5226.66 0.06 0.49 0.28 -1 -1 0.06 0.267323 0.238997 1270 1942 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_29.v common 56.53 vpr 81.10 MiB 0.20 15224 -1 -1 8 1.23 -1 -1 39968 -1 -1 192 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83044 22 19 3709 3473 1 2059 242 22 22 484 mult_36 auto 39.4 MiB 2.01 14450 41657 8916 29905 2836 81.1 MiB 0.91 0.02 4.39726 -2879 -4.39726 4.39726 0.58 0.00700942 0.00620411 0.424534 0.374893 -1 -1 -1 -1 66 26488 43 1.29336e+07 6.15062e+06 1.96511e+06 4060.15 47.34 4.86465 4.19654 53786 506641 -1 22721 15 7810 15020 1221415 272582 4.52256 4.52256 -2944.25 -4.52256 0 0 2.45963e+06 5081.88 0.10 0.55 0.40 -1 -1 0.10 0.291724 0.261246 1337 2049 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_30.v common 15.84 vpr 81.25 MiB 0.12 15524 -1 -1 8 1.24 -1 -1 40420 -1 -1 196 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83204 22 19 3767 3531 1 2099 246 22 22 484 mult_36 auto 39.6 MiB 2.06 15036 46446 10329 33177 2940 81.3 MiB 1.00 0.02 4.47725 -2915.69 -4.47725 4.47725 0.61 0.00744496 0.00654086 0.478248 0.421589 -1 -1 -1 -1 70 26653 31 1.29336e+07 6.20451e+06 2.06816e+06 4273.05 6.47 2.25422 1.95601 55234 538945 -1 23309 15 7704 14559 1202053 270745 4.52256 4.52256 -2932.72 -4.52256 0 0 2.60483e+06 5381.88 0.10 0.53 0.42 -1 -1 0.10 0.283216 0.252656 1364 2088 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_31.v common 65.00 vpr 81.97 MiB 0.22 15892 -1 -1 8 1.32 -1 -1 41796 -1 -1 204 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83940 22 19 3928 3675 1 2204 254 22 22 484 mult_36 auto 40.2 MiB 2.12 15324 53318 12935 36823 3560 82.0 MiB 1.11 0.02 4.27196 -3057.88 -4.27196 4.27196 0.58 0.0071993 0.00636626 0.513778 0.452036 -1 -1 -1 -1 64 31024 48 1.29336e+07 6.31229e+06 1.90554e+06 3937.06 54.80 5.43212 4.69576 53302 493691 -1 24527 37 8993 17511 1596096 441514 4.27196 4.27196 -3170.25 -4.27196 0 0 2.40101e+06 4960.76 0.10 1.01 0.38 -1 -1 0.10 0.586892 0.515272 1413 2176 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_32.v common 59.17 vpr 82.59 MiB 0.21 15924 -1 -1 8 1.37 -1 -1 41928 -1 -1 210 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84568 22 19 3986 3733 1 2244 260 22 22 484 mult_36 auto 40.8 MiB 2.22 15716 54145 12766 37762 3617 82.6 MiB 1.18 0.02 4.33362 -3104.35 -4.33362 4.33362 0.58 0.00741232 0.00653368 0.535601 0.47053 -1 -1 -1 -1 66 30832 48 1.29336e+07 6.39312e+06 1.96511e+06 4060.15 49.11 4.9484 4.26304 53786 506641 -1 24682 14 8938 17187 1326966 302845 4.27196 4.27196 -3299.32 -4.27196 0 0 2.45963e+06 5081.88 0.10 0.57 0.39 -1 -1 0.10 0.294104 0.263007 1445 2215 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_33.v common 21.98 vpr 92.89 MiB 0.23 16888 -1 -1 8 1.46 -1 -1 40932 -1 -1 221 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 95124 22 19 4329 4059 1 2364 272 22 22 484 mult_36 auto 42.1 MiB 2.31 17630 54013 12118 38786 3109 84.0 MiB 1.18 0.02 4.52256 -3413.87 -4.52256 4.52256 0.58 0.00839559 0.00740599 0.545078 0.479147 -1 -1 -1 -1 74 29797 26 1.29336e+07 6.93731e+06 2.15943e+06 4461.62 11.53 3.48233 3.00692 56202 562081 -1 26394 15 8779 16697 1383454 309504 4.52256 4.52256 -3495.11 -4.52256 0 0 2.68771e+06 5553.12 0.11 0.61 0.44 -1 -1 0.11 0.326404 0.29187 1547 2394 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_34.v common 18.95 vpr 84.22 MiB 0.24 17088 -1 -1 8 1.57 -1 -1 42756 -1 -1 228 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86240 22 19 4387 4117 1 2405 279 22 22 484 mult_36 auto 42.1 MiB 2.33 17165 51295 11219 36980 3096 84.2 MiB 1.13 0.02 4.33064 -3383.19 -4.33064 4.33064 0.58 0.00841183 0.00746802 0.510404 0.448233 -1 -1 -1 -1 68 31347 42 1.29336e+07 7.03162e+06 2.01763e+06 4168.66 8.39 3.23894 2.83382 54270 517931 -1 26470 14 9232 18006 1437257 327359 4.27196 4.27196 -3454.9 -4.27196 0 0 2.51205e+06 5190.18 0.10 0.63 0.41 -1 -1 0.10 0.328498 0.294291 1584 2433 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_35.v common 51.50 vpr 84.82 MiB 0.24 17624 -1 -1 8 1.65 -1 -1 41204 -1 -1 234 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86852 22 19 4547 4260 1 2512 285 22 22 484 mult_36 auto 43.0 MiB 2.48 17748 59316 14061 41428 3827 84.8 MiB 1.32 0.02 4.39726 -3540.14 -4.39726 4.39726 0.58 0.00866884 0.00769668 0.599538 0.524974 -1 -1 -1 -1 66 35384 48 1.29336e+07 7.11245e+06 1.96511e+06 4060.15 40.34 5.35359 4.60531 53786 506641 -1 27484 15 9965 18606 1521357 342114 4.39726 4.39726 -3602.83 -4.39726 0 0 2.45963e+06 5081.88 0.10 0.67 0.39 -1 -1 0.10 0.354556 0.317224 1630 2520 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_36.v common 25.45 vpr 96.54 MiB 0.25 17636 -1 -1 8 1.67 -1 -1 42888 -1 -1 238 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 98860 22 19 4605 4318 1 2549 289 22 22 484 mult_36 auto 43.2 MiB 2.63 19964 64274 15431 45138 3705 85.1 MiB 1.37 0.02 4.52256 -3667.29 -4.52256 4.52256 0.58 0.00853243 0.00756228 0.627285 0.550213 -1 -1 -1 -1 80 32797 19 1.29336e+07 7.16634e+06 2.29262e+06 4736.82 14.02 4.24308 3.66352 58134 606231 -1 29664 15 9960 18861 1664748 356521 4.39726 4.39726 -3707.29 -4.39726 0 0 2.87723e+06 5944.70 0.11 0.66 0.48 -1 -1 0.11 0.350652 0.313315 1658 2559 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_37.v common 72.45 vpr 88.72 MiB 0.26 18208 -1 -1 8 1.74 -1 -1 42596 -1 -1 248 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90852 22 19 4802 4498 1 2644 300 24 24 576 mult_36 auto 44.0 MiB 2.60 19109 59477 13483 42193 3801 86.3 MiB 1.29 0.02 4.52256 -3790.77 -4.52256 4.52256 0.69 0.00927341 0.00822735 0.580912 0.509383 -1 -1 -1 -1 70 33652 49 1.56141e+07 7.69706e+06 2.45377e+06 4260.01 60.63 6.54613 5.67676 65346 639292 -1 29566 14 9905 19630 1543959 353319 4.52256 4.52256 -4255.67 -4.52256 0 0 3.09179e+06 5367.68 0.13 0.65 0.51 -1 -1 0.13 0.342802 0.306395 1721 2665 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_38.v common 26.77 vpr 104.85 MiB 0.26 18204 -1 -1 8 1.83 -1 -1 43300 -1 -1 252 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 107364 22 19 4860 4556 1 2685 304 24 24 576 mult_36 auto 44.3 MiB 2.69 20030 75858 19725 52169 3964 86.4 MiB 1.68 0.02 4.52256 -3831.09 -4.52256 4.52256 0.71 0.00903427 0.00798489 0.776136 0.679905 -1 -1 -1 -1 76 35050 41 1.56141e+07 7.75094e+06 2.61600e+06 4541.67 14.21 4.19706 3.6378 67070 679911 -1 30977 15 10081 19656 1654243 366881 4.52256 4.52256 -4199.34 -4.52256 0 0 3.24203e+06 5628.53 0.13 0.69 0.53 -1 -1 0.13 0.357156 0.318276 1753 2704 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_39.v common 22.93 vpr 91.66 MiB 0.27 18648 -1 -1 8 2.02 -1 -1 43796 -1 -1 260 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 93864 22 19 5019 4698 1 2795 312 24 24 576 mult_36 auto 45.0 MiB 2.75 21783 73245 17298 50743 5204 87.1 MiB 1.61 0.02 4.52256 -4026.08 -4.52256 4.52256 0.69 0.0108081 0.00968709 0.739894 0.650117 -1 -1 -1 -1 76 36842 32 1.56141e+07 7.85872e+06 2.61600e+06 4541.67 10.18 3.67994 3.20315 67070 679911 -1 32574 14 10504 20964 1696933 375758 4.52256 4.52256 -4125.86 -4.52256 0 0 3.24203e+06 5628.53 0.14 0.72 0.54 -1 -1 0.14 0.369372 0.330875 1805 2790 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_40.v common 75.17 vpr 89.43 MiB 0.28 18868 -1 -1 8 1.99 -1 -1 44584 -1 -1 263 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91572 22 19 5077 4756 1 2832 315 24 24 576 mult_36 auto 45.3 MiB 2.82 20287 63504 15024 44778 3702 87.4 MiB 1.44 0.02 4.77316 -4058.71 -4.77316 4.77316 0.74 0.00991783 0.00878935 0.657586 0.576017 -1 -1 -1 -1 70 35760 34 1.56141e+07 7.89914e+06 2.45377e+06 4260.01 62.36 6.51043 5.63689 65346 639292 -1 31430 13 10329 20012 1588349 350357 4.77316 4.77316 -4245.2 -4.77316 0 0 3.09179e+06 5367.68 0.13 0.70 0.51 -1 -1 0.13 0.366826 0.329717 1835 2829 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_41.v common 64.57 vpr 91.68 MiB 0.28 19240 -1 -1 8 2.15 -1 -1 44240 -1 -1 280 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 93884 22 19 5308 4970 1 2948 333 24 24 576 mult_36 auto 46.3 MiB 2.94 20534 77584 18131 54760 4693 88.6 MiB 1.62 0.02 4.27196 -4205.26 -4.27196 4.27196 0.68 0.0094793 0.00833995 0.706862 0.617629 -1 -1 -1 -1 68 38616 39 1.56141e+07 8.52416e+06 2.39371e+06 4155.74 51.38 5.79541 4.97547 64198 614305 -1 32063 14 10821 21400 1667131 367313 4.52256 4.52256 -4306.43 -4.52256 0 0 2.98162e+06 5176.42 0.12 0.71 0.48 -1 -1 0.12 0.383066 0.34194 1917 2951 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_42.v common 23.49 vpr 93.77 MiB 0.28 19464 -1 -1 8 2.11 -1 -1 44356 -1 -1 284 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 96016 22 19 5366 5028 1 2986 337 24 24 576 mult_36 auto 46.4 MiB 2.97 21546 84721 21455 57926 5340 88.8 MiB 1.83 0.02 4.52256 -4348.54 -4.52256 4.52256 0.69 0.0102004 0.0090377 0.799752 0.698153 -1 -1 -1 -1 76 38597 37 1.56141e+07 8.57805e+06 2.61600e+06 4541.67 9.79 3.86521 3.39425 67070 679911 -1 32898 17 10985 21297 1740998 391687 4.52256 4.52256 -4476.25 -4.52256 0 0 3.24203e+06 5628.53 0.13 0.84 0.55 -1 -1 0.13 0.469387 0.419883 1939 2990 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_43.v common 46.88 vpr 93.79 MiB 0.21 19800 -1 -1 8 2.19 -1 -1 44720 -1 -1 290 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 96044 22 19 5524 5169 1 3081 343 24 24 576 mult_36 auto 47.2 MiB 3.04 22464 81943 20273 56793 4877 88.7 MiB 1.76 0.03 4.39726 -4397.71 -4.39726 4.39726 0.69 0.0105686 0.00938961 0.774219 0.67704 -1 -1 -1 -1 70 39672 46 1.56141e+07 8.65888e+06 2.45377e+06 4260.01 33.32 6.12051 5.30209 65346 639292 -1 34272 16 11762 22515 1827921 426208 4.39726 4.39726 -4479.85 -4.39726 0 0 3.09179e+06 5367.68 0.12 0.82 0.50 -1 -1 0.12 0.439058 0.391914 1992 3075 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_44.v common 33.63 vpr 109.20 MiB 0.29 20112 -1 -1 8 2.22 -1 -1 45280 -1 -1 294 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 111824 22 19 5582 5227 1 3116 347 24 24 576 mult_36 auto 47.5 MiB 3.06 24108 83171 20862 57448 4861 89.0 MiB 1.79 0.03 4.77316 -4486.78 -4.77316 4.77316 0.74 0.0104676 0.00927014 0.777453 0.67988 -1 -1 -1 -1 78 41715 45 1.56141e+07 8.71277e+06 2.67122e+06 4637.53 19.65 6.11579 5.32575 68222 705597 -1 35845 17 11583 22334 1918053 409719 4.52256 4.52256 -4520.93 -4.52256 0 0 3.35110e+06 5817.88 0.14 0.86 0.56 -1 -1 0.14 0.470025 0.418107 2027 3114 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_45.v common 23.30 vpr 95.52 MiB 0.29 20368 -1 -1 8 2.46 -1 -1 45784 -1 -1 305 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 97816 22 19 5779 5407 1 3233 359 24 24 576 mult_36 auto 48.4 MiB 3.17 23384 84509 20314 58842 5353 90.1 MiB 1.80 0.03 4.64786 -4555.19 -4.64786 4.64786 0.69 0.0106621 0.0094233 0.781673 0.681019 -1 -1 -1 -1 72 40299 24 1.56141e+07 9.25696e+06 2.50747e+06 4353.24 8.73 3.47429 3.03409 65922 653303 -1 35368 14 11769 22548 1785717 411172 4.52256 4.52256 -4650.72 -4.52256 0 0 3.14081e+06 5452.80 0.14 0.83 0.52 -1 -1 0.14 0.439257 0.393883 2094 3220 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_46.v common 24.07 vpr 97.10 MiB 0.31 20480 -1 -1 8 2.53 -1 -1 46280 -1 -1 309 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 99432 22 19 5837 5465 1 3265 363 24 24 576 mult_36 auto 48.6 MiB 3.21 23277 89649 22299 61974 5376 90.4 MiB 2.01 0.04 4.52256 -4660.17 -4.52256 4.52256 0.69 0.0157935 0.0135242 0.887429 0.76292 -1 -1 -1 -1 72 40912 27 1.56141e+07 9.31085e+06 2.50747e+06 4353.24 9.79 4.17068 3.63353 65922 653303 -1 34900 17 11874 22769 1784513 406387 4.39726 4.39726 -4749.42 -4.39726 0 0 3.14081e+06 5452.80 0.12 0.76 0.41 -1 -1 0.12 0.444847 0.397507 2123 3259 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_47.v common 24.92 vpr 99.99 MiB 0.31 21064 -1 -1 8 2.65 -1 -1 44688 -1 -1 319 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 102388 22 19 5997 5608 1 3371 373 24 24 576 mult_36 auto 49.3 MiB 3.32 23739 91629 22128 63847 5654 91.3 MiB 2.01 0.03 4.39726 -4742.01 -4.39726 4.39726 0.71 0.0113633 0.0100373 0.861078 0.750974 -1 -1 -1 -1 74 40396 34 1.56141e+07 9.44557e+06 2.56259e+06 4448.94 9.82 4.47967 3.892 66498 666725 -1 36002 15 12113 23666 1812321 416794 4.39726 4.39726 -5007.31 -4.39726 0 0 3.19068e+06 5539.38 0.19 0.86 0.54 -1 -1 0.19 0.469947 0.419525 2188 3346 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_48.v common 34.02 vpr 112.20 MiB 0.31 21136 -1 -1 8 2.53 -1 -1 46256 -1 -1 321 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 114896 22 19 6055 5666 1 3410 375 24 24 576 mult_36 auto 49.7 MiB 3.31 24754 90892 22357 62690 5845 91.5 MiB 1.92 0.03 4.77316 -4914.36 -4.77316 4.77316 0.69 0.0113866 0.00977987 0.828521 0.722716 -1 -1 -1 -1 76 43619 49 1.56141e+07 9.47251e+06 2.61600e+06 4541.67 18.77 6.39717 5.59872 67070 679911 -1 37385 13 12385 23975 2003854 455190 4.77316 4.77316 -5045.54 -4.77316 0 0 3.24203e+06 5628.53 0.16 0.96 0.57 -1 -1 0.16 0.498092 0.450617 2214 3385 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_49.v common 25.51 vpr 98.19 MiB 0.33 21656 -1 -1 8 2.74 -1 -1 46448 -1 -1 328 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 100548 22 19 6324 5918 1 3512 383 24 24 576 mult_36 auto 50.6 MiB 3.44 26262 101853 26789 68696 6368 92.8 MiB 2.16 0.03 4.45594 -5022.82 -4.45594 4.45594 0.71 0.0116364 0.01019 0.928768 0.802736 -1 -1 -1 -1 74 43435 41 1.56141e+07 9.96282e+06 2.56259e+06 4448.94 9.74 4.44268 3.84364 66498 666725 -1 38521 14 12600 24244 1952161 439043 4.52256 4.52256 -5061.56 -4.52256 0 0 3.19068e+06 5539.38 0.15 0.87 0.54 -1 -1 0.15 0.465239 0.416953 2288 3527 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_50.v common 26.81 vpr 102.01 MiB 0.34 21924 -1 -1 8 2.75 -1 -1 47148 -1 -1 336 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 104460 22 19 6382 5976 1 3561 391 24 24 576 mult_36 auto 50.7 MiB 3.43 25575 96134 23764 66609 5761 95.0 MiB 2.15 0.03 4.52256 -5121.2 -4.52256 4.52256 0.69 0.0132987 0.0118623 0.918446 0.80549 -1 -1 -1 -1 72 44709 36 1.56141e+07 1.00706e+07 2.50747e+06 4353.24 11.06 5.13511 4.47468 65922 653303 -1 38503 14 13020 24827 1943776 435828 4.52256 4.52256 -5328.16 -4.52256 0 0 3.14081e+06 5452.80 0.13 0.96 0.52 -1 -1 0.13 0.504935 0.450352 2316 3566 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_51.v common 25.39 vpr 104.37 MiB 0.35 22172 -1 -1 8 2.77 -1 -1 47668 -1 -1 337 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 106876 22 19 6542 6119 1 3655 392 24 24 576 clb mult_36 auto 51.5 MiB 3.55 26125 103640 25810 71650 6180 93.9 MiB 2.28 0.03 4.52256 -5183.7 -4.52256 4.52256 0.70 0.0124497 0.01103 0.983285 0.858887 -1 -1 -1 -1 76 44713 32 1.56141e+07 1.00841e+07 2.61600e+06 4541.67 9.25 4.12063 3.59068 67070 679911 -1 39146 14 13002 25133 1962285 454047 4.52256 4.52256 -5369.36 -4.52256 0 0 3.24203e+06 5628.53 0.14 0.87 0.54 -1 -1 0.14 0.476654 0.426289 2364 3653 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_pipe_52.v common 32.83 vpr 103.98 MiB 0.35 22404 -1 -1 8 2.96 -1 -1 47336 -1 -1 343 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 106472 22 19 6600 6177 1 3694 398 24 24 576 clb mult_36 auto 51.9 MiB 3.61 28570 101345 24841 70233 6271 94.2 MiB 2.29 0.03 4.52256 -5274.26 -4.52256 4.52256 0.69 0.0139156 0.0124092 0.978046 0.857286 -1 -1 -1 -1 74 51173 47 1.56141e+07 1.01649e+07 2.56259e+06 4448.94 16.43 5.77431 5.01417 66498 666725 -1 42308 15 14298 28192 2236662 505278 4.52256 4.52256 -5470.44 -4.52256 0 0 3.19068e+06 5539.38 0.13 0.94 0.52 -1 -1 0.13 0.484583 0.429867 2399 3692 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_14.v common 7.26 vpr 67.59 MiB 0.10 9208 -1 -1 10 0.48 -1 -1 35120 -1 -1 70 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69208 22 19 1149 1049 1 770 115 16 16 256 mult_36 auto 28.2 MiB 0.65 5106 13507 2821 9387 1299 67.6 MiB 0.31 0.01 13.6822 -459.62 -13.6822 13.6822 0.29 0.00289755 0.00264816 0.159033 0.144767 -1 -1 -1 -1 66 10487 29 6.45408e+06 2.52704e+06 974584. 3806.97 3.20 0.823461 0.726636 27588 246658 -1 9058 19 4283 8845 690980 156468 12.4747 12.4747 -552.103 -12.4747 0 0 1.22072e+06 4768.46 0.04 0.30 0.20 -1 -1 0.04 0.135955 0.122843 468 715 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_15.v common 7.03 vpr 68.10 MiB 0.10 9528 -1 -1 11 0.50 -1 -1 36088 -1 -1 77 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69736 22 19 1261 1144 1 832 123 16 16 256 mult_36 auto 28.8 MiB 0.76 5506 14763 2864 10527 1372 68.1 MiB 0.31 0.01 14.7223 -468.577 -14.7223 14.7223 0.29 0.0030861 0.00282248 0.162286 0.148418 -1 -1 -1 -1 60 10965 24 6.45408e+06 3.01734e+06 890343. 3477.90 2.93 0.842014 0.743974 26568 224354 -1 9624 19 4491 9283 668219 162402 13.3989 13.3989 -555.365 -13.3989 0 0 1.11577e+06 4358.47 0.04 0.27 0.18 -1 -1 0.04 0.135304 0.121491 502 790 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_16.v common 10.05 vpr 69.09 MiB 0.11 9636 -1 -1 11 0.53 -1 -1 35696 -1 -1 83 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70748 22 19 1336 1219 1 886 129 16 16 256 mult_36 auto 29.5 MiB 0.73 6167 17354 3657 12073 1624 69.1 MiB 0.36 0.01 14.8762 -494.139 -14.8762 14.8762 0.29 0.00317647 0.00289658 0.184506 0.168287 -1 -1 -1 -1 80 10385 20 6.45408e+06 3.09818e+06 1.13630e+06 4438.68 5.69 1.56458 1.37887 29884 294868 -1 10083 18 4335 9017 682842 152539 13.8363 13.8363 -553.614 -13.8363 0 0 1.42763e+06 5576.70 0.05 0.27 0.24 -1 -1 0.05 0.133256 0.119452 542 846 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_17.v common 14.15 vpr 69.38 MiB 0.12 9996 -1 -1 11 0.59 -1 -1 36228 -1 -1 90 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71048 22 19 1446 1312 1 972 136 16 16 256 mult_36 auto 29.8 MiB 0.84 6834 15143 2881 11064 1198 69.4 MiB 0.33 0.01 14.8525 -526.703 -14.8525 14.8525 0.30 0.00348101 0.00317251 0.167054 0.15264 -1 -1 -1 -1 74 12740 32 6.45408e+06 3.19248e+06 1.07073e+06 4182.55 9.53 1.99265 1.75249 28864 273460 -1 11514 22 5373 11109 881710 202481 13.5242 13.5242 -823.477 -13.5242 0 0 1.33358e+06 5209.30 0.05 0.33 0.23 -1 -1 0.05 0.164936 0.147116 589 919 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_18.v common 8.19 vpr 69.60 MiB 0.12 10156 -1 -1 11 0.62 -1 -1 36016 -1 -1 94 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71268 22 19 1507 1373 1 1010 140 16 16 256 mult_36 auto 30.1 MiB 0.83 6852 12119 1933 9359 827 69.6 MiB 0.28 0.01 14.7414 -557.78 -14.7414 14.7414 0.29 0.00364648 0.00331943 0.136784 0.125007 -1 -1 -1 -1 64 13774 33 6.45408e+06 3.24637e+06 943753. 3686.54 3.59 1.00985 0.886193 27332 240185 -1 11832 23 6163 12752 935991 213778 13.2702 13.2702 -679.109 -13.2702 0 0 1.19033e+06 4649.74 0.04 0.37 0.20 -1 -1 0.04 0.17993 0.160683 615 961 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_19.v common 25.46 vpr 70.11 MiB 0.12 10436 -1 -1 11 0.66 -1 -1 36152 -1 -1 100 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71796 22 19 1596 1445 1 1082 147 16 16 256 mult_36 auto 30.3 MiB 0.94 8120 16788 3094 12235 1459 70.1 MiB 0.37 0.01 15.1551 -576.52 -15.1551 15.1551 0.29 0.00386324 0.00352799 0.183726 0.167548 -1 -1 -1 -1 68 16302 49 6.45408e+06 3.7232e+06 1.00038e+06 3907.74 20.46 2.46049 2.15461 27844 252052 -1 13655 23 6413 13260 1165170 284338 13.9001 13.9001 -723.521 -13.9001 0 0 1.24648e+06 4869.04 0.05 0.45 0.21 -1 -1 0.05 0.199014 0.177582 649 1013 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_20.v common 12.16 vpr 70.41 MiB 0.13 10560 -1 -1 11 0.71 -1 -1 36884 -1 -1 102 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72100 22 19 1656 1505 1 1105 149 16 16 256 mult_36 auto 30.7 MiB 0.94 8133 17091 3036 12634 1421 70.4 MiB 0.38 0.01 14.8164 -576.221 -14.8164 14.8164 0.29 0.00388536 0.00353694 0.189025 0.172077 -1 -1 -1 -1 82 13647 22 6.45408e+06 3.75014e+06 1.16272e+06 4541.86 7.07 1.88485 1.6631 30136 301062 -1 12798 18 5841 12066 916683 206636 13.5991 13.5991 -690.99 -13.5991 0 0 1.45847e+06 5697.13 0.06 0.37 0.25 -1 -1 0.06 0.173813 0.156577 679 1054 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_21.v common 9.90 vpr 70.96 MiB 0.14 10872 -1 -1 12 0.77 -1 -1 37008 -1 -1 107 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72660 22 19 1754 1586 1 1158 154 16 16 256 mult_36 auto 31.0 MiB 0.96 8227 17458 3113 13061 1284 71.0 MiB 0.41 0.01 15.138 -592.239 -15.138 15.138 0.29 0.00434009 0.00395707 0.204399 0.185795 -1 -1 -1 -1 68 16064 36 6.45408e+06 3.8175e+06 1.00038e+06 3907.74 4.68 1.47077 1.295 27844 252052 -1 13680 22 6422 13006 983886 233397 14.1858 14.1858 -735.641 -14.1858 0 0 1.24648e+06 4869.04 0.05 0.39 0.20 -1 -1 0.05 0.201539 0.180363 705 1115 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_22.v common 10.03 vpr 71.45 MiB 0.14 11004 -1 -1 11 0.78 -1 -1 37776 -1 -1 115 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73160 22 19 1827 1659 1 1225 162 16 16 256 mult_36 auto 31.6 MiB 1.04 8923 20448 3872 14865 1711 71.4 MiB 0.47 0.01 15.1495 -616.019 -15.1495 15.1495 0.29 0.00449602 0.0041029 0.22807 0.207228 -1 -1 -1 -1 70 16645 41 6.45408e+06 3.92528e+06 1.02522e+06 4004.78 4.57 1.45521 1.28296 28352 262101 -1 14301 19 6519 13743 1014274 236020 14.0324 14.0324 -826.909 -14.0324 0 0 1.29210e+06 5047.26 0.05 0.42 0.22 -1 -1 0.05 0.197443 0.177515 757 1169 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_23.v common 9.98 vpr 71.70 MiB 0.15 11444 -1 -1 12 0.85 -1 -1 38288 -1 -1 116 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73420 22 19 1905 1720 1 1256 164 18 18 324 mult_36 auto 31.8 MiB 1.08 8775 19876 3608 14789 1479 71.7 MiB 0.45 0.01 15.9971 -647.636 -15.9971 15.9971 0.38 0.00455001 0.00414671 0.224016 0.203568 -1 -1 -1 -1 68 16754 29 7.94662e+06 4.33475e+06 1.31159e+06 4048.11 4.19 1.3868 1.22068 35852 333792 -1 14712 18 6639 13768 1031010 245648 14.5302 14.5302 -813.703 -14.5302 0 0 1.63345e+06 5041.52 0.06 0.40 0.27 -1 -1 0.06 0.191909 0.172099 762 1210 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_24.v common 31.20 vpr 72.34 MiB 0.15 11492 -1 -1 12 0.89 -1 -1 36784 -1 -1 118 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74076 22 19 1979 1794 1 1297 166 18 18 324 mult_36 auto 32.4 MiB 1.08 8897 22966 4524 16832 1610 72.3 MiB 0.54 0.01 16.5758 -681.11 -16.5758 16.5758 0.38 0.00491519 0.00440638 0.271904 0.246064 -1 -1 -1 -1 64 17611 44 7.94662e+06 4.3617e+06 1.23838e+06 3822.15 25.20 2.87486 2.51558 35204 318112 -1 14905 19 6749 13708 1053705 246504 15.4576 15.4576 -970.318 -15.4576 0 0 1.56068e+06 4816.91 0.06 0.42 0.25 -1 -1 0.06 0.206387 0.185371 782 1265 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_25.v common 12.70 vpr 72.93 MiB 0.16 11724 -1 -1 12 0.95 -1 -1 37416 -1 -1 124 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74676 22 19 2073 1871 1 1357 172 18 18 324 mult_36 auto 32.8 MiB 1.17 9387 21682 3783 16336 1563 72.9 MiB 0.49 0.01 15.9958 -736.396 -15.9958 15.9958 0.38 0.00474774 0.00431367 0.24405 0.221547 -1 -1 -1 -1 66 19028 35 7.94662e+06 4.44253e+06 1.27759e+06 3943.17 6.92 1.77972 1.56334 35528 326584 -1 15647 18 7543 15047 1197533 278234 14.5336 14.5336 -1025.75 -14.5336 0 0 1.59950e+06 4936.74 0.06 0.32 0.20 -1 -1 0.06 0.136762 0.124783 827 1322 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_26.v common 11.90 vpr 73.38 MiB 0.16 12028 -1 -1 12 1.01 -1 -1 37376 -1 -1 129 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75144 22 19 2130 1928 1 1394 177 18 18 324 mult_36 auto 33.2 MiB 1.11 10044 25473 5175 18438 1860 73.4 MiB 0.57 0.01 15.9283 -697.821 -15.9283 15.9283 0.38 0.00481276 0.00437187 0.277784 0.250276 -1 -1 -1 -1 72 19927 49 7.94662e+06 4.50989e+06 1.37338e+06 4238.83 5.56 1.67567 1.47462 36820 354972 -1 16936 19 7696 16162 1256844 290631 14.4587 14.4587 -838.02 -14.4587 0 0 1.72054e+06 5310.31 0.07 0.47 0.28 -1 -1 0.07 0.220777 0.198079 848 1360 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_27.v common 11.64 vpr 73.66 MiB 0.16 12128 -1 -1 12 1.06 -1 -1 37928 -1 -1 134 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75428 22 19 2238 2019 1 1484 183 18 18 324 mult_36 auto 33.3 MiB 1.20 10303 23019 3795 17514 1710 73.7 MiB 0.52 0.01 16.3574 -740.652 -16.3574 16.3574 0.41 0.00508532 0.00462943 0.256883 0.23292 -1 -1 -1 -1 72 19406 35 7.94662e+06 4.97325e+06 1.37338e+06 4238.83 4.98 1.63259 1.44785 36820 354972 -1 16772 18 7533 15338 1225559 285898 15.3435 15.3435 -966.064 -15.3435 0 0 1.72054e+06 5310.31 0.07 0.55 0.29 -1 -1 0.07 0.247351 0.2243 889 1431 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_28.v common 12.02 vpr 74.28 MiB 0.17 12344 -1 -1 12 1.07 -1 -1 38192 -1 -1 140 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76064 22 19 2299 2080 1 1519 189 18 18 324 mult_36 auto 33.9 MiB 1.19 10804 27289 5225 20065 1999 74.3 MiB 0.62 0.01 15.4252 -720.198 -15.4252 15.4252 0.38 0.00528124 0.00478919 0.291574 0.263587 -1 -1 -1 -1 76 19748 28 7.94662e+06 5.05408e+06 1.43297e+06 4422.75 5.25 1.81736 1.60509 37464 369264 -1 17547 23 7963 16382 1265735 296668 14.1577 14.1577 -969.427 -14.1577 0 0 1.77541e+06 5479.65 0.07 0.55 0.30 -1 -1 0.07 0.278732 0.249019 920 1473 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_29.v common 15.90 vpr 74.69 MiB 0.18 12588 -1 -1 12 1.18 -1 -1 38620 -1 -1 149 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76484 22 19 2400 2164 1 1587 199 22 22 484 mult_36 auto 34.3 MiB 1.29 11753 32679 6702 23479 2498 74.7 MiB 0.70 0.01 16.3355 -822.997 -16.3355 16.3355 0.58 0.00536781 0.0048666 0.333728 0.301656 -1 -1 -1 -1 72 22831 50 1.29336e+07 5.57133e+06 2.11301e+06 4365.72 8.11 2.10311 1.85274 55718 550791 -1 19475 22 8396 17257 1418227 308950 14.9921 14.9921 -1116.1 -14.9921 0 0 2.64603e+06 5467.00 0.11 0.59 0.44 -1 -1 0.11 0.28625 0.255774 964 1537 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_30.v common 13.96 vpr 75.21 MiB 0.17 12888 -1 -1 12 1.20 -1 -1 38328 -1 -1 151 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77020 22 19 2474 2238 1 1644 201 22 22 484 mult_36 auto 34.7 MiB 1.35 11631 31365 6120 23075 2170 75.2 MiB 0.70 0.01 15.4695 -861.616 -15.4695 15.4695 0.59 0.00578431 0.00526263 0.332418 0.300183 -1 -1 -1 -1 70 21803 39 1.29336e+07 5.59827e+06 2.06816e+06 4273.05 6.15 1.77038 1.56192 55234 538945 -1 19317 18 8415 17138 1331422 300231 14.5771 14.5771 -1144.64 -14.5771 0 0 2.60483e+06 5381.88 0.10 0.54 0.46 -1 -1 0.10 0.253769 0.228803 993 1592 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_31.v common 20.36 vpr 82.08 MiB 0.19 13024 -1 -1 12 1.28 -1 -1 39728 -1 -1 157 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84052 22 19 2603 2350 1 1698 207 22 22 484 mult_36 auto 35.4 MiB 1.39 12017 35703 7532 25602 2569 75.8 MiB 0.81 0.01 16.7004 -837.14 -16.7004 16.7004 0.58 0.00605597 0.00551041 0.389795 0.351447 -1 -1 -1 -1 70 22782 31 1.29336e+07 5.6791e+06 2.06816e+06 4273.05 12.12 2.89886 2.54947 55234 538945 -1 20187 20 8573 17607 1351254 309268 14.9991 14.9991 -1115.54 -14.9991 0 0 2.60483e+06 5381.88 0.11 0.59 0.43 -1 -1 0.11 0.2894 0.260201 1047 1684 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_32.v common 21.32 vpr 84.62 MiB 0.19 13024 -1 -1 12 1.28 -1 -1 38568 -1 -1 165 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86648 22 19 2694 2441 1 1790 215 22 22 484 mult_36 auto 36.0 MiB 1.43 13091 38795 8237 28042 2516 76.8 MiB 0.88 0.01 16.3985 -869.291 -16.3985 16.3985 0.58 0.00623055 0.00563756 0.416475 0.375134 -1 -1 -1 -1 76 24900 31 1.29336e+07 5.78688e+06 2.20457e+06 4554.90 12.91 3.12162 2.73638 56682 573177 -1 21669 19 10105 20875 1700474 377139 15.0496 15.0496 -1222.37 -15.0496 0 0 2.73077e+06 5642.09 0.11 0.62 0.45 -1 -1 0.11 0.278304 0.247991 1095 1756 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_33.v common 64.49 vpr 77.07 MiB 0.20 13676 -1 -1 13 1.39 -1 -1 39904 -1 -1 170 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78920 22 19 2787 2517 1 1861 221 22 22 484 mult_36 auto 36.5 MiB 1.51 12659 36906 7728 26810 2368 77.1 MiB 0.80 0.01 16.2522 -893.304 -16.2522 16.2522 0.57 0.00618837 0.00559533 0.370822 0.334103 -1 -1 -1 -1 66 26069 37 1.29336e+07 6.25024e+06 1.96511e+06 4060.15 55.95 4.04824 3.53816 53786 506641 -1 21576 20 10472 21474 1643129 384144 14.7878 14.7878 -1140.69 -14.7878 0 0 2.45963e+06 5081.88 0.10 0.64 0.40 -1 -1 0.10 0.298587 0.26717 1123 1812 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_34.v common 57.50 vpr 77.17 MiB 0.20 13748 -1 -1 13 1.40 -1 -1 38852 -1 -1 174 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79024 22 19 2834 2564 1 1868 225 22 22 484 mult_36 auto 36.4 MiB 1.53 13151 41265 9003 29570 2692 77.2 MiB 0.91 0.02 16.3971 -909.975 -16.3971 16.3971 0.57 0.00744679 0.00659479 0.428547 0.385938 -1 -1 -1 -1 64 27291 50 1.29336e+07 6.30413e+06 1.90554e+06 3937.06 48.86 4.27391 3.74962 53302 493691 -1 22082 19 10314 21069 1665829 368660 14.9131 14.9131 -1220.16 -14.9131 0 0 2.40101e+06 4960.76 0.10 0.61 0.38 -1 -1 0.10 0.289188 0.259923 1145 1840 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_35.v common 48.22 vpr 77.81 MiB 0.22 14172 -1 -1 13 1.45 -1 -1 40500 -1 -1 183 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79680 22 19 2941 2654 1 1951 234 22 22 484 mult_36 auto 37.1 MiB 1.58 14183 44154 9453 31713 2988 77.8 MiB 0.92 0.01 16.599 -896.328 -16.599 16.599 0.57 0.00635222 0.00574418 0.425736 0.383666 -1 -1 -1 -1 70 26931 32 1.29336e+07 6.42538e+06 2.06816e+06 4273.05 39.18 3.72764 3.26676 55234 538945 -1 23848 19 10639 22131 1827902 416343 15.4647 15.4647 -1269.85 -15.4647 0 0 2.60483e+06 5381.88 0.10 0.68 0.42 -1 -1 0.10 0.305124 0.272391 1187 1910 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_36.v common 56.89 vpr 78.36 MiB 0.21 14220 -1 -1 13 1.55 -1 -1 40608 -1 -1 188 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80244 22 19 3011 2724 1 1983 239 22 22 484 mult_36 auto 37.4 MiB 1.56 14396 42476 8919 30988 2569 78.4 MiB 0.91 0.01 17.0772 -1033.74 -17.0772 17.0772 0.57 0.00675828 0.00612437 0.421413 0.37928 -1 -1 -1 -1 70 27002 31 1.29336e+07 6.49274e+06 2.06816e+06 4273.05 47.73 4.35914 3.81483 55234 538945 -1 24005 19 10938 22403 1833021 409171 15.261 15.261 -1335.45 -15.261 0 0 2.60483e+06 5381.88 0.11 0.71 0.43 -1 -1 0.11 0.317874 0.28621 1216 1961 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_37.v common 16.65 vpr 78.88 MiB 0.21 14472 -1 -1 13 1.62 -1 -1 39624 -1 -1 192 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80776 22 19 3132 2828 1 2075 244 24 24 576 mult_36 auto 37.6 MiB 1.73 15539 45964 9940 33504 2520 78.9 MiB 1.01 0.02 17.0531 -1099.07 -17.0531 17.0531 0.70 0.00675349 0.00610967 0.472594 0.424479 -1 -1 -1 -1 74 28183 27 1.56141e+07 6.94262e+06 2.56259e+06 4448.94 6.78 2.0563 1.8108 66498 666725 -1 25050 20 10788 22013 1847361 395446 15.618 15.618 -1319.69 -15.618 0 0 3.19068e+06 5539.38 0.13 0.69 0.52 -1 -1 0.13 0.326897 0.292432 1257 2045 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_38.v common 17.39 vpr 78.84 MiB 0.23 14548 -1 -1 13 1.65 -1 -1 41104 -1 -1 193 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80728 22 19 3159 2855 1 2085 245 24 24 576 mult_36 auto 37.8 MiB 1.69 15300 43141 8958 31640 2543 78.8 MiB 0.96 0.02 16.8118 -1073.77 -16.8118 16.8118 0.69 0.00738229 0.00670471 0.44682 0.40118 -1 -1 -1 -1 72 28611 31 1.56141e+07 6.9561e+06 2.50747e+06 4353.24 7.55 2.23437 1.97263 65922 653303 -1 24716 21 10870 22329 1677102 385334 15.7545 15.7545 -1355.89 -15.7545 0 0 3.14081e+06 5452.80 0.13 0.68 0.51 -1 -1 0.13 0.340683 0.304883 1273 2053 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_39.v common 73.48 vpr 80.14 MiB 0.24 14844 -1 -1 13 1.77 -1 -1 39832 -1 -1 206 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82060 22 19 3284 2963 1 2178 258 24 24 576 mult_36 auto 38.7 MiB 1.82 15043 48697 10191 35901 2605 80.1 MiB 1.03 0.02 16.9162 -1078.94 -16.9162 16.9162 0.68 0.00746338 0.00676629 0.469086 0.421823 -1 -1 -1 -1 68 30211 50 1.56141e+07 7.13123e+06 2.39371e+06 4155.74 63.25 4.58497 4.01465 64198 614305 -1 25486 20 11199 23010 1890216 410636 15.5115 15.5115 -1435.44 -15.5115 0 0 2.98162e+06 5176.42 0.12 0.74 0.48 -1 -1 0.12 0.351151 0.315377 1326 2141 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_40.v common 77.51 vpr 80.02 MiB 0.23 14932 -1 -1 13 1.75 -1 -1 40020 -1 -1 209 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81944 22 19 3343 3022 1 2198 261 24 24 576 mult_36 auto 38.7 MiB 1.81 16307 45243 9181 33604 2458 80.0 MiB 0.97 0.02 17.3835 -1055.96 -17.3835 17.3835 0.68 0.00718733 0.00646648 0.435306 0.390786 -1 -1 -1 -1 68 31528 42 1.56141e+07 7.17165e+06 2.39371e+06 4155.74 67.31 4.82384 4.22099 64198 614305 -1 26472 20 11205 23056 1855627 411337 16.2599 16.2599 -1433.92 -16.2599 0 0 2.98162e+06 5176.42 0.12 0.75 0.48 -1 -1 0.12 0.360444 0.321868 1353 2181 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_41.v common 24.94 vpr 101.75 MiB 0.24 15216 -1 -1 13 1.88 -1 -1 41972 -1 -1 210 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 104188 22 19 3448 3110 1 2297 263 24 24 576 mult_36 auto 39.2 MiB 1.88 17467 54151 12520 38174 3457 80.5 MiB 1.14 0.02 17.4873 -1077.3 -17.4873 17.4873 0.69 0.00722889 0.00653834 0.516201 0.463233 -1 -1 -1 -1 82 29897 25 1.56141e+07 7.58112e+06 2.78508e+06 4835.20 14.25 3.43968 3.03848 69370 733739 -1 26923 19 11691 24471 1877952 410416 16.2241 16.2241 -1322.92 -16.2241 0 0 3.48632e+06 6052.64 0.14 0.72 0.58 -1 -1 0.14 0.346775 0.310972 1397 2249 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_42.v common 20.96 vpr 85.23 MiB 0.25 15336 -1 -1 13 1.94 -1 -1 40588 -1 -1 216 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87280 22 19 3510 3172 1 2328 269 24 24 576 mult_36 auto 39.5 MiB 1.88 16557 54953 12393 39258 3302 80.9 MiB 1.15 0.02 16.428 -1148.53 -16.428 16.428 0.68 0.00730499 0.00656624 0.510452 0.457876 -1 -1 -1 -1 76 30919 28 1.56141e+07 7.66195e+06 2.61600e+06 4541.67 10.02 3.0145 2.64896 67070 679911 -1 27245 20 12940 27411 2123702 467052 15.074 15.074 -1506.63 -15.074 0 0 3.24203e+06 5628.53 0.14 0.85 0.54 -1 -1 0.14 0.386399 0.345314 1416 2292 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_43.v common 70.88 vpr 81.62 MiB 0.25 15636 -1 -1 13 1.99 -1 -1 38408 -1 -1 221 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83576 22 19 3598 3243 1 2401 274 24 24 576 mult_36 auto 40.2 MiB 1.93 17147 56281 12512 40410 3359 81.6 MiB 1.26 0.02 16.7454 -1114.5 -16.7454 16.7454 0.70 0.00837931 0.00761884 0.584204 0.521074 -1 -1 -1 -1 66 33317 40 1.56141e+07 7.72931e+06 2.33135e+06 4047.49 59.63 4.76405 4.14616 63622 600883 -1 28220 22 13110 27067 2111274 472893 15.4892 15.4892 -1560.22 -15.4892 0 0 2.91907e+06 5067.82 0.12 0.87 0.47 -1 -1 0.12 0.421785 0.375711 1459 2343 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_44.v common 21.14 vpr 83.20 MiB 0.26 15884 -1 -1 13 2.16 -1 -1 42568 -1 -1 229 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85192 22 19 3689 3334 1 2445 282 24 24 576 mult_36 auto 40.7 MiB 2.03 17795 49254 9708 36881 2665 82.2 MiB 1.12 0.02 16.8708 -1179.45 -16.8708 16.8708 0.70 0.00864735 0.00765603 0.507611 0.45177 -1 -1 -1 -1 76 32422 37 1.56141e+07 7.83709e+06 2.61600e+06 4541.67 9.77 2.61824 2.31711 67070 679911 -1 28865 21 12656 26264 2060272 464691 15.3247 15.3247 -1697.31 -15.3247 0 0 3.24203e+06 5628.53 0.13 0.80 0.53 -1 -1 0.13 0.395411 0.351885 1505 2415 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_45.v common 84.10 vpr 82.37 MiB 0.26 16208 -1 -1 13 2.21 -1 -1 38820 -1 -1 229 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84344 22 19 3763 3391 1 2494 283 24 24 576 mult_36 auto 40.6 MiB 2.04 18068 53179 10643 39497 3039 82.4 MiB 1.21 0.02 16.494 -1139.62 -16.494 16.494 0.70 0.00855924 0.00773788 0.550478 0.49007 -1 -1 -1 -1 68 35854 49 1.56141e+07 8.23309e+06 2.39371e+06 4155.74 72.58 5.27403 4.59297 64198 614305 -1 30065 21 14699 30768 2367349 532237 15.2966 15.2966 -1712.51 -15.2966 0 0 2.98162e+06 5176.42 0.12 0.90 0.48 -1 -1 0.12 0.412502 0.369796 1519 2452 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_46.v common 22.37 vpr 84.23 MiB 0.27 16248 -1 -1 13 2.22 -1 -1 42472 -1 -1 235 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86248 22 19 3845 3473 1 2541 289 24 24 576 mult_36 auto 41.8 MiB 2.06 19020 56634 11853 41428 3353 82.9 MiB 1.25 0.02 17.2092 -1224.82 -17.2092 17.2092 0.69 0.0085687 0.00774928 0.552266 0.492454 -1 -1 -1 -1 74 34678 48 1.56141e+07 8.31392e+06 2.56259e+06 4448.94 10.71 3.46049 3.03202 66498 666725 -1 30402 19 12854 27194 2137081 475680 15.9155 15.9155 -1684.08 -15.9155 0 0 3.19068e+06 5539.38 0.14 0.87 0.53 -1 -1 0.14 0.405148 0.36381 1558 2515 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_47.v common 82.19 vpr 84.23 MiB 0.27 16768 -1 -1 13 2.27 -1 -1 42580 -1 -1 252 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86248 22 19 3983 3594 1 2638 306 24 24 576 mult_36 auto 42.3 MiB 2.14 19043 66226 14705 47703 3818 84.0 MiB 1.41 0.02 16.4115 -1269.69 -16.4115 16.4115 0.69 0.00885179 0.00799558 0.627695 0.558748 -1 -1 -1 -1 70 35196 41 1.56141e+07 8.54294e+06 2.45377e+06 4260.01 70.12 6.02497 5.25674 65346 639292 -1 31233 19 13296 27721 2173957 490426 15.45 15.45 -1704.24 -15.45 0 0 3.09179e+06 5367.68 0.13 0.87 0.50 -1 -1 0.13 0.407308 0.36582 1639 2616 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_48.v common 21.56 vpr 84.64 MiB 0.28 16732 -1 -1 13 2.37 -1 -1 38944 -1 -1 248 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86668 22 19 4025 3636 1 2664 302 24 24 576 mult_36 auto 42.5 MiB 2.19 19313 54950 10714 41194 3042 83.9 MiB 1.28 0.02 16.9297 -1273.67 -16.9297 16.9297 0.71 0.00931635 0.00845358 0.57265 0.509899 -1 -1 -1 -1 74 35697 35 1.56141e+07 8.48906e+06 2.56259e+06 4448.94 9.39 2.97148 2.61159 66498 666725 -1 31617 19 13747 29160 2266383 504224 15.676 15.676 -1870.79 -15.676 0 0 3.19068e+06 5539.38 0.13 0.85 0.52 -1 -1 0.13 0.400791 0.358027 1637 2639 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_49.v common 64.60 vpr 86.15 MiB 0.30 17212 -1 -1 13 2.51 -1 -1 39440 -1 -1 262 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88220 22 19 4164 3758 1 2754 317 24 24 576 mult_36 auto 43.8 MiB 2.23 20153 68357 14783 49902 3672 85.3 MiB 1.55 0.02 16.747 -1222.44 -16.747 16.747 0.71 0.0102333 0.00897399 0.688644 0.608477 -1 -1 -1 -1 72 36406 35 1.56141e+07 9.07366e+06 2.50747e+06 4353.24 52.67 5.53224 4.8218 65922 653303 -1 31924 21 15281 32465 2312249 536871 15.7048 15.7048 -1830.53 -15.7048 0 0 3.14081e+06 5452.80 0.11 0.62 0.40 -1 -1 0.11 0.275842 0.249391 1702 2741 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_50.v common 21.50 vpr 86.80 MiB 0.29 17428 -1 -1 13 2.51 -1 -1 39248 -1 -1 260 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88884 22 19 4190 3784 1 2783 315 24 24 576 mult_36 auto 43.3 MiB 2.27 20538 62433 13089 44842 4502 84.9 MiB 1.38 0.02 17.2858 -1304.79 -17.2858 17.2858 0.70 0.00941868 0.00852265 0.608161 0.541214 -1 -1 -1 -1 74 37281 34 1.56141e+07 9.04672e+06 2.56259e+06 4448.94 8.83 2.97115 2.60706 66498 666725 -1 33052 20 14403 29945 2416034 543796 16.2053 16.2053 -2005.47 -16.2053 0 0 3.19068e+06 5539.38 0.13 0.94 0.52 -1 -1 0.13 0.443177 0.395551 1706 2748 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_51.v common 74.51 vpr 90.27 MiB 0.16 17620 -1 -1 13 2.58 -1 -1 43628 -1 -1 267 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92432 22 19 4305 3882 1 2852 322 24 24 576 mult_36 auto 44.1 MiB 2.36 22019 62090 12325 46480 3285 86.0 MiB 1.36 0.02 17.0761 -1350.73 -17.0761 17.0761 0.68 0.0101617 0.00890641 0.587099 0.522782 -1 -1 -1 -1 72 41869 48 1.56141e+07 9.14102e+06 2.50747e+06 4353.24 61.76 5.49983 4.79073 65922 653303 -1 35386 19 15385 32328 2613146 587363 15.6368 15.6368 -2032.15 -15.6368 0 0 3.14081e+06 5452.80 0.13 0.99 0.52 -1 -1 0.13 0.444455 0.399331 1756 2826 -1 -1 -1 -1 + k6_frac_N8_22nm.xml fir_nopipe_52.v common 30.33 vpr 90.95 MiB 0.31 17624 -1 -1 13 2.68 -1 -1 39820 -1 -1 273 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 93132 22 19 4363 3940 1 2907 328 24 24 576 mult_36 auto 44.2 MiB 2.31 22356 71518 16347 51846 3325 86.0 MiB 1.53 0.02 16.9932 -1267.51 -16.9932 16.9932 0.68 0.00927531 0.00836092 0.662554 0.59126 -1 -1 -1 -1 76 42818 50 1.56141e+07 9.22186e+06 2.61600e+06 4541.67 17.14 3.65284 3.20637 67070 679911 -1 35592 19 16146 33275 2916723 632211 15.9154 15.9154 -1847.87 -15.9154 0 0 3.24203e+06 5628.53 0.13 1.00 0.53 -1 -1 0.13 0.436852 0.391036 1785 2865 -1 -1 -1 -1 + k6_frac_ripple_N8_22nm.xml fir_pipe_14.v common 12.28 vpr 71.14 MiB 0.11 10556 -1 -1 1 0.22 -1 -1 35584 -1 -1 100 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72844 22 19 1974 1653 1 1020 145 16 16 256 mult_36 auto 31.2 MiB 0.67 6135 19905 3806 13340 2759 71.1 MiB 0.44 0.01 4.16866 -1257.34 -4.16866 4.16866 0.29 0.00352567 0.00317115 0.200276 0.18017 -1 -1 -1 -1 48 11157 27 6.52434e+06 2.98138e+06 755748. 2952.14 8.35 1.47352 1.27507 25088 180500 -1 8743 14 3471 4080 379542 101317 4.41926 4.41926 -1268.12 -4.41926 0 0 916467. 3579.95 0.04 0.19 0.14 -1 -1 0.04 0.114739 0.101802 606 708 247 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_15.v common 6.36 vpr 71.84 MiB 0.07 11020 -1 -1 1 0.23 -1 -1 36912 -1 -1 109 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73564 22 19 2144 1789 1 1111 155 16 16 256 mult_36 auto 31.8 MiB 0.80 6476 22619 4243 15552 2824 71.8 MiB 0.50 0.01 4.10545 -1335.26 -4.10545 4.10545 0.29 0.00375328 0.00337281 0.21891 0.19671 -1 -1 -1 -1 50 12446 31 6.52434e+06 3.50314e+06 787708. 3076.99 2.16 0.919375 0.802238 25344 186282 -1 9597 17 3924 4775 452526 123769 4.27196 4.27196 -1339.8 -4.27196 0 0 943753. 3686.54 0.04 0.25 0.15 -1 -1 0.04 0.148249 0.131537 660 769 266 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_16.v common 11.32 vpr 72.32 MiB 0.11 11032 -1 -1 1 0.25 -1 -1 36856 -1 -1 114 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74052 22 19 2218 1846 1 1162 160 16 16 256 mult_36 auto 32.3 MiB 0.77 6970 22728 4244 15723 2761 72.3 MiB 0.51 0.01 4.29396 -1403.88 -4.29396 4.29396 0.29 0.00390639 0.0035251 0.21877 0.197008 -1 -1 -1 -1 50 13115 25 6.52434e+06 3.57301e+06 787708. 3076.99 7.06 1.63738 1.42448 25344 186282 -1 10258 16 4120 4971 498823 133328 4.41926 4.41926 -1479.08 -4.41926 0 0 943753. 3686.54 0.04 0.25 0.15 -1 -1 0.04 0.143258 0.127085 683 788 285 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_17.v common 11.52 vpr 73.56 MiB 0.13 11824 -1 -1 1 0.28 -1 -1 36572 -1 -1 125 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75328 22 19 2536 2130 1 1265 171 16 16 256 mult_36 auto 33.4 MiB 0.84 7499 23871 4547 16388 2936 73.6 MiB 0.57 0.01 4.29396 -1547.5 -4.29396 4.29396 0.29 0.0044065 0.00396128 0.243724 0.21919 -1 -1 -1 -1 50 13375 31 6.52434e+06 3.72672e+06 787708. 3076.99 7.00 1.84062 1.59417 25344 186282 -1 10892 16 4430 5246 486241 137481 4.29396 4.29396 -1569.52 -4.29396 0 0 943753. 3686.54 0.04 0.25 0.15 -1 -1 0.04 0.154933 0.136882 774 924 304 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_18.v common 8.04 vpr 74.09 MiB 0.14 11972 -1 -1 1 0.29 -1 -1 37108 -1 -1 131 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75868 22 19 2610 2187 1 1315 177 16 16 256 clb mult_36 auto 33.7 MiB 0.92 7707 29441 5832 19137 4472 74.1 MiB 0.67 0.01 4.29396 -1581.33 -4.29396 4.29396 0.29 0.00441661 0.00397107 0.287174 0.258133 -1 -1 -1 -1 54 13334 31 6.52434e+06 3.81056e+06 829453. 3240.05 3.22 1.54422 1.33838 26108 202796 -1 10979 17 4544 5300 503039 145610 4.29396 4.29396 -1540.31 -4.29396 0 0 1.02522e+06 4004.78 0.04 0.28 0.16 -1 -1 0.04 0.17531 0.155259 799 943 323 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_19.v common 7.85 vpr 74.82 MiB 0.14 12440 -1 -1 1 0.30 -1 -1 36776 -1 -1 139 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76612 22 19 2778 2321 1 1408 186 16 16 256 clb mult_36 auto 34.4 MiB 0.97 8414 24566 4128 16464 3974 74.8 MiB 0.55 0.01 4.16866 -1701.42 -4.16866 4.16866 0.29 0.00474796 0.00426109 0.234221 0.209997 -1 -1 -1 -1 54 14408 23 6.52434e+06 4.31835e+06 829453. 3240.05 2.90 1.24694 1.08835 26108 202796 -1 11349 20 4720 5546 463626 136403 4.29396 4.29396 -1709.11 -4.29396 0 0 1.02522e+06 4004.78 0.04 0.32 0.16 -1 -1 0.04 0.211963 0.187589 850 1002 342 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_20.v common 12.64 vpr 75.31 MiB 0.14 12576 -1 -1 1 0.31 -1 -1 36984 -1 -1 144 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77120 22 19 2852 2378 1 1454 191 17 17 289 clb auto 34.9 MiB 0.94 8741 30935 5542 22009 3384 75.3 MiB 0.72 0.01 4.39726 -1745.61 -4.39726 4.39726 0.33 0.00538838 0.00485969 0.304566 0.27334 -1 -1 -1 -1 52 15327 37 6.87369e+06 4.38822e+06 926341. 3205.33 7.50 2.26748 1.96502 29218 227130 -1 12670 14 4842 5906 568814 153136 4.41926 4.41926 -1840.7 -4.41926 0 0 1.14541e+06 3963.36 0.04 0.27 0.18 -1 -1 0.04 0.159006 0.140979 875 1021 361 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_21.v common 12.26 vpr 76.27 MiB 0.16 12928 -1 -1 1 0.34 -1 -1 38008 -1 -1 151 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78096 22 19 3057 2549 1 1549 198 17 17 289 clb auto 36.0 MiB 1.08 9346 33606 6571 22914 4121 76.3 MiB 0.77 0.01 4.23032 -1907.59 -4.23032 4.23032 0.33 0.00518236 0.00464259 0.317353 0.283673 -1 -1 -1 -1 54 15395 36 6.87369e+06 4.48604e+06 949917. 3286.91 6.79 2.21131 1.91066 29506 232905 -1 13046 16 5309 6277 552517 158621 4.27196 4.27196 -1865.99 -4.27196 0 0 1.17392e+06 4061.99 0.04 0.31 0.18 -1 -1 0.04 0.190666 0.168771 939 1099 380 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_22.v common 13.77 vpr 76.72 MiB 0.16 12964 -1 -1 1 0.35 -1 -1 37864 -1 -1 157 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78564 22 19 3131 2606 1 1600 204 17 17 289 clb auto 36.2 MiB 1.04 10371 34404 6737 23663 4004 76.7 MiB 0.79 0.01 4.23032 -2047.25 -4.23032 4.23032 0.33 0.00505722 0.00452533 0.318087 0.28382 -1 -1 -1 -1 56 17376 33 6.87369e+06 4.56989e+06 973134. 3367.25 8.21 2.08614 1.80569 29794 239141 -1 14617 17 5613 6760 664422 182175 4.41926 4.41926 -2096.92 -4.41926 0 0 1.19926e+06 4149.71 0.04 0.34 0.19 -1 -1 0.04 0.200856 0.177421 963 1118 399 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_23.v common 15.52 vpr 77.48 MiB 0.16 13388 -1 -1 1 0.37 -1 -1 37880 -1 -1 166 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79336 22 19 3301 2742 1 1693 214 18 18 324 clb mult_36 auto 36.5 MiB 1.20 10245 43027 8986 29331 4710 77.5 MiB 0.60 0.01 4.16866 -2058.07 -4.16866 4.16866 0.30 0.00290744 0.00262822 0.218379 0.195168 -1 -1 -1 -1 54 17857 39 8.04299e+06 5.09166e+06 1.08842e+06 3359.33 9.95 2.25793 1.95034 33712 268580 -1 13860 15 5570 6344 546541 159696 4.39726 4.39726 -2165.59 -4.39726 0 0 1.34436e+06 4149.26 0.05 0.29 0.21 -1 -1 0.05 0.18655 0.16487 1019 1179 418 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_24.v common 9.87 vpr 78.11 MiB 0.17 13520 -1 -1 1 0.38 -1 -1 38108 -1 -1 171 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79980 22 19 3375 2799 1 1744 219 18 18 324 clb mult_36 auto 37.0 MiB 1.15 10535 38441 7437 27917 3087 78.1 MiB 0.88 0.01 4.41926 -2140.42 -4.41926 4.41926 0.38 0.00545437 0.00486008 0.348479 0.311057 -1 -1 -1 -1 54 18594 27 8.04299e+06 5.16153e+06 1.08842e+06 3359.33 3.72 1.59744 1.39292 33712 268580 -1 15131 16 5962 7147 663118 183370 4.54456 4.54456 -2191.54 -4.54456 0 0 1.34436e+06 4149.26 0.05 0.36 0.21 -1 -1 0.05 0.211228 0.186865 1041 1198 437 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_25.v common 14.24 vpr 79.18 MiB 0.18 14008 -1 -1 1 0.40 -1 -1 38248 -1 -1 180 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81080 22 19 3615 3005 1 1840 228 18 18 324 clb mult_36 auto 38.2 MiB 1.25 12213 37116 7097 26714 3305 79.2 MiB 0.89 0.02 4.31186 -2344.23 -4.31186 4.31186 0.38 0.00603221 0.00539467 0.346929 0.309394 -1 -1 -1 -1 56 21456 35 8.04299e+06 5.28729e+06 1.11497e+06 3441.27 8.08 2.25785 1.96299 34036 275796 -1 17183 17 6510 7639 811375 214087 4.41926 4.41926 -2382.05 -4.41926 0 0 1.37338e+06 4238.83 0.05 0.41 0.16 -1 -1 0.05 0.233911 0.206847 1113 1293 456 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_26.v common 10.08 vpr 79.70 MiB 0.18 14120 -1 -1 1 0.42 -1 -1 38468 -1 -1 185 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81616 22 19 3689 3062 1 1888 233 18 18 324 clb mult_36 auto 38.4 MiB 1.25 11465 41045 7682 28954 4409 79.7 MiB 0.93 0.02 4.22665 -2353.29 -4.22665 4.22665 0.38 0.00602628 0.00538668 0.369169 0.328784 -1 -1 -1 -1 58 18325 20 8.04299e+06 5.35716e+06 1.14310e+06 3528.09 3.87 1.86016 1.61307 34680 290288 -1 15890 15 5917 6937 674931 182154 4.41926 4.41926 -2314.53 -4.41926 0 0 1.43297e+06 4422.75 0.06 0.38 0.20 -1 -1 0.06 0.227096 0.202042 1136 1312 475 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_27.v common 16.94 vpr 80.61 MiB 0.19 14624 -1 -1 1 0.45 -1 -1 38400 -1 -1 194 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82548 22 19 3871 3210 1 1992 243 19 19 361 clb auto 39.2 MiB 1.32 12234 49513 10343 35007 4163 80.6 MiB 1.14 0.02 4.29396 -2483.64 -4.29396 4.29396 0.45 0.00628919 0.0056161 0.439158 0.390884 -1 -1 -1 -1 56 19855 20 8.44824e+06 5.87894e+06 1.25473e+06 3475.71 9.87 3.02926 2.62555 37938 311371 -1 17644 19 6736 7872 767291 218357 4.39726 4.39726 -2554.53 -4.39726 0 0 1.54531e+06 4280.63 0.06 0.45 0.24 -1 -1 0.06 0.27502 0.243384 1200 1385 494 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_28.v common 14.38 vpr 80.91 MiB 0.18 14608 -1 -1 1 0.46 -1 -1 38328 -1 -1 199 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82848 22 19 3945 3267 1 2042 248 19 19 361 clb auto 39.6 MiB 1.40 13887 50040 9832 35288 4920 80.9 MiB 1.14 0.02 4.41926 -2569.83 -4.41926 4.41926 0.42 0.00633851 0.00564473 0.445548 0.39617 -1 -1 -1 -1 58 23097 31 8.44824e+06 5.94881e+06 1.28673e+06 3564.36 7.20 2.4075 2.09504 38658 327703 -1 18884 17 6802 8267 821001 207270 4.54456 4.54456 -2642.19 -4.54456 0 0 1.61249e+06 4466.73 0.06 0.43 0.26 -1 -1 0.06 0.259582 0.229738 1221 1404 513 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_29.v common 13.67 vpr 82.08 MiB 0.20 15088 -1 -1 1 0.51 -1 -1 39580 -1 -1 209 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84052 22 19 4159 3447 1 2145 259 22 22 484 mult_36 auto 40.6 MiB 1.49 13112 49759 10222 35440 4097 82.1 MiB 1.15 0.02 4.29396 -2715.83 -4.29396 4.29396 0.59 0.00708197 0.00634953 0.45544 0.406332 -1 -1 -1 -1 52 25595 47 1.30842e+07 6.48455e+06 1.63434e+06 3376.74 5.83 2.34577 2.03183 50638 406276 -1 19359 15 7607 9123 886210 228999 4.41926 4.41926 -2735.85 -4.41926 0 0 2.01763e+06 4168.66 0.08 0.42 0.31 -1 -1 0.08 0.240883 0.213291 1288 1491 532 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_30.v common 26.33 vpr 82.28 MiB 0.21 15256 -1 -1 1 0.51 -1 -1 40956 -1 -1 215 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84256 22 19 4233 3504 1 2196 265 22 22 484 mult_36 auto 40.6 MiB 1.57 14001 52965 10141 38785 4039 82.3 MiB 1.21 0.02 4.18656 -2766.6 -4.18656 4.18656 0.59 0.00689166 0.00615924 0.46357 0.411804 -1 -1 -1 -1 52 25400 33 1.30842e+07 6.5684e+06 1.63434e+06 3376.74 18.23 3.12246 2.69814 50638 406276 -1 20255 18 7377 9042 871750 226668 4.54456 4.54456 -2785.97 -4.54456 0 0 2.01763e+06 4168.66 0.08 0.47 0.31 -1 -1 0.08 0.281012 0.247997 1312 1510 551 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_31.v common 15.10 vpr 83.01 MiB 0.21 15516 -1 -1 1 0.53 -1 -1 40880 -1 -1 223 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85004 22 19 4410 3647 1 2297 273 22 22 484 mult_36 auto 41.2 MiB 1.60 15567 60453 12524 42813 5116 83.0 MiB 1.43 0.02 4.22492 -2866.92 -4.22492 4.22492 0.58 0.00731377 0.00653938 0.545344 0.482764 -1 -1 -1 -1 58 26444 27 1.30842e+07 6.68019e+06 1.75961e+06 3635.55 6.68 2.48884 2.14983 52570 450426 -1 21803 14 7851 9599 959356 240868 4.39726 4.39726 -3022.9 -4.39726 0 0 2.20457e+06 4554.90 0.09 0.44 0.35 -1 -1 0.09 0.246703 0.219135 1370 1578 570 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_32.v common 14.93 vpr 83.37 MiB 0.22 15744 -1 -1 1 0.53 -1 -1 40592 -1 -1 229 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85372 22 19 4484 3704 1 2346 279 22 22 484 mult_36 auto 41.6 MiB 1.56 15246 62227 13103 43738 5386 83.4 MiB 1.50 0.02 4.20237 -2972.05 -4.20237 4.20237 0.60 0.00759675 0.00680274 0.557473 0.495487 -1 -1 -1 -1 54 27492 50 1.30842e+07 6.76403e+06 1.67518e+06 3461.11 6.34 2.71499 2.35947 51122 416746 -1 21533 17 8315 10030 950489 245380 4.29396 4.29396 -2983.7 -4.29396 0 0 2.06816e+06 4273.05 0.08 0.51 0.32 -1 -1 0.08 0.299047 0.266047 1392 1597 589 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_33.v common 26.04 vpr 84.85 MiB 0.23 16704 -1 -1 1 0.58 -1 -1 41580 -1 -1 241 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86888 22 19 4843 4029 1 2451 292 22 22 484 mult_36 auto 42.6 MiB 1.69 15479 69020 14442 48590 5988 84.9 MiB 1.54 0.02 4.35195 -3084.16 -4.35195 4.35195 0.58 0.00769988 0.00686595 0.588892 0.521359 -1 -1 -1 -1 52 28972 48 1.30842e+07 7.32773e+06 1.63434e+06 3376.74 17.17 4.17604 3.60651 50638 406276 -1 22058 15 8118 10211 988773 257141 4.54456 4.54456 -3318.27 -4.54456 0 0 2.01763e+06 4168.66 0.08 0.50 0.31 -1 -1 0.08 0.287368 0.255163 1495 1756 608 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_34.v common 36.21 vpr 85.66 MiB 0.22 16712 -1 -1 1 0.45 -1 -1 41528 -1 -1 246 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87720 22 19 4917 4086 1 2501 297 22 22 484 mult_36 auto 43.4 MiB 1.57 16471 69597 14769 48939 5889 85.7 MiB 1.58 0.02 4.35562 -3164.23 -4.35562 4.35562 0.58 0.00782734 0.00695013 0.591222 0.523921 -1 -1 -1 -1 52 30373 47 1.30842e+07 7.3976e+06 1.63434e+06 3376.74 27.67 4.6498 3.99186 50638 406276 -1 23238 16 8766 10994 1096309 288439 4.41926 4.41926 -3210.36 -4.41926 0 0 2.01763e+06 4168.66 0.09 0.45 0.32 -1 -1 0.09 0.263906 0.236552 1519 1775 627 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_35.v common 15.12 vpr 86.66 MiB 0.25 17280 -1 -1 1 0.64 -1 -1 41928 -1 -1 255 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88736 22 19 5093 4228 1 2600 306 22 22 484 mult_36 auto 44.4 MiB 1.76 17113 70346 15205 49642 5499 86.7 MiB 1.64 0.02 4.39726 -3301.07 -4.39726 4.39726 0.61 0.00818413 0.00730255 0.619958 0.550445 -1 -1 -1 -1 58 27385 20 1.30842e+07 7.52337e+06 1.75961e+06 3635.55 5.81 2.71154 2.35254 52570 450426 -1 23967 17 8704 10692 1062475 277561 4.41926 4.41926 -3287.64 -4.41926 0 0 2.20457e+06 4554.90 0.09 0.54 0.35 -1 -1 0.09 0.321183 0.283601 1579 1842 646 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_36.v common 31.41 vpr 86.95 MiB 0.25 17312 -1 -1 1 0.65 -1 -1 41708 -1 -1 261 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 89032 22 19 5167 4285 1 2654 312 22 22 484 mult_36 auto 44.6 MiB 1.80 17908 66903 12538 49558 4807 86.9 MiB 1.61 0.03 4.32323 -3308.18 -4.32323 4.32323 0.60 0.00860958 0.00769352 0.588541 0.520875 -1 -1 -1 -1 56 31375 42 1.30842e+07 7.60721e+06 1.71605e+06 3545.56 21.96 4.30623 3.71055 51606 428054 -1 25747 16 9282 11133 1163492 307557 4.54456 4.54456 -3414.57 -4.54456 0 0 2.11301e+06 4365.72 0.09 0.57 0.33 -1 -1 0.09 0.322486 0.286254 1601 1861 665 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_37.v common 30.76 vpr 88.01 MiB 0.26 17764 -1 -1 1 0.67 -1 -1 40792 -1 -1 270 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90120 22 19 5380 4464 1 2753 322 24 24 576 mult_36 auto 45.4 MiB 1.83 17930 72017 15146 51896 4975 88.0 MiB 1.67 0.02 4.39726 -3485.12 -4.39726 4.39726 0.70 0.00876706 0.00780434 0.604021 0.533874 -1 -1 -1 -1 56 30749 27 1.57908e+07 8.12898e+06 2.03561e+06 3534.04 20.84 3.91859 3.39405 61006 507707 -1 26191 16 9148 11328 1186443 307551 4.54456 4.54456 -3599.2 -4.54456 0 0 2.50747e+06 4353.24 0.10 0.58 0.39 -1 -1 0.10 0.330995 0.293139 1668 1947 684 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_38.v common 60.17 vpr 88.36 MiB 0.27 17892 -1 -1 1 0.73 -1 -1 42256 -1 -1 274 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90480 22 19 5454 4521 1 2802 326 24 24 576 mult_36 auto 46.1 MiB 1.88 19329 74312 15784 52696 5832 88.4 MiB 1.72 0.02 4.37027 -3618.59 -4.37027 4.37027 0.69 0.0086178 0.0076833 0.626273 0.553652 -1 -1 -1 -1 56 33105 42 1.57908e+07 8.18488e+06 2.03561e+06 3534.04 50.06 5.48855 4.73547 61006 507707 -1 27446 15 9701 12079 1278294 320393 4.54456 4.54456 -3692.88 -4.54456 0 0 2.50747e+06 4353.24 0.10 0.59 0.39 -1 -1 0.10 0.322325 0.285642 1692 1966 703 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_39.v common 31.28 vpr 90.31 MiB 0.27 18252 -1 -1 1 0.76 -1 -1 40140 -1 -1 285 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92480 22 19 5629 4662 1 2905 337 24 24 576 mult_36 auto 46.6 MiB 1.98 20477 78861 17764 55249 5848 89.1 MiB 1.91 0.03 4.41926 -3651.29 -4.41926 4.41926 0.74 0.00991027 0.00890785 0.691033 0.609991 -1 -1 -1 -1 56 33086 20 1.57908e+07 8.33859e+06 2.03561e+06 3534.04 20.58 4.35549 3.76483 61006 507707 -1 28730 14 9696 12028 1249910 326823 4.54456 4.54456 -3836.66 -4.54456 0 0 2.50747e+06 4353.24 0.10 0.59 0.39 -1 -1 0.10 0.320436 0.284417 1748 2032 722 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_40.v common 17.87 vpr 91.32 MiB 0.21 18348 -1 -1 1 0.75 -1 -1 42304 -1 -1 289 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 93508 22 19 5703 4719 1 2951 341 24 24 576 mult_36 auto 46.9 MiB 1.89 21474 81261 16925 58579 5757 88.4 MiB 1.90 0.03 4.52256 -3755.42 -4.52256 4.52256 0.69 0.00891833 0.00794501 0.667037 0.588507 -1 -1 -1 -1 60 34224 26 1.57908e+07 8.39449e+06 2.13333e+06 3703.69 7.53 2.91913 2.54607 62730 548095 -1 29309 15 10048 12738 1332489 323098 4.54456 4.54456 -3891.91 -4.54456 0 0 2.67122e+06 4637.53 0.11 0.59 0.42 -1 -1 0.11 0.326533 0.288782 1772 2051 741 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_41.v common 18.00 vpr 89.83 MiB 0.29 19028 -1 -1 1 0.78 -1 -1 41540 -1 -1 299 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91988 22 19 5950 4932 1 3052 352 24 24 576 mult_36 auto 47.7 MiB 2.01 20760 93502 20960 64303 8239 89.4 MiB 2.12 0.03 4.54456 -3906.6 -4.54456 4.54456 0.70 0.00965975 0.00861531 0.777737 0.685939 -1 -1 -1 -1 60 32291 35 1.57908e+07 8.93023e+06 2.13333e+06 3703.69 6.94 3.18747 2.78624 62730 548095 -1 27764 17 9303 11466 1106588 284718 4.54456 4.54456 -3915.97 -4.54456 0 0 2.67122e+06 4637.53 0.11 0.62 0.42 -1 -1 0.11 0.38506 0.340781 1849 2153 760 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_42.v common 17.91 vpr 93.38 MiB 0.28 19168 -1 -1 1 0.82 -1 -1 42848 -1 -1 305 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 95624 22 19 6024 4989 1 3106 358 24 24 576 mult_36 auto 48.4 MiB 2.04 22026 94338 20848 65770 7720 90.1 MiB 2.14 0.03 4.27196 -4045.97 -4.27196 4.27196 0.69 0.00949442 0.0084428 0.747333 0.660173 -1 -1 -1 -1 60 33807 26 1.57908e+07 9.01407e+06 2.13333e+06 3703.69 6.72 2.89746 2.53186 62730 548095 -1 29484 15 10009 12007 1233507 301407 4.39726 4.39726 -4081.28 -4.39726 0 0 2.67122e+06 4637.53 0.11 0.62 0.42 -1 -1 0.11 0.362508 0.321932 1871 2172 779 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_43.v common 38.64 vpr 94.36 MiB 0.16 19320 -1 -1 1 0.86 -1 -1 43304 -1 -1 314 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 96624 22 19 6198 5129 1 3204 367 24 24 576 mult_36 auto 49.1 MiB 2.06 23047 90964 19400 63903 7661 90.7 MiB 2.14 0.03 4.30684 -4104.81 -4.30684 4.30684 0.69 0.00965891 0.00857661 0.743029 0.652754 -1 -1 -1 -1 60 37412 44 1.57908e+07 9.13984e+06 2.13333e+06 3703.69 27.48 5.64135 4.86099 62730 548095 -1 30912 13 10347 13376 1409712 344009 4.66986 4.66986 -4240.43 -4.66986 0 0 2.67122e+06 4637.53 0.11 0.60 0.43 -1 -1 0.11 0.331098 0.294788 1930 2237 798 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_44.v common 35.69 vpr 91.06 MiB 0.24 19640 -1 -1 1 0.85 -1 -1 43296 -1 -1 317 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 93248 22 19 6272 5186 1 3255 370 24 24 576 mult_36 auto 49.3 MiB 2.09 22058 103876 22951 71772 9153 91.1 MiB 2.48 0.03 4.41926 -4114.12 -4.41926 4.41926 0.69 0.0114066 0.0102519 0.87823 0.777675 -1 -1 -1 -1 56 37861 49 1.57908e+07 9.18176e+06 2.03561e+06 3534.04 24.15 5.23678 4.51283 61006 507707 -1 31023 16 11009 13810 1403430 375804 4.66986 4.66986 -4245.29 -4.66986 0 0 2.50747e+06 4353.24 0.10 0.70 0.39 -1 -1 0.10 0.391109 0.347048 1952 2256 817 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_45.v common 30.27 vpr 96.68 MiB 0.30 19972 -1 -1 1 0.91 -1 -1 43880 -1 -1 327 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 99004 22 19 6485 5365 1 3354 381 24 24 576 mult_36 auto 49.9 MiB 2.23 23889 94221 18340 67576 8305 91.8 MiB 2.29 0.03 4.89846 -4384.47 -4.89846 4.89846 0.71 0.0103676 0.00921748 0.789521 0.696772 -1 -1 -1 -1 60 36508 24 1.57908e+07 9.7175e+06 2.13333e+06 3703.69 18.71 4.23384 3.66326 62730 548095 -1 31371 13 10250 12685 1312358 318999 5.02376 5.02376 -4469.85 -5.02376 0 0 2.67122e+06 4637.53 0.11 0.61 0.42 -1 -1 0.11 0.347467 0.309073 2019 2342 836 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_46.v common 32.89 vpr 95.30 MiB 0.30 20032 -1 -1 1 0.88 -1 -1 43828 -1 -1 332 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 97592 22 19 6559 5422 1 3405 386 24 24 576 mult_36 auto 50.6 MiB 2.13 24288 104282 22444 73164 8674 92.6 MiB 2.42 0.03 4.54456 -4332.33 -4.54456 4.54456 0.69 0.0103765 0.00922513 0.819085 0.720389 -1 -1 -1 -1 60 36435 24 1.57908e+07 9.78737e+06 2.13333e+06 3703.69 21.02 5.17587 4.48329 62730 548095 -1 32242 16 10676 12916 1331420 333702 4.54456 4.54456 -4413.95 -4.54456 0 0 2.67122e+06 4637.53 0.11 0.68 0.43 -1 -1 0.11 0.402977 0.355892 2043 2361 855 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_47.v common 43.15 vpr 99.40 MiB 0.33 20428 -1 -1 1 0.94 -1 -1 44500 -1 -1 342 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 101784 22 19 6735 5564 1 3504 396 24 24 576 clb mult_36 auto 51.5 MiB 2.30 23268 107918 23588 74410 9920 93.6 MiB 2.49 0.03 4.37027 -4453.32 -4.37027 4.37027 0.69 0.0105006 0.00930845 0.842504 0.741581 -1 -1 -1 -1 60 39332 45 1.57908e+07 9.92711e+06 2.13333e+06 3703.69 30.65 5.29702 4.56278 62730 548095 -1 32107 15 11360 13739 1452423 349879 4.64786 4.64786 -4679.47 -4.64786 0 0 2.67122e+06 4637.53 0.13 0.80 0.43 -1 -1 0.13 0.438758 0.391127 2102 2428 874 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_48.v common 22.77 vpr 98.66 MiB 0.32 20656 -1 -1 1 0.95 -1 -1 44184 -1 -1 347 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 101028 22 19 6809 5621 1 3554 401 24 24 576 clb mult_36 auto 51.5 MiB 2.35 26891 112729 25678 76096 10955 93.8 MiB 2.57 0.03 4.54456 -4538.08 -4.54456 4.54456 0.69 0.0107363 0.00953826 0.872172 0.767712 -1 -1 -1 -1 66 41937 48 1.57908e+07 9.99698e+06 2.33135e+06 4047.49 10.93 4.33332 3.77908 65030 601923 -1 34768 16 11117 13640 1516108 353470 4.66986 4.66986 -4668.88 -4.66986 0 0 2.91907e+06 5067.82 0.12 0.54 0.37 -1 -1 0.12 0.287963 0.260992 2124 2447 893 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_49.v common 40.57 vpr 99.00 MiB 0.32 21208 -1 -1 1 0.97 -1 -1 44564 -1 -1 358 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 101376 22 19 7094 5872 1 3661 413 25 25 625 clb auto 52.8 MiB 2.43 26710 114151 25164 80128 8859 95.2 MiB 2.66 0.04 4.54456 -4719.31 -4.54456 4.54456 0.75 0.0112396 0.010013 0.880713 0.776326 -1 -1 -1 -1 64 40384 26 1.63358e+07 1.05467e+07 2.47012e+06 3952.19 27.53 5.64974 4.86609 69904 641765 -1 35010 14 11606 14827 1514139 361899 4.52256 4.52256 -4707.57 -4.52256 0 0 3.11337e+06 4981.39 0.13 0.70 0.52 -1 -1 0.13 0.396315 0.351959 2207 2569 912 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_50.v common 45.95 vpr 103.67 MiB 0.33 21320 -1 -1 1 0.99 -1 -1 44196 -1 -1 365 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 106160 22 19 7168 5929 1 3711 420 25 25 625 clb auto 53.2 MiB 2.47 27085 121464 28372 83161 9931 95.7 MiB 2.75 0.03 4.64786 -4746.51 -4.64786 4.64786 0.75 0.0111537 0.00969413 0.907688 0.795213 -1 -1 -1 -1 64 41344 22 1.63358e+07 1.06445e+07 2.47012e+06 3952.19 32.70 5.4279 4.6843 69904 641765 -1 35514 14 11583 14578 1491969 358521 4.66986 4.66986 -4839.76 -4.66986 0 0 3.11337e+06 4981.39 0.13 0.70 0.50 -1 -1 0.13 0.40445 0.358865 2233 2588 931 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_51.v common 42.31 vpr 105.88 MiB 0.34 21648 -1 -1 1 1.05 -1 -1 44912 -1 -1 372 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 108416 22 19 7344 6071 1 3810 427 25 25 625 clb auto 54.1 MiB 2.52 27453 116131 26339 79699 10093 96.5 MiB 2.74 0.04 4.29396 -4882.86 -4.29396 4.29396 0.77 0.0120859 0.0107681 0.93227 0.813973 -1 -1 -1 -1 64 43761 43 1.63358e+07 1.07423e+07 2.47012e+06 3952.19 28.78 6.1533 5.29685 69904 641765 -1 35910 16 11900 14810 1524370 377189 4.41926 4.41926 -5076.41 -4.41926 0 0 3.11337e+06 4981.39 0.13 0.77 0.50 -1 -1 0.13 0.453939 0.40248 2292 2655 950 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_pipe_52.v common 45.90 vpr 106.05 MiB 0.34 21692 -1 -1 1 1.13 -1 -1 45156 -1 -1 378 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 108592 22 19 7418 6128 1 3861 433 25 25 625 clb auto 54.3 MiB 2.60 24894 113386 24047 78661 10678 96.9 MiB 2.50 0.04 4.45594 -4896.37 -4.45594 4.45594 0.75 0.0139868 0.0126262 0.831418 0.731153 -1 -1 -1 -1 58 40365 31 1.63358e+07 1.08262e+07 2.28074e+06 3649.19 32.50 6.28776 5.42813 67408 584405 -1 34385 15 12193 15065 1477990 379630 4.52256 4.52256 -5056.34 -4.52256 0 0 2.85828e+06 4573.25 0.13 0.78 0.45 -1 -1 0.13 0.460598 0.40948 2314 2674 969 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_14.v common 18.86 vpr 68.13 MiB 0.10 9412 -1 -1 1 0.16 -1 -1 34684 -1 -1 69 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69764 22 19 1246 925 1 726 114 16 16 256 mult_36 auto 28.3 MiB 3.01 3978 17502 4241 10155 3106 68.1 MiB 0.40 0.01 8.38371 -397.774 -8.38371 8.38371 0.29 0.00256922 0.00236142 0.168192 0.153962 -1 -1 -1 -1 40 8504 48 6.52434e+06 2.54819e+06 616420. 2407.89 12.95 1.33859 1.17519 23812 153515 -1 6559 21 5524 6352 637208 183555 8.14443 8.14443 -468.465 -8.14443 0 0 808720. 3159.06 0.03 0.25 0.12 -1 -1 0.03 0.110139 0.097949 426 344 247 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_15.v common 8.56 vpr 68.79 MiB 0.10 9672 -1 -1 1 0.16 -1 -1 35184 -1 -1 72 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70436 22 19 1344 989 1 787 118 16 16 256 mult_36 auto 28.5 MiB 2.23 4686 16302 3625 8986 3691 68.8 MiB 0.35 0.01 8.52188 -410.109 -8.52188 8.52188 0.29 0.00263147 0.00241774 0.158298 0.14519 -1 -1 -1 -1 44 9765 45 6.52434e+06 2.98611e+06 686998. 2683.59 3.44 0.832063 0.735224 24576 170172 -1 7047 21 5625 6331 700983 200583 8.11643 8.11643 -481.246 -8.11643 0 0 871168. 3403.00 0.03 0.25 0.13 -1 -1 0.03 0.115664 0.102957 459 369 266 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_16.v common 17.18 vpr 69.15 MiB 0.11 9768 -1 -1 1 0.17 -1 -1 35092 -1 -1 78 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70812 22 19 1418 1046 1 827 124 16 16 256 mult_36 auto 28.9 MiB 3.28 4837 18355 4138 11865 2352 69.2 MiB 0.43 0.01 8.46227 -417.88 -8.46227 8.46227 0.29 0.00283856 0.00260999 0.180701 0.165611 -1 -1 -1 -1 44 8887 25 6.52434e+06 3.06995e+06 686998. 2683.59 10.84 1.37828 1.21243 24576 170172 -1 7456 21 5892 6832 693253 183806 8.10244 8.10244 -495.467 -8.10244 0 0 871168. 3403.00 0.03 0.28 0.14 -1 -1 0.03 0.127073 0.11327 486 388 285 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_17.v common 17.27 vpr 69.77 MiB 0.12 10304 -1 -1 1 0.19 -1 -1 35352 -1 -1 83 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71444 22 19 1518 1112 1 889 129 16 16 256 mult_36 auto 29.3 MiB 2.92 5305 21904 5136 13663 3105 69.8 MiB 0.53 0.01 9.28187 -457.768 -9.28187 9.28187 0.29 0.00291948 0.00267958 0.208499 0.190757 -1 -1 -1 -1 44 10579 37 6.52434e+06 3.13982e+06 686998. 2683.59 11.07 1.58455 1.3954 24576 170172 -1 8406 25 6867 7789 923737 260402 9.02988 9.02988 -554.926 -9.02988 0 0 871168. 3403.00 0.03 0.33 0.14 -1 -1 0.03 0.147342 0.13077 519 415 304 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_18.v common 13.82 vpr 69.12 MiB 0.12 10384 -1 -1 1 0.19 -1 -1 35068 -1 -1 88 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70784 22 19 1592 1169 1 928 134 16 16 256 mult_36 auto 29.7 MiB 3.99 5613 21338 4896 13822 2620 69.1 MiB 0.51 0.01 9.19095 -459.846 -9.19095 9.19095 0.29 0.00305262 0.00279436 0.200972 0.183303 -1 -1 -1 -1 44 11421 44 6.52434e+06 3.20969e+06 686998. 2683.59 6.54 1.35321 1.18699 24576 170172 -1 8800 25 7247 8144 893036 247995 8.74628 8.74628 -521.185 -8.74628 0 0 871168. 3403.00 0.03 0.32 0.13 -1 -1 0.03 0.151307 0.134124 548 434 323 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_19.v common 21.02 vpr 69.61 MiB 0.13 10568 -1 -1 1 0.19 -1 -1 35344 -1 -1 94 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71284 22 19 1688 1231 1 987 141 16 16 256 mult_36 auto 30.3 MiB 3.25 6121 21735 4591 13580 3564 69.6 MiB 0.52 0.01 9.27241 -489.341 -9.27241 9.27241 0.29 0.00320151 0.00292885 0.201717 0.184282 -1 -1 -1 -1 48 11574 39 6.52434e+06 3.68953e+06 755748. 2952.14 14.38 1.71693 1.51033 25088 180500 -1 9139 21 6575 7505 778199 207302 8.63198 8.63198 -616.075 -8.63198 0 0 916467. 3579.95 0.04 0.32 0.15 -1 -1 0.04 0.150376 0.134391 579 457 342 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_20.v common 10.51 vpr 70.00 MiB 0.13 10740 -1 -1 1 0.21 -1 -1 35644 -1 -1 96 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71676 22 19 1762 1288 1 1025 143 16 16 256 mult_36 auto 30.5 MiB 4.04 6326 24388 5089 13297 6002 70.0 MiB 0.53 0.01 9.23661 -498.959 -9.23661 9.23661 0.29 0.0033001 0.0030185 0.231825 0.211509 -1 -1 -1 -1 46 12747 44 6.52434e+06 3.71748e+06 723233. 2825.13 3.03 0.972769 0.859798 24832 174915 -1 9069 25 7373 8515 810025 236371 8.51138 8.51138 -579.588 -8.51138 0 0 890343. 3477.90 0.03 0.35 0.14 -1 -1 0.03 0.172262 0.152914 608 476 361 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_21.v common 10.97 vpr 70.47 MiB 0.14 11084 -1 -1 1 0.23 -1 -1 35804 -1 -1 101 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72164 22 19 1859 1351 1 1085 148 16 16 256 mult_36 auto 30.7 MiB 3.82 6962 20089 3937 13629 2523 70.5 MiB 0.51 0.01 9.31791 -519.748 -9.31791 9.31791 0.29 0.00357535 0.00327101 0.20121 0.183869 -1 -1 -1 -1 50 13003 42 6.52434e+06 3.78735e+06 787708. 3076.99 3.61 0.976711 0.863248 25344 186282 -1 10216 24 8004 9143 1016922 273181 8.81358 8.81358 -648.013 -8.81358 0 0 943753. 3686.54 0.04 0.37 0.15 -1 -1 0.04 0.171086 0.151834 640 500 380 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_22.v common 15.80 vpr 70.89 MiB 0.14 11244 -1 -1 1 0.23 -1 -1 35916 -1 -1 105 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72592 22 19 1933 1408 1 1126 152 16 16 256 mult_36 auto 31.2 MiB 4.65 7001 17972 3149 11932 2891 70.9 MiB 0.48 0.01 9.49725 -564.881 -9.49725 9.49725 0.29 0.0036092 0.0032918 0.191703 0.175289 -1 -1 -1 -1 50 12092 31 6.52434e+06 3.84324e+06 787708. 3076.99 7.57 1.58123 1.38919 25344 186282 -1 10237 25 8204 9620 970095 269588 8.67698 8.67698 -759.488 -8.67698 0 0 943753. 3686.54 0.04 0.40 0.15 -1 -1 0.04 0.189966 0.168397 668 519 399 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_23.v common 11.35 vpr 71.33 MiB 0.14 11468 -1 -1 1 0.24 -1 -1 36056 -1 -1 111 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73044 22 19 2031 1472 1 1189 159 18 18 324 mult_36 auto 31.5 MiB 4.48 7061 27679 5917 18965 2797 71.3 MiB 0.68 0.01 9.36191 -582.736 -9.36191 9.36191 0.38 0.00371606 0.00338429 0.254265 0.231417 -1 -1 -1 -1 48 12912 27 8.04299e+06 4.32309e+06 991730. 3060.90 2.90 0.953397 0.843166 32420 239176 -1 10546 23 7436 8617 945615 250974 8.93758 8.93758 -717.803 -8.93758 0 0 1.20291e+06 3712.69 0.05 0.36 0.19 -1 -1 0.05 0.176264 0.156554 700 544 418 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_24.v common 13.09 vpr 71.78 MiB 0.07 11528 -1 -1 1 0.25 -1 -1 36188 -1 -1 115 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73504 22 19 2105 1529 1 1226 163 18 18 324 mult_36 auto 32.0 MiB 4.85 7614 27308 5726 18896 2686 71.8 MiB 0.74 0.01 9.27241 -589.706 -9.27241 9.27241 0.38 0.00386412 0.00351344 0.261932 0.238111 -1 -1 -1 -1 50 14295 50 8.04299e+06 4.37898e+06 1.03391e+06 3191.07 4.26 1.26749 1.11505 32744 246704 -1 11273 24 8329 9685 1061983 272296 9.03288 9.03288 -764.669 -9.03288 0 0 1.23838e+06 3822.15 0.05 0.39 0.19 -1 -1 0.05 0.189263 0.167673 729 563 437 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_25.v common 25.22 vpr 72.14 MiB 0.16 11852 -1 -1 1 0.32 -1 -1 36580 -1 -1 119 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73872 22 19 2201 1591 1 1282 167 18 18 324 mult_36 auto 32.2 MiB 4.56 8067 31379 6574 21171 3634 72.1 MiB 0.80 0.01 9.34681 -613.161 -9.34681 9.34681 0.39 0.00426694 0.00388562 0.306035 0.278326 -1 -1 -1 -1 46 16038 29 8.04299e+06 4.43488e+06 948677. 2928.01 16.26 2.19049 1.92143 32096 231720 -1 11949 24 9779 11425 1164386 316197 9.00488 9.00488 -788.533 -9.00488 0 0 1.16833e+06 3605.96 0.05 0.43 0.18 -1 -1 0.05 0.199305 0.176577 759 586 456 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_26.v common 21.11 vpr 72.69 MiB 0.16 11976 -1 -1 1 0.27 -1 -1 37324 -1 -1 123 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74432 22 19 2275 1648 1 1321 171 18 18 324 mult_36 auto 32.9 MiB 5.23 8117 27663 5463 19176 3024 72.7 MiB 0.71 0.01 9.37981 -643.94 -9.37981 9.37981 0.38 0.00415249 0.00377145 0.271965 0.246845 -1 -1 -1 -1 46 16309 44 8.04299e+06 4.49078e+06 948677. 2928.01 11.61 2.03722 1.78458 32096 231720 -1 12451 24 10921 12419 1220635 326883 9.02988 9.02988 -810.896 -9.02988 0 0 1.16833e+06 3605.96 0.05 0.45 0.18 -1 -1 0.05 0.206373 0.18296 789 605 475 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_27.v common 14.18 vpr 72.84 MiB 0.17 12168 -1 -1 1 0.30 -1 -1 36844 -1 -1 130 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74592 22 19 2385 1724 1 1397 179 18 18 324 mult_36 auto 32.8 MiB 5.15 8681 34955 7482 19352 8121 72.8 MiB 0.79 0.01 9.34681 -656.804 -9.34681 9.34681 0.38 0.0043512 0.00393332 0.323739 0.293814 -1 -1 -1 -1 52 16814 44 8.04299e+06 4.9846e+06 1.06151e+06 3276.26 4.54 1.40783 1.24787 33388 261900 -1 12789 24 9445 11011 1249013 322816 8.83858 8.83858 -855.183 -8.83858 0 0 1.31159e+06 4048.11 0.05 0.50 0.21 -1 -1 0.05 0.226869 0.201752 826 642 494 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_28.v common 16.11 vpr 73.36 MiB 0.17 12264 -1 -1 1 0.32 -1 -1 36820 -1 -1 135 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75116 22 19 2459 1781 1 1435 184 18 18 324 mult_36 auto 33.6 MiB 5.87 9664 34179 7288 22627 4264 73.4 MiB 0.90 0.01 9.47211 -709.303 -9.47211 9.47211 0.37 0.00453022 0.0040763 0.308968 0.280239 -1 -1 -1 -1 52 17978 43 8.04299e+06 5.05447e+06 1.06151e+06 3276.26 5.52 1.52642 1.34525 33388 261900 -1 13899 25 10339 11870 1318603 341192 8.71498 8.71498 -893.429 -8.71498 0 0 1.31159e+06 4048.11 0.05 0.49 0.20 -1 -1 0.05 0.228226 0.20229 856 661 513 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_29.v common 19.43 vpr 73.88 MiB 0.17 12676 -1 -1 1 0.32 -1 -1 37268 -1 -1 142 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75656 22 19 2565 1853 1 1504 192 22 22 484 mult_36 auto 33.9 MiB 5.89 9917 38902 8764 24624 5514 73.9 MiB 0.96 0.01 9.28187 -785.444 -9.28187 9.28187 0.58 0.00454575 0.004124 0.339505 0.308048 -1 -1 -1 -1 50 17522 33 1.30842e+07 5.5483e+06 1.59181e+06 3288.87 8.23 2.05534 1.80738 49674 382800 -1 14685 24 11542 13412 1610574 390492 8.79458 8.79458 -1117.63 -8.79458 0 0 1.90554e+06 3937.06 0.08 0.53 0.30 -1 -1 0.08 0.229176 0.203208 891 694 532 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_30.v common 17.67 vpr 74.26 MiB 0.18 12756 -1 -1 1 0.33 -1 -1 37344 -1 -1 145 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76044 22 19 2639 1910 1 1540 195 22 22 484 mult_36 auto 34.3 MiB 6.28 9944 48220 11436 32158 4626 74.3 MiB 1.28 0.02 9.45783 -769.515 -9.45783 9.45783 0.59 0.00502858 0.00458086 0.448404 0.404905 -1 -1 -1 -1 48 18579 44 1.30842e+07 5.59022e+06 1.52614e+06 3153.19 5.65 1.71721 1.51727 49190 371334 -1 14974 23 10203 12099 1349758 341706 9.24118 9.24118 -1011.17 -9.24118 0 0 1.85176e+06 3825.95 0.08 0.50 0.29 -1 -1 0.08 0.230091 0.203938 921 713 551 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_31.v common 15.67 vpr 74.85 MiB 0.19 13044 -1 -1 1 0.34 -1 -1 37408 -1 -1 152 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76644 22 19 2744 1981 1 1610 202 22 22 484 mult_36 auto 34.7 MiB 5.82 10052 48746 11682 31291 5773 74.8 MiB 1.17 0.01 9.54347 -740.834 -9.54347 9.54347 0.58 0.00503379 0.0045772 0.426731 0.386307 -1 -1 -1 -1 50 17890 37 1.30842e+07 5.68804e+06 1.59181e+06 3288.87 4.18 1.41411 1.25004 49674 382800 -1 15096 24 12252 13854 1485153 389376 8.84158 8.84158 -909.61 -8.84158 0 0 1.90554e+06 3937.06 0.08 0.51 0.29 -1 -1 0.08 0.23999 0.213128 956 745 570 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_32.v common 43.56 vpr 75.01 MiB 0.19 13212 -1 -1 1 0.37 -1 -1 36856 -1 -1 156 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76812 22 19 2818 2038 1 1649 206 22 22 484 mult_36 auto 35.0 MiB 7.07 10334 40334 8743 27237 4354 75.0 MiB 1.02 0.01 9.25932 -737.623 -9.25932 9.25932 0.58 0.0051 0.00464486 0.364313 0.329273 -1 -1 -1 -1 50 20167 36 1.30842e+07 5.74393e+06 1.59181e+06 3288.87 30.83 2.80205 2.45609 49674 382800 -1 15781 24 13093 15291 1683008 419982 8.89688 8.89688 -1076.88 -8.89688 0 0 1.90554e+06 3937.06 0.08 0.61 0.30 -1 -1 0.08 0.265271 0.235849 985 764 589 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_33.v common 41.50 vpr 75.65 MiB 0.20 13692 -1 -1 1 0.36 -1 -1 37420 -1 -1 163 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77468 22 19 2923 2109 1 1717 214 22 22 484 mult_36 auto 35.3 MiB 6.08 11211 46222 10130 31672 4420 75.7 MiB 1.15 0.02 10.0824 -825.837 -10.0824 10.0824 0.57 0.00508237 0.00457339 0.391509 0.353693 -1 -1 -1 -1 48 22172 35 1.30842e+07 6.23776e+06 1.52614e+06 3153.19 29.51 2.89115 2.53934 49190 371334 -1 16806 24 12114 13979 1610394 408923 9.61172 9.61172 -1047.27 -9.61172 0 0 1.85176e+06 3825.95 0.08 0.62 0.29 -1 -1 0.08 0.272222 0.242167 1020 796 608 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_34.v common 45.85 vpr 76.18 MiB 0.21 13852 -1 -1 1 0.42 -1 -1 37960 -1 -1 167 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78012 22 19 2997 2166 1 1757 218 22 22 484 mult_36 auto 35.8 MiB 7.75 11367 50653 11578 34586 4489 76.2 MiB 1.27 0.02 10.2407 -784.729 -10.2407 10.2407 0.58 0.00539026 0.00489456 0.435473 0.393778 -1 -1 -1 -1 48 22855 41 1.30842e+07 6.29365e+06 1.52614e+06 3153.19 32.01 3.5145 3.07924 49190 371334 -1 17225 25 13232 15466 1828728 474003 9.74802 9.74802 -1224.15 -9.74802 0 0 1.85176e+06 3825.95 0.07 0.64 0.29 -1 -1 0.07 0.276147 0.244746 1049 815 627 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_35.v common 20.13 vpr 76.91 MiB 0.21 14120 -1 -1 1 0.39 -1 -1 37772 -1 -1 173 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78756 22 19 3101 2236 1 1823 224 22 22 484 mult_36 auto 36.4 MiB 6.75 12039 51224 11213 31387 8624 76.9 MiB 1.27 0.02 10.097 -863.769 -10.097 10.097 0.58 0.00563412 0.00512786 0.453731 0.41055 -1 -1 -1 -1 56 19818 34 1.30842e+07 6.3775e+06 1.71605e+06 3545.56 7.14 1.96649 1.74166 51606 428054 -1 17197 25 12676 14659 1608360 433732 9.56742 9.56742 -1052.87 -9.56742 0 0 2.11301e+06 4365.72 0.09 0.66 0.33 -1 -1 0.09 0.29898 0.265318 1084 846 646 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_36.v common 44.56 vpr 77.11 MiB 0.21 14172 -1 -1 1 0.40 -1 -1 38164 -1 -1 177 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78956 22 19 3175 2293 1 1861 228 22 22 484 mult_36 auto 36.6 MiB 8.25 11507 48948 10428 31059 7461 77.1 MiB 1.17 0.02 10.092 -843.96 -10.092 10.092 0.59 0.00596501 0.00533314 0.445887 0.401817 -1 -1 -1 -1 50 20815 35 1.30842e+07 6.43339e+06 1.59181e+06 3288.87 30.26 3.13962 2.7514 49674 382800 -1 16814 25 13549 15664 1594529 416848 9.33682 9.33682 -1209.6 -9.33682 0 0 1.90554e+06 3937.06 0.08 0.59 0.29 -1 -1 0.08 0.283635 0.250445 1113 865 665 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_37.v common 55.37 vpr 77.63 MiB 0.12 14648 -1 -1 1 0.42 -1 -1 37360 -1 -1 182 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79496 22 19 3280 2364 1 1929 234 24 24 576 mult_36 auto 37.1 MiB 7.48 13740 58554 13454 40637 4463 77.6 MiB 1.47 0.02 10.1311 -877.22 -10.1311 10.1311 0.70 0.00618255 0.0055225 0.520892 0.468736 -1 -1 -1 -1 48 25332 43 1.57908e+07 6.89927e+06 1.80947e+06 3141.43 41.16 3.85892 3.38266 58130 440457 -1 19346 25 13891 16288 1869097 478110 9.73802 9.73802 -1252.18 -9.73802 0 0 2.19658e+06 3813.51 0.09 0.68 0.34 -1 -1 0.09 0.302931 0.268628 1148 897 684 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_38.v common 62.73 vpr 77.95 MiB 0.23 14504 -1 -1 1 0.45 -1 -1 38040 -1 -1 188 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79820 22 19 3354 2421 1 1967 240 24 24 576 mult_36 auto 37.3 MiB 8.96 13313 59095 14169 38782 6144 77.9 MiB 1.45 0.02 10.0248 -953.734 -10.0248 10.0248 0.68 0.00604254 0.00548712 0.487278 0.43938 -1 -1 -1 -1 50 24345 48 1.57908e+07 6.98311e+06 1.88759e+06 3277.06 47.08 3.8651 3.38182 58706 454005 -1 18961 23 14071 16734 1745037 441606 9.41512 9.41512 -1383.18 -9.41512 0 0 2.26035e+06 3924.22 0.09 0.62 0.35 -1 -1 0.09 0.281846 0.250115 1178 916 703 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_39.v common 22.96 vpr 78.50 MiB 0.24 14744 -1 -1 1 0.45 -1 -1 38360 -1 -1 192 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80380 22 19 3457 2490 1 2034 244 24 24 576 mult_36 auto 37.8 MiB 8.09 14922 61204 13768 41124 6312 78.5 MiB 1.57 0.02 10.3587 -893.88 -10.3587 10.3587 0.70 0.00639515 0.00581114 0.546261 0.491688 -1 -1 -1 -1 52 27033 39 1.57908e+07 7.03901e+06 1.93804e+06 3364.65 7.72 2.23864 1.97458 59854 481790 -1 21120 23 14379 16928 2067695 530562 9.62972 9.62972 -1438.68 -9.62972 0 0 2.39371e+06 4155.74 0.10 0.75 0.37 -1 -1 0.10 0.308866 0.274271 1212 946 722 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_40.v common 60.30 vpr 78.92 MiB 0.24 14960 -1 -1 1 0.47 -1 -1 38820 -1 -1 197 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80816 22 19 3531 2547 1 2072 249 24 24 576 mult_36 auto 38.0 MiB 9.59 13416 66021 15295 44835 5891 78.9 MiB 1.62 0.02 10.1568 -942.654 -10.1568 10.1568 0.69 0.00639345 0.00579877 0.542573 0.489709 -1 -1 -1 -1 50 24442 47 1.57908e+07 7.10888e+06 1.88759e+06 3277.06 43.95 3.72202 3.26561 58706 454005 -1 19522 23 12168 14578 1556299 408422 9.39342 9.39342 -1246.48 -9.39342 0 0 2.26035e+06 3924.22 0.08 0.59 0.26 -1 -1 0.08 0.289867 0.257116 1241 965 741 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_41.v common 52.68 vpr 79.30 MiB 0.24 15256 -1 -1 1 0.49 -1 -1 38132 -1 -1 202 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81204 22 19 3634 2616 1 2139 255 24 24 576 mult_36 auto 38.4 MiB 8.69 13418 60855 13319 40468 7068 79.3 MiB 1.47 0.02 10.0422 -1029.54 -10.0422 10.0422 0.68 0.00614383 0.00556233 0.491083 0.442628 -1 -1 -1 -1 50 24338 36 1.57908e+07 7.57475e+06 1.88759e+06 3277.06 36.92 3.97148 3.48296 58706 454005 -1 19559 23 14611 16686 1722597 446961 9.46212 9.46212 -1513.27 -9.46212 0 0 2.26035e+06 3924.22 0.09 0.66 0.35 -1 -1 0.09 0.31144 0.276911 1276 995 760 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_42.v common 23.64 vpr 79.79 MiB 0.25 15308 -1 -1 1 0.50 -1 -1 38424 -1 -1 207 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81700 22 19 3708 2673 1 2181 260 24 24 576 mult_36 auto 38.7 MiB 10.02 14561 60777 12936 42175 5666 79.8 MiB 1.60 0.02 10.0072 -1000.66 -10.0072 10.0072 0.69 0.00655618 0.0059483 0.522302 0.469618 -1 -1 -1 -1 54 24980 29 1.57908e+07 7.64462e+06 1.98675e+06 3449.22 6.29 2.0797 1.83139 60430 494267 -1 20224 26 14124 16352 1601628 432411 9.76772 9.76772 -1532.7 -9.76772 0 0 2.45377e+06 4260.01 0.10 0.74 0.38 -1 -1 0.10 0.36174 0.320694 1305 1014 779 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_43.v common 25.72 vpr 80.16 MiB 0.26 15768 -1 -1 1 0.52 -1 -1 39016 -1 -1 213 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82084 22 19 3810 2741 1 2244 266 24 24 576 mult_36 auto 39.2 MiB 9.26 15598 63536 14117 44482 4937 80.2 MiB 1.74 0.02 10.0335 -1106.91 -10.0335 10.0335 0.71 0.00731079 0.00652699 0.568477 0.507811 -1 -1 -1 -1 54 27380 44 1.57908e+07 7.72846e+06 1.98675e+06 3449.22 8.86 2.51157 2.2071 60430 494267 -1 22410 24 16222 18865 2164848 533104 9.59842 9.59842 -1400.69 -9.59842 0 0 2.45377e+06 4260.01 0.10 0.78 0.38 -1 -1 0.10 0.34148 0.302576 1338 1043 798 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_44.v common 25.35 vpr 80.60 MiB 0.24 15764 -1 -1 1 0.52 -1 -1 38408 -1 -1 216 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82532 22 19 3884 2798 1 2281 269 24 24 576 mult_36 auto 39.6 MiB 10.61 15930 65369 14344 45189 5836 80.6 MiB 1.63 0.02 10.5619 -1017.34 -10.5619 10.5619 0.69 0.00693051 0.00628512 0.544181 0.489355 -1 -1 -1 -1 52 26877 44 1.57908e+07 7.77038e+06 1.93804e+06 3364.65 7.30 2.4055 2.11653 59854 481790 -1 21946 24 14104 16757 1877221 493823 9.91002 9.91002 -1458.25 -9.91002 0 0 2.39371e+06 4155.74 0.10 0.74 0.37 -1 -1 0.10 0.344928 0.305606 1367 1062 817 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_45.v common 26.10 vpr 80.73 MiB 0.27 16096 -1 -1 1 0.39 -1 -1 40456 -1 -1 223 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82668 22 19 3989 2869 1 2353 277 24 24 576 mult_36 auto 39.6 MiB 9.83 15648 70633 15903 48912 5818 80.7 MiB 1.85 0.02 10.179 -1076.36 -10.179 10.179 0.70 0.0078553 0.00716124 0.607184 0.546656 -1 -1 -1 -1 56 25261 30 1.57908e+07 8.2642e+06 2.03561e+06 3534.04 8.60 2.75085 2.4272 61006 507707 -1 22121 25 16182 18456 2090603 578039 9.43112 9.43112 -1438.07 -9.43112 0 0 2.50747e+06 4353.24 0.10 0.82 0.39 -1 -1 0.10 0.36747 0.325204 1402 1094 836 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_46.v common 25.44 vpr 81.10 MiB 0.27 16136 -1 -1 1 0.56 -1 -1 40452 -1 -1 227 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83044 22 19 4063 2926 1 2391 281 24 24 576 mult_36 auto 39.8 MiB 11.34 15619 68361 15362 47157 5842 81.1 MiB 1.76 0.02 10.0358 -1097.56 -10.0358 10.0358 0.71 0.00733389 0.00665923 0.579802 0.521156 -1 -1 -1 -1 54 25452 29 1.57908e+07 8.3201e+06 1.98675e+06 3449.22 6.32 2.31986 2.04278 60430 494267 -1 21550 24 15297 17185 1638245 439050 9.42012 9.42012 -1427.86 -9.42012 0 0 2.45377e+06 4260.01 0.10 0.73 0.38 -1 -1 0.10 0.363455 0.322328 1431 1113 855 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_47.v common 56.00 vpr 81.73 MiB 0.28 16460 -1 -1 1 0.61 -1 -1 40748 -1 -1 233 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83692 22 19 4167 2996 1 2458 287 24 24 576 mult_36 auto 40.4 MiB 10.47 15827 72183 15425 45042 11716 81.7 MiB 1.69 0.02 10.1193 -1143.07 -10.1193 10.1193 0.69 0.00716137 0.00647508 0.580915 0.522348 -1 -1 -1 -1 52 28802 44 1.57908e+07 8.40394e+06 1.93804e+06 3364.65 37.71 4.32796 3.78661 59854 481790 -1 22319 23 14466 17627 1769476 471161 9.66102 9.66102 -1790.73 -9.66102 0 0 2.39371e+06 4155.74 0.10 0.77 0.37 -1 -1 0.10 0.366205 0.325141 1467 1144 874 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_48.v common 26.71 vpr 82.14 MiB 0.28 16668 -1 -1 1 0.58 -1 -1 40784 -1 -1 238 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84108 22 19 4241 3053 1 2495 292 24 24 576 mult_36 auto 40.7 MiB 11.98 16273 82572 18903 55165 8504 82.1 MiB 2.06 0.02 10.2754 -1193.92 -10.2754 10.2754 0.69 0.00738242 0.00667286 0.653634 0.587047 -1 -1 -1 -1 54 26968 35 1.57908e+07 8.47381e+06 1.98675e+06 3449.22 6.67 2.43756 2.14507 60430 494267 -1 22537 22 13918 16398 1513344 401323 9.62042 9.62042 -1662.93 -9.62042 0 0 2.45377e+06 4260.01 0.10 0.69 0.38 -1 -1 0.10 0.347551 0.30857 1495 1163 893 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_49.v common 57.02 vpr 82.75 MiB 0.14 16920 -1 -1 1 0.60 -1 -1 41148 -1 -1 243 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84736 22 19 4346 3124 1 2564 298 24 24 576 mult_36 auto 41.3 MiB 11.02 17527 77908 17852 52893 7163 82.8 MiB 2.12 0.03 10.2594 -1154.37 -10.2594 10.2594 0.69 0.00780147 0.00692113 0.645075 0.576755 -1 -1 -1 -1 56 28253 46 1.57908e+07 8.93968e+06 2.03561e+06 3534.04 37.69 5.03767 4.41938 61006 507707 -1 24460 25 18739 21868 2402187 639719 9.65172 9.65172 -1609.22 -9.65172 0 0 2.50747e+06 4353.24 0.10 0.96 0.39 -1 -1 0.10 0.415472 0.368655 1532 1195 912 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_50.v common 33.07 vpr 83.25 MiB 0.30 17196 -1 -1 1 0.62 -1 -1 40968 -1 -1 246 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85248 22 19 4420 3181 1 2604 301 24 24 576 mult_36 auto 41.7 MiB 12.45 18764 75901 17284 47478 11139 83.2 MiB 1.85 0.02 10.51 -1212.65 -10.51 10.51 0.69 0.00771114 0.00685741 0.612141 0.547912 -1 -1 -1 -1 56 31893 45 1.57908e+07 8.9816e+06 2.03561e+06 3534.04 12.61 3.29792 2.90071 61006 507707 -1 26592 24 19306 22629 2616871 665297 9.91032 9.91032 -1995.47 -9.91032 0 0 2.50747e+06 4353.24 0.10 0.84 0.39 -1 -1 0.10 0.362061 0.320072 1560 1214 931 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_51.v common 67.64 vpr 83.52 MiB 0.31 17420 -1 -1 1 0.65 -1 -1 40876 -1 -1 253 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85524 22 19 4524 3251 1 2671 308 24 24 576 mult_36 auto 41.8 MiB 11.56 18778 75116 16209 50738 8169 83.5 MiB 2.05 0.03 10.2476 -1201.85 -10.2476 10.2476 0.70 0.00805731 0.00730235 0.628406 0.560093 -1 -1 -1 -1 60 31691 47 1.57908e+07 9.07942e+06 2.13333e+06 3703.69 47.51 4.55209 3.98219 62730 548095 -1 25998 22 16551 19375 2276233 547340 9.83702 9.83702 -1687.58 -9.83702 0 0 2.67122e+06 4637.53 0.11 0.81 0.42 -1 -1 0.11 0.367109 0.325853 1594 1245 950 19 0 0 + k6_frac_ripple_N8_22nm.xml fir_nopipe_52.v common 35.68 vpr 84.09 MiB 0.31 17512 -1 -1 1 0.66 -1 -1 39500 -1 -1 258 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86108 22 19 4598 3308 1 2708 313 24 24 576 mult_36 auto 42.4 MiB 13.08 18460 88459 20213 58952 9294 84.1 MiB 2.37 0.03 10.4117 -1189.46 -10.4117 10.4117 0.70 0.00889443 0.00807005 0.722566 0.650369 -1 -1 -1 -1 58 30179 38 1.57908e+07 9.14929e+06 2.08734e+06 3623.85 13.66 3.42694 3.01647 62154 534210 -1 24994 23 18010 20545 2434830 619538 9.62342 9.62342 -1581.9 -9.62342 0 0 2.61600e+06 4541.67 0.11 0.93 0.42 -1 -1 0.11 0.407283 0.360155 1624 1264 969 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_14.v common 13.57 vpr 70.60 MiB 0.11 10548 -1 -1 1 0.22 -1 -1 35500 -1 -1 100 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72292 22 19 1974 1653 1 1020 145 16 16 256 mult_36 auto 30.9 MiB 0.63 5897 16865 3110 11753 2002 70.6 MiB 0.39 0.01 4.16866 -1213.34 -4.16866 4.16866 0.29 0.00340631 0.00306145 0.165456 0.149032 -1 -1 -1 -1 46 10786 25 6.54114e+06 2.99338e+06 723233. 2825.13 9.77 1.65709 1.43617 24832 174915 -1 8739 13 3386 4111 387216 100192 4.54456 4.54456 -1253.08 -4.54456 0 0 890343. 3477.90 0.03 0.20 0.14 -1 -1 0.03 0.112108 0.0999709 606 708 247 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_15.v common 6.89 vpr 71.54 MiB 0.12 10852 -1 -1 1 0.23 -1 -1 36900 -1 -1 109 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73256 22 19 2144 1789 1 1111 155 16 16 256 mult_36 auto 31.5 MiB 0.77 6571 25531 5149 17022 3360 71.5 MiB 0.56 0.01 4.16866 -1351.65 -4.16866 4.16866 0.29 0.00381755 0.00331717 0.243679 0.21884 -1 -1 -1 -1 50 12262 29 6.54114e+06 3.51622e+06 787708. 3076.99 2.62 1.08004 0.939758 25344 186282 -1 9837 16 3792 4589 451143 122376 4.29396 4.29396 -1374.88 -4.29396 0 0 943753. 3686.54 0.04 0.25 0.15 -1 -1 0.04 0.142431 0.126532 660 769 266 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_16.v common 10.85 vpr 72.15 MiB 0.10 11140 -1 -1 1 0.25 -1 -1 36948 -1 -1 114 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73880 22 19 2218 1846 1 1161 160 16 16 256 mult_36 auto 32.1 MiB 0.73 6955 23162 4052 16537 2573 72.1 MiB 0.51 0.01 4.29396 -1363.32 -4.29396 4.29396 0.29 0.00389764 0.00351426 0.218052 0.19623 -1 -1 -1 -1 50 12246 22 6.54114e+06 3.58669e+06 787708. 3076.99 6.89 1.59099 1.38369 25344 186282 -1 10222 16 3986 4794 465350 127232 4.29396 4.29396 -1433.47 -4.29396 0 0 943753. 3686.54 0.03 0.14 0.11 -1 -1 0.03 0.084314 0.0764893 683 788 285 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_17.v common 12.66 vpr 73.27 MiB 0.14 11728 -1 -1 1 0.27 -1 -1 36576 -1 -1 125 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75032 22 19 2536 2130 1 1265 171 16 16 256 mult_36 auto 32.8 MiB 0.81 7464 29085 5741 19141 4203 73.3 MiB 0.65 0.01 4.16866 -1536.92 -4.16866 4.16866 0.29 0.00429378 0.00384713 0.279794 0.250365 -1 -1 -1 -1 50 13831 46 6.54114e+06 3.74172e+06 787708. 3076.99 8.15 2.06421 1.79106 25344 186282 -1 10866 13 4236 5077 494992 141433 4.29396 4.29396 -1612.96 -4.29396 0 0 943753. 3686.54 0.04 0.22 0.15 -1 -1 0.04 0.133656 0.118566 774 924 304 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_18.v common 11.65 vpr 73.60 MiB 0.13 12088 -1 -1 1 0.28 -1 -1 37160 -1 -1 131 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75368 22 19 2610 2187 1 1315 177 16 16 256 clb mult_36 auto 33.3 MiB 0.89 7726 28945 5460 19506 3979 73.6 MiB 0.64 0.01 4.11789 -1563.05 -4.11789 4.11789 0.29 0.00437743 0.003918 0.271034 0.242167 -1 -1 -1 -1 50 13444 50 6.54114e+06 3.82628e+06 787708. 3076.99 6.86 2.27299 1.97048 25344 186282 -1 10979 19 4479 5152 511888 145858 4.29396 4.29396 -1589.11 -4.29396 0 0 943753. 3686.54 0.04 0.31 0.15 -1 -1 0.04 0.190615 0.16848 799 943 323 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_19.v common 12.10 vpr 74.55 MiB 0.14 12320 -1 -1 1 0.30 -1 -1 36848 -1 -1 139 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76344 22 19 2778 2321 1 1408 186 16 16 256 clb mult_36 auto 34.2 MiB 0.93 8192 24036 4046 16551 3439 74.6 MiB 0.54 0.01 4.20832 -1723.16 -4.20832 4.20832 0.29 0.00457498 0.0040973 0.225703 0.201988 -1 -1 -1 -1 52 14645 44 6.54114e+06 4.33503e+06 808720. 3159.06 7.17 2.31116 2.00027 25852 197779 -1 11341 15 4477 5263 485693 140638 4.39726 4.39726 -1787.08 -4.39726 0 0 1.00038e+06 3907.74 0.04 0.26 0.16 -1 -1 0.04 0.162276 0.143729 850 1002 342 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_20.v common 8.26 vpr 74.74 MiB 0.14 12500 -1 -1 1 0.31 -1 -1 36996 -1 -1 144 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76532 22 19 2852 2378 1 1455 191 17 17 289 clb auto 34.4 MiB 1.01 8755 33680 6741 22438 4501 74.7 MiB 0.74 0.01 4.29396 -1747.22 -4.29396 4.29396 0.33 0.00470759 0.00421942 0.308549 0.275738 -1 -1 -1 -1 54 14826 19 6.89349e+06 4.4055e+06 949917. 3286.91 2.99 1.23084 1.0717 29506 232905 -1 12269 19 4909 5805 527983 150320 4.29396 4.29396 -1744.63 -4.29396 0 0 1.17392e+06 4061.99 0.04 0.32 0.18 -1 -1 0.04 0.199024 0.17539 875 1021 361 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_21.v common 13.49 vpr 75.89 MiB 0.16 12996 -1 -1 1 0.37 -1 -1 37732 -1 -1 151 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77708 22 19 3057 2549 1 1549 198 17 17 289 clb auto 35.4 MiB 1.03 9250 34182 6503 23460 4219 75.9 MiB 0.75 0.01 4.16866 -1902.33 -4.16866 4.16866 0.33 0.00498017 0.00445532 0.314048 0.279386 -1 -1 -1 -1 52 16499 45 6.89349e+06 4.50416e+06 926341. 3205.33 8.02 2.62694 2.26391 29218 227130 -1 13459 15 4935 6172 588490 160646 4.54456 4.54456 -1954.17 -4.54456 0 0 1.14541e+06 3963.36 0.04 0.30 0.18 -1 -1 0.04 0.181183 0.160647 939 1099 380 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_22.v common 16.80 vpr 76.08 MiB 0.16 12964 -1 -1 1 0.34 -1 -1 37912 -1 -1 157 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77908 22 19 3131 2606 1 1600 204 17 17 289 clb auto 35.7 MiB 1.03 10391 36204 6804 25063 4337 76.1 MiB 0.83 0.01 4.29396 -2010.61 -4.29396 4.29396 0.33 0.00493535 0.00440483 0.324599 0.28899 -1 -1 -1 -1 56 17144 38 6.89349e+06 4.58873e+06 973134. 3367.25 11.20 2.57628 2.23127 29794 239141 -1 14648 17 5371 6387 655791 179905 4.41926 4.41926 -2025.14 -4.41926 0 0 1.19926e+06 4149.71 0.05 0.34 0.19 -1 -1 0.05 0.200456 0.177024 963 1118 399 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_23.v common 14.27 vpr 76.93 MiB 0.16 13232 -1 -1 1 0.36 -1 -1 37844 -1 -1 166 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78776 22 19 3301 2742 1 1693 214 18 18 324 clb mult_36 auto 36.1 MiB 1.09 10138 39832 7569 27640 4623 76.9 MiB 0.91 0.01 4.29396 -2052.46 -4.29396 4.29396 0.38 0.00564524 0.0050485 0.367944 0.32761 -1 -1 -1 -1 52 17585 26 8.06603e+06 5.11158e+06 1.06151e+06 3276.26 8.46 2.41254 2.0953 33388 261900 -1 14418 18 5467 6716 633906 177374 4.29396 4.29396 -2079.66 -4.29396 0 0 1.31159e+06 4048.11 0.05 0.36 0.21 -1 -1 0.05 0.221704 0.195877 1019 1179 418 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_24.v common 10.80 vpr 77.46 MiB 0.17 13436 -1 -1 1 0.36 -1 -1 38148 -1 -1 171 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79324 22 19 3375 2799 1 1744 219 18 18 324 clb mult_36 auto 36.7 MiB 1.10 10771 42395 8722 30118 3555 77.5 MiB 0.94 0.01 4.29396 -2137.06 -4.29396 4.29396 0.38 0.00546465 0.0048715 0.374876 0.334279 -1 -1 -1 -1 54 18741 47 8.06603e+06 5.18205e+06 1.08842e+06 3359.33 4.72 2.14326 1.8638 33712 268580 -1 15291 16 5978 7113 648716 178536 4.41926 4.41926 -2204.94 -4.41926 0 0 1.34436e+06 4149.26 0.05 0.34 0.21 -1 -1 0.05 0.20648 0.182504 1041 1198 437 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_25.v common 9.56 vpr 78.74 MiB 0.18 14120 -1 -1 1 0.41 -1 -1 38124 -1 -1 180 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80632 22 19 3615 3005 1 1840 228 18 18 324 clb mult_36 auto 37.7 MiB 0.89 11857 37812 6568 27678 3566 78.7 MiB 0.89 0.02 4.29396 -2281.76 -4.29396 4.29396 0.38 0.00608503 0.00543281 0.347901 0.310012 -1 -1 -1 -1 56 18869 31 8.06603e+06 5.3089e+06 1.11497e+06 3441.27 3.69 1.62089 1.40516 34036 275796 -1 16406 18 6114 7263 681462 188813 4.29396 4.29396 -2319.5 -4.29396 0 0 1.37338e+06 4238.83 0.06 0.42 0.22 -1 -1 0.06 0.253686 0.22483 1113 1293 456 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_26.v common 11.10 vpr 79.12 MiB 0.18 14160 -1 -1 1 0.42 -1 -1 38408 -1 -1 185 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81024 22 19 3689 3062 1 1888 233 18 18 324 clb mult_36 auto 37.8 MiB 1.20 12084 41761 7839 29331 4591 79.1 MiB 0.99 0.02 4.41926 -2391.24 -4.41926 4.41926 0.38 0.00615276 0.00550654 0.38751 0.346378 -1 -1 -1 -1 56 19374 25 8.06603e+06 5.37936e+06 1.11497e+06 3441.27 4.53 2.02335 1.75425 34036 275796 -1 16924 17 6149 7462 703147 193689 4.54456 4.54456 -2408.11 -4.54456 0 0 1.37338e+06 4238.83 0.05 0.46 0.26 -1 -1 0.05 0.27169 0.242225 1136 1312 475 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_27.v common 23.80 vpr 79.91 MiB 0.19 14560 -1 -1 1 0.45 -1 -1 38468 -1 -1 194 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81828 22 19 3871 3210 1 1992 243 19 19 361 clb auto 38.6 MiB 1.26 11315 46481 8979 32879 4623 79.9 MiB 1.10 0.02 4.09962 -2397.36 -4.09962 4.09962 0.42 0.00627185 0.00560212 0.432741 0.38527 -1 -1 -1 -1 52 21006 46 8.47476e+06 5.90222e+06 1.19498e+06 3310.19 16.99 3.83425 3.31101 37218 295639 -1 16245 16 6082 7249 690290 193098 4.29396 4.29396 -2387.88 -4.29396 0 0 1.47597e+06 4088.55 0.06 0.38 0.23 -1 -1 0.06 0.232876 0.205759 1200 1385 494 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_28.v common 18.15 vpr 80.21 MiB 0.20 14780 -1 -1 1 0.46 -1 -1 38488 -1 -1 199 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82136 22 19 3945 3267 1 2042 248 19 19 361 clb auto 38.6 MiB 1.35 13687 48484 9385 34485 4614 80.2 MiB 1.14 0.02 4.29396 -2526.58 -4.29396 4.29396 0.41 0.00629691 0.00560408 0.426558 0.379719 -1 -1 -1 -1 58 22228 30 8.47476e+06 5.97269e+06 1.28673e+06 3564.36 11.11 2.88546 2.50395 38658 327703 -1 18568 15 6535 8360 807229 208517 4.41926 4.41926 -2578.59 -4.41926 0 0 1.61249e+06 4466.73 0.06 0.40 0.26 -1 -1 0.06 0.230344 0.203924 1221 1404 513 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_29.v common 25.97 vpr 81.32 MiB 0.20 14944 -1 -1 1 0.48 -1 -1 39592 -1 -1 209 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83272 22 19 4159 3447 1 2145 259 22 22 484 mult_36 auto 39.8 MiB 1.42 13745 56359 11815 39603 4941 81.3 MiB 1.31 0.02 4.2263 -2652.86 -4.2263 4.2263 0.58 0.00696994 0.00623442 0.499116 0.443134 -1 -1 -1 -1 52 25477 44 1.31202e+07 6.50964e+06 1.63434e+06 3376.74 18.07 3.29569 2.8515 50638 406276 -1 19733 18 7192 8503 839737 215705 4.29396 4.29396 -2712.6 -4.29396 0 0 2.01763e+06 4168.66 0.08 0.44 0.31 -1 -1 0.08 0.273476 0.241434 1288 1491 532 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_30.v common 39.42 vpr 81.70 MiB 0.17 15080 -1 -1 1 0.49 -1 -1 40888 -1 -1 215 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83656 22 19 4233 3504 1 2196 265 22 22 484 mult_36 auto 40.0 MiB 1.51 14897 51265 10070 37444 3751 81.7 MiB 1.20 0.02 4.39726 -2700.81 -4.39726 4.39726 0.58 0.00701252 0.00627573 0.452419 0.401596 -1 -1 -1 -1 54 26534 42 1.31202e+07 6.5942e+06 1.67518e+06 3461.11 31.65 4.08614 3.52902 51122 416746 -1 21260 17 7580 9237 913690 233232 4.54456 4.54456 -2758.22 -4.54456 0 0 2.06816e+06 4273.05 0.08 0.45 0.32 -1 -1 0.08 0.261963 0.230785 1312 1510 551 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_31.v common 29.25 vpr 82.45 MiB 0.21 15696 -1 -1 1 0.51 -1 -1 40872 -1 -1 223 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84424 22 19 4410 3647 1 2297 273 22 22 484 mult_36 auto 40.7 MiB 1.53 15086 56028 10921 40849 4258 82.4 MiB 1.31 0.02 4.39726 -2835.95 -4.39726 4.39726 0.58 0.00723747 0.00646969 0.487651 0.433891 -1 -1 -1 -1 54 28995 49 1.31202e+07 6.70695e+06 1.67518e+06 3461.11 21.06 3.52671 3.04296 51122 416746 -1 21612 13 7756 9275 951243 242088 4.66986 4.66986 -2900.03 -4.66986 0 0 2.06816e+06 4273.05 0.08 0.44 0.32 -1 -1 0.08 0.236748 0.210606 1370 1578 570 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_32.v common 13.50 vpr 82.77 MiB 0.22 15816 -1 -1 1 0.53 -1 -1 40564 -1 -1 229 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84760 22 19 4484 3704 1 2346 279 22 22 484 mult_36 auto 41.1 MiB 1.47 15520 59494 12314 43002 4178 82.8 MiB 1.34 0.02 4.39726 -2886.33 -4.39726 4.39726 0.58 0.0069193 0.00615594 0.49508 0.4393 -1 -1 -1 -1 56 26386 29 1.31202e+07 6.79152e+06 1.71605e+06 3545.56 5.33 2.08405 1.81231 51606 428054 -1 22614 15 7703 9229 1000814 254440 4.54456 4.54456 -3035.22 -4.54456 0 0 2.11301e+06 4365.72 0.08 0.48 0.33 -1 -1 0.08 0.263565 0.233408 1392 1597 589 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_33.v common 27.68 vpr 84.41 MiB 0.24 16692 -1 -1 1 0.58 -1 -1 41600 -1 -1 241 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86436 22 19 4843 4029 1 2451 292 22 22 484 mult_36 auto 42.2 MiB 1.60 16235 65148 13870 45840 5438 84.4 MiB 1.49 0.02 4.41926 -3090.5 -4.41926 4.41926 0.59 0.00808308 0.00723375 0.566938 0.500817 -1 -1 -1 -1 54 28933 35 1.31202e+07 7.35665e+06 1.67518e+06 3461.11 18.96 3.86146 3.33611 51122 416746 -1 22664 14 8059 9767 938402 234570 4.52256 4.52256 -3322.83 -4.52256 0 0 2.06816e+06 4273.05 0.08 0.46 0.32 -1 -1 0.08 0.26746 0.237012 1495 1756 608 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_34.v common 25.85 vpr 84.50 MiB 0.24 16832 -1 -1 1 0.46 -1 -1 41476 -1 -1 246 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86532 22 19 4917 4086 1 2501 297 22 22 484 mult_36 auto 42.4 MiB 1.48 15976 64647 13601 45410 5636 84.5 MiB 1.47 0.02 4.29396 -3100.25 -4.29396 4.29396 0.58 0.00791144 0.00703039 0.556242 0.493293 -1 -1 -1 -1 54 27846 34 1.31202e+07 7.42712e+06 1.67518e+06 3461.11 17.31 3.63563 3.13699 51122 416746 -1 22635 14 8405 10031 934635 248978 4.39726 4.39726 -3191.9 -4.39726 0 0 2.06816e+06 4273.05 0.08 0.48 0.32 -1 -1 0.08 0.278106 0.247092 1519 1775 627 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_35.v common 17.04 vpr 85.86 MiB 0.25 17184 -1 -1 1 0.64 -1 -1 41768 -1 -1 255 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87916 22 19 5093 4228 1 2600 306 22 22 484 mult_36 auto 43.5 MiB 1.69 17104 71376 15007 50327 6042 85.9 MiB 1.67 0.02 4.33362 -3292.88 -4.33362 4.33362 0.59 0.00853943 0.00767896 0.633533 0.560341 -1 -1 -1 -1 58 28285 42 1.31202e+07 7.55397e+06 1.75961e+06 3635.55 7.70 3.12175 2.69395 52570 450426 -1 23733 15 8411 10171 1067747 269841 4.29396 4.29396 -3360.94 -4.29396 0 0 2.20457e+06 4554.90 0.09 0.52 0.36 -1 -1 0.09 0.298198 0.264055 1579 1842 646 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_36.v common 28.04 vpr 86.10 MiB 0.25 17284 -1 -1 1 0.65 -1 -1 41872 -1 -1 261 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88164 22 19 5167 4285 1 2654 312 22 22 484 mult_36 auto 43.6 MiB 1.68 17573 70074 14305 48913 6856 86.1 MiB 1.59 0.02 4.41926 -3270.25 -4.41926 4.41926 0.58 0.00847223 0.00755698 0.588893 0.521855 -1 -1 -1 -1 60 28255 29 1.31202e+07 7.63853e+06 1.79840e+06 3715.71 18.74 3.5955 3.09676 53054 462096 -1 24164 16 8699 10830 1097303 284234 4.54456 4.54456 -3309.04 -4.54456 0 0 2.25108e+06 4650.99 0.09 0.56 0.36 -1 -1 0.09 0.32072 0.283726 1601 1861 665 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_37.v common 16.77 vpr 87.22 MiB 0.26 17880 -1 -1 1 0.67 -1 -1 40828 -1 -1 270 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 89312 22 19 5380 4464 1 2753 322 24 24 576 mult_36 auto 44.5 MiB 1.06 19937 79738 17471 56579 5688 87.2 MiB 1.84 0.03 4.60027 -3509.56 -4.60027 4.60027 0.70 0.00887693 0.00792656 0.688794 0.610154 -1 -1 -1 -1 56 35110 44 1.58331e+07 8.16138e+06 2.03561e+06 3534.04 7.71 2.94553 2.55827 61006 507707 -1 28121 15 9192 11283 1230906 314739 4.66986 4.66986 -3745.65 -4.66986 0 0 2.50747e+06 4353.24 0.10 0.58 0.39 -1 -1 0.10 0.316152 0.280188 1668 1947 684 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_38.v common 18.35 vpr 87.74 MiB 0.26 17840 -1 -1 1 0.69 -1 -1 42220 -1 -1 274 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 89844 22 19 5454 4521 1 2802 326 24 24 576 mult_36 auto 45.4 MiB 1.79 19100 72070 14739 52378 4953 87.7 MiB 1.68 0.03 4.54456 -3581.36 -4.54456 4.54456 0.70 0.008838 0.00785851 0.615451 0.546327 -1 -1 -1 -1 58 33732 50 1.58331e+07 8.21776e+06 2.08734e+06 3623.85 8.38 3.35542 2.92303 62154 534210 -1 26704 15 9380 11640 1259357 306728 4.41926 4.41926 -3689.45 -4.41926 0 0 2.61600e+06 4541.67 0.11 0.58 0.42 -1 -1 0.11 0.324315 0.287891 1692 1966 703 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_39.v common 54.87 vpr 90.12 MiB 0.27 18180 -1 -1 1 0.71 -1 -1 40208 -1 -1 285 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92284 22 19 5629 4662 1 2905 337 24 24 576 mult_36 auto 45.8 MiB 1.86 20510 83549 18172 57997 7380 88.2 MiB 1.20 0.02 4.58465 -3654.26 -4.58465 4.58465 0.54 0.00480293 0.00430445 0.355882 0.312297 -1 -1 -1 -1 58 33233 42 1.58331e+07 8.37279e+06 2.08734e+06 3623.85 45.61 4.84432 4.17304 62154 534210 -1 27712 13 9189 11224 1157417 292068 4.66986 4.66986 -3739.3 -4.66986 0 0 2.61600e+06 4541.67 0.11 0.54 0.41 -1 -1 0.11 0.298229 0.265103 1748 2032 722 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_40.v common 21.77 vpr 88.57 MiB 0.26 18384 -1 -1 1 0.73 -1 -1 42444 -1 -1 289 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90700 22 19 5703 4719 1 2951 341 24 24 576 mult_36 auto 46.3 MiB 1.87 21772 87211 19922 60439 6850 88.6 MiB 2.05 0.03 4.52256 -3724.68 -4.52256 4.52256 0.69 0.0087852 0.00781176 0.705356 0.624 -1 -1 -1 -1 58 37692 44 1.58331e+07 8.42917e+06 2.08734e+06 3623.85 11.23 3.67727 3.17696 62154 534210 -1 30241 15 10070 12348 1409285 345564 4.54456 4.54456 -3906.27 -4.54456 0 0 2.61600e+06 4541.67 0.11 0.63 0.42 -1 -1 0.11 0.33683 0.298775 1772 2051 741 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_41.v common 47.11 vpr 88.73 MiB 0.29 18844 -1 -1 1 0.77 -1 -1 41528 -1 -1 299 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90860 22 19 5950 4932 1 3052 352 24 24 576 mult_36 auto 47.2 MiB 1.93 19529 92260 19401 65055 7804 88.7 MiB 2.14 0.02 4.24865 -3806.24 -4.24865 4.24865 0.69 0.00647437 0.0058976 0.748536 0.659408 -1 -1 -1 -1 52 34825 48 1.58331e+07 8.96611e+06 1.93804e+06 3364.65 36.17 6.03013 5.20756 59854 481790 -1 27988 16 10120 12356 1229723 323005 4.41926 4.41926 -3946.05 -4.41926 0 0 2.39371e+06 4155.74 0.10 0.67 0.36 -1 -1 0.10 0.398278 0.3576 1849 2153 760 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_42.v common 52.38 vpr 89.02 MiB 0.30 19112 -1 -1 1 0.80 -1 -1 42768 -1 -1 305 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91156 22 19 6024 4989 1 3106 358 24 24 576 mult_36 auto 47.3 MiB 1.97 21143 90528 20294 62213 8021 89.0 MiB 2.04 0.03 4.35605 -3919.52 -4.35605 4.35605 0.70 0.00973812 0.00867913 0.734702 0.645947 -1 -1 -1 -1 56 36866 35 1.58331e+07 9.05067e+06 2.03561e+06 3534.04 41.39 5.87894 5.07416 61006 507707 -1 30143 16 10295 12797 1277796 329836 4.54456 4.54456 -4220.2 -4.54456 0 0 2.50747e+06 4353.24 0.11 0.63 0.39 -1 -1 0.11 0.363037 0.320556 1871 2172 779 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_43.v common 33.59 vpr 93.84 MiB 0.30 19508 -1 -1 1 0.83 -1 -1 43260 -1 -1 314 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 96096 22 19 6198 5129 1 3204 367 24 24 576 mult_36 auto 48.1 MiB 1.99 22779 85712 18621 59009 8082 90.0 MiB 1.97 0.03 4.54456 -4114.3 -4.54456 4.54456 0.69 0.0101371 0.00904635 0.685133 0.606226 -1 -1 -1 -1 62 34608 34 1.58331e+07 9.17752e+06 2.19658e+06 3813.51 22.56 4.74703 4.12553 63306 560109 -1 29630 16 9698 12249 1188803 294047 4.66986 4.66986 -4203.22 -4.66986 0 0 2.72095e+06 4723.87 0.12 0.65 0.43 -1 -1 0.12 0.393382 0.34921 1930 2237 798 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_44.v common 32.60 vpr 90.24 MiB 0.32 19568 -1 -1 1 0.86 -1 -1 43176 -1 -1 317 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92408 22 19 6272 5186 1 3255 370 24 24 576 mult_36 auto 48.5 MiB 2.02 21478 95914 20568 66297 9049 90.2 MiB 2.27 0.03 4.50014 -4095.02 -4.50014 4.50014 0.71 0.010396 0.00927215 0.798016 0.704493 -1 -1 -1 -1 60 32366 18 1.58331e+07 9.2198e+06 2.13333e+06 3703.69 21.30 4.41624 3.82133 62730 548095 -1 28907 15 9699 12319 1212231 307102 4.66986 4.66986 -4313.95 -4.66986 0 0 2.67122e+06 4637.53 0.11 0.61 0.42 -1 -1 0.11 0.36389 0.321659 1952 2256 817 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_45.v common 21.36 vpr 91.35 MiB 0.31 19836 -1 -1 1 0.88 -1 -1 43824 -1 -1 327 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 93544 22 19 6485 5365 1 3354 381 24 24 576 mult_36 auto 49.3 MiB 2.13 23887 96981 19762 68425 8794 91.2 MiB 2.26 0.03 4.54456 -4293.09 -4.54456 4.54456 0.69 0.0102189 0.00909722 0.780924 0.690433 -1 -1 -1 -1 64 37509 36 1.58331e+07 9.75674e+06 2.26035e+06 3924.22 9.78 3.37373 2.91873 64454 586630 -1 31355 16 10026 12127 1263360 307314 4.64786 4.64786 -4561.34 -4.64786 0 0 2.84938e+06 4946.85 0.12 0.64 0.46 -1 -1 0.12 0.385379 0.340493 2019 2342 836 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_46.v common 28.49 vpr 96.11 MiB 0.32 20056 -1 -1 1 0.90 -1 -1 43892 -1 -1 332 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 98412 22 19 6559 5422 1 3405 386 24 24 576 mult_36 auto 49.7 MiB 2.12 23201 102878 23193 69700 9985 91.7 MiB 2.43 0.03 4.41926 -4240.8 -4.41926 4.41926 0.72 0.0109028 0.0097554 0.855134 0.758263 -1 -1 -1 -1 56 37091 44 1.58331e+07 9.82721e+06 2.03561e+06 3534.04 16.63 4.25534 3.69119 61006 507707 -1 31562 17 10637 12997 1230734 324721 4.54456 4.54456 -4483.6 -4.54456 0 0 2.50747e+06 4353.24 0.10 0.68 0.39 -1 -1 0.10 0.41278 0.363777 2043 2361 855 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_47.v common 38.12 vpr 96.64 MiB 0.32 20408 -1 -1 1 0.91 -1 -1 44540 -1 -1 342 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 98956 22 19 6735 5564 1 3504 396 24 24 576 clb mult_36 auto 50.3 MiB 2.21 23858 106465 22835 73675 9955 92.6 MiB 2.65 0.04 4.47497 -4415.19 -4.47497 4.47497 0.69 0.0126292 0.0108613 0.911894 0.790875 -1 -1 -1 -1 60 37887 29 1.58331e+07 9.96815e+06 2.13333e+06 3703.69 25.78 5.15143 4.44454 62730 548095 -1 31950 15 10982 13084 1389751 341476 4.54456 4.54456 -4592.23 -4.54456 0 0 2.67122e+06 4637.53 0.11 0.69 0.42 -1 -1 0.11 0.400437 0.353402 2102 2428 874 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_48.v common 19.70 vpr 97.34 MiB 0.33 20608 -1 -1 1 0.98 -1 -1 44256 -1 -1 347 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 99680 22 19 6809 5621 1 3554 401 24 24 576 clb mult_36 auto 50.5 MiB 2.26 26363 105339 22890 71097 11352 92.7 MiB 2.42 0.03 4.52256 -4485.72 -4.52256 4.52256 0.69 0.0108651 0.00965164 0.820574 0.721653 -1 -1 -1 -1 64 40135 25 1.58331e+07 1.00386e+07 2.26035e+06 3924.22 7.41 3.15913 2.73965 64454 586630 -1 34321 16 10823 13920 1481323 353227 4.54456 4.54456 -4819.25 -4.54456 0 0 2.84938e+06 4946.85 0.12 0.71 0.46 -1 -1 0.12 0.409437 0.361067 2124 2447 893 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_49.v common 51.53 vpr 99.79 MiB 0.34 21156 -1 -1 1 1.05 -1 -1 44396 -1 -1 358 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 102184 22 19 7094 5872 1 3661 413 25 25 625 clb auto 51.7 MiB 2.31 24760 111077 25154 75676 10247 94.2 MiB 2.55 0.03 4.41926 -4625.71 -4.41926 4.41926 0.76 0.0115093 0.010264 0.87244 0.769013 -1 -1 -1 -1 60 40867 48 1.63827e+07 1.05897e+07 2.33134e+06 3730.15 38.61 6.37682 5.48355 68032 599628 -1 33330 16 11206 13302 1363178 348989 4.41926 4.41926 -4764.9 -4.41926 0 0 2.91873e+06 4669.97 0.12 0.73 0.47 -1 -1 0.12 0.438987 0.388308 2207 2569 912 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_50.v common 33.98 vpr 101.84 MiB 0.20 21440 -1 -1 1 1.00 -1 -1 44132 -1 -1 365 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 104284 22 19 7168 5929 1 3711 420 25 25 625 clb auto 52.2 MiB 2.29 25245 107316 23626 73850 9840 94.6 MiB 2.46 0.03 4.39726 -4669.7 -4.39726 4.39726 0.81 0.0115212 0.0102589 0.848229 0.747335 -1 -1 -1 -1 58 40737 49 1.63827e+07 1.06883e+07 2.28074e+06 3649.19 21.73 5.58325 4.80728 67408 584405 -1 34247 15 11306 13563 1406048 360242 4.41926 4.41926 -4716.76 -4.41926 0 0 2.85828e+06 4573.25 0.12 0.70 0.44 -1 -1 0.12 0.410621 0.363141 2233 2588 931 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_51.v common 44.83 vpr 104.50 MiB 0.33 21696 -1 -1 1 1.06 -1 -1 44800 -1 -1 372 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 107008 22 19 7344 6071 1 3810 427 25 25 625 clb auto 52.9 MiB 2.43 27907 119345 26223 80701 12421 95.4 MiB 2.87 0.04 4.49925 -4864.58 -4.49925 4.49925 0.78 0.0121513 0.0108657 0.993711 0.877211 -1 -1 -1 -1 62 43742 36 1.63827e+07 1.0787e+07 2.40068e+06 3841.08 31.33 6.10798 5.26553 68656 612784 -1 35844 14 11562 14785 1402419 344632 4.54456 4.54456 -5053.16 -4.54456 0 0 2.97302e+06 4756.83 0.13 0.73 0.47 -1 -1 0.13 0.440268 0.393965 2292 2655 950 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_pipe_52.v common 36.73 vpr 101.12 MiB 0.36 21960 -1 -1 1 1.06 -1 -1 45144 -1 -1 378 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 103544 22 19 7418 6128 1 3861 433 25 25 625 clb auto 53.1 MiB 2.50 26120 108475 22565 76252 9658 95.7 MiB 2.59 0.04 4.54046 -4879.13 -4.54046 4.54046 0.75 0.0133065 0.0119521 0.87834 0.776414 -1 -1 -1 -1 58 42348 49 1.63827e+07 1.08715e+07 2.28074e+06 3649.19 23.51 6.42506 5.56394 67408 584405 -1 35068 15 11740 15202 1473657 374988 4.64786 4.64786 -5304.52 -4.64786 0 0 2.85828e+06 4573.25 0.12 0.72 0.45 -1 -1 0.12 0.422877 0.373747 2314 2674 969 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_14.v common 7.58 vpr 67.71 MiB 0.10 9532 -1 -1 1 0.16 -1 -1 34780 -1 -1 69 22 0 4 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69336 22 19 1246 925 1 726 114 16 16 256 mult_36 auto 27.9 MiB 1.37 4041 18330 4478 11028 2824 67.7 MiB 0.39 0.01 8.38577 -397.388 -8.38577 8.38577 0.29 0.00247114 0.00227258 0.171879 0.157634 -1 -1 -1 -1 40 7843 27 6.54114e+06 2.55647e+06 616420. 2407.89 3.37 0.707473 0.624552 23812 153515 -1 6608 23 5304 6124 639370 179506 7.91008 7.91008 -448.885 -7.91008 0 0 808720. 3159.06 0.03 0.25 0.12 -1 -1 0.03 0.113721 0.100754 426 344 247 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_15.v common 8.71 vpr 68.22 MiB 0.11 9572 -1 -1 1 0.16 -1 -1 35164 -1 -1 72 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69856 22 19 1344 989 1 787 118 16 16 256 mult_36 auto 28.2 MiB 1.08 4609 16591 3696 10279 2616 68.2 MiB 0.41 0.01 8.58397 -408.06 -8.58397 8.58397 0.30 0.00275774 0.00253088 0.16846 0.154484 -1 -1 -1 -1 44 9398 46 6.54114e+06 2.99475e+06 686998. 2683.59 4.60 1.21224 1.06986 24576 170172 -1 7128 26 6132 6945 789932 215002 7.91304 7.91304 -431.919 -7.91304 0 0 871168. 3403.00 0.03 0.29 0.13 -1 -1 0.03 0.135719 0.120093 459 369 266 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_16.v common 13.64 vpr 67.75 MiB 0.10 9656 -1 -1 1 0.17 -1 -1 35044 -1 -1 78 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69380 22 19 1418 1046 1 827 124 16 16 256 mult_36 auto 28.6 MiB 1.54 4622 16810 3710 11054 2046 67.8 MiB 0.42 0.01 8.33885 -425.339 -8.33885 8.33885 0.29 0.00293093 0.00269816 0.168242 0.154446 -1 -1 -1 -1 40 8747 29 6.54114e+06 3.07931e+06 616420. 2407.89 9.09 1.32714 1.17185 23812 153515 -1 7573 22 6358 7322 791364 212248 8.10963 8.10963 -545.105 -8.10963 0 0 808720. 3159.06 0.03 0.28 0.12 -1 -1 0.03 0.123941 0.109919 486 388 285 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_17.v common 7.22 vpr 69.26 MiB 0.12 10200 -1 -1 1 0.18 -1 -1 35300 -1 -1 83 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70920 22 19 1518 1112 1 889 129 16 16 256 mult_36 auto 29.0 MiB 1.38 5258 21579 5056 13795 2728 69.3 MiB 0.54 0.01 9.21533 -461.277 -9.21533 9.21533 0.30 0.00309128 0.00283508 0.218023 0.199768 -1 -1 -1 -1 44 10738 45 6.54114e+06 3.14978e+06 686998. 2683.59 2.63 0.913893 0.811195 24576 170172 -1 8113 23 6189 7280 750669 204356 8.24759 8.24759 -544.595 -8.24759 0 0 871168. 3403.00 0.03 0.28 0.13 -1 -1 0.03 0.135117 0.119895 519 415 304 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_18.v common 14.94 vpr 69.92 MiB 0.12 10396 -1 -1 1 0.19 -1 -1 34956 -1 -1 88 22 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71596 22 19 1592 1169 1 928 134 16 16 256 mult_36 auto 29.4 MiB 1.80 5623 19970 4422 12698 2850 69.9 MiB 0.46 0.01 9.10901 -471.518 -9.10901 9.10901 0.29 0.00309582 0.00283482 0.190781 0.174497 -1 -1 -1 -1 46 10338 45 6.54114e+06 3.22025e+06 723233. 2825.13 10.03 1.69807 1.49392 24832 174915 -1 8235 24 5616 6487 636195 181321 8.46283 8.46283 -546.805 -8.46283 0 0 890343. 3477.90 0.03 0.27 0.14 -1 -1 0.03 0.143736 0.127287 548 434 323 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_19.v common 7.66 vpr 69.23 MiB 0.07 10632 -1 -1 1 0.20 -1 -1 35336 -1 -1 94 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70888 22 19 1688 1231 1 987 141 16 16 256 mult_36 auto 29.8 MiB 1.53 6221 22101 4759 13280 4062 69.2 MiB 0.50 0.01 9.2841 -501.46 -9.2841 9.2841 0.29 0.00326453 0.00298028 0.207122 0.189087 -1 -1 -1 -1 46 11490 30 6.54114e+06 3.70081e+06 723233. 2825.13 2.94 0.838182 0.743199 24832 174915 -1 9191 25 6772 7847 818952 215452 8.53692 8.53692 -690.789 -8.53692 0 0 890343. 3477.90 0.03 0.34 0.14 -1 -1 0.03 0.166105 0.147527 579 457 342 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_20.v common 8.76 vpr 70.64 MiB 0.13 10636 -1 -1 1 0.22 -1 -1 35724 -1 -1 96 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72336 22 19 1762 1288 1 1025 143 16 16 256 mult_36 auto 30.1 MiB 1.85 6013 20285 4140 12242 3903 70.6 MiB 0.48 0.01 9.10798 -489.297 -9.10798 9.10798 0.29 0.0034197 0.00312963 0.197625 0.180455 -1 -1 -1 -1 50 10727 42 6.54114e+06 3.729e+06 787708. 3076.99 3.64 1.09421 0.965281 25344 186282 -1 8792 21 5610 6686 669488 188201 8.14093 8.14093 -575.903 -8.14093 0 0 943753. 3686.54 0.04 0.29 0.15 -1 -1 0.04 0.146868 0.130648 608 476 361 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_21.v common 7.99 vpr 70.00 MiB 0.14 11028 -1 -1 1 0.26 -1 -1 35836 -1 -1 101 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71684 22 19 1859 1351 1 1085 148 16 16 256 mult_36 auto 30.1 MiB 1.73 6968 21653 4370 13875 3408 70.0 MiB 0.54 0.01 9.29796 -516.603 -9.29796 9.29796 0.29 0.00363974 0.00333492 0.209699 0.1913 -1 -1 -1 -1 52 12235 34 6.54114e+06 3.79947e+06 808720. 3159.06 2.74 0.931718 0.824819 25852 197779 -1 9865 20 6566 7728 784154 214069 8.41633 8.41633 -642.526 -8.41633 0 0 1.00038e+06 3907.74 0.04 0.32 0.16 -1 -1 0.04 0.153099 0.136486 640 500 380 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_22.v common 7.76 vpr 70.29 MiB 0.14 11248 -1 -1 1 0.23 -1 -1 35860 -1 -1 105 22 0 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71980 22 19 1933 1408 1 1126 152 16 16 256 mult_36 auto 30.5 MiB 2.07 7062 19997 3626 13821 2550 70.3 MiB 0.49 0.01 9.18791 -526.237 -9.18791 9.18791 0.29 0.0037709 0.00345416 0.196808 0.179673 -1 -1 -1 -1 52 11779 29 6.54114e+06 3.85584e+06 808720. 3159.06 2.26 0.877897 0.77561 25852 197779 -1 9803 21 5610 6694 636279 184770 8.46558 8.46558 -654.976 -8.46558 0 0 1.00038e+06 3907.74 0.04 0.30 0.16 -1 -1 0.04 0.159467 0.141803 668 519 399 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_23.v common 9.16 vpr 70.76 MiB 0.14 11476 -1 -1 1 0.24 -1 -1 36116 -1 -1 111 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72456 22 19 2031 1472 1 1189 159 18 18 324 mult_36 auto 30.8 MiB 2.03 7319 30259 6774 20126 3359 70.8 MiB 0.75 0.01 9.10021 -594.66 -9.10021 9.10021 0.38 0.00373974 0.00338041 0.281197 0.255494 -1 -1 -1 -1 48 13801 37 8.06603e+06 4.33641e+06 991730. 3060.90 3.06 1.06053 0.937553 32420 239176 -1 10805 24 6845 8404 888353 230481 8.42058 8.42058 -784.532 -8.42058 0 0 1.20291e+06 3712.69 0.05 0.38 0.19 -1 -1 0.05 0.189889 0.168764 700 544 418 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_24.v common 11.86 vpr 71.18 MiB 0.15 11524 -1 -1 1 0.25 -1 -1 36164 -1 -1 115 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72892 22 19 2105 1529 1 1226 163 18 18 324 mult_36 auto 31.3 MiB 2.19 7453 26863 5519 18856 2488 71.2 MiB 0.66 0.01 9.19587 -576.735 -9.19587 9.19587 0.38 0.00380105 0.00346318 0.243326 0.221112 -1 -1 -1 -1 52 12702 44 8.06603e+06 4.39278e+06 1.06151e+06 3276.26 5.66 1.69002 1.48248 33388 261900 -1 10755 22 6306 7527 790735 217640 8.70322 8.70322 -741.753 -8.70322 0 0 1.31159e+06 4048.11 0.05 0.36 0.21 -1 -1 0.05 0.180955 0.160654 729 563 437 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_25.v common 21.52 vpr 71.64 MiB 0.16 11768 -1 -1 1 0.27 -1 -1 36512 -1 -1 119 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73364 22 19 2201 1591 1 1282 167 18 18 324 mult_36 auto 31.7 MiB 2.07 7870 30002 6460 20171 3371 71.6 MiB 0.72 0.01 9.09555 -594.161 -9.09555 9.09555 0.37 0.00399005 0.00362226 0.279914 0.254117 -1 -1 -1 -1 46 15514 44 8.06603e+06 4.44916e+06 948677. 2928.01 15.28 2.30254 2.02003 32096 231720 -1 11859 23 8266 9739 1021935 283440 8.54783 8.54783 -776.571 -8.54783 0 0 1.16833e+06 3605.96 0.05 0.41 0.19 -1 -1 0.05 0.19735 0.175304 759 586 456 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_26.v common 9.94 vpr 72.07 MiB 0.16 11968 -1 -1 1 0.27 -1 -1 37420 -1 -1 123 22 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73796 22 19 2275 1648 1 1321 171 18 18 324 mult_36 auto 32.2 MiB 2.34 8358 24345 4683 17188 2474 72.1 MiB 0.64 0.01 9.35272 -625.567 -9.35272 9.35272 0.38 0.00407513 0.00370777 0.230297 0.208979 -1 -1 -1 -1 48 15497 28 8.06603e+06 4.50554e+06 991730. 3060.90 3.46 1.16191 1.02268 32420 239176 -1 12286 23 7607 9060 959892 263752 8.35422 8.35422 -895.112 -8.35422 0 0 1.20291e+06 3712.69 0.05 0.39 0.19 -1 -1 0.05 0.195588 0.173272 789 605 475 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_27.v common 10.65 vpr 72.36 MiB 0.17 12260 -1 -1 1 0.30 -1 -1 36716 -1 -1 130 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74096 22 19 2385 1724 1 1397 179 18 18 324 mult_36 auto 32.3 MiB 2.36 8540 32435 6781 19115 6539 72.4 MiB 0.75 0.01 9.05356 -696.495 -9.05356 9.05356 0.38 0.004356 0.00397233 0.298344 0.270643 -1 -1 -1 -1 54 14699 34 8.06603e+06 5.0002e+06 1.08842e+06 3359.33 3.99 1.30593 1.15163 33712 268580 -1 12005 22 7267 8526 819251 218267 8.19393 8.19393 -821.65 -8.19393 0 0 1.34436e+06 4149.26 0.05 0.36 0.21 -1 -1 0.05 0.194065 0.172313 826 642 494 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_28.v common 10.89 vpr 72.95 MiB 0.17 12312 -1 -1 1 0.31 -1 -1 36760 -1 -1 135 22 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74696 22 19 2459 1781 1 1435 184 18 18 324 mult_36 auto 32.9 MiB 2.63 9133 34179 7432 22131 4616 72.9 MiB 0.80 0.01 9.59876 -660.583 -9.59876 9.59876 0.38 0.004493 0.00403385 0.31333 0.283381 -1 -1 -1 -1 52 15907 27 8.06603e+06 5.07067e+06 1.06151e+06 3276.26 3.79 1.33785 1.17868 33388 261900 -1 13003 22 8443 9917 1075057 290228 8.55388 8.55388 -807.735 -8.55388 0 0 1.31159e+06 4048.11 0.05 0.41 0.20 -1 -1 0.05 0.2005 0.177802 856 661 513 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_29.v common 37.21 vpr 73.30 MiB 0.18 12596 -1 -1 1 0.33 -1 -1 37128 -1 -1 142 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75056 22 19 2565 1853 1 1504 192 22 22 484 mult_36 auto 33.4 MiB 2.66 9980 38902 8510 27060 3332 73.3 MiB 0.94 0.01 9.55956 -734.936 -9.55956 9.55956 0.58 0.00467819 0.00424899 0.341342 0.309318 -1 -1 -1 -1 46 19528 31 1.31202e+07 5.56534e+06 1.45945e+06 3015.39 29.18 2.55349 2.24323 48706 359646 -1 14955 23 9799 11721 1367754 344286 8.92648 8.92648 -1046.74 -8.92648 0 0 1.79840e+06 3715.71 0.08 0.53 0.32 -1 -1 0.08 0.233165 0.207613 891 694 532 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_30.v common 40.51 vpr 73.54 MiB 0.18 12696 -1 -1 1 0.26 -1 -1 37316 -1 -1 145 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75304 22 19 2639 1910 1 1540 195 22 22 484 mult_36 auto 33.7 MiB 2.84 9425 47655 11240 31065 5350 73.5 MiB 1.23 0.01 8.92412 -748.36 -8.92412 8.92412 0.59 0.00499329 0.00451542 0.445586 0.402761 -1 -1 -1 -1 44 19886 49 1.31202e+07 5.60762e+06 1.38800e+06 2867.78 32.14 2.97635 2.61221 48222 349746 -1 14578 23 10616 12899 1491504 381435 8.45787 8.45787 -1070.18 -8.45787 0 0 1.75961e+06 3635.55 0.07 0.50 0.27 -1 -1 0.07 0.223564 0.198036 921 713 551 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_31.v common 12.18 vpr 74.23 MiB 0.19 12984 -1 -1 1 0.35 -1 -1 37416 -1 -1 152 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76016 22 19 2744 1981 1 1610 202 22 22 484 mult_36 auto 34.2 MiB 2.58 10204 49338 11801 31836 5701 74.2 MiB 1.19 0.01 9.45216 -771.376 -9.45216 9.45216 0.58 0.00535998 0.00490334 0.426099 0.386188 -1 -1 -1 -1 48 18879 31 1.31202e+07 5.70628e+06 1.52614e+06 3153.19 4.00 1.37685 1.21954 49190 371334 -1 15049 25 8986 10659 1160618 303417 8.45922 8.45922 -1074.12 -8.45922 0 0 1.85176e+06 3825.95 0.08 0.48 0.29 -1 -1 0.08 0.249357 0.221246 956 745 570 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_32.v common 13.88 vpr 74.20 MiB 0.19 13108 -1 -1 1 0.38 -1 -1 36876 -1 -1 156 22 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75984 22 19 2818 2038 1 1649 206 22 22 484 mult_36 auto 34.0 MiB 3.09 10966 43374 9104 29625 4645 74.2 MiB 1.11 0.02 9.42814 -809.583 -9.42814 9.42814 0.58 0.00494472 0.00448807 0.376686 0.341082 -1 -1 -1 -1 50 19865 29 1.31202e+07 5.76265e+06 1.59181e+06 3288.87 5.00 1.40692 1.24419 49674 382800 -1 16138 26 11641 14077 1732764 414715 8.66692 8.66692 -1041.81 -8.66692 0 0 1.90554e+06 3937.06 0.08 0.60 0.29 -1 -1 0.08 0.27348 0.242676 985 764 589 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_33.v common 37.63 vpr 75.00 MiB 0.20 13748 -1 -1 1 0.37 -1 -1 37336 -1 -1 163 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76796 22 19 2923 2109 1 1717 214 22 22 484 mult_36 auto 34.7 MiB 2.82 11301 49417 11582 32524 5311 75.0 MiB 1.16 0.01 10.2447 -772.417 -10.2447 10.2447 0.58 0.00512667 0.00464806 0.424156 0.383482 -1 -1 -1 -1 50 20447 39 1.31202e+07 6.25732e+06 1.59181e+06 3288.87 28.95 2.93573 2.57744 49674 382800 -1 16295 26 11674 14001 1541583 391845 9.57567 9.57567 -1050.97 -9.57567 0 0 1.90554e+06 3937.06 0.08 0.58 0.29 -1 -1 0.08 0.28014 0.24869 1020 796 608 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_34.v common 38.09 vpr 75.36 MiB 0.21 13708 -1 -1 1 0.39 -1 -1 37840 -1 -1 167 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77168 22 19 2997 2166 1 1757 218 22 22 484 mult_36 auto 34.9 MiB 3.45 11177 49343 11078 34025 4240 75.4 MiB 1.20 0.02 10.2044 -821.912 -10.2044 10.2044 0.61 0.00525963 0.00476936 0.416955 0.376956 -1 -1 -1 -1 48 21308 50 1.31202e+07 6.31369e+06 1.52614e+06 3153.19 28.61 3.57204 3.13089 49190 371334 -1 16742 24 12377 14550 1694485 438090 9.52481 9.52481 -1207.46 -9.52481 0 0 1.85176e+06 3825.95 0.08 0.63 0.29 -1 -1 0.08 0.274669 0.243694 1049 815 627 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_35.v common 40.88 vpr 75.77 MiB 0.22 14168 -1 -1 1 0.40 -1 -1 37596 -1 -1 173 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77584 22 19 3101 2236 1 1823 224 22 22 484 mult_36 auto 35.4 MiB 3.06 11732 44424 9303 30532 4589 75.8 MiB 1.17 0.02 10.0399 -852.155 -10.0399 10.0399 0.59 0.00532263 0.0048166 0.391984 0.35552 -1 -1 -1 -1 50 21569 32 1.31202e+07 6.39826e+06 1.59181e+06 3288.87 31.83 2.9163 2.55824 49674 382800 -1 17458 24 10323 12416 1370123 348333 9.28777 9.28777 -1195.07 -9.28777 0 0 1.90554e+06 3937.06 0.08 0.58 0.30 -1 -1 0.08 0.283972 0.252413 1084 846 646 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_36.v common 47.62 vpr 76.49 MiB 0.22 14224 -1 -1 1 0.41 -1 -1 38028 -1 -1 177 22 0 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78324 22 19 3175 2293 1 1861 228 22 22 484 mult_36 auto 35.9 MiB 3.61 12325 53820 12142 34739 6939 76.5 MiB 1.33 0.02 10.1473 -916.053 -10.1473 10.1473 0.58 0.00581519 0.00527678 0.470056 0.425811 -1 -1 -1 -1 50 23295 50 1.31202e+07 6.45463e+06 1.59181e+06 3288.87 37.71 3.49664 3.07453 49674 382800 -1 17713 26 10921 13261 1470542 373971 9.24982 9.24982 -1215.18 -9.24982 0 0 1.90554e+06 3937.06 0.08 0.65 0.30 -1 -1 0.08 0.3137 0.278401 1113 865 665 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_37.v common 21.24 vpr 78.06 MiB 0.22 14464 -1 -1 1 0.42 -1 -1 37416 -1 -1 182 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79936 22 19 3280 2364 1 1929 234 24 24 576 mult_36 auto 35.9 MiB 3.41 12713 55674 12735 38153 4786 76.8 MiB 1.43 0.02 10.0962 -870.206 -10.0962 10.0962 0.69 0.00592192 0.00537157 0.485743 0.438619 -1 -1 -1 -1 52 23282 47 1.58331e+07 6.92111e+06 1.93804e+06 3364.65 11.11 2.86513 2.53182 59854 481790 -1 18147 22 10652 12656 1417027 374846 9.34272 9.34272 -1223.43 -9.34272 0 0 2.39371e+06 4155.74 0.10 0.58 0.37 -1 -1 0.10 0.275152 0.244802 1148 897 684 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_38.v common 48.08 vpr 77.18 MiB 0.22 14464 -1 -1 1 0.43 -1 -1 37988 -1 -1 188 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79032 22 19 3354 2421 1 1967 240 24 24 576 mult_36 auto 36.4 MiB 3.98 13372 59840 13752 41701 4387 77.2 MiB 1.53 0.02 10.0424 -903.098 -10.0424 10.0424 0.69 0.00600053 0.00544131 0.521957 0.470967 -1 -1 -1 -1 50 23756 43 1.58331e+07 7.00567e+06 1.88759e+06 3277.06 37.20 3.48268 3.06156 58706 454005 -1 18984 27 12464 14532 1662903 425328 9.63212 9.63212 -1164.46 -9.63212 0 0 2.26035e+06 3924.22 0.09 0.69 0.35 -1 -1 0.09 0.334111 0.295559 1178 916 703 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_39.v common 17.73 vpr 77.57 MiB 0.24 14744 -1 -1 1 0.44 -1 -1 38280 -1 -1 192 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79432 22 19 3457 2490 1 2034 244 24 24 576 mult_36 auto 36.6 MiB 3.65 14063 58156 13427 38152 6577 77.6 MiB 1.46 0.02 10.0019 -953.608 -10.0019 10.0019 0.69 0.0060659 0.00551362 0.486529 0.439335 -1 -1 -1 -1 54 24016 39 1.58331e+07 7.06205e+06 1.98675e+06 3449.22 7.22 2.05306 1.81066 60430 494267 -1 19319 24 11796 13816 1362376 363192 9.27286 9.27286 -1331.53 -9.27286 0 0 2.45377e+06 4260.01 0.10 0.61 0.38 -1 -1 0.10 0.308497 0.27379 1212 946 722 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_40.v common 19.10 vpr 77.94 MiB 0.23 15104 -1 -1 1 0.48 -1 -1 38888 -1 -1 197 22 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79808 22 19 3531 2547 1 2072 249 24 24 576 mult_36 auto 37.1 MiB 4.24 14285 66021 15293 44123 6605 77.9 MiB 1.64 0.02 10.1799 -942.06 -10.1799 10.1799 0.70 0.00652659 0.00594231 0.57095 0.514986 -1 -1 -1 -1 54 23983 34 1.58331e+07 7.13252e+06 1.98675e+06 3449.22 7.77 2.13903 1.88658 60430 494267 -1 19378 24 12941 15330 1541336 401950 9.02922 9.02922 -1286.45 -9.02922 0 0 2.45377e+06 4260.01 0.10 0.65 0.38 -1 -1 0.10 0.312048 0.27668 1241 965 741 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_41.v common 17.96 vpr 78.51 MiB 0.24 15288 -1 -1 1 0.48 -1 -1 38092 -1 -1 202 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80396 22 19 3634 2616 1 2139 255 24 24 576 mult_36 auto 37.5 MiB 3.83 13788 67319 16137 41919 9263 78.5 MiB 1.68 0.02 9.96248 -976.625 -9.96248 9.96248 0.68 0.00708068 0.00645312 0.606352 0.545871 -1 -1 -1 -1 52 24963 44 1.58331e+07 7.59899e+06 1.93804e+06 3364.65 6.76 2.21195 1.95745 59854 481790 -1 19334 24 14400 16852 1866471 492965 8.88492 8.88492 -1405.43 -8.88492 0 0 2.39371e+06 4155.74 0.10 0.74 0.37 -1 -1 0.10 0.335346 0.297642 1276 995 760 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_42.v common 44.10 vpr 78.73 MiB 0.15 15400 -1 -1 1 0.51 -1 -1 38296 -1 -1 207 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80624 22 19 3708 2673 1 2181 260 24 24 576 mult_36 auto 37.7 MiB 4.38 14332 66580 14760 43449 8371 78.7 MiB 1.61 0.02 10.0324 -1005.45 -10.0324 10.0324 0.76 0.00659552 0.00597826 0.554446 0.498616 -1 -1 -1 -1 52 24219 37 1.58331e+07 7.66946e+06 1.93804e+06 3364.65 32.42 3.59932 3.15377 59854 481790 -1 20206 24 13823 16180 1673079 442687 9.26567 9.26567 -1420.24 -9.26567 0 0 2.39371e+06 4155.74 0.10 0.72 0.38 -1 -1 0.10 0.338803 0.299963 1305 1014 779 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_43.v common 20.84 vpr 79.24 MiB 0.19 15640 -1 -1 1 0.51 -1 -1 38872 -1 -1 213 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81140 22 19 3810 2741 1 2244 266 24 24 576 mult_36 auto 38.0 MiB 4.14 15247 62681 13420 44298 4963 79.2 MiB 1.62 0.02 9.96827 -1077.88 -9.96827 9.96827 0.70 0.00673052 0.00610391 0.518095 0.467006 -1 -1 -1 -1 54 25411 32 1.58331e+07 7.75402e+06 1.98675e+06 3449.22 9.45 2.56469 2.26081 60430 494267 -1 21179 23 13448 15441 1619541 408803 9.18936 9.18936 -1348.19 -9.18936 0 0 2.45377e+06 4260.01 0.10 0.65 0.38 -1 -1 0.10 0.318671 0.282267 1338 1043 798 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_44.v common 44.04 vpr 79.70 MiB 0.27 15696 -1 -1 1 0.52 -1 -1 38576 -1 -1 216 22 0 12 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81608 22 19 3884 2798 1 2281 269 24 24 576 mult_36 auto 38.7 MiB 4.75 16337 68841 15686 47485 5670 79.7 MiB 1.78 0.02 10.6619 -1076.57 -10.6619 10.6619 0.71 0.00722685 0.00646921 0.602844 0.53948 -1 -1 -1 -1 50 27642 46 1.58331e+07 7.7963e+06 1.88759e+06 3277.06 32.37 4.26222 3.73394 58706 454005 -1 22631 23 12217 14984 1651474 412421 9.81032 9.81032 -1501.19 -9.81032 0 0 2.26035e+06 3924.22 0.08 0.45 0.26 -1 -1 0.08 0.19427 0.174838 1367 1062 817 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_45.v common 43.93 vpr 79.75 MiB 0.27 15964 -1 -1 1 0.55 -1 -1 40492 -1 -1 223 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81664 22 19 3989 2869 1 2353 277 24 24 576 mult_36 auto 38.5 MiB 4.42 15587 71535 15824 49150 6561 79.8 MiB 1.87 0.02 10.3522 -1028.09 -10.3522 10.3522 0.74 0.00713768 0.00647041 0.59088 0.532008 -1 -1 -1 -1 50 26638 33 1.58331e+07 8.29096e+06 1.88759e+06 3277.06 31.66 4.1418 3.62754 58706 454005 -1 22217 24 14165 16497 1923768 485579 9.52342 9.52342 -1477.81 -9.52342 0 0 2.26035e+06 3924.22 0.10 0.79 0.35 -1 -1 0.10 0.371236 0.330298 1402 1094 836 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_46.v common 45.17 vpr 80.15 MiB 0.29 16196 -1 -1 1 0.57 -1 -1 40512 -1 -1 227 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82072 22 19 4063 2926 1 2391 281 24 24 576 mult_36 auto 38.7 MiB 4.95 14895 66521 14815 45972 5734 80.1 MiB 1.71 0.02 10.0297 -1099.45 -10.0297 10.0297 0.69 0.007003 0.00633151 0.541277 0.486098 -1 -1 -1 -1 52 26315 49 1.58331e+07 8.34734e+06 1.93804e+06 3364.65 32.56 4.59219 4.02714 59854 481790 -1 21203 25 14614 17746 1875136 490819 8.95377 8.95377 -1554.52 -8.95377 0 0 2.39371e+06 4155.74 0.10 0.80 0.37 -1 -1 0.10 0.379725 0.336158 1431 1113 855 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_47.v common 20.30 vpr 80.64 MiB 0.28 16512 -1 -1 1 0.59 -1 -1 40844 -1 -1 233 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82576 22 19 4167 2996 1 2458 287 24 24 576 mult_36 auto 39.4 MiB 4.68 16337 76913 16502 51831 8580 80.6 MiB 2.00 0.02 9.90977 -1083.42 -9.90977 9.90977 0.70 0.00729592 0.00660856 0.629649 0.56477 -1 -1 -1 -1 56 26180 35 1.58331e+07 8.4319e+06 2.03561e+06 3534.04 7.64 2.6107 2.30437 61006 507707 -1 22652 25 14161 17064 1733291 468323 9.35782 9.35782 -1516.64 -9.35782 0 0 2.50747e+06 4353.24 0.10 0.73 0.39 -1 -1 0.10 0.368512 0.325804 1467 1144 874 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_48.v common 49.49 vpr 81.14 MiB 0.28 16520 -1 -1 1 0.61 -1 -1 40792 -1 -1 238 22 0 13 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83084 22 19 4241 3053 1 2495 292 24 24 576 mult_36 auto 39.8 MiB 5.30 16504 83540 19507 52581 11452 81.1 MiB 2.10 0.02 10.3652 -1081.74 -10.3652 10.3652 0.71 0.00738302 0.0066041 0.700536 0.627424 -1 -1 -1 -1 56 26313 37 1.58331e+07 8.50237e+06 2.03561e+06 3534.04 35.94 4.75627 4.15627 61006 507707 -1 22735 25 13430 16066 1659343 451900 10.2 10.2 -1550.35 -10.2 0 0 2.50747e+06 4353.24 0.10 0.79 0.39 -1 -1 0.10 0.39643 0.351516 1495 1163 893 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_49.v common 23.10 vpr 81.62 MiB 0.30 17072 -1 -1 1 0.62 -1 -1 41072 -1 -1 243 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83584 22 19 4346 3124 1 2564 298 24 24 576 mult_36 auto 40.1 MiB 4.90 17687 81888 18294 52231 11363 81.6 MiB 1.94 0.02 10.2825 -1179.39 -10.2825 10.2825 0.69 0.00796153 0.00706991 0.668497 0.597317 -1 -1 -1 -1 58 28106 37 1.58331e+07 8.96884e+06 2.08734e+06 3623.85 10.00 3.26193 2.88309 62154 534210 -1 23579 24 15533 18257 2134748 543367 9.11867 9.11867 -1646.02 -9.11867 0 0 2.61600e+06 4541.67 0.11 0.80 0.41 -1 -1 0.11 0.373798 0.33043 1532 1195 912 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_50.v common 27.18 vpr 88.71 MiB 0.24 17220 -1 -1 1 0.63 -1 -1 41108 -1 -1 246 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90844 22 19 4420 3181 1 2604 301 24 24 576 mult_36 auto 40.6 MiB 5.44 19346 72877 16791 49332 6754 82.3 MiB 1.92 0.03 10.439 -1313.63 -10.439 10.439 0.69 0.00864189 0.0078467 0.599157 0.539008 -1 -1 -1 -1 60 30064 29 1.58331e+07 9.01112e+06 2.13333e+06 3703.69 13.56 3.77903 3.32991 62730 548095 -1 25516 24 12677 16087 1891348 450216 9.34091 9.34091 -1950.38 -9.34091 0 0 2.67122e+06 4637.53 0.11 0.73 0.42 -1 -1 0.11 0.367371 0.324825 1560 1214 931 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_51.v common 54.61 vpr 82.79 MiB 0.30 17424 -1 -1 1 0.64 -1 -1 40896 -1 -1 253 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84780 22 19 4524 3251 1 2671 308 24 24 576 mult_36 auto 41.0 MiB 5.18 18561 77194 16474 53362 7358 82.8 MiB 2.10 0.03 10.2353 -1249.02 -10.2353 10.2353 0.71 0.00813623 0.00736483 0.636713 0.568738 -1 -1 -1 -1 56 31415 47 1.58331e+07 9.10978e+06 2.03561e+06 3534.04 40.83 4.73954 4.14534 61006 507707 -1 25944 24 15278 18150 2062037 537506 9.39491 9.39491 -1834.69 -9.39491 0 0 2.50747e+06 4353.24 0.11 0.90 0.39 -1 -1 0.11 0.419484 0.372632 1594 1245 950 19 0 0 + k6_frac_uripple_N8_22nm.xml fir_nopipe_52.v common 26.53 vpr 89.48 MiB 0.32 17472 -1 -1 1 0.69 -1 -1 39304 -1 -1 258 22 0 14 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91628 22 19 4598 3308 1 2708 313 24 24 576 mult_36 auto 41.2 MiB 5.63 17989 78901 17073 53371 8457 82.8 MiB 2.11 0.03 10.0544 -1205.38 -10.0544 10.0544 0.69 0.00923478 0.00812452 0.667561 0.594533 -1 -1 -1 -1 60 26627 33 1.58331e+07 9.18025e+06 2.13333e+06 3703.69 12.39 3.91661 3.44487 62730 548095 -1 24090 24 13892 16967 1757096 435601 9.21877 9.21877 -1665.44 -9.21877 0 0 2.67122e+06 4637.53 0.12 0.81 0.43 -1 -1 0.12 0.419028 0.37223 1624 1264 969 19 0 0 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/figure_8/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/figure_8/config/golden_results.txt index 7754909e7b4..23d74d84fd3 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/figure_8/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/figure_8/config/golden_results.txt @@ -1,201 +1,201 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_005bits.v common 3.50 vpr 61.01 MiB 0.01 5816 -1 -1 2 0.05 -1 -1 31892 -1 -1 2 11 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62472 11 6 34 40 1 24 19 17 17 289 -1 unnamed_device 22.4 MiB 0.01 72 444 121 288 35 61.0 MiB 0.01 0.00 0.900447 -12.0151 -0.900447 0.900447 0.95 0.000147916 0.000134485 0.00301238 0.00273498 22 213 10 6.55708e+06 24110 420624. 1455.45 0.64 0.0194369 0.0168614 20158 92377 -1 158 12 95 98 5293 1758 0.83871 0.83871 -12.913 -0.83871 0 0 500653. 1732.36 0.17 0.01 0.13 -1 -1 0.17 0.0048254 0.00430326 16 7 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_006bits.v common 3.60 vpr 61.00 MiB 0.02 6064 -1 -1 3 0.06 -1 -1 31956 -1 -1 3 13 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62468 13 7 41 48 1 32 23 17 17 289 -1 unnamed_device 22.4 MiB 0.01 91 855 163 648 44 61.0 MiB 0.01 0.00 1.58811 -16.2873 -1.58811 1.58811 0.95 0.000177402 0.000162269 0.00519947 0.00475699 24 295 11 6.55708e+06 36165 448715. 1552.65 0.71 0.0249257 0.0217293 20734 103517 -1 254 10 116 129 6964 2198 1.50711 1.50711 -17.293 -1.50711 0 0 554710. 1919.41 0.18 0.01 0.15 -1 -1 0.18 0.00501074 0.00449096 19 9 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_007bits.v common 3.69 vpr 60.88 MiB 0.01 5880 -1 -1 3 0.06 -1 -1 32376 -1 -1 3 15 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62336 15 8 47 55 1 38 26 17 17 289 -1 unnamed_device 22.2 MiB 0.01 99 1014 271 604 139 60.9 MiB 0.01 0.00 1.23151 -17.4525 -1.23151 1.23151 0.96 0.000203904 0.000186784 0.0059962 0.00548251 26 398 20 6.55708e+06 36165 477104. 1650.88 0.77 0.0318265 0.027733 21022 109990 -1 272 8 150 171 7737 2724 1.14085 1.14085 -18.4888 -1.14085 0 0 585099. 2024.56 0.19 0.01 0.16 -1 -1 0.19 0.00489735 0.00439557 23 10 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_008bits.v common 4.85 vpr 61.05 MiB 0.01 5884 -1 -1 3 0.07 -1 -1 32092 -1 -1 4 17 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62512 17 9 56 65 1 42 30 17 17 289 -1 unnamed_device 22.2 MiB 0.06 120 628 136 477 15 61.0 MiB 0.01 0.00 1.70831 -21.0872 -1.70831 1.70831 0.96 0.000251482 0.000230868 0.00407859 0.00374317 28 337 17 6.55708e+06 48220 500653. 1732.36 1.89 0.0668127 0.057696 21310 115450 -1 293 12 147 164 8042 2499 1.58811 1.58811 -21.8318 -1.58811 0 0 612192. 2118.31 0.21 0.02 0.16 -1 -1 0.21 0.00738294 0.00656787 25 14 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_009bits.v common 3.70 vpr 61.07 MiB 0.02 5956 -1 -1 4 0.06 -1 -1 31980 -1 -1 4 19 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62532 19 10 60 70 1 48 33 17 17 289 -1 unnamed_device 22.3 MiB 0.02 142 1229 224 959 46 61.1 MiB 0.02 0.00 1.58811 -24.8435 -1.58811 1.58811 0.95 0.000261776 0.000240893 0.00688308 0.00632377 26 425 11 6.55708e+06 48220 477104. 1650.88 0.77 0.0357697 0.0312968 21022 109990 -1 380 16 211 268 12350 3922 1.59011 1.59011 -25.4098 -1.59011 0 0 585099. 2024.56 0.19 0.02 0.16 -1 -1 0.19 0.00945114 0.00833258 29 13 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_010bits.v common 5.45 vpr 61.21 MiB 0.03 5844 -1 -1 4 0.06 -1 -1 31764 -1 -1 5 21 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62676 21 11 69 80 1 54 37 17 17 289 -1 unnamed_device 22.7 MiB 0.05 255 1379 224 1089 66 61.2 MiB 0.02 0.00 1.74751 -29.3828 -1.74751 1.74751 0.98 0.000301732 0.000278383 0.00759182 0.0070033 26 631 16 6.55708e+06 60275 477104. 1650.88 2.35 0.0681668 0.0593772 21022 109990 -1 573 15 226 325 21349 5594 1.59211 1.59211 -31.6197 -1.59211 0 0 585099. 2024.56 0.19 0.02 0.16 -1 -1 0.19 0.0101978 0.00903499 33 17 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.82 vpr 60.99 MiB 0.01 5896 -1 -1 5 0.04 -1 -1 32356 -1 -1 5 23 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62452 23 12 73 85 1 58 40 17 17 289 -1 unnamed_device 22.3 MiB 0.02 278 3032 1045 1570 417 61.0 MiB 0.03 0.00 2.03736 -35.0243 -2.03736 2.03736 0.99 0.000313724 0.000288155 0.0147363 0.0135526 26 630 14 6.55708e+06 60275 477104. 1650.88 0.80 0.05062 0.044825 21022 109990 -1 591 12 246 332 19803 5342 2.03736 2.03736 -37.4544 -2.03736 0 0 585099. 2024.56 0.20 0.02 0.16 -1 -1 0.20 0.00906154 0.00809068 35 16 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.90 vpr 61.21 MiB 0.01 6096 -1 -1 5 0.06 -1 -1 31996 -1 -1 6 25 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62684 25 13 82 95 1 66 44 17 17 289 -1 unnamed_device 22.5 MiB 0.02 261 1738 345 1379 14 61.2 MiB 0.02 0.00 2.11777 -37.2459 -2.11777 2.11777 0.96 0.000351899 0.000323529 0.00882293 0.00811274 28 700 13 6.55708e+06 72330 500653. 1732.36 0.90 0.0481305 0.0424594 21310 115450 -1 582 11 253 352 21177 5630 1.7847 1.7847 -38.388 -1.7847 0 0 612192. 2118.31 0.20 0.02 0.17 -1 -1 0.20 0.00821106 0.00733367 40 20 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_013bits.v common 3.91 vpr 61.17 MiB 0.03 6144 -1 -1 5 0.06 -1 -1 32156 -1 -1 7 27 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62636 27 14 91 105 1 70 48 17 17 289 -1 unnamed_device 22.5 MiB 0.02 359 1875 334 1509 32 61.2 MiB 0.02 0.00 1.74751 -38.0286 -1.74751 1.74751 0.95 0.000399123 0.000368654 0.00960368 0.00887756 26 782 16 6.55708e+06 84385 477104. 1650.88 0.88 0.055629 0.0490976 21022 109990 -1 739 13 288 437 26332 6701 1.61564 1.61564 -41.0607 -1.61564 0 0 585099. 2024.56 0.19 0.03 0.16 -1 -1 0.19 0.0114245 0.0101843 42 24 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_014bits.v common 3.89 vpr 61.09 MiB 0.01 6012 -1 -1 6 0.08 -1 -1 31864 -1 -1 7 29 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62560 29 15 95 110 1 74 51 17 17 289 -1 unnamed_device 22.6 MiB 0.04 393 3811 1258 2017 536 61.1 MiB 0.04 0.00 2.47436 -47.7903 -2.47436 2.47436 0.95 0.000410482 0.000378211 0.0175403 0.0161537 26 836 30 6.55708e+06 84385 477104. 1650.88 0.89 0.0766164 0.0677414 21022 109990 -1 781 10 297 399 27292 6696 2.23396 2.23396 -48.1296 -2.23396 0 0 585099. 2024.56 0.20 0.03 0.12 -1 -1 0.20 0.0100811 0.00903196 45 23 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_015bits.v common 4.17 vpr 61.22 MiB 0.02 5976 -1 -1 6 0.06 -1 -1 31852 -1 -1 9 31 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62688 31 16 104 120 1 80 56 17 17 289 -1 unnamed_device 22.7 MiB 0.14 318 5620 1687 2850 1083 61.2 MiB 0.04 0.00 2.31696 -46.1298 -2.31696 2.31696 0.95 0.000322878 0.000295631 0.0181944 0.0166554 28 899 16 6.55708e+06 108495 500653. 1732.36 1.00 0.0708566 0.0627012 21310 115450 -1 747 12 319 467 28038 7282 2.07656 2.07656 -46.8018 -2.07656 0 0 612192. 2118.31 0.20 0.03 0.17 -1 -1 0.20 0.0125221 0.0111438 50 27 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.94 vpr 61.47 MiB 0.01 6004 -1 -1 7 0.06 -1 -1 31832 -1 -1 7 33 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62948 33 17 108 125 1 86 57 17 17 289 -1 unnamed_device 23.0 MiB 0.03 550 4526 1045 3142 339 61.5 MiB 0.04 0.00 3.16202 -64.7432 -3.16202 3.16202 0.95 0.000463838 0.000428431 0.0203095 0.0187574 30 1080 27 6.55708e+06 84385 526063. 1820.29 0.90 0.08197 0.0728203 21886 126133 -1 971 12 307 433 28025 6683 2.92162 2.92162 -64.2421 -2.92162 0 0 666494. 2306.21 0.22 0.03 0.18 -1 -1 0.22 0.0128013 0.011434 51 26 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_018bits.v common 6.02 vpr 61.43 MiB 0.01 5940 -1 -1 7 0.07 -1 -1 32640 -1 -1 8 37 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62904 37 19 127 146 1 101 64 17 17 289 -1 unnamed_device 22.7 MiB 0.20 514 6795 1522 4730 543 61.4 MiB 0.06 0.00 3.00462 -67.1078 -3.00462 3.00462 0.96 0.000542022 0.000497119 0.0304047 0.0280285 30 1090 15 6.55708e+06 96440 526063. 1820.29 2.69 0.171612 0.152175 21886 126133 -1 946 13 343 485 26736 6931 2.76422 2.76422 -66.3273 -2.76422 0 0 666494. 2306.21 0.22 0.03 0.18 -1 -1 0.22 0.0157454 0.0141273 59 35 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_020bits.v common 4.43 vpr 61.74 MiB 0.02 6248 -1 -1 8 0.07 -1 -1 32048 -1 -1 10 41 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63220 41 21 139 160 1 109 72 17 17 289 -1 unnamed_device 23.1 MiB 0.15 466 7224 1587 4756 881 61.7 MiB 0.06 0.00 3.12482 -72.4463 -3.12482 3.12482 0.94 0.000585941 0.000537805 0.0296336 0.0273828 26 1176 14 6.55708e+06 120550 477104. 1650.88 1.03 0.0959116 0.0860609 21022 109990 -1 992 60 521 751 349760 277715 2.88442 2.88442 -73.8868 -2.88442 0 0 585099. 2024.56 0.20 0.22 0.16 -1 -1 0.20 0.0605657 0.0536567 67 37 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_022bits.v common 5.97 vpr 61.59 MiB 0.03 6236 -1 -1 9 0.07 -1 -1 32300 -1 -1 11 45 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63068 45 23 152 175 1 124 79 17 17 289 -1 unnamed_device 22.9 MiB 0.06 700 10219 3011 5707 1501 61.6 MiB 0.08 0.00 3.23076 -85.7251 -3.23076 3.23076 0.96 0.000636299 0.000587737 0.040168 0.0371027 30 1350 16 6.55708e+06 132605 526063. 1820.29 2.73 0.217915 0.194235 21886 126133 -1 1250 15 429 583 35763 8490 2.9787 2.9787 -84.954 -2.9787 0 0 666494. 2306.21 0.21 0.04 0.18 -1 -1 0.21 0.0202543 0.018224 74 40 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_024bits.v common 6.42 vpr 61.94 MiB 0.01 6140 -1 -1 10 0.07 -1 -1 32668 -1 -1 11 49 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63428 49 25 165 190 1 131 85 17 17 289 -1 unnamed_device 23.3 MiB 0.23 510 10687 3828 4882 1977 61.9 MiB 0.08 0.00 3.62716 -93.9579 -3.62716 3.62716 0.95 0.000577829 0.000539911 0.0408866 0.0377321 34 1348 13 6.55708e+06 132605 585099. 2024.56 3.01 0.222018 0.198376 22462 138074 -1 1076 12 464 619 34731 9575 3.50696 3.50696 -93.1779 -3.50696 0 0 742403. 2568.87 0.24 0.04 0.20 -1 -1 0.24 0.0188192 0.0170145 79 43 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_028bits.v common 4.65 vpr 61.80 MiB 0.01 6420 -1 -1 11 0.08 -1 -1 32568 -1 -1 14 57 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63280 57 29 199 228 1 157 100 17 17 289 -1 unnamed_device 23.0 MiB 0.23 782 9380 2186 6081 1113 61.8 MiB 0.07 0.00 4.46034 -123.44 -4.46034 4.46034 0.96 0.000605841 0.000559835 0.0339911 0.0314438 30 1804 48 6.55708e+06 168770 526063. 1820.29 1.19 0.174767 0.156836 21886 126133 -1 1460 13 570 852 45024 11444 3.85934 3.85934 -118.77 -3.85934 0 0 666494. 2306.21 0.22 0.05 0.18 -1 -1 0.22 0.0236573 0.0213575 93 57 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_032bits.v common 4.68 vpr 62.28 MiB 0.01 6352 -1 -1 13 0.08 -1 -1 32060 -1 -1 15 65 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63776 65 33 224 257 1 179 113 17 17 289 -1 unnamed_device 23.4 MiB 0.44 1034 16493 4993 9000 2500 62.3 MiB 0.11 0.00 4.49339 -150.828 -4.49339 4.49339 0.96 0.000937882 0.00086809 0.0590234 0.0546171 28 2094 24 6.55708e+06 180825 500653. 1732.36 1.03 0.179539 0.162231 21310 115450 -1 1921 12 695 915 63028 15444 4.26336 4.26336 -151.13 -4.26336 0 0 612192. 2118.31 0.20 0.06 0.16 -1 -1 0.20 0.0249062 0.0225707 107 62 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_048bits.v common 7.38 vpr 62.89 MiB 0.02 6432 -1 -1 19 0.11 -1 -1 32496 -1 -1 24 97 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64400 97 49 340 389 1 265 170 17 17 289 -1 unnamed_device 23.9 MiB 0.28 1415 34950 10191 20929 3830 62.9 MiB 0.22 0.00 6.91256 -283.047 -6.91256 6.91256 0.96 0.00143473 0.00132934 0.110179 0.102108 34 2902 25 6.55708e+06 289320 585099. 2024.56 3.54 0.507859 0.460642 22462 138074 -1 2593 11 922 1294 92517 23588 6.61819 6.61819 -278.129 -6.61819 0 0 742403. 2568.87 0.23 0.08 0.20 -1 -1 0.23 0.0358744 0.0328197 160 98 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_064bits.v common 12.55 vpr 63.77 MiB 0.03 6688 -1 -1 26 0.11 -1 -1 32544 -1 -1 31 129 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65300 129 65 454 519 1 354 225 17 17 289 -1 unnamed_device 24.3 MiB 0.20 1738 52893 17102 30066 5725 63.8 MiB 0.32 0.00 9.58349 -458.959 -9.58349 9.58349 0.95 0.00192435 0.00178641 0.153331 0.142328 34 3942 23 6.55708e+06 373705 585099. 2024.56 8.61 0.838476 0.764406 22462 138074 -1 3385 12 1244 1747 115011 28796 9.09424 9.09424 -446.392 -9.09424 0 0 742403. 2568.87 0.23 0.10 0.20 -1 -1 0.23 0.0511318 0.0469612 213 132 -1 -1 -1 -1 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.39 abc 29.26 MiB 0.02 6300 -1 -1 1 0.03 -1 -1 29964 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23020 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 2 2 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.38 abc 29.34 MiB 0.03 6396 -1 -1 1 0.02 -1 -1 30044 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23352 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 2 2 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.46 abc 29.38 MiB 0.03 6136 -1 -1 1 0.02 -1 -1 30084 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23228 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.39 abc 29.30 MiB 0.01 6252 -1 -1 1 0.03 -1 -1 30008 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23204 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.40 abc 29.33 MiB 0.01 6264 -1 -1 1 0.03 -1 -1 30036 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23244 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.40 abc 29.36 MiB 0.02 6296 -1 -1 1 0.03 -1 -1 30060 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23272 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.40 abc 29.45 MiB 0.03 6332 -1 -1 1 0.02 -1 -1 30156 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23344 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.40 abc 29.30 MiB 0.01 6436 -1 -1 1 0.02 -1 -1 30008 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23364 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.38 abc 29.47 MiB 0.01 6184 -1 -1 1 0.03 -1 -1 30176 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23392 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.41 abc 29.29 MiB 0.01 6316 -1 -1 1 0.02 -1 -1 29996 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23304 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.37 abc 29.25 MiB 0.01 6484 -1 -1 1 0.03 -1 -1 29956 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23404 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 5 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.41 abc 29.58 MiB 0.01 6388 -1 -1 1 0.02 -1 -1 30292 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23776 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 5 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.38 abc 29.61 MiB 0.01 6344 -1 -1 1 0.03 -1 -1 30324 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23540 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 5 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.42 abc 29.65 MiB 0.03 6444 -1 -1 1 0.02 -1 -1 30360 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23832 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 6 6 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.41 abc 29.82 MiB 0.01 6280 -1 -1 1 0.03 -1 -1 30536 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23516 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 6 6 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.40 abc 29.66 MiB 0.01 6356 -1 -1 1 0.02 -1 -1 30376 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23880 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 7 7 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.36 abc 29.73 MiB 0.02 6616 -1 -1 1 0.03 -1 -1 30448 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23668 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 8 8 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.39 abc 29.64 MiB 0.02 6516 -1 -1 1 0.03 -1 -1 30348 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23616 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 9 9 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.47 abc 29.82 MiB 0.03 6716 -1 -1 1 0.03 -1 -1 30532 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23984 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 13 13 0 0 -fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.45 abc 29.70 MiB 0.02 6940 -1 -1 1 0.04 -1 -1 30412 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 24276 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 17 17 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.39 abc 29.38 MiB 0.03 6260 -1 -1 1 0.02 -1 -1 30088 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22928 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 2 2 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.41 abc 29.36 MiB 0.01 6260 -1 -1 1 0.02 -1 -1 30064 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22928 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 2 2 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.41 abc 29.32 MiB 0.01 6304 -1 -1 1 0.03 -1 -1 30020 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23048 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.35 abc 29.46 MiB 0.01 6256 -1 -1 1 0.02 -1 -1 30172 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23044 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.43 abc 29.31 MiB 0.03 6260 -1 -1 1 0.02 -1 -1 30012 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22900 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.40 abc 29.30 MiB 0.03 6412 -1 -1 1 0.02 -1 -1 30004 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22968 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.40 abc 29.30 MiB 0.02 6284 -1 -1 1 0.02 -1 -1 30008 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23068 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.42 abc 29.35 MiB 0.01 6224 -1 -1 1 0.02 -1 -1 30056 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22976 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.37 abc 29.36 MiB 0.02 6360 -1 -1 1 0.03 -1 -1 30064 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23120 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.36 abc 29.46 MiB 0.01 6348 -1 -1 1 0.03 -1 -1 30164 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 22964 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.41 abc 29.36 MiB 0.01 6316 -1 -1 1 0.03 -1 -1 30064 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23180 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 5 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.36 abc 29.51 MiB 0.01 6396 -1 -1 1 0.02 -1 -1 30216 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23232 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 5 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.37 abc 29.62 MiB 0.01 6408 -1 -1 1 0.02 -1 -1 30336 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23256 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 5 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.35 abc 29.62 MiB 0.01 6452 -1 -1 1 0.03 -1 -1 30332 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23508 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 6 6 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.43 abc 29.68 MiB 0.02 6344 -1 -1 1 0.02 -1 -1 30388 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23152 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 6 6 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.36 abc 29.77 MiB 0.02 6460 -1 -1 1 0.03 -1 -1 30488 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23392 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 7 7 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.38 abc 29.66 MiB 0.02 6356 -1 -1 1 0.03 -1 -1 30376 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23252 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 8 8 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.39 abc 29.69 MiB 0.01 6520 -1 -1 1 0.03 -1 -1 30404 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23656 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 9 9 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.40 abc 29.68 MiB 0.02 6880 -1 -1 1 0.03 -1 -1 30392 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 23700 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 13 13 0 0 -fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.41 abc 29.86 MiB 0.02 6776 -1 -1 1 0.04 -1 -1 30572 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 24068 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 17 17 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 3.63 vpr 61.31 MiB 0.01 6316 -1 -1 1 0.02 -1 -1 30196 -1 -1 2 11 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62780 11 6 41 42 1 26 19 17 17 289 -1 unnamed_device 22.6 MiB 0.02 63 419 85 325 9 61.3 MiB 0.01 0.00 0.803048 -11.7113 -0.803048 0.803048 1.01 0.000115703 0.000104219 0.00248269 0.00222935 22 232 10 6.64007e+06 25116 420624. 1455.45 0.70 0.0188354 0.0162609 20818 92861 -1 196 8 97 97 4416 1451 0.912248 0.912248 -13.152 -0.912248 0 0 500653. 1732.36 0.17 0.01 0.13 -1 -1 0.17 0.00390172 0.0035265 16 2 7 7 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 3.58 vpr 61.36 MiB 0.03 6392 -1 -1 1 0.02 -1 -1 30016 -1 -1 3 13 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62836 13 7 48 49 1 32 23 17 17 289 -1 unnamed_device 22.7 MiB 0.02 113 727 127 584 16 61.4 MiB 0.01 0.00 0.825048 -14.4294 -0.825048 0.825048 0.99 0.000125571 0.00011309 0.00358775 0.00322947 26 247 6 6.64007e+06 37674 477104. 1650.88 0.67 0.0135043 0.0116866 21682 110474 -1 253 10 96 96 5814 1694 0.825048 0.825048 -15.7267 -0.825048 0 0 585099. 2024.56 0.20 0.01 0.16 -1 -1 0.20 0.00487818 0.00437432 19 2 8 8 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 3.71 vpr 61.23 MiB 0.01 6108 -1 -1 1 0.02 -1 -1 30120 -1 -1 3 15 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62700 15 8 55 56 1 38 26 17 17 289 -1 unnamed_device 22.5 MiB 0.02 103 938 201 719 18 61.2 MiB 0.01 0.00 1.18536 -16.7279 -1.18536 1.18536 0.98 0.000194182 0.000177814 0.00531568 0.0048707 26 296 14 6.64007e+06 37674 477104. 1650.88 0.78 0.027702 0.0241689 21682 110474 -1 255 12 171 171 7592 2494 0.954248 0.954248 -18.6358 -0.954248 0 0 585099. 2024.56 0.23 0.02 0.16 -1 -1 0.23 0.00640486 0.00575347 22 2 9 9 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 3.77 vpr 61.42 MiB 0.01 6304 -1 -1 1 0.03 -1 -1 30016 -1 -1 4 17 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62892 17 9 62 63 1 41 30 17 17 289 -1 unnamed_device 22.7 MiB 0.03 174 858 170 673 15 61.4 MiB 0.01 0.00 1.19636 -20.4292 -1.19636 1.19636 0.98 0.000158989 0.000143916 0.00348312 0.00316506 26 351 9 6.64007e+06 50232 477104. 1650.88 0.76 0.0272919 0.0237987 21682 110474 -1 343 15 138 138 7704 2264 0.921248 0.921248 -21.734 -0.921248 0 0 585099. 2024.56 0.22 0.02 0.16 -1 -1 0.22 0.00679754 0.00601394 25 2 10 10 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 3.84 vpr 61.36 MiB 0.01 6232 -1 -1 1 0.02 -1 -1 30048 -1 -1 4 19 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62836 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 22.8 MiB 0.03 216 1021 207 713 101 61.4 MiB 0.01 0.00 1.20736 -23.977 -1.20736 1.20736 0.99 0.000243033 0.000223112 0.00533354 0.00490576 26 442 13 6.64007e+06 50232 477104. 1650.88 0.85 0.0281258 0.0244045 21682 110474 -1 419 12 187 187 12739 3257 0.987248 0.987248 -25.9698 -0.987248 0 0 585099. 2024.56 0.23 0.02 0.16 -1 -1 0.23 0.00723711 0.0064074 28 2 11 11 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 3.88 vpr 61.54 MiB 0.01 6412 -1 -1 1 0.02 -1 -1 30048 -1 -1 5 21 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63016 21 11 76 77 1 49 37 17 17 289 -1 unnamed_device 23.1 MiB 0.03 214 1623 338 1262 23 61.5 MiB 0.02 0.00 1.21836 -25.661 -1.21836 1.21836 0.98 0.000272138 0.000250413 0.00831597 0.00767986 28 467 13 6.64007e+06 62790 500653. 1732.36 0.86 0.038732 0.0339266 21970 115934 -1 429 12 134 134 7869 2282 0.943248 0.943248 -27.0018 -0.943248 0 0 612192. 2118.31 0.21 0.02 0.16 -1 -1 0.21 0.00746885 0.00664669 31 2 12 12 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.67 vpr 61.50 MiB 0.01 6276 -1 -1 1 0.03 -1 -1 30260 -1 -1 5 23 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62980 23 12 83 84 1 55 40 17 17 289 -1 unnamed_device 22.8 MiB 0.04 261 1536 299 1189 48 61.5 MiB 0.02 0.00 1.22936 -29.0547 -1.22936 1.22936 0.92 0.000295184 0.000271395 0.00731757 0.00672944 22 568 14 6.64007e+06 62790 420624. 1455.45 0.75 0.0410044 0.0359424 20818 92861 -1 506 11 199 199 13088 3699 1.10745 1.10745 -32.0351 -1.10745 0 0 500653. 1732.36 0.20 0.03 0.13 -1 -1 0.20 0.0151575 0.0133105 34 2 13 13 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.80 vpr 61.47 MiB 0.01 6260 -1 -1 1 0.03 -1 -1 30052 -1 -1 5 25 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62944 25 13 90 91 1 61 43 17 17 289 -1 unnamed_device 22.8 MiB 0.03 303 1618 294 1276 48 61.5 MiB 0.02 0.00 1.24036 -31.9481 -1.24036 1.24036 0.96 0.000316354 0.000291154 0.00757555 0.00697705 26 656 12 6.64007e+06 62790 477104. 1650.88 0.81 0.0424619 0.0373186 21682 110474 -1 635 11 267 267 20790 5144 1.10745 1.10745 -34.9991 -1.10745 0 0 585099. 2024.56 0.19 0.02 0.16 -1 -1 0.19 0.00835673 0.00743413 37 2 14 14 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 3.82 vpr 61.52 MiB 0.01 6324 -1 -1 1 0.02 -1 -1 30064 -1 -1 6 27 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62996 27 14 97 98 1 67 47 17 17 289 -1 unnamed_device 23.1 MiB 0.03 324 2231 466 1582 183 61.5 MiB 0.02 0.00 1.25136 -34.9134 -1.25136 1.25136 0.95 0.000328185 0.000301419 0.0096156 0.00882967 26 657 19 6.64007e+06 75348 477104. 1650.88 0.80 0.0487004 0.0427251 21682 110474 -1 642 12 177 177 12847 3231 1.04225 1.04225 -37.0687 -1.04225 0 0 585099. 2024.56 0.26 0.02 0.14 -1 -1 0.26 0.00776323 0.00696115 40 2 15 15 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 4.01 vpr 61.71 MiB 0.03 6292 -1 -1 1 0.02 -1 -1 30268 -1 -1 6 29 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63196 29 15 104 105 1 73 50 17 17 289 -1 unnamed_device 23.2 MiB 0.03 332 2626 448 2137 41 61.7 MiB 0.03 0.00 1.26236 -36.933 -1.26236 1.26236 0.95 0.000354517 0.000326698 0.0110615 0.0101922 32 715 15 6.64007e+06 75348 554710. 1919.41 0.91 0.0518265 0.0457882 22834 132086 -1 665 9 264 264 19013 4931 0.956248 0.956248 -38.329 -0.956248 0 0 701300. 2426.64 0.25 0.02 0.20 -1 -1 0.25 0.00834853 0.00750376 43 2 16 16 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 3.85 vpr 61.70 MiB 0.02 6236 -1 -1 1 0.02 -1 -1 30304 -1 -1 7 31 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63184 31 16 111 112 1 79 54 17 17 289 -1 unnamed_device 23.2 MiB 0.03 430 4134 883 2967 284 61.7 MiB 0.04 0.00 1.62267 -42.2033 -1.62267 1.62267 0.96 0.000381488 0.000351602 0.0165045 0.0152134 26 840 9 6.64007e+06 87906 477104. 1650.88 0.80 0.0565092 0.0501826 21682 110474 -1 803 16 354 354 25144 6064 1.07325 1.07325 -43.1628 -1.07325 0 0 585099. 2024.56 0.24 0.03 0.16 -1 -1 0.24 0.0129664 0.0115094 46 2 17 17 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.97 vpr 61.71 MiB 0.03 6336 -1 -1 1 0.03 -1 -1 30528 -1 -1 7 33 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63192 33 17 118 119 1 82 57 17 17 289 -1 unnamed_device 23.2 MiB 0.03 400 5507 1293 3991 223 61.7 MiB 0.05 0.00 1.63367 -44.5064 -1.63367 1.63367 1.06 0.000408945 0.000377519 0.0214126 0.0197253 26 883 13 6.64007e+06 87906 477104. 1650.88 0.84 0.0663624 0.0590511 21682 110474 -1 791 19 389 389 30948 7774 1.06345 1.06345 -44.7308 -1.06345 0 0 585099. 2024.56 0.19 0.04 0.15 -1 -1 0.19 0.0147011 0.0129749 49 2 18 18 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 3.83 vpr 61.86 MiB 0.02 6216 -1 -1 1 0.03 -1 -1 30340 -1 -1 8 37 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63344 37 19 132 133 1 90 64 17 17 289 -1 unnamed_device 23.3 MiB 0.04 467 4001 820 3044 137 61.9 MiB 0.04 0.00 1.65567 -51.2944 -1.65567 1.65567 0.97 0.000459785 0.000424853 0.015202 0.0140269 26 1042 14 6.64007e+06 100464 477104. 1650.88 0.81 0.0658689 0.0583462 21682 110474 -1 917 13 352 352 28690 6808 1.17145 1.17145 -51.8759 -1.17145 0 0 585099. 2024.56 0.19 0.03 0.16 -1 -1 0.19 0.0124416 0.0110161 55 2 20 20 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 4.02 vpr 61.92 MiB 0.02 6408 -1 -1 1 0.02 -1 -1 30420 -1 -1 8 41 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63404 41 21 146 147 1 102 70 17 17 289 -1 unnamed_device 23.3 MiB 0.04 551 4966 1056 3737 173 61.9 MiB 0.05 0.00 1.67767 -59.443 -1.67767 1.67767 0.93 0.000500905 0.000462299 0.0184939 0.0170705 32 1095 12 6.64007e+06 100464 554710. 1919.41 0.94 0.0734061 0.0652999 22834 132086 -1 968 14 416 416 31553 7556 1.07325 1.07325 -55.2431 -1.07325 0 0 701300. 2426.64 0.23 0.04 0.19 -1 -1 0.23 0.0152335 0.0135691 61 2 22 22 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 4.21 vpr 62.12 MiB 0.03 6456 -1 -1 1 0.02 -1 -1 30496 -1 -1 9 45 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63608 45 23 160 161 1 114 77 17 17 289 -1 unnamed_device 23.5 MiB 0.04 584 6760 1544 5011 205 62.1 MiB 0.05 0.00 1.69967 -63.9386 -1.69967 1.69967 1.14 0.000553185 0.0005114 0.0216194 0.0199584 28 1213 14 6.64007e+06 113022 500653. 1732.36 0.91 0.0826337 0.0737112 21970 115934 -1 1091 11 457 457 39339 9371 1.11845 1.11845 -61.1772 -1.11845 0 0 612192. 2118.31 0.20 0.04 0.17 -1 -1 0.20 0.0134772 0.0121033 67 2 24 24 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 4.11 vpr 61.82 MiB 0.02 6576 -1 -1 1 0.02 -1 -1 30284 -1 -1 10 49 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63300 49 25 174 175 1 123 84 17 17 289 -1 unnamed_device 23.1 MiB 0.04 754 12711 4339 7261 1111 61.8 MiB 0.09 0.00 2.07098 -75.5183 -2.07098 2.07098 0.97 0.000599035 0.000553004 0.0428346 0.0395009 30 1330 13 6.64007e+06 125580 526063. 1820.29 0.93 0.108506 0.097651 22546 126617 -1 1194 13 486 486 33990 8082 1.18125 1.18125 -69.8231 -1.18125 0 0 666494. 2306.21 0.22 0.04 0.18 -1 -1 0.22 0.0166537 0.0149633 73 2 26 26 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 4.10 vpr 62.04 MiB 0.01 6400 -1 -1 1 0.03 -1 -1 29960 -1 -1 11 57 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63532 57 29 202 203 1 143 97 17 17 289 -1 unnamed_device 23.4 MiB 0.04 781 12973 3128 9205 640 62.0 MiB 0.10 0.00 2.11498 -88.6474 -2.11498 2.11498 0.96 0.000688368 0.000636098 0.0448878 0.0414394 28 1578 16 6.64007e+06 138138 500653. 1732.36 0.93 0.124055 0.111839 21970 115934 -1 1448 16 714 714 62305 14306 1.22525 1.22525 -82.5246 -1.22525 0 0 612192. 2118.31 0.20 0.06 0.17 -1 -1 0.20 0.0225172 0.0202093 85 2 30 30 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 4.48 vpr 62.51 MiB 0.02 6396 -1 -1 1 0.02 -1 -1 30360 -1 -1 13 65 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64008 65 33 230 231 1 164 111 17 17 289 -1 unnamed_device 23.7 MiB 0.07 1016 17401 7183 10118 100 62.5 MiB 0.15 0.00 2.50829 -106.175 -2.50829 2.50829 1.04 0.00111944 0.00103172 0.0660215 0.0610914 32 1822 12 6.64007e+06 163254 554710. 1919.41 1.05 0.153471 0.139055 22834 132086 -1 1725 13 707 707 61118 14144 1.31125 1.31125 -94.7939 -1.31125 0 0 701300. 2426.64 0.23 0.06 0.19 -1 -1 0.23 0.0222217 0.0200178 97 2 34 34 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 4.68 vpr 63.29 MiB 0.03 6728 -1 -1 1 0.03 -1 -1 30476 -1 -1 19 97 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64812 97 49 342 343 1 246 165 17 17 289 -1 unnamed_device 24.3 MiB 0.06 1599 34969 13035 19621 2313 63.3 MiB 0.24 0.00 3.38291 -183.275 -3.38291 3.38291 0.95 0.000963566 0.000889534 0.0970877 0.0900944 30 2918 27 6.64007e+06 238602 526063. 1820.29 1.24 0.242446 0.220945 22546 126617 -1 2584 20 1013 1013 84748 19026 1.51625 1.51625 -149.177 -1.51625 0 0 666494. 2306.21 0.23 0.09 0.18 -1 -1 0.23 0.0338627 0.030536 145 2 50 50 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 5.00 vpr 63.69 MiB 0.02 6864 -1 -1 1 0.03 -1 -1 30296 -1 -1 25 129 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65216 129 65 454 455 1 328 219 17 17 289 -1 unnamed_device 24.6 MiB 0.08 1778 56234 21152 30749 4333 63.7 MiB 0.40 0.01 4.25753 -263.048 -4.25753 4.25753 0.96 0.00166468 0.00155049 0.144367 0.134295 32 3642 14 6.64007e+06 313950 554710. 1919.41 1.24 0.332515 0.305368 22834 132086 -1 3143 13 1268 1268 107582 25490 1.71025 1.71025 -195.441 -1.71025 0 0 701300. 2426.64 0.23 0.11 0.19 -1 -1 0.23 0.0456319 0.0418789 193 2 66 66 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 3.50 vpr 61.26 MiB 0.01 6352 -1 -1 1 0.03 -1 -1 30152 -1 -1 2 11 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62732 11 6 41 42 1 26 19 17 17 289 -1 unnamed_device 22.6 MiB 0.01 67 419 80 331 8 61.3 MiB 0.01 0.00 0.803048 -11.78 -0.803048 0.803048 0.96 0.000100883 8.92e-05 0.00277665 0.00250861 22 251 10 6.65987e+06 25356 420624. 1455.45 0.65 0.0192068 0.0166272 20818 92861 -1 198 8 93 93 4666 1476 1.04345 1.04345 -14.1496 -1.04345 0 0 500653. 1732.36 0.18 0.01 0.12 -1 -1 0.18 0.00386653 0.00348934 16 2 7 7 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 3.35 vpr 61.01 MiB 0.01 6268 -1 -1 1 0.02 -1 -1 30016 -1 -1 3 13 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62476 13 7 48 49 1 32 23 17 17 289 -1 unnamed_device 22.3 MiB 0.01 108 791 160 615 16 61.0 MiB 0.01 0.00 0.825048 -14.3383 -0.825048 0.825048 0.95 0.000169095 0.000154616 0.00465786 0.00425356 20 310 11 6.65987e+06 38034 394039. 1363.46 0.60 0.0103609 0.00931345 20530 87850 -1 273 13 145 145 8632 2391 1.01045 1.01045 -16.4625 -1.01045 0 0 477104. 1650.88 0.16 0.01 0.12 -1 -1 0.16 0.005724 0.00508009 19 2 8 8 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 3.79 vpr 61.41 MiB 0.02 6244 -1 -1 1 0.02 -1 -1 30088 -1 -1 3 15 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62880 15 8 55 56 1 38 26 17 17 289 -1 unnamed_device 22.7 MiB 0.01 103 938 195 725 18 61.4 MiB 0.02 0.00 1.18536 -16.8309 -1.18536 1.18536 0.98 0.000193864 0.000177492 0.00558839 0.0051449 26 280 9 6.65987e+06 38034 477104. 1650.88 0.78 0.0269008 0.0235315 21682 110474 -1 240 14 202 202 9762 3215 0.856048 0.856048 -17.9184 -0.856048 0 0 585099. 2024.56 0.20 0.02 0.16 -1 -1 0.20 0.00667954 0.00590523 22 2 9 9 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 3.80 vpr 61.09 MiB 0.03 6272 -1 -1 1 0.02 -1 -1 30056 -1 -1 4 17 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62552 17 9 62 63 1 41 30 17 17 289 -1 unnamed_device 22.3 MiB 0.01 116 1180 259 878 43 61.1 MiB 0.02 0.00 1.19636 -19.5997 -1.19636 1.19636 0.97 0.000217451 0.000199682 0.00650152 0.00598531 28 330 14 6.65987e+06 50712 500653. 1732.36 0.80 0.0316253 0.0276052 21970 115934 -1 304 12 160 160 9006 2849 0.943248 0.943248 -21.1931 -0.943248 0 0 612192. 2118.31 0.20 0.02 0.17 -1 -1 0.20 0.0083688 0.00747062 25 2 10 10 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 3.57 vpr 61.09 MiB 0.01 6300 -1 -1 1 0.02 -1 -1 30076 -1 -1 4 19 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62556 19 10 69 70 1 44 33 17 17 289 -1 unnamed_device 22.4 MiB 0.01 185 1229 259 944 26 61.1 MiB 0.02 0.00 1.20736 -22.7097 -1.20736 1.20736 0.96 0.000244189 0.000224599 0.00651595 0.00597007 24 425 18 6.65987e+06 50712 448715. 1552.65 0.72 0.035757 0.0311618 21394 104001 -1 393 6 120 120 8172 2256 0.987248 0.987248 -24.888 -0.987248 0 0 554710. 1919.41 0.18 0.01 0.15 -1 -1 0.18 0.00453384 0.00411147 28 2 11 11 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 3.85 vpr 61.46 MiB 0.01 6308 -1 -1 1 0.03 -1 -1 29992 -1 -1 5 21 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62940 21 11 76 77 1 49 37 17 17 289 -1 unnamed_device 23.0 MiB 0.02 281 1379 251 1074 54 61.5 MiB 0.02 0.00 1.21836 -28.8147 -1.21836 1.21836 1.01 0.000269729 0.000248566 0.00676327 0.00622247 26 546 11 6.65987e+06 63390 477104. 1650.88 0.76 0.0361795 0.031706 21682 110474 -1 503 16 222 222 18646 4362 0.943248 0.943248 -28.6047 -0.943248 0 0 585099. 2024.56 0.20 0.02 0.16 -1 -1 0.20 0.0097473 0.00860352 31 2 12 12 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 3.57 vpr 61.52 MiB 0.02 6280 -1 -1 1 0.02 -1 -1 30032 -1 -1 5 23 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62996 23 12 83 84 1 55 40 17 17 289 -1 unnamed_device 22.8 MiB 0.02 256 1536 305 1173 58 61.5 MiB 0.03 0.00 1.22936 -28.8616 -1.22936 1.22936 0.94 0.000904834 0.000833633 0.00784941 0.00717263 22 582 9 6.65987e+06 63390 420624. 1455.45 0.71 0.0387895 0.0339824 20818 92861 -1 499 15 192 192 11326 3279 1.12945 1.12945 -32.4515 -1.12945 0 0 500653. 1732.36 0.17 0.02 0.13 -1 -1 0.17 0.00865158 0.00766782 34 2 13 13 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 3.78 vpr 61.39 MiB 0.01 6200 -1 -1 1 0.02 -1 -1 30192 -1 -1 5 25 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62868 25 13 90 91 1 61 43 17 17 289 -1 unnamed_device 22.7 MiB 0.02 293 2293 540 1476 277 61.4 MiB 0.02 0.00 1.24036 -31.9104 -1.24036 1.24036 0.96 0.000313177 0.000288035 0.010364 0.00953574 26 636 12 6.65987e+06 63390 477104. 1650.88 0.79 0.0451094 0.039711 21682 110474 -1 608 15 296 296 22531 5808 1.02025 1.02025 -34.0861 -1.02025 0 0 585099. 2024.56 0.20 0.03 0.16 -1 -1 0.20 0.0106445 0.00943593 37 2 14 14 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 3.83 vpr 61.36 MiB 0.01 6236 -1 -1 1 0.03 -1 -1 30124 -1 -1 6 27 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62832 27 14 97 98 1 67 47 17 17 289 -1 unnamed_device 22.9 MiB 0.02 378 2231 478 1580 173 61.4 MiB 0.02 0.00 1.25136 -36.02 -1.25136 1.25136 0.96 0.000339532 0.000312504 0.0097423 0.00897193 30 668 13 6.65987e+06 76068 526063. 1820.29 0.86 0.0468599 0.0413419 22546 126617 -1 622 11 216 216 15522 3800 0.856048 0.856048 -34.1489 -0.856048 0 0 666494. 2306.21 0.22 0.02 0.18 -1 -1 0.22 0.00895817 0.00799451 40 2 15 15 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 3.68 vpr 61.53 MiB 0.01 6456 -1 -1 1 0.03 -1 -1 30324 -1 -1 6 29 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63004 29 15 104 105 1 73 50 17 17 289 -1 unnamed_device 23.0 MiB 0.02 331 2442 412 1993 37 61.5 MiB 0.03 0.00 1.26236 -36.7774 -1.26236 1.26236 0.96 0.00035608 0.00032823 0.0103726 0.0095505 26 789 11 6.65987e+06 76068 477104. 1650.88 0.76 0.0486244 0.0429782 21682 110474 -1 724 15 378 378 28843 7348 1.06545 1.06545 -39.427 -1.06545 0 0 585099. 2024.56 0.20 0.03 0.16 -1 -1 0.20 0.0118046 0.010488 43 2 16 16 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 3.78 vpr 61.38 MiB 0.03 6324 -1 -1 1 0.03 -1 -1 30284 -1 -1 7 31 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62848 31 16 111 112 1 79 54 17 17 289 -1 unnamed_device 22.9 MiB 0.02 435 3216 703 2283 230 61.4 MiB 0.03 0.00 1.62267 -42.4629 -1.62267 1.62267 0.96 0.000387867 0.000357866 0.0129899 0.0119562 26 863 15 6.65987e+06 88746 477104. 1650.88 0.80 0.0548182 0.0484952 21682 110474 -1 825 14 376 376 28737 7038 1.05019 1.05019 -43.3518 -1.05019 0 0 585099. 2024.56 0.19 0.03 0.16 -1 -1 0.19 0.0118349 0.0105148 46 2 17 17 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 3.85 vpr 61.43 MiB 0.01 6336 -1 -1 1 0.03 -1 -1 30284 -1 -1 7 33 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62900 33 17 118 119 1 82 57 17 17 289 -1 unnamed_device 22.9 MiB 0.02 427 6052 1399 4413 240 61.4 MiB 0.05 0.00 1.63367 -46.0647 -1.63367 1.63367 0.88 0.000406209 0.000374769 0.0236579 0.0218125 26 862 21 6.65987e+06 88746 477104. 1650.88 0.87 0.0742398 0.0660048 21682 110474 -1 837 14 393 393 28781 7241 1.11845 1.11845 -46.125 -1.11845 0 0 585099. 2024.56 0.19 0.03 0.16 -1 -1 0.19 0.0126558 0.0112639 49 2 18 18 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 3.82 vpr 61.51 MiB 0.01 6388 -1 -1 1 0.02 -1 -1 30428 -1 -1 8 37 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62984 37 19 132 133 1 90 64 17 17 289 -1 unnamed_device 22.9 MiB 0.03 445 3874 792 2975 107 61.5 MiB 0.04 0.00 1.65567 -51.2172 -1.65567 1.65567 0.95 0.000460503 0.000424986 0.0152265 0.0140619 26 992 15 6.65987e+06 101424 477104. 1650.88 0.81 0.0670759 0.0593805 21682 110474 -1 910 9 291 291 23544 5719 0.998248 0.998248 -49.3833 -0.998248 0 0 585099. 2024.56 0.19 0.03 0.14 -1 -1 0.19 0.0103412 0.0092779 55 2 20 20 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 4.15 vpr 61.58 MiB 0.02 6244 -1 -1 1 0.03 -1 -1 30348 -1 -1 8 41 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63060 41 21 146 147 1 102 70 17 17 289 -1 unnamed_device 22.9 MiB 0.03 520 5398 1104 4106 188 61.6 MiB 0.04 0.00 1.67767 -57.8445 -1.67767 1.67767 1.16 0.000354127 0.000324236 0.0142968 0.0131059 26 1167 18 6.65987e+06 101424 477104. 1650.88 0.92 0.0743359 0.0657077 21682 110474 -1 1020 15 478 478 40381 9828 1.16365 1.16365 -56.2165 -1.16365 0 0 585099. 2024.56 0.19 0.04 0.16 -1 -1 0.19 0.0160398 0.0142861 61 2 22 22 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 4.02 vpr 61.64 MiB 0.02 6448 -1 -1 1 0.02 -1 -1 30436 -1 -1 9 45 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63120 45 23 160 161 1 114 77 17 17 289 -1 unnamed_device 23.0 MiB 0.03 581 5945 1255 4536 154 61.6 MiB 0.05 0.00 1.69967 -64.3369 -1.69967 1.69967 0.96 0.000550012 0.000508564 0.0213419 0.0197238 26 1300 17 6.65987e+06 114102 477104. 1650.88 0.96 0.0818291 0.072972 21682 110474 -1 1217 13 513 513 50907 12107 1.12825 1.12825 -63.3864 -1.12825 0 0 585099. 2024.56 0.19 0.04 0.17 -1 -1 0.19 0.0157505 0.0141038 67 2 24 24 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 4.18 vpr 61.81 MiB 0.03 6412 -1 -1 1 0.03 -1 -1 30460 -1 -1 10 49 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63292 49 25 174 175 1 123 84 17 17 289 -1 unnamed_device 23.2 MiB 0.03 752 12711 4741 7378 592 61.8 MiB 0.10 0.00 2.07098 -75.6352 -2.07098 2.07098 1.05 0.000596515 0.000551074 0.0431521 0.0398594 30 1346 13 6.65987e+06 126780 526063. 1820.29 0.93 0.108937 0.0980561 22546 126617 -1 1230 11 477 477 35854 8787 1.07325 1.07325 -67.1967 -1.07325 0 0 666494. 2306.21 0.22 0.04 0.18 -1 -1 0.22 0.0150109 0.0135002 73 2 26 26 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 4.23 vpr 62.10 MiB 0.01 6608 -1 -1 1 0.03 -1 -1 30016 -1 -1 11 57 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63592 57 29 202 203 1 143 97 17 17 289 -1 unnamed_device 23.4 MiB 0.03 728 12751 3280 8876 595 62.1 MiB 0.09 0.00 2.11498 -85.6644 -2.11498 2.11498 0.97 0.000693276 0.000640716 0.041245 0.0381323 32 1538 17 6.65987e+06 139458 554710. 1919.41 1.01 0.133302 0.120096 22834 132086 -1 1375 14 555 555 43916 11014 1.23625 1.23625 -78.4834 -1.23625 0 0 701300. 2426.64 0.23 0.05 0.19 -1 -1 0.23 0.0202577 0.0181988 85 2 30 30 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 4.19 vpr 62.18 MiB 0.02 6540 -1 -1 1 0.03 -1 -1 30536 -1 -1 13 65 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63676 65 33 230 231 1 164 111 17 17 289 -1 unnamed_device 23.3 MiB 0.03 1003 17401 6715 9666 1020 62.2 MiB 0.10 0.00 2.50829 -107.27 -2.50829 2.50829 1.00 0.000548682 0.000502477 0.0376135 0.0344688 30 1823 16 6.65987e+06 164814 526063. 1820.29 1.00 0.0936072 0.0838571 22546 126617 -1 1651 10 590 590 47664 10569 1.19105 1.19105 -91.7889 -1.19105 0 0 666494. 2306.21 0.22 0.05 0.18 -1 -1 0.22 0.0182405 0.016508 97 2 34 34 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 4.62 vpr 62.83 MiB 0.02 6776 -1 -1 1 0.03 -1 -1 30396 -1 -1 19 97 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64340 97 49 342 343 1 246 165 17 17 289 -1 unnamed_device 23.8 MiB 0.06 1557 34969 14570 20249 150 62.8 MiB 0.24 0.00 3.38291 -180.939 -3.38291 3.38291 0.96 0.00120847 0.00112183 0.0956094 0.0886387 32 2852 16 6.65987e+06 240882 554710. 1919.41 1.11 0.235279 0.214708 22834 132086 -1 2637 14 986 986 93107 21501 1.40705 1.40705 -143.206 -1.40705 0 0 701300. 2426.64 0.23 0.09 0.19 -1 -1 0.23 0.0351936 0.032057 145 2 50 50 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 5.00 vpr 63.64 MiB 0.02 6964 -1 -1 1 0.04 -1 -1 30496 -1 -1 25 129 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65168 129 65 454 455 1 328 219 17 17 289 -1 unnamed_device 24.5 MiB 0.07 1798 56234 20467 31758 4009 63.6 MiB 0.39 0.01 4.25753 -264.232 -4.25753 4.25753 0.95 0.00163834 0.00152363 0.143706 0.133709 32 3889 17 6.65987e+06 316950 554710. 1919.41 1.28 0.340505 0.312972 22834 132086 -1 3343 13 1362 1362 136503 31547 1.62319 1.62319 -193.168 -1.62319 0 0 701300. 2426.64 0.23 0.12 0.19 -1 -1 0.23 0.0449119 0.0412713 193 2 66 66 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_005bits.v common 3.57 vpr 61.99 MiB 0.01 6236 -1 -1 1 0.02 -1 -1 30188 -1 -1 1 11 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63476 11 6 41 42 1 20 18 17 17 289 -1 unnamed_device 23.3 MiB 0.05 128 64 26 37 1 62.0 MiB 0.00 0.00 1.08519 -14.9177 -1.08519 1.08519 0.99 0.000149912 0.000135307 0.00079922 0.000733994 20 222 9 6.95648e+06 14475.7 414966. 1435.87 0.60 0.00568486 0.00513575 23170 95770 -1 197 11 48 48 3484 991 0.99734 0.99734 -14.9468 -0.99734 0 0 503264. 1741.40 0.16 0.01 0.14 -1 -1 0.16 0.00458889 0.00411729 8 2 7 7 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_006bits.v common 5.03 vpr 61.95 MiB 0.03 6244 -1 -1 1 0.02 -1 -1 30004 -1 -1 2 13 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63432 13 7 48 49 1 25 22 17 17 289 -1 unnamed_device 23.4 MiB 0.04 58 562 122 423 17 61.9 MiB 0.01 0.00 0.802432 -14.4781 -0.802432 0.802432 1.00 0.000169573 0.000154834 0.00365235 0.00333138 22 206 13 6.95648e+06 28951.4 443629. 1535.05 1.96 0.0318908 0.0275353 23458 102101 -1 189 12 79 79 5519 1756 0.87204 0.87204 -15.9569 -0.87204 0 0 531479. 1839.03 0.17 0.01 0.14 -1 -1 0.17 0.00546236 0.00487674 10 2 8 8 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_007bits.v common 3.92 vpr 62.06 MiB 0.01 6240 -1 -1 1 0.02 -1 -1 30112 -1 -1 2 15 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63548 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 23.4 MiB 0.04 82 673 149 507 17 62.1 MiB 0.01 0.00 0.830632 -16.8934 -0.830632 0.830632 1.01 0.000189877 0.000173438 0.00408628 0.00373528 26 255 11 6.95648e+06 28951.4 503264. 1741.40 0.79 0.0259901 0.0225783 24322 120374 -1 230 11 133 133 8411 2676 1.08123 1.08123 -19.0717 -1.08123 0 0 618332. 2139.56 0.20 0.01 0.17 -1 -1 0.20 0.00567044 0.00505407 11 2 9 9 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_008bits.v common 5.20 vpr 62.09 MiB 0.02 6268 -1 -1 1 0.03 -1 -1 30072 -1 -1 2 17 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63580 17 9 62 63 1 37 28 17 17 289 -1 unnamed_device 23.5 MiB 0.04 90 868 248 501 119 62.1 MiB 0.01 0.00 0.841632 -19.379 -0.841632 0.841632 0.99 0.000218858 0.000200686 0.00511211 0.00469155 28 325 22 6.95648e+06 28951.4 531479. 1839.03 2.15 0.0644139 0.0553279 24610 126494 -1 279 19 266 266 15650 5074 1.08603 1.08603 -21.1602 -1.08603 0 0 648988. 2245.63 0.25 0.02 0.18 -1 -1 0.25 0.00918365 0.00807451 13 2 10 10 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_009bits.v common 3.64 vpr 62.05 MiB 0.02 6304 -1 -1 1 0.04 -1 -1 30036 -1 -1 2 19 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63536 19 10 69 70 1 44 31 17 17 289 -1 unnamed_device 23.5 MiB 0.05 117 847 190 628 29 62.0 MiB 0.01 0.00 0.874632 -21.9508 -0.874632 0.874632 0.86 0.000242767 0.000223072 0.00496887 0.00456174 20 354 10 6.95648e+06 28951.4 414966. 1435.87 0.61 0.0124233 0.0112185 23170 95770 -1 335 31 235 235 43183 25608 0.999932 0.999932 -26.0857 -0.999932 0 0 503264. 1741.40 0.16 0.04 0.13 -1 -1 0.16 0.0146501 0.0127341 14 2 11 11 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_010bits.v common 5.15 vpr 62.29 MiB 0.01 6296 -1 -1 1 0.02 -1 -1 30000 -1 -1 2 21 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63788 21 11 76 77 1 49 34 17 17 289 -1 unnamed_device 23.7 MiB 0.04 238 914 193 707 14 62.3 MiB 0.01 0.00 0.896632 -26.6731 -0.896632 0.896632 1.01 0.000270266 0.000248424 0.00535576 0.00493972 26 545 15 6.95648e+06 28951.4 503264. 1741.40 2.07 0.074246 0.0639801 24322 120374 -1 481 16 290 290 21220 5201 1.14723 1.14723 -30.8531 -1.14723 0 0 618332. 2139.56 0.20 0.02 0.17 -1 -1 0.20 0.00956601 0.00844827 16 2 12 12 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_011bits.v common 6.07 vpr 62.29 MiB 0.03 6216 -1 -1 1 0.03 -1 -1 30016 -1 -1 3 23 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63784 23 12 83 84 1 55 38 17 17 289 -1 unnamed_device 23.8 MiB 0.04 198 1298 273 1012 13 62.3 MiB 0.02 0.00 0.907632 -28.5647 -0.907632 0.907632 1.04 0.00029901 0.00027047 0.00721245 0.00666785 28 564 12 6.95648e+06 43427 531479. 1839.03 2.86 0.0619113 0.0536396 24610 126494 -1 467 16 299 299 20091 5768 1.09703 1.09703 -32.2819 -1.09703 0 0 648988. 2245.63 0.26 0.02 0.18 -1 -1 0.26 0.00861915 0.00762778 17 2 13 13 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_012bits.v common 4.68 vpr 62.27 MiB 0.03 6276 -1 -1 1 0.03 -1 -1 30020 -1 -1 3 25 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63764 25 13 90 91 1 60 41 17 17 289 -1 unnamed_device 23.7 MiB 0.03 368 1441 285 1041 115 62.3 MiB 0.02 0.00 0.918632 -34.4491 -0.918632 0.918632 1.00 0.000319623 0.000294645 0.00730307 0.00673586 34 738 16 6.95648e+06 43427 618332. 2139.56 1.49 0.0618501 0.0535835 25762 151098 -1 733 16 301 301 33303 7180 1.22233 1.22233 -39.9964 -1.22233 0 0 787024. 2723.27 0.24 0.03 0.22 -1 -1 0.24 0.0109102 0.00967308 19 2 14 14 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_013bits.v common 4.99 vpr 62.43 MiB 0.02 6340 -1 -1 1 0.03 -1 -1 30156 -1 -1 3 27 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63924 27 14 97 98 1 66 44 17 17 289 -1 unnamed_device 23.9 MiB 0.04 180 3971 1477 2086 408 62.4 MiB 0.04 0.00 0.951632 -32.5735 -0.951632 0.951632 1.00 0.000331553 0.000304909 0.0184768 0.0170144 36 677 34 6.95648e+06 43427 648988. 2245.63 1.69 0.0808537 0.0710269 26050 158493 -1 479 36 638 638 44030 11892 1.21133 1.21133 -34.4716 -1.21133 0 0 828058. 2865.25 0.26 0.05 0.22 -1 -1 0.26 0.0226096 0.0198257 20 2 15 15 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_014bits.v common 5.22 vpr 62.30 MiB 0.03 6472 -1 -1 1 0.03 -1 -1 30268 -1 -1 3 29 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63796 29 15 104 105 1 72 47 17 17 289 -1 unnamed_device 23.7 MiB 0.05 227 4331 1745 2561 25 62.3 MiB 0.04 0.00 0.962632 -36.3287 -0.962632 0.962632 1.02 0.00035448 0.000325727 0.0193523 0.0177854 36 789 39 6.95648e+06 43427 648988. 2245.63 1.96 0.102472 0.0898472 26050 158493 -1 644 21 474 474 83491 31666 1.26153 1.26153 -42.9574 -1.26153 0 0 828058. 2865.25 0.25 0.05 0.23 -1 -1 0.25 0.0153445 0.0135655 22 2 16 16 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_015bits.v common 6.42 vpr 62.38 MiB 0.01 6456 -1 -1 1 0.03 -1 -1 30276 -1 -1 3 31 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63872 31 16 111 112 1 78 50 17 17 289 -1 unnamed_device 23.8 MiB 0.05 237 4098 1359 2534 205 62.4 MiB 0.04 0.00 1.33396 -39.0993 -1.33396 1.33396 0.99 0.000378149 0.000347979 0.0179355 0.0165115 34 808 17 6.95648e+06 43427 618332. 2139.56 3.21 0.103643 0.0909975 25762 151098 -1 646 18 461 461 34500 9850 1.27533 1.27533 -45.8927 -1.27533 0 0 787024. 2723.27 0.24 0.04 0.22 -1 -1 0.24 0.0143377 0.0126874 24 2 17 17 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_016bits.v common 4.49 vpr 62.29 MiB 0.02 6264 -1 -1 1 0.02 -1 -1 30420 -1 -1 4 33 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63780 33 17 118 119 1 81 54 17 17 289 -1 unnamed_device 23.6 MiB 0.06 235 5052 1687 3325 40 62.3 MiB 0.04 0.00 1.34496 -41.9783 -1.34496 1.34496 0.99 0.000412054 0.00037856 0.0212184 0.019543 28 1109 36 6.95648e+06 57902.7 531479. 1839.03 1.33 0.0804775 0.0711135 24610 126494 -1 739 16 471 471 38139 11041 1.33033 1.33033 -50.7479 -1.33033 0 0 648988. 2245.63 0.22 0.04 0.18 -1 -1 0.22 0.0140088 0.0124314 25 2 18 18 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_018bits.v common 4.99 vpr 62.40 MiB 0.03 6512 -1 -1 1 0.03 -1 -1 30320 -1 -1 4 37 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63900 37 19 132 133 1 87 60 17 17 289 -1 unnamed_device 23.8 MiB 0.07 309 3804 748 3002 54 62.4 MiB 0.04 0.00 1.36696 -48.8036 -1.36696 1.36696 0.99 0.000460438 0.000424713 0.0160477 0.0148083 34 938 31 6.95648e+06 57902.7 618332. 2139.56 1.68 0.110893 0.0972178 25762 151098 -1 734 16 470 470 37354 9848 1.21603 1.21603 -53.9097 -1.21603 0 0 787024. 2723.27 0.26 0.04 0.21 -1 -1 0.26 0.0162857 0.0145274 28 2 20 20 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_020bits.v common 5.01 vpr 62.43 MiB 0.01 6544 -1 -1 1 0.02 -1 -1 30336 -1 -1 4 41 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63932 41 21 146 147 1 95 66 17 17 289 -1 unnamed_device 23.8 MiB 0.10 641 8312 2597 4950 765 62.4 MiB 0.07 0.00 1.38896 -61.8695 -1.38896 1.38896 0.99 0.000507906 0.000467999 0.0330058 0.030448 34 1241 36 6.95648e+06 57902.7 618332. 2139.56 1.62 0.148032 0.131083 25762 151098 -1 1165 19 479 479 56814 11213 1.37433 1.37433 -69.3665 -1.37433 0 0 787024. 2723.27 0.25 0.07 0.22 -1 -1 0.25 0.0283303 0.0250398 31 2 22 22 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_022bits.v common 5.18 vpr 62.63 MiB 0.02 6344 -1 -1 1 0.02 -1 -1 30328 -1 -1 5 45 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64136 45 23 160 161 1 107 73 17 17 289 -1 unnamed_device 24.0 MiB 0.09 465 7673 2070 5539 64 62.6 MiB 0.06 0.00 1.41096 -62.1793 -1.41096 1.41096 1.01 0.000547141 0.000505303 0.0291978 0.0269352 34 1266 27 6.95648e+06 72378.4 618332. 2139.56 1.77 0.124749 0.110962 25762 151098 -1 1016 13 509 509 45134 10617 1.26003 1.26003 -66.2859 -1.26003 0 0 787024. 2723.27 0.24 0.04 0.22 -1 -1 0.24 0.0159133 0.0142759 34 2 24 24 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_024bits.v common 5.26 vpr 62.62 MiB 0.03 6448 -1 -1 1 0.02 -1 -1 30484 -1 -1 5 49 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64120 49 25 174 175 1 119 79 17 17 289 -1 unnamed_device 24.0 MiB 0.10 477 11571 2984 8400 187 62.6 MiB 0.09 0.00 1.43296 -69.314 -1.43296 1.43296 0.99 0.000596576 0.000550832 0.0427617 0.0395109 34 1347 41 6.95648e+06 72378.4 618332. 2139.56 1.80 0.184439 0.163978 25762 151098 -1 1120 18 633 633 54926 13763 1.41833 1.41833 -77.2103 -1.41833 0 0 787024. 2723.27 0.24 0.05 0.22 -1 -1 0.24 0.0221904 0.0198589 37 2 26 26 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_028bits.v common 7.89 vpr 62.66 MiB 0.03 6644 -1 -1 1 0.03 -1 -1 30024 -1 -1 6 57 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64160 57 29 202 203 1 142 92 17 17 289 -1 unnamed_device 23.8 MiB 0.07 835 13133 5530 7566 37 62.7 MiB 0.09 0.00 1.47696 -86.9535 -1.47696 1.47696 0.99 0.000684598 0.000632446 0.0451999 0.0417419 36 1680 30 6.95648e+06 86854.1 648988. 2245.63 4.35 0.229996 0.205242 26050 158493 -1 1507 18 793 793 88362 18399 1.47333 1.47333 -92.9617 -1.47333 0 0 828058. 2865.25 0.35 0.05 0.20 -1 -1 0.35 0.0189455 0.0169989 43 2 30 30 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_032bits.v common 6.36 vpr 63.07 MiB 0.03 6596 -1 -1 1 0.03 -1 -1 30352 -1 -1 7 65 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64588 65 33 230 231 1 162 105 17 17 289 -1 unnamed_device 24.6 MiB 0.08 924 18136 7790 10245 101 63.1 MiB 0.13 0.00 1.88129 -101.424 -1.88129 1.88129 0.99 0.000789314 0.000729978 0.0602476 0.0556468 38 1929 44 6.95648e+06 101330 678818. 2348.85 2.79 0.255799 0.229153 26626 170182 -1 1758 26 971 971 203119 74614 1.58093 1.58093 -104.62 -1.58093 0 0 902133. 3121.57 0.26 0.12 0.23 -1 -1 0.26 0.0389398 0.0348539 49 2 34 34 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_048bits.v common 9.69 vpr 63.66 MiB 0.02 6624 -1 -1 1 0.03 -1 -1 30392 -1 -1 10 97 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65192 97 49 342 343 1 243 156 17 17 289 -1 unnamed_device 24.7 MiB 0.10 1600 30324 11596 17665 1063 63.7 MiB 0.20 0.00 2.41762 -168.85 -2.41762 2.41762 0.99 0.0012189 0.00113346 0.0914509 0.0849961 52 2765 24 6.95648e+06 144757 926341. 3205.33 5.79 0.458578 0.416464 29218 227130 -1 2519 17 1068 1068 118761 24238 1.49993 1.49993 -156.625 -1.49993 0 0 1.14541e+06 3963.36 0.35 0.10 0.35 -1 -1 0.35 0.0416608 0.0379877 73 2 50 50 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml adder_064bits.v common 12.07 vpr 64.49 MiB 0.03 6956 -1 -1 1 0.04 -1 -1 30328 -1 -1 13 129 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66040 129 65 454 455 1 324 207 17 17 289 -1 unnamed_device 25.4 MiB 0.08 1899 46107 19065 26925 117 64.5 MiB 0.31 0.01 2.95395 -232.912 -2.95395 2.95395 0.99 0.00166604 0.00155194 0.12884 0.120047 64 3371 24 6.95648e+06 188184 1.08113e+06 3740.92 7.84 0.719704 0.65593 31522 276338 -1 3033 21 1532 1532 168676 35031 1.79303 1.79303 -208.735 -1.79303 0 0 1.36325e+06 4717.13 0.40 0.15 0.45 -1 -1 0.40 0.067532 0.0617247 97 2 66 66 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_005bits.v common 3.41 vpr 61.90 MiB 0.03 6260 -1 -1 1 0.02 -1 -1 30060 -1 -1 1 11 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63384 11 6 41 42 1 19 18 17 17 289 -1 unnamed_device 23.3 MiB 0.01 126 64 25 37 2 61.9 MiB 0.00 0.00 1.04807 -14.6563 -1.04807 1.04807 0.99 0.000150501 0.0001355 0.000819223 0.000751908 16 214 10 6.99608e+06 14715.7 332735. 1151.33 0.51 0.00559592 0.00501355 22306 75877 -1 208 8 49 49 3850 981 1.12264 1.12264 -15.0613 -1.12264 0 0 414966. 1435.87 0.13 0.01 0.11 -1 -1 0.13 0.00387768 0.00351305 8 2 7 7 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_006bits.v common 4.95 vpr 62.18 MiB 0.01 6244 -1 -1 1 0.02 -1 -1 30044 -1 -1 2 13 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63676 13 7 48 49 1 26 22 17 17 289 -1 unnamed_device 23.5 MiB 0.01 107 592 125 462 5 62.2 MiB 0.01 0.00 0.802432 -14.938 -0.802432 0.802432 0.99 0.000170503 0.000155777 0.00417422 0.00384507 20 271 15 6.99608e+06 29431.4 414966. 1435.87 2.02 0.0250296 0.0217761 23170 95770 -1 231 8 81 81 5289 1509 0.802432 0.802432 -16.3218 -0.802432 0 0 503264. 1741.40 0.17 0.01 0.14 -1 -1 0.17 0.00430919 0.0038839 10 2 8 8 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_007bits.v common 3.97 vpr 61.82 MiB 0.02 6268 -1 -1 1 0.03 -1 -1 30004 -1 -1 2 15 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63304 15 8 55 56 1 31 25 17 17 289 -1 unnamed_device 23.1 MiB 0.02 87 673 163 490 20 61.8 MiB 0.01 0.00 0.813432 -16.9635 -0.813432 0.813432 1.04 0.000190793 0.000173409 0.0041629 0.00377979 28 238 12 6.99608e+06 29431.4 531479. 1839.03 0.86 0.0259403 0.0225251 24610 126494 -1 220 12 107 107 5344 1848 0.793379 0.793379 -18.6823 -0.793379 0 0 648988. 2245.63 0.22 0.01 0.17 -1 -1 0.22 0.00591687 0.00526458 11 2 9 9 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_008bits.v common 5.66 vpr 62.01 MiB 0.01 6124 -1 -1 1 0.02 -1 -1 30088 -1 -1 2 17 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63496 17 9 62 63 1 36 28 17 17 289 -1 unnamed_device 23.3 MiB 0.02 89 868 259 545 64 62.0 MiB 0.01 0.00 0.835432 -19.044 -0.835432 0.835432 1.00 0.000220396 0.000202268 0.0051298 0.0047028 28 272 39 6.99608e+06 29431.4 531479. 1839.03 2.62 0.0672653 0.0578177 24610 126494 -1 251 10 170 170 8658 2916 0.960732 0.960732 -19.9927 -0.960732 0 0 648988. 2245.63 0.20 0.01 0.18 -1 -1 0.20 0.00599571 0.00534675 13 2 10 10 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_009bits.v common 3.58 vpr 62.05 MiB 0.03 6300 -1 -1 1 0.02 -1 -1 30236 -1 -1 2 19 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63540 19 10 69 70 1 43 31 17 17 289 -1 unnamed_device 23.5 MiB 0.02 117 799 181 587 31 62.1 MiB 0.01 0.00 0.846432 -21.5131 -0.846432 0.846432 0.99 0.000243316 0.000223683 0.00472968 0.00433473 20 367 15 6.99608e+06 29431.4 414966. 1435.87 0.62 0.0138926 0.0124097 23170 95770 -1 331 15 202 202 11814 4204 1.07503 1.07503 -26.3473 -1.07503 0 0 503264. 1741.40 0.16 0.02 0.14 -1 -1 0.16 0.00841082 0.00743438 14 2 11 11 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_010bits.v common 4.58 vpr 62.04 MiB 0.03 6284 -1 -1 1 0.02 -1 -1 30156 -1 -1 2 21 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63524 21 11 76 77 1 48 34 17 17 289 -1 unnamed_device 23.5 MiB 0.02 206 1079 232 829 18 62.0 MiB 0.01 0.00 0.87204 -26.5938 -0.87204 0.87204 0.98 0.000268773 0.000246911 0.00588511 0.00540805 32 524 17 6.99608e+06 29431.4 586450. 2029.24 1.42 0.0428857 0.0371788 25474 144626 -1 466 13 223 223 21020 4975 1.23333 1.23333 -30.4529 -1.23333 0 0 744469. 2576.02 0.23 0.02 0.18 -1 -1 0.23 0.00835687 0.00740779 16 2 12 12 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_011bits.v common 4.01 vpr 62.27 MiB 0.03 6336 -1 -1 1 0.02 -1 -1 30272 -1 -1 3 23 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63768 23 12 83 84 1 54 38 17 17 289 -1 unnamed_device 23.8 MiB 0.02 245 1298 255 1031 12 62.3 MiB 0.02 0.00 0.879432 -28.9525 -0.879432 0.879432 0.99 0.000292524 0.000269204 0.00677584 0.00624054 30 578 18 6.99608e+06 44147 556674. 1926.21 0.92 0.0345317 0.0299746 25186 138497 -1 530 15 312 312 24184 5627 1.08603 1.08603 -30.9061 -1.08603 0 0 706193. 2443.58 0.22 0.03 0.20 -1 -1 0.22 0.00987136 0.00871403 17 2 13 13 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_012bits.v common 4.62 vpr 62.12 MiB 0.01 6168 -1 -1 1 0.03 -1 -1 30100 -1 -1 3 25 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63608 25 13 90 91 1 60 41 17 17 289 -1 unnamed_device 23.6 MiB 0.02 340 1441 266 1110 65 62.1 MiB 0.02 0.00 0.901432 -33.1271 -0.901432 0.901432 0.99 0.000312456 0.000287804 0.00724621 0.00668238 34 752 16 6.99608e+06 44147 618332. 2139.56 1.48 0.0691239 0.060081 25762 151098 -1 707 15 318 318 34339 7519 1.17833 1.17833 -38.8894 -1.17833 0 0 787024. 2723.27 0.24 0.03 0.22 -1 -1 0.24 0.010303 0.00911183 19 2 14 14 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_013bits.v common 4.92 vpr 62.22 MiB 0.01 6328 -1 -1 1 0.03 -1 -1 30080 -1 -1 3 27 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63716 27 14 97 98 1 66 44 17 17 289 -1 unnamed_device 23.7 MiB 0.02 178 3971 1404 1915 652 62.2 MiB 0.04 0.00 0.912432 -32.1713 -0.912432 0.912432 0.99 0.000333136 0.000306413 0.0181163 0.0166532 38 556 23 6.99608e+06 44147 678818. 2348.85 1.68 0.0765519 0.0672596 26626 170182 -1 444 19 395 395 30217 8484 1.28833 1.28833 -35.9734 -1.28833 0 0 902133. 3121.57 0.32 0.03 0.18 -1 -1 0.32 0.0132547 0.0117061 20 2 15 15 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_014bits.v common 5.11 vpr 62.14 MiB 0.02 6324 -1 -1 1 0.02 -1 -1 30272 -1 -1 3 29 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63636 29 15 104 105 1 72 47 17 17 289 -1 unnamed_device 23.6 MiB 0.02 198 4331 1522 2096 713 62.1 MiB 0.04 0.00 0.934432 -35.1872 -0.934432 0.934432 0.99 0.000353246 0.000324785 0.0191643 0.017634 36 726 40 6.99608e+06 44147 648988. 2245.63 1.85 0.0920347 0.0808788 26050 158493 -1 565 20 478 478 40135 10950 1.26633 1.26633 -41.0595 -1.26633 0 0 828058. 2865.25 0.25 0.04 0.23 -1 -1 0.25 0.0150406 0.0133323 22 2 16 16 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_015bits.v common 4.20 vpr 62.18 MiB 0.03 6352 -1 -1 1 0.03 -1 -1 30264 -1 -1 3 31 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63668 31 16 111 112 1 78 50 17 17 289 -1 unnamed_device 23.6 MiB 0.02 227 4098 1263 2618 217 62.2 MiB 0.04 0.00 1.30576 -38.4531 -1.30576 1.30576 1.00 0.000378807 0.000348453 0.0179561 0.0165397 28 966 30 6.99608e+06 44147 531479. 1839.03 1.12 0.0715413 0.0632786 24610 126494 -1 709 14 430 430 33317 9589 1.34133 1.34133 -48.2646 -1.34133 0 0 648988. 2245.63 0.19 0.02 0.14 -1 -1 0.19 0.0064019 0.00576552 24 2 17 17 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_016bits.v common 4.79 vpr 62.22 MiB 0.02 6332 -1 -1 1 0.03 -1 -1 30380 -1 -1 4 33 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63712 33 17 118 119 1 81 54 17 17 289 -1 unnamed_device 23.7 MiB 0.03 362 3012 639 2325 48 62.2 MiB 0.03 0.00 1.31676 -43.8553 -1.31676 1.31676 0.99 0.000407116 0.000375383 0.0130924 0.0120909 34 1031 45 6.99608e+06 58862.7 618332. 2139.56 1.75 0.113045 0.0991241 25762 151098 -1 850 17 437 437 43024 9661 1.22703 1.22703 -50.7799 -1.22703 0 0 787024. 2723.27 0.28 0.04 0.20 -1 -1 0.28 0.0145338 0.0128813 25 2 18 18 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_018bits.v common 4.82 vpr 62.29 MiB 0.01 6232 -1 -1 1 0.03 -1 -1 30324 -1 -1 4 37 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63788 37 19 132 133 1 87 60 17 17 289 -1 unnamed_device 23.7 MiB 0.03 307 4623 989 3596 38 62.3 MiB 0.04 0.00 1.33876 -48.0718 -1.33876 1.33876 0.99 0.000457337 0.000421796 0.019144 0.0176632 34 930 22 6.99608e+06 58862.7 618332. 2139.56 1.58 0.115567 0.101345 25762 151098 -1 746 20 441 441 48224 13948 1.64023 1.64023 -57.5889 -1.64023 0 0 787024. 2723.27 0.24 0.05 0.20 -1 -1 0.24 0.0185989 0.0164725 28 2 20 20 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_020bits.v common 5.02 vpr 62.27 MiB 0.03 6384 -1 -1 1 0.02 -1 -1 30328 -1 -1 4 41 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63764 41 21 146 147 1 94 66 17 17 289 -1 unnamed_device 23.7 MiB 0.03 643 8179 2647 4716 816 62.3 MiB 0.07 0.00 1.36076 -60.9293 -1.36076 1.36076 0.99 0.000512145 0.000468737 0.0324602 0.0299511 34 1238 26 6.99608e+06 58862.7 618332. 2139.56 1.63 0.141458 0.125428 25762 151098 -1 1165 29 543 543 171796 89227 1.20503 1.20503 -65.0808 -1.20503 0 0 787024. 2723.27 0.28 0.10 0.20 -1 -1 0.28 0.026177 0.0231182 31 2 22 22 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_022bits.v common 6.66 vpr 62.43 MiB 0.02 6356 -1 -1 1 0.02 -1 -1 30320 -1 -1 5 45 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63928 45 23 160 161 1 106 73 17 17 289 -1 unnamed_device 23.8 MiB 0.03 375 8585 2269 6036 280 62.4 MiB 0.07 0.00 1.38276 -61.0669 -1.38276 1.38276 1.03 0.000545443 0.000503604 0.0322708 0.0297716 34 1096 18 6.99608e+06 73578.4 618332. 2139.56 3.36 0.189387 0.167464 25762 151098 -1 899 11 496 496 40266 11596 1.28633 1.28633 -66.0946 -1.28633 0 0 787024. 2723.27 0.24 0.04 0.22 -1 -1 0.24 0.0139882 0.0125837 34 2 24 24 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_024bits.v common 4.54 vpr 62.50 MiB 0.03 6448 -1 -1 1 0.02 -1 -1 30324 -1 -1 5 49 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64004 49 25 174 175 1 118 79 17 17 289 -1 unnamed_device 24.0 MiB 0.04 470 11571 3015 8364 192 62.5 MiB 0.09 0.00 1.40476 -68.6401 -1.40476 1.40476 1.03 0.000591011 0.000545951 0.0421335 0.0389114 30 1379 24 6.99608e+06 73578.4 556674. 1926.21 1.15 0.117917 0.105774 25186 138497 -1 1140 17 651 651 59088 15025 1.67993 1.67993 -85.9365 -1.67993 0 0 706193. 2443.58 0.22 0.05 0.20 -1 -1 0.22 0.0209377 0.0187169 37 2 26 26 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_028bits.v common 6.36 vpr 62.64 MiB 0.04 6504 -1 -1 1 0.03 -1 -1 30180 -1 -1 6 57 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64140 57 29 202 203 1 141 92 17 17 289 -1 unnamed_device 24.0 MiB 0.04 983 13754 5168 7361 1225 62.6 MiB 0.10 0.00 1.44876 -89.273 -1.44876 1.44876 1.00 0.000690313 0.000637644 0.047481 0.0439165 36 1933 32 6.99608e+06 88294.1 648988. 2245.63 2.98 0.202416 0.181356 26050 158493 -1 1704 18 708 708 93962 18374 1.45133 1.45133 -97.075 -1.45133 0 0 828058. 2865.25 0.25 0.07 0.23 -1 -1 0.25 0.0246757 0.0221008 43 2 30 30 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_032bits.v common 10.82 vpr 62.86 MiB 0.02 6416 -1 -1 1 0.03 -1 -1 30308 -1 -1 7 65 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64364 65 33 230 231 1 162 105 17 17 289 -1 unnamed_device 24.1 MiB 0.05 938 18136 7666 10324 146 62.9 MiB 0.13 0.00 1.85309 -100.228 -1.85309 1.85309 1.01 0.000795281 0.00073618 0.0610159 0.0564902 38 1887 30 6.99608e+06 103010 678818. 2348.85 7.31 0.388447 0.346967 26626 170182 -1 1598 18 777 777 79259 17050 1.50433 1.50433 -102.959 -1.50433 0 0 902133. 3121.57 0.26 0.07 0.24 -1 -1 0.26 0.0287272 0.0258284 49 2 34 34 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_048bits.v common 6.75 vpr 63.55 MiB 0.05 6684 -1 -1 1 0.03 -1 -1 30432 -1 -1 10 97 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65076 97 49 342 343 1 243 156 17 17 289 -1 unnamed_device 24.7 MiB 0.06 1600 30324 10676 17928 1720 63.6 MiB 0.21 0.00 2.38942 -168.824 -2.38942 2.38942 1.00 0.00120909 0.00112331 0.0911696 0.084643 40 2947 25 6.99608e+06 147157 706193. 2443.58 2.98 0.352103 0.319509 26914 176310 -1 2704 15 1141 1141 150451 30063 1.55028 1.55028 -159.908 -1.55028 0 0 926341. 3205.33 0.27 0.10 0.26 -1 -1 0.27 0.0376555 0.0343087 73 2 50 50 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml adder_064bits.v common 11.33 vpr 64.15 MiB 0.02 7000 -1 -1 1 0.05 -1 -1 30348 -1 -1 13 129 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65692 129 65 454 455 1 324 207 17 17 289 -1 unnamed_device 25.1 MiB 0.08 1882 46107 19193 26799 115 64.2 MiB 0.31 0.01 2.92575 -230.221 -2.92575 2.92575 1.00 0.00164334 0.00152888 0.128349 0.119496 58 3334 21 6.99608e+06 191304 997811. 3452.63 7.18 0.630739 0.575492 30370 251734 -1 3024 17 1382 1382 139711 29560 1.77103 1.77103 -206.734 -1.77103 0 0 1.25153e+06 4330.55 0.37 0.14 0.40 -1 -1 0.37 0.0572444 0.0523542 97 2 66 66 0 0 -fixed_k6_frac_N8_22nm.xml adder_005bits.v common 4.86 vpr 61.32 MiB 0.03 6040 -1 -1 2 0.05 -1 -1 31868 -1 -1 2 11 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62792 11 6 34 40 1 23 19 17 17 289 -1 unnamed_device 22.6 MiB 0.01 171 94 40 54 0 61.3 MiB 0.01 0.00 1.02368 -16.6264 -1.02368 1.02368 0.96 0.000150084 0.000136484 0.00132734 0.00125 20 289 7 6.79088e+06 26944 414966. 1435.87 1.90 0.0145334 0.0126342 22510 95286 -1 266 5 64 74 4817 1223 1.02368 1.02368 -16.8849 -1.02368 0 0 503264. 1741.40 0.16 0.01 0.15 -1 -1 0.16 0.00329059 0.00301525 10 7 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_006bits.v common 3.63 vpr 61.30 MiB 0.02 5944 -1 -1 3 0.05 -1 -1 32032 -1 -1 2 13 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62776 13 7 41 48 1 30 22 17 17 289 -1 unnamed_device 22.7 MiB 0.01 78 532 122 389 21 61.3 MiB 0.01 0.00 1.14898 -15.9596 -1.14898 1.14898 0.97 0.000177896 0.000162485 0.00401609 0.00370982 22 260 9 6.79088e+06 26944 443629. 1535.05 0.69 0.0231734 0.0201843 22798 101617 -1 226 9 94 97 5775 1844 1.05944 1.05944 -17.4573 -1.05944 0 0 531479. 1839.03 0.17 0.01 0.14 -1 -1 0.17 0.00479869 0.00431345 11 9 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_007bits.v common 3.85 vpr 61.37 MiB 0.01 6088 -1 -1 3 0.05 -1 -1 32356 -1 -1 2 15 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62840 15 8 47 55 1 36 25 17 17 289 -1 unnamed_device 22.7 MiB 0.02 107 781 172 592 17 61.4 MiB 0.02 0.00 1.35273 -19.9898 -1.35273 1.35273 1.10 0.000205039 0.000187962 0.00537429 0.0049506 22 345 12 6.79088e+06 26944 443629. 1535.05 0.72 0.028556 0.0249283 22798 101617 -1 293 9 124 141 7077 2286 1.35273 1.35273 -22.9083 -1.35273 0 0 531479. 1839.03 0.19 0.01 0.14 -1 -1 0.19 0.00535346 0.0048109 13 10 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_008bits.v common 3.79 vpr 61.32 MiB 0.02 6088 -1 -1 3 0.06 -1 -1 32040 -1 -1 2 17 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62792 17 9 56 65 1 43 28 17 17 289 -1 unnamed_device 22.5 MiB 0.09 114 826 163 641 22 61.3 MiB 0.01 0.00 1.56413 -22.8709 -1.56413 1.56413 0.99 0.000249137 0.000229236 0.00558657 0.00514482 26 410 10 6.79088e+06 26944 503264. 1741.40 0.81 0.040737 0.0354492 23662 119890 -1 369 12 192 238 11808 3694 1.43883 1.43883 -25.6249 -1.43883 0 0 618332. 2139.56 0.22 0.02 0.16 -1 -1 0.22 0.0075407 0.00671619 16 14 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_009bits.v common 4.06 vpr 61.47 MiB 0.02 6096 -1 -1 4 0.07 -1 -1 31880 -1 -1 2 19 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62944 19 10 60 70 1 48 31 17 17 289 -1 unnamed_device 23.0 MiB 0.07 208 1183 243 913 27 61.5 MiB 0.02 0.00 1.43883 -26.3779 -1.43883 1.43883 1.01 0.000262446 0.000241467 0.00717244 0.00660743 30 510 13 6.79088e+06 26944 556674. 1926.21 0.90 0.0373012 0.032618 24526 138013 -1 442 8 150 161 10837 2762 1.38849 1.38849 -28.1686 -1.38849 0 0 706193. 2443.58 0.22 0.02 0.15 -1 -1 0.22 0.00621874 0.00558516 16 13 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_010bits.v common 5.67 vpr 61.43 MiB 0.03 5984 -1 -1 4 0.06 -1 -1 31872 -1 -1 3 21 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62904 21 11 69 80 1 55 35 17 17 289 -1 unnamed_device 22.9 MiB 0.14 149 1859 392 1447 20 61.4 MiB 0.02 0.00 1.81478 -30.7611 -1.81478 1.81478 0.99 0.00030242 0.000278804 0.0106776 0.00985105 26 530 16 6.79088e+06 40416 503264. 1741.40 2.47 0.0955941 0.0831627 23662 119890 -1 453 13 218 270 12847 4090 1.56418 1.56418 -31.5631 -1.56418 0 0 618332. 2139.56 0.20 0.02 0.17 -1 -1 0.20 0.0093343 0.00831474 20 17 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_011bits.v common 5.30 vpr 61.43 MiB 0.02 6208 -1 -1 5 0.07 -1 -1 32472 -1 -1 3 23 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62908 23 12 73 85 1 58 38 17 17 289 -1 unnamed_device 22.9 MiB 0.14 169 1361 256 1054 51 61.4 MiB 0.02 0.00 1.99047 -33.3894 -1.99047 1.99047 0.99 0.000316205 0.0002913 0.00758864 0.00697865 26 572 14 6.79088e+06 40416 503264. 1741.40 2.20 0.0625345 0.0545784 23662 119890 -1 505 10 227 273 13680 4280 1.81483 1.81483 -36.4696 -1.81483 0 0 618332. 2139.56 0.21 0.02 0.16 -1 -1 0.21 0.00814437 0.00729971 19 16 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_012bits.v common 5.64 vpr 61.41 MiB 0.03 6000 -1 -1 5 0.06 -1 -1 31992 -1 -1 3 25 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62888 25 13 82 95 1 66 41 17 17 289 -1 unnamed_device 22.9 MiB 0.17 342 1371 258 1104 9 61.4 MiB 0.02 0.00 2.15497 -41.9864 -2.15497 2.15497 0.99 0.000348186 0.000320577 0.00777375 0.00717359 28 844 24 6.79088e+06 40416 531479. 1839.03 2.37 0.114758 0.100091 23950 126010 -1 745 18 306 367 25495 6303 2.15497 2.15497 -43.6926 -2.15497 0 0 648988. 2245.63 0.20 0.03 0.18 -1 -1 0.20 0.013553 0.0120247 24 20 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_013bits.v common 4.91 vpr 61.60 MiB 0.02 6112 -1 -1 5 0.06 -1 -1 32156 -1 -1 5 27 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63080 27 14 91 105 1 72 46 17 17 289 -1 unnamed_device 23.1 MiB 0.35 463 4474 1255 2523 696 61.6 MiB 0.04 0.00 2.15497 -48.5456 -2.15497 2.15497 0.99 0.000399026 0.000368041 0.0227203 0.0209657 34 923 17 6.79088e+06 67360 618332. 2139.56 1.40 0.101913 0.0901473 25102 150614 -1 829 11 225 292 22091 4990 1.85403 1.85403 -47.322 -1.85403 0 0 787024. 2723.27 0.24 0.02 0.22 -1 -1 0.24 0.0105731 0.00948655 28 24 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_014bits.v common 5.92 vpr 61.56 MiB 0.03 6172 -1 -1 6 0.06 -1 -1 31960 -1 -1 4 29 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63040 29 15 95 110 1 77 48 17 17 289 -1 unnamed_device 23.0 MiB 0.26 402 4920 1984 2698 238 61.6 MiB 0.05 0.00 2.44482 -52.0425 -2.44482 2.44482 0.98 0.000405688 0.000374144 0.0241725 0.0223344 28 933 20 6.79088e+06 53888 531479. 1839.03 2.51 0.116085 0.10224 23950 126010 -1 885 15 376 436 42647 9426 2.22999 2.22999 -54.5068 -2.22999 0 0 648988. 2245.63 0.20 0.03 0.16 -1 -1 0.20 0.0135981 0.0120843 27 23 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_015bits.v common 4.39 vpr 61.62 MiB 0.03 6188 -1 -1 6 0.06 -1 -1 31948 -1 -1 4 31 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63100 31 16 104 120 1 80 51 17 17 289 -1 unnamed_device 23.0 MiB 0.24 302 5785 2107 2514 1164 61.6 MiB 0.06 0.00 2.28032 -51.299 -2.28032 2.28032 0.99 0.000451362 0.000416621 0.0294246 0.0272006 28 993 30 6.79088e+06 53888 531479. 1839.03 0.98 0.0924558 0.082174 23950 126010 -1 764 9 305 389 27756 7347 2.10469 2.10469 -52.8128 -2.10469 0 0 648988. 2245.63 0.20 0.03 0.18 -1 -1 0.20 0.010598 0.00954968 30 27 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_016bits.v common 6.71 vpr 61.70 MiB 0.04 6104 -1 -1 7 0.06 -1 -1 31948 -1 -1 4 33 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63176 33 17 108 125 1 88 54 17 17 289 -1 unnamed_device 23.1 MiB 0.49 543 3930 901 2605 424 61.7 MiB 0.03 0.00 2.90693 -65.5021 -2.90693 2.90693 0.99 0.000337657 0.00030863 0.0169053 0.0155758 32 1151 15 6.79088e+06 53888 586450. 2029.24 3.03 0.107043 0.094681 24814 144142 -1 1021 11 322 397 34345 7785 2.60599 2.60599 -66.1061 -2.60599 0 0 744469. 2576.02 0.23 0.03 0.21 -1 -1 0.23 0.0121898 0.0109144 31 26 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_018bits.v common 8.20 vpr 61.90 MiB 0.01 6076 -1 -1 7 0.06 -1 -1 32524 -1 -1 5 37 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63388 37 19 127 146 1 96 61 17 17 289 -1 unnamed_device 23.3 MiB 0.45 295 7021 2437 3160 1424 61.9 MiB 0.06 0.00 2.91037 -65.8977 -2.91037 2.91037 1.00 0.000540302 0.000498111 0.0327803 0.0302565 38 1004 29 6.79088e+06 67360 678818. 2348.85 4.45 0.204589 0.181425 25966 169698 -1 726 13 454 596 36985 11002 2.57023 2.57023 -61.6477 -2.57023 0 0 902133. 3121.57 0.26 0.04 0.24 -1 -1 0.26 0.0159572 0.0143346 35 35 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_020bits.v common 5.59 vpr 61.97 MiB 0.01 6056 -1 -1 8 0.07 -1 -1 32172 -1 -1 6 41 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63460 41 21 139 160 1 112 68 17 17 289 -1 unnamed_device 23.3 MiB 0.57 508 4898 1054 3573 271 62.0 MiB 0.05 0.00 2.87117 -74.2184 -2.87117 2.87117 1.00 0.000583525 0.000539142 0.0223359 0.0206374 34 1297 33 6.79088e+06 80832 618332. 2139.56 1.66 0.150436 0.133738 25102 150614 -1 1158 32 514 702 161536 91469 2.49527 2.49527 -75.0127 -2.49527 0 0 787024. 2723.27 0.24 0.11 0.22 -1 -1 0.24 0.0351211 0.031187 42 37 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_022bits.v common 8.50 vpr 61.96 MiB 0.01 6272 -1 -1 9 0.08 -1 -1 32240 -1 -1 6 45 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63444 45 23 152 175 1 121 74 17 17 289 -1 unnamed_device 23.3 MiB 0.90 483 11079 4397 4549 2133 62.0 MiB 0.09 0.00 3.24712 -85.6968 -3.24712 3.24712 0.99 0.000635832 0.000586489 0.0463341 0.042732 48 985 13 6.79088e+06 80832 865456. 2994.66 4.11 0.235469 0.209981 27694 206865 -1 924 13 443 584 35614 9742 2.81745 2.81745 -78.2968 -2.81745 0 0 1.05005e+06 3633.38 0.31 0.04 0.31 -1 -1 0.31 0.0184597 0.016654 44 40 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_024bits.v common 8.50 vpr 62.05 MiB 0.01 6112 -1 -1 10 0.08 -1 -1 32612 -1 -1 6 49 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63540 49 25 165 190 1 130 80 17 17 289 -1 unnamed_device 23.4 MiB 1.00 468 11432 4054 6138 1240 62.1 MiB 0.09 0.00 3.73729 -98.7303 -3.73729 3.73729 0.99 0.000684158 0.000630999 0.0473606 0.0436643 44 1134 32 6.79088e+06 80832 787024. 2723.27 4.06 0.258466 0.230832 27118 194962 -1 825 12 455 603 35292 10574 3.39715 3.39715 -93.9035 -3.39715 0 0 997811. 3452.63 0.30 0.04 0.28 -1 -1 0.30 0.0187916 0.0169851 48 43 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_028bits.v common 7.36 vpr 62.11 MiB 0.01 6436 -1 -1 11 0.08 -1 -1 32560 -1 -1 9 57 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63604 57 29 199 228 1 155 95 17 17 289 -1 unnamed_device 23.4 MiB 0.66 789 16943 5998 9812 1133 62.1 MiB 0.12 0.00 4.24198 -131.364 -4.24198 4.24198 0.99 0.00083325 0.00077058 0.0677353 0.062636 34 1849 27 6.79088e+06 121248 618332. 2139.56 3.32 0.303499 0.271994 25102 150614 -1 1617 14 604 805 56644 13426 3.77654 3.77654 -126.374 -3.77654 0 0 787024. 2723.27 0.24 0.05 0.22 -1 -1 0.24 0.0251812 0.022713 57 57 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_032bits.v common 9.99 vpr 62.57 MiB 0.03 6416 -1 -1 13 0.08 -1 -1 31916 -1 -1 9 65 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64068 65 33 224 257 1 177 107 17 17 289 -1 unnamed_device 23.7 MiB 1.14 557 14275 4480 7574 2221 62.6 MiB 0.11 0.00 5.12268 -154.852 -5.12268 5.12268 0.99 0.000936652 0.000866859 0.0559329 0.0518165 44 1491 43 6.79088e+06 121248 787024. 2723.27 5.20 0.390304 0.350007 27118 194962 -1 1101 12 628 835 55457 16456 4.53194 4.53194 -140.798 -4.53194 0 0 997811. 3452.63 0.30 0.06 0.29 -1 -1 0.30 0.0255045 0.0231477 64 62 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_048bits.v common 10.89 vpr 63.11 MiB 0.04 6496 -1 -1 19 0.10 -1 -1 32420 -1 -1 14 97 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64628 97 49 340 389 1 267 160 17 17 289 -1 unnamed_device 24.0 MiB 1.30 1235 29672 8796 18851 2025 63.1 MiB 0.20 0.00 7.35388 -294.1 -7.35388 7.35388 1.04 0.00142828 0.00132544 0.102237 0.0947913 30 3204 48 6.79088e+06 188608 556674. 1926.21 5.93 0.563941 0.511028 24526 138013 -1 2519 15 1035 1438 86692 21787 6.88844 6.88844 -288.11 -6.88844 0 0 706193. 2443.58 0.22 0.09 0.20 -1 -1 0.22 0.0458368 0.0418758 99 98 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml adder_064bits.v common 11.78 vpr 64.10 MiB 0.04 6816 -1 -1 26 0.13 -1 -1 32540 -1 -1 18 129 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65640 129 65 454 519 1 353 212 17 17 289 -1 unnamed_device 24.9 MiB 2.43 1888 43751 13509 25858 4384 64.1 MiB 0.27 0.00 9.60549 -483.176 -9.60549 9.60549 0.98 0.00181034 0.00167165 0.137741 0.127808 40 3640 14 6.79088e+06 242496 706193. 2443.58 5.54 0.792926 0.723105 26254 175826 -1 3494 11 1272 1698 122564 29200 9.10429 9.10429 -461.624 -9.10429 0 0 926341. 3205.33 0.27 0.10 0.26 -1 -1 0.27 0.0488027 0.044973 128 132 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml adder_005bits.v common 4.72 vpr 61.72 MiB 0.03 6304 -1 -1 1 0.02 -1 -1 30116 -1 -1 2 11 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63200 11 6 41 42 1 27 19 17 17 289 -1 unnamed_device 23.1 MiB 0.04 62 469 108 341 20 61.7 MiB 0.01 0.00 0.811073 -12.8206 -0.811073 0.811073 0.98 0.000145209 0.000132163 0.00309704 0.00281229 24 227 10 6.87369e+06 27947.7 470940. 1629.55 1.72 0.0259067 0.0222543 24034 113901 -1 203 7 91 91 4485 1429 0.925373 0.925373 -14.5925 -0.925373 0 0 586450. 2029.24 0.18 0.01 0.17 -1 -1 0.18 0.00375072 0.00340474 12 2 7 7 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_006bits.v common 5.64 vpr 61.73 MiB 0.04 6232 -1 -1 1 0.03 -1 -1 29896 -1 -1 2 13 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63208 13 7 48 49 1 33 22 17 17 289 -1 unnamed_device 23.1 MiB 0.04 150 1222 325 707 190 61.7 MiB 0.02 0.00 0.833073 -16.2242 -0.833073 0.833073 0.99 0.000168167 0.000153185 0.00729229 0.00665044 28 281 17 6.87369e+06 27947.7 531479. 1839.03 2.57 0.043269 0.0372084 24610 126494 -1 242 14 124 124 5686 1863 0.936373 0.936373 -16.4265 -0.936373 0 0 648988. 2245.63 0.22 0.01 0.16 -1 -1 0.22 0.00594807 0.00528549 14 2 8 8 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_007bits.v common 3.80 vpr 61.91 MiB 0.01 6304 -1 -1 1 0.02 -1 -1 30084 -1 -1 3 15 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63400 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 23.2 MiB 0.09 117 786 177 561 48 61.9 MiB 0.01 0.00 1.2044 -18.3747 -1.2044 1.2044 0.99 0.000196387 0.000179734 0.00443001 0.00404365 26 382 22 6.87369e+06 41921.5 503264. 1741.40 0.81 0.0320397 0.0276935 24322 120374 -1 292 15 222 222 14664 4565 1.09267 1.09267 -21.2751 -1.09267 0 0 618332. 2139.56 0.19 0.02 0.09 -1 -1 0.19 0.00698752 0.00616179 17 2 9 9 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_008bits.v common 5.15 vpr 61.77 MiB 0.03 6392 -1 -1 1 0.02 -1 -1 30164 -1 -1 3 17 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63256 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 23.0 MiB 0.05 110 1173 271 830 72 61.8 MiB 0.02 0.00 1.2154 -20.999 -1.2154 1.2154 1.00 0.000218159 0.000200313 0.00636808 0.00584089 26 312 15 6.87369e+06 41921.5 503264. 1741.40 2.02 0.0613298 0.0528073 24322 120374 -1 246 13 192 192 8953 3600 1.09267 1.09267 -23.67 -1.09267 0 0 618332. 2139.56 0.20 0.02 0.17 -1 -1 0.20 0.00695857 0.00611879 18 2 10 10 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_009bits.v common 3.98 vpr 61.91 MiB 0.03 6252 -1 -1 1 0.02 -1 -1 30156 -1 -1 3 19 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63392 19 10 69 70 1 45 32 17 17 289 -1 unnamed_device 23.2 MiB 0.06 195 882 180 665 37 61.9 MiB 0.01 0.00 1.2264 -24.9075 -1.2264 1.2264 1.00 0.000284324 0.000263953 0.00494312 0.00455141 26 435 13 6.87369e+06 41921.5 503264. 1741.40 0.86 0.0359912 0.0313663 24322 120374 -1 415 16 233 233 20546 5023 0.978373 0.978373 -26.796 -0.978373 0 0 618332. 2139.56 0.21 0.02 0.15 -1 -1 0.21 0.00875487 0.00770288 20 2 11 11 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_010bits.v common 5.99 vpr 61.82 MiB 0.01 6372 -1 -1 1 0.02 -1 -1 30116 -1 -1 3 21 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63308 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 23.1 MiB 0.05 144 2885 1003 1217 665 61.8 MiB 0.03 0.00 1.2374 -27.1265 -1.2374 1.2374 1.00 0.000276252 0.000254303 0.0143589 0.013222 32 390 14 6.87369e+06 41921.5 586450. 2029.24 2.80 0.0785 0.0678134 25474 144626 -1 344 18 234 234 17612 4663 1.02237 1.02237 -27.8083 -1.02237 0 0 744469. 2576.02 0.23 0.02 0.21 -1 -1 0.23 0.0103278 0.00906292 22 2 12 12 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_011bits.v common 4.09 vpr 62.01 MiB 0.03 6328 -1 -1 1 0.02 -1 -1 30196 -1 -1 4 23 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63496 23 12 83 84 1 53 39 17 17 289 -1 unnamed_device 23.3 MiB 0.05 160 3141 1130 1425 586 62.0 MiB 0.03 0.00 1.2484 -29.9027 -1.2484 1.2484 0.99 0.00029328 0.000269865 0.0146375 0.0134684 30 454 15 6.87369e+06 55895.4 556674. 1926.21 0.91 0.0482079 0.0424797 25186 138497 -1 352 12 239 239 12891 3626 1.02237 1.02237 -29.2694 -1.02237 0 0 706193. 2443.58 0.22 0.02 0.20 -1 -1 0.22 0.00832743 0.00738477 24 2 13 13 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_012bits.v common 3.99 vpr 61.87 MiB 0.01 6276 -1 -1 1 0.03 -1 -1 30048 -1 -1 4 25 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63352 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 23.2 MiB 0.07 273 1986 428 1427 131 61.9 MiB 0.01 0.00 1.2594 -33.6091 -1.2594 1.2594 1.05 0.000125353 0.000113518 0.00411513 0.00374557 30 631 14 6.87369e+06 55895.4 556674. 1926.21 0.83 0.0354684 0.0309361 25186 138497 -1 554 14 247 247 18730 4520 1.12567 1.12567 -36.3184 -1.12567 0 0 706193. 2443.58 0.22 0.02 0.20 -1 -1 0.22 0.00987842 0.00876282 26 2 14 14 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_013bits.v common 6.16 vpr 61.96 MiB 0.02 6352 -1 -1 1 0.02 -1 -1 30156 -1 -1 4 27 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63448 27 14 97 98 1 67 45 17 17 289 -1 unnamed_device 23.2 MiB 0.05 172 3005 731 2225 49 62.0 MiB 0.03 0.00 1.2704 -34.9378 -1.2704 1.2704 1.19 0.000236037 0.000215187 0.0100865 0.00921241 30 594 15 6.87369e+06 55895.4 556674. 1926.21 2.80 0.0980279 0.0853002 25186 138497 -1 494 11 327 327 19297 6021 1.13667 1.13667 -37.7012 -1.13667 0 0 706193. 2443.58 0.22 0.02 0.20 -1 -1 0.22 0.00881132 0.00785437 28 2 15 15 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_014bits.v common 4.80 vpr 62.11 MiB 0.02 6324 -1 -1 1 0.03 -1 -1 30316 -1 -1 4 29 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63596 29 15 104 105 1 74 48 17 17 289 -1 unnamed_device 23.4 MiB 0.07 229 5703 2009 2867 827 62.1 MiB 0.05 0.00 1.2814 -38.1431 -1.2814 1.2814 1.01 0.000354957 0.000326431 0.0242362 0.0222766 36 705 22 6.87369e+06 55895.4 648988. 2245.63 1.54 0.0981077 0.0865236 26050 158493 -1 593 20 448 448 38316 9674 1.21997 1.21997 -41.0657 -1.21997 0 0 828058. 2865.25 0.25 0.04 0.23 -1 -1 0.25 0.0144347 0.0127397 31 2 16 16 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_015bits.v common 4.26 vpr 62.03 MiB 0.01 6368 -1 -1 1 0.02 -1 -1 30272 -1 -1 5 31 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63520 31 16 111 112 1 80 52 17 17 289 -1 unnamed_device 23.5 MiB 0.21 343 2477 453 1920 104 62.0 MiB 0.03 0.00 1.65273 -42.8517 -1.65273 1.65273 1.00 0.000383909 0.000354168 0.0106255 0.00979454 30 859 17 6.87369e+06 69869.2 556674. 1926.21 0.94 0.0553969 0.0489341 25186 138497 -1 770 14 373 373 28625 6921 1.33697 1.33697 -48.5774 -1.33697 0 0 706193. 2443.58 0.22 0.03 0.19 -1 -1 0.22 0.0116304 0.0103368 33 2 17 17 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_016bits.v common 6.21 vpr 62.04 MiB 0.03 6372 -1 -1 1 0.02 -1 -1 30212 -1 -1 5 33 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63532 33 17 118 119 1 83 55 17 17 289 -1 unnamed_device 23.5 MiB 0.08 529 6191 2034 3087 1070 62.0 MiB 0.05 0.00 1.66373 -51.7075 -1.66373 1.66373 1.00 0.0004145 0.000382545 0.0252684 0.023301 32 1065 18 6.87369e+06 69869.2 586450. 2029.24 2.88 0.133204 0.117034 25474 144626 -1 943 19 475 475 53953 11566 1.16767 1.16767 -52.3929 -1.16767 0 0 744469. 2576.02 0.23 0.04 0.21 -1 -1 0.23 0.0157722 0.0139482 34 2 18 18 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_018bits.v common 4.18 vpr 62.04 MiB 0.02 6416 -1 -1 1 0.02 -1 -1 30344 -1 -1 5 37 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63528 37 19 132 133 1 89 61 17 17 289 -1 unnamed_device 23.4 MiB 0.08 389 3541 680 2732 129 62.0 MiB 0.04 0.00 1.68573 -52.6978 -1.68573 1.68573 1.00 0.000459838 0.000425019 0.014545 0.0134267 30 915 18 6.87369e+06 69869.2 556674. 1926.21 0.98 0.0692441 0.0612958 25186 138497 -1 809 16 444 444 29186 7694 1.23367 1.23367 -54.8261 -1.23367 0 0 706193. 2443.58 0.21 0.04 0.14 -1 -1 0.21 0.0154505 0.0136839 38 2 20 20 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_020bits.v common 6.62 vpr 62.26 MiB 0.02 6404 -1 -1 1 0.02 -1 -1 30432 -1 -1 6 41 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63756 41 21 146 147 1 101 68 17 17 289 -1 unnamed_device 23.7 MiB 0.08 521 9176 2350 6174 652 62.3 MiB 0.07 0.00 1.70773 -62.9967 -1.70773 1.70773 1.01 0.000500004 0.000461133 0.0345915 0.0318955 34 1157 15 6.87369e+06 83843 618332. 2139.56 3.27 0.145983 0.129021 25762 151098 -1 1015 15 482 482 42077 9550 1.25567 1.25567 -63.2916 -1.25567 0 0 787024. 2723.27 0.24 0.04 0.22 -1 -1 0.24 0.0159986 0.0142184 42 2 22 22 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_022bits.v common 4.86 vpr 62.08 MiB 0.01 6456 -1 -1 1 0.02 -1 -1 30408 -1 -1 6 45 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63572 45 23 160 161 1 115 74 17 17 289 -1 unnamed_device 23.4 MiB 0.12 729 8754 2978 4459 1317 62.1 MiB 0.07 0.00 1.72973 -73.6047 -1.72973 1.72973 1.00 0.000546667 0.00050444 0.032144 0.0296624 34 1410 15 6.87369e+06 83843 618332. 2139.56 1.54 0.138171 0.123087 25762 151098 -1 1333 17 622 622 69602 14433 1.19167 1.19167 -70.9194 -1.19167 0 0 787024. 2723.27 0.25 0.05 0.21 -1 -1 0.25 0.0190094 0.0169277 47 2 24 24 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_024bits.v common 4.92 vpr 62.36 MiB 0.02 6312 -1 -1 1 0.02 -1 -1 30312 -1 -1 7 49 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63856 49 25 174 175 1 124 81 17 17 289 -1 unnamed_device 23.8 MiB 0.11 626 6381 1405 4813 163 62.4 MiB 0.06 0.00 2.11206 -77.6657 -2.11206 2.11206 1.03 0.000594108 0.000549315 0.0231493 0.0214084 34 1495 13 6.87369e+06 97816.9 618332. 2139.56 1.54 0.13538 0.1203 25762 151098 -1 1293 18 673 673 73809 16078 1.29767 1.29767 -76.6149 -1.29767 0 0 787024. 2723.27 0.24 0.06 0.22 -1 -1 0.24 0.0215376 0.0192083 50 2 26 26 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_028bits.v common 5.03 vpr 62.33 MiB 0.02 6508 -1 -1 1 0.03 -1 -1 29996 -1 -1 8 57 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63824 57 29 202 203 1 142 94 17 17 289 -1 unnamed_device 23.7 MiB 0.11 827 15004 5503 7924 1577 62.3 MiB 0.11 0.00 2.15606 -95.1972 -2.15606 2.15606 0.99 0.000685798 0.00063325 0.049919 0.0461063 34 1703 21 6.87369e+06 111791 618332. 2139.56 1.58 0.189625 0.169925 25762 151098 -1 1498 14 685 685 69309 14935 1.24467 1.24467 -86.3159 -1.24467 0 0 787024. 2723.27 0.24 0.06 0.20 -1 -1 0.24 0.0203509 0.018277 58 2 30 30 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_032bits.v common 5.30 vpr 62.82 MiB 0.03 6540 -1 -1 1 0.03 -1 -1 30516 -1 -1 9 65 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64324 65 33 230 231 1 165 107 17 17 289 -1 unnamed_device 23.7 MiB 0.11 897 16046 5312 9371 1363 62.8 MiB 0.12 0.00 2.56039 -113.342 -2.56039 2.56039 1.06 0.000795239 0.000736441 0.0525279 0.0486069 34 1942 19 6.87369e+06 125765 618332. 2139.56 1.73 0.210843 0.189255 25762 151098 -1 1734 16 836 836 85652 18837 1.33067 1.33067 -101.66 -1.33067 0 0 787024. 2723.27 0.24 0.07 0.22 -1 -1 0.24 0.0260876 0.0233577 66 2 34 34 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_048bits.v common 5.94 vpr 63.59 MiB 0.02 6768 -1 -1 1 0.04 -1 -1 30420 -1 -1 13 97 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65116 97 49 342 343 1 247 159 17 17 289 -1 unnamed_device 24.6 MiB 0.08 1266 31119 10503 17920 2696 63.6 MiB 0.23 0.01 3.45705 -186.713 -3.45705 3.45705 1.00 0.00121672 0.00113032 0.0906143 0.0841468 34 3097 25 6.87369e+06 181660 618332. 2139.56 2.27 0.349134 0.316863 25762 151098 -1 2661 21 1353 1353 136061 31320 1.66567 1.66567 -162.074 -1.66567 0 0 787024. 2723.27 0.24 0.09 0.22 -1 -1 0.24 0.0368324 0.0331614 98 2 50 50 0 0 -fixed_k6_frac_ripple_N8_22nm.xml adder_064bits.v common 6.29 vpr 63.95 MiB 0.03 6980 -1 -1 1 0.04 -1 -1 30240 -1 -1 17 129 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65484 129 65 454 455 1 329 211 17 17 289 -1 unnamed_device 25.0 MiB 0.13 1688 49117 18704 27900 2513 63.9 MiB 0.39 0.01 4.35372 -274.791 -4.35372 4.35372 0.99 0.0016391 0.00152441 0.138557 0.129324 36 3981 28 6.87369e+06 237555 648988. 2245.63 2.31 0.431598 0.395411 26050 158493 -1 3348 17 1626 1626 161860 37131 1.87697 1.87697 -215.085 -1.87697 0 0 828058. 2865.25 0.25 0.13 0.23 -1 -1 0.25 0.0558962 0.051049 130 2 66 66 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_005bits.v common 5.09 vpr 61.48 MiB 0.01 6404 -1 -1 1 0.02 -1 -1 30040 -1 -1 2 11 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62960 11 6 41 42 1 27 19 17 17 289 -1 unnamed_device 22.8 MiB 0.04 64 444 102 328 14 61.5 MiB 0.01 0.00 0.850273 -12.9291 -0.850273 0.850273 1.01 0.000145656 0.000132694 0.00336032 0.00309287 22 237 9 6.89349e+06 28187.7 443629. 1535.05 2.07 0.0242386 0.0207624 23458 102101 -1 205 7 82 82 5336 1711 0.92732 0.92732 -14.6415 -0.92732 0 0 531479. 1839.03 0.17 0.01 0.14 -1 -1 0.17 0.00361733 0.00328142 12 2 7 7 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_006bits.v common 3.89 vpr 61.62 MiB 0.05 6232 -1 -1 1 0.02 -1 -1 30196 -1 -1 2 13 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63100 13 7 48 49 1 33 22 17 17 289 -1 unnamed_device 23.0 MiB 0.04 94 1012 287 559 166 61.6 MiB 0.01 0.00 0.872273 -16.069 -0.872273 0.872273 0.99 0.000169023 0.00015433 0.0061366 0.0055923 24 259 20 6.89349e+06 28187.7 470940. 1629.55 0.75 0.0278987 0.0242422 24034 113901 -1 207 13 130 130 5574 1866 0.953573 0.953573 -16.154 -0.953573 0 0 586450. 2029.24 0.25 0.01 0.14 -1 -1 0.25 0.00491867 0.00439971 14 2 8 8 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_007bits.v common 4.77 vpr 61.99 MiB 0.02 6128 -1 -1 1 0.02 -1 -1 30084 -1 -1 3 15 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63480 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 23.3 MiB 0.06 116 862 194 615 53 62.0 MiB 0.01 0.00 1.2216 -18.4475 -1.2216 1.2216 0.99 0.000195289 0.000178568 0.00492427 0.00451004 26 380 23 6.89349e+06 42281.5 503264. 1741.40 1.67 0.0483599 0.0414263 24322 120374 -1 299 10 181 181 10196 3192 1.08787 1.08787 -20.7417 -1.08787 0 0 618332. 2139.56 0.20 0.01 0.17 -1 -1 0.20 0.00532624 0.00474967 17 2 9 9 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_008bits.v common 4.98 vpr 61.57 MiB 0.01 6284 -1 -1 1 0.02 -1 -1 30128 -1 -1 3 17 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63048 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 22.9 MiB 0.05 144 1041 203 767 71 61.6 MiB 0.01 0.00 1.2326 -21.8949 -1.2326 1.2326 1.00 0.000218316 0.000200418 0.00572431 0.00525213 24 415 18 6.89349e+06 42281.5 470940. 1629.55 2.00 0.0431018 0.0372535 24034 113901 -1 396 8 135 135 15448 4273 1.12264 1.12264 -24.7204 -1.12264 0 0 586450. 2029.24 0.18 0.02 0.16 -1 -1 0.18 0.00519604 0.00465696 18 2 10 10 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_009bits.v common 4.81 vpr 61.85 MiB 0.02 6300 -1 -1 1 0.02 -1 -1 30240 -1 -1 3 19 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63332 19 10 69 70 1 45 32 17 17 289 -1 unnamed_device 23.1 MiB 0.04 197 882 180 659 43 61.8 MiB 0.01 0.00 1.2436 -25.2401 -1.2436 1.2436 1.00 0.000246961 0.000226699 0.00482106 0.00442421 22 482 11 6.89349e+06 42281.5 443629. 1535.05 1.76 0.0420673 0.0363764 23458 102101 -1 417 14 211 211 18191 4598 1.00037 1.00037 -27.3059 -1.00037 0 0 531479. 1839.03 0.17 0.02 0.14 -1 -1 0.17 0.00689529 0.00605693 20 2 11 11 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_010bits.v common 3.95 vpr 61.70 MiB 0.01 6152 -1 -1 1 0.02 -1 -1 30176 -1 -1 3 21 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63180 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 23.0 MiB 0.06 152 2828 924 1199 705 61.7 MiB 0.01 0.00 1.2546 -27.6038 -1.2546 1.2546 1.02 0.000106307 9.5646e-05 0.00574023 0.00517739 32 442 15 6.89349e+06 42281.5 586450. 2029.24 0.85 0.0369444 0.0320409 25474 144626 -1 355 13 229 229 14579 3871 1.11467 1.11467 -28.1847 -1.11467 0 0 744469. 2576.02 0.23 0.02 0.21 -1 -1 0.23 0.00820093 0.00724433 22 2 12 12 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_011bits.v common 4.10 vpr 61.71 MiB 0.02 6336 -1 -1 1 0.02 -1 -1 29964 -1 -1 4 23 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63196 23 12 83 84 1 53 39 17 17 289 -1 unnamed_device 23.1 MiB 0.06 170 3141 1081 1522 538 61.7 MiB 0.03 0.00 1.2656 -29.9906 -1.2656 1.2656 1.00 0.000292065 0.000268831 0.0145607 0.0133952 30 485 12 6.89349e+06 56375.4 556674. 1926.21 0.89 0.048322 0.0427562 25186 138497 -1 365 9 218 218 10416 3156 1.03337 1.03337 -30.0089 -1.03337 0 0 706193. 2443.58 0.22 0.02 0.20 -1 -1 0.22 0.00688918 0.00615585 24 2 13 13 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_012bits.v common 4.10 vpr 61.84 MiB 0.02 6320 -1 -1 1 0.02 -1 -1 30164 -1 -1 4 25 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63324 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 23.2 MiB 0.06 267 1770 323 1350 97 61.8 MiB 0.02 0.00 1.2766 -33.681 -1.2766 1.2766 1.00 0.000316426 0.000291642 0.00855786 0.00788705 30 614 24 6.89349e+06 56375.4 556674. 1926.21 0.90 0.0489986 0.0429372 25186 138497 -1 579 13 248 248 19210 4642 1.16487 1.16487 -37.5811 -1.16487 0 0 706193. 2443.58 0.22 0.02 0.20 -1 -1 0.22 0.00932116 0.00826245 26 2 14 14 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_013bits.v common 5.77 vpr 61.99 MiB 0.02 6352 -1 -1 1 0.03 -1 -1 30252 -1 -1 4 27 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63476 27 14 97 98 1 67 45 17 17 289 -1 unnamed_device 23.3 MiB 0.06 179 2845 630 2158 57 62.0 MiB 0.03 0.00 1.2876 -35.4048 -1.2876 1.2876 1.00 0.000334473 0.000307979 0.0126804 0.0116794 28 598 10 6.89349e+06 56375.4 531479. 1839.03 2.68 0.0778619 0.0681616 24610 126494 -1 548 10 348 348 22327 7097 1.03967 1.03967 -38.3793 -1.03967 0 0 648988. 2245.63 0.20 0.02 0.11 -1 -1 0.20 0.0082886 0.00739178 28 2 15 15 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_014bits.v common 5.18 vpr 61.78 MiB 0.03 6340 -1 -1 1 0.02 -1 -1 30348 -1 -1 4 29 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63264 29 15 104 105 1 74 48 17 17 289 -1 unnamed_device 23.0 MiB 0.06 450 5094 1548 3117 429 61.8 MiB 0.05 0.00 1.2986 -43.7121 -1.2986 1.2986 1.00 0.000355516 0.000325802 0.0219557 0.0201198 30 897 13 6.89349e+06 56375.4 556674. 1926.21 1.88 0.100337 0.0881229 25186 138497 -1 783 15 367 367 28287 6208 1.05537 1.05537 -44.1937 -1.05537 0 0 706193. 2443.58 0.22 0.03 0.20 -1 -1 0.22 0.0115455 0.0102181 31 2 16 16 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_015bits.v common 4.34 vpr 61.83 MiB 0.03 6252 -1 -1 1 0.03 -1 -1 30284 -1 -1 5 31 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63316 31 16 111 112 1 80 52 17 17 289 -1 unnamed_device 23.2 MiB 0.14 357 2768 540 2100 128 61.8 MiB 0.03 0.00 1.66993 -43.6057 -1.66993 1.66993 1.03 0.000379643 0.000349825 0.0121898 0.0112565 30 837 20 6.89349e+06 70469.2 556674. 1926.21 0.92 0.0585313 0.0516536 25186 138497 -1 781 14 361 361 27928 6699 1.21787 1.21787 -47.4127 -1.21787 0 0 706193. 2443.58 0.27 0.03 0.18 -1 -1 0.27 0.0117908 0.0104759 33 2 17 17 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_016bits.v common 4.19 vpr 61.77 MiB 0.02 6472 -1 -1 1 0.02 -1 -1 30500 -1 -1 5 33 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63252 33 17 118 119 1 83 55 17 17 289 -1 unnamed_device 23.3 MiB 0.09 305 6191 2553 3573 65 61.8 MiB 0.05 0.00 1.68093 -46.9077 -1.68093 1.68093 1.00 0.00040672 0.000374587 0.0252435 0.0232512 32 847 17 6.89349e+06 70469.2 586450. 2029.24 0.97 0.0728467 0.0648887 25474 144626 -1 637 18 361 361 38259 9985 1.13667 1.13667 -45.0233 -1.13667 0 0 744469. 2576.02 0.22 0.04 0.11 -1 -1 0.22 0.0152467 0.0134756 34 2 18 18 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_018bits.v common 5.29 vpr 62.09 MiB 0.01 6432 -1 -1 1 0.02 -1 -1 30352 -1 -1 5 37 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63584 37 19 132 133 1 89 61 17 17 289 -1 unnamed_device 23.6 MiB 0.07 389 3541 674 2740 127 62.1 MiB 0.03 0.00 1.70293 -53.0421 -1.70293 1.70293 1.01 0.000461074 0.000425981 0.0145987 0.013486 30 873 17 6.89349e+06 70469.2 556674. 1926.21 2.04 0.11842 0.103829 25186 138497 -1 796 13 362 362 22124 5809 1.12567 1.12567 -52.3224 -1.12567 0 0 706193. 2443.58 0.22 0.03 0.20 -1 -1 0.22 0.0131863 0.0116944 38 2 20 20 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_020bits.v common 4.90 vpr 62.24 MiB 0.03 6368 -1 -1 1 0.03 -1 -1 30496 -1 -1 6 41 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63732 41 21 146 147 1 101 68 17 17 289 -1 unnamed_device 23.7 MiB 0.07 518 8624 2120 6023 481 62.2 MiB 0.07 0.00 1.72493 -63.2115 -1.72493 1.72493 1.01 0.000502665 0.00046437 0.032912 0.03043 34 1201 12 6.89349e+06 84563 618332. 2139.56 1.47 0.128077 0.113684 25762 151098 -1 1092 19 486 486 44758 10102 1.05732 1.05732 -60.475 -1.05732 0 0 787024. 2723.27 0.24 0.05 0.22 -1 -1 0.24 0.0191615 0.0169569 42 2 22 22 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_022bits.v common 4.89 vpr 62.16 MiB 0.07 6400 -1 -1 1 0.03 -1 -1 30320 -1 -1 6 45 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63656 45 23 160 161 1 115 74 17 17 289 -1 unnamed_device 23.6 MiB 0.07 723 8754 3062 4670 1022 62.2 MiB 0.07 0.00 1.74693 -75.1597 -1.74693 1.74693 1.00 0.000548145 0.000506193 0.0322829 0.0297954 34 1449 13 6.89349e+06 84563 618332. 2139.56 1.51 0.136395 0.121126 25762 151098 -1 1323 17 566 566 61281 12665 1.18067 1.18067 -69.4326 -1.18067 0 0 787024. 2723.27 0.24 0.05 0.22 -1 -1 0.24 0.0192756 0.0171967 47 2 24 24 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_024bits.v common 4.97 vpr 62.20 MiB 0.03 6460 -1 -1 1 0.02 -1 -1 30324 -1 -1 7 49 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63696 49 25 174 175 1 124 81 17 17 289 -1 unnamed_device 23.6 MiB 0.10 626 7431 1738 5510 183 62.2 MiB 0.07 0.00 2.12926 -77.4465 -2.12926 2.12926 0.99 0.000597739 0.000552859 0.0265395 0.0245343 34 1418 16 6.89349e+06 98656.9 618332. 2139.56 1.51 0.143182 0.1274 25762 151098 -1 1247 15 537 537 47107 10808 1.35887 1.35887 -77.4553 -1.35887 0 0 787024. 2723.27 0.24 0.05 0.22 -1 -1 0.24 0.0186467 0.0166572 50 2 26 26 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_028bits.v common 5.16 vpr 62.36 MiB 0.02 6424 -1 -1 1 0.03 -1 -1 30160 -1 -1 8 57 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63852 57 29 202 203 1 142 94 17 17 289 -1 unnamed_device 23.8 MiB 0.12 744 15004 6297 8531 176 62.4 MiB 0.11 0.00 2.17326 -95.1695 -2.17326 2.17326 1.00 0.00068394 0.000631618 0.0499335 0.0461505 34 1591 25 6.89349e+06 112751 618332. 2139.56 1.64 0.195702 0.175187 25762 151098 -1 1347 18 763 763 72750 17001 1.27767 1.27767 -84.9346 -1.27767 0 0 787024. 2723.27 0.24 0.06 0.22 -1 -1 0.24 0.0246571 0.0220987 58 2 30 30 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_032bits.v common 5.09 vpr 62.41 MiB 0.02 6416 -1 -1 1 0.03 -1 -1 30360 -1 -1 9 65 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63908 65 33 230 231 1 165 107 17 17 289 -1 unnamed_device 23.7 MiB 0.09 917 16046 5086 9451 1509 62.4 MiB 0.12 0.00 2.57759 -114.077 -2.57759 2.57759 1.01 0.000794256 0.00073469 0.0524572 0.0485583 34 1955 15 6.89349e+06 126845 618332. 2139.56 1.64 0.207582 0.186274 25762 151098 -1 1772 11 686 686 59886 13353 1.43387 1.43387 -105.391 -1.43387 0 0 787024. 2723.27 0.24 0.05 0.22 -1 -1 0.24 0.0193739 0.0174416 66 2 34 34 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_048bits.v common 5.87 vpr 63.18 MiB 0.03 6764 -1 -1 1 0.04 -1 -1 30372 -1 -1 13 97 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64700 97 49 342 343 1 247 159 17 17 289 -1 unnamed_device 24.3 MiB 0.13 1233 31119 11476 17865 1778 63.2 MiB 0.22 0.01 3.47425 -185.498 -3.47425 3.47425 1.01 0.00120524 0.00111939 0.0901849 0.0837306 34 2983 38 6.89349e+06 183220 618332. 2139.56 2.13 0.373536 0.33893 25762 151098 -1 2521 14 1152 1152 95549 22586 1.63897 1.63897 -154.38 -1.63897 0 0 787024. 2723.27 0.24 0.09 0.21 -1 -1 0.24 0.0348544 0.031624 98 2 50 50 0 0 -fixed_k6_frac_uripple_N8_22nm.xml adder_064bits.v common 7.45 vpr 63.84 MiB 0.08 7080 -1 -1 1 0.04 -1 -1 30328 -1 -1 17 129 0 0 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65376 129 65 454 455 1 329 211 17 17 289 -1 unnamed_device 24.9 MiB 0.13 1684 49117 17884 28151 3082 63.8 MiB 0.36 0.01 4.37092 -277.44 -4.37092 4.37092 1.00 0.00165169 0.00153753 0.131784 0.122654 34 4750 41 6.89349e+06 239595 618332. 2139.56 3.45 0.540337 0.492949 25762 151098 -1 3584 18 1608 1608 171091 39159 1.85062 1.85062 -221.741 -1.85062 0 0 787024. 2723.27 0.24 0.14 0.22 -1 -1 0.24 0.0581331 0.0530167 130 2 66 66 0 0 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_005bits.v common 2.26 vpr 61.58 MiB 0.03 6444 -1 -1 2 0.05 -1 -1 31768 -1 -1 2 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63060 11 6 34 40 1 20 19 17 17 289 -1 unnamed_device 22.9 MiB 0.01 130 394 82 301 11 61.6 MiB 0.01 0.00 1.13885 -14.0146 -1.13885 1.13885 0.32 0.000122715 0.000111905 0.00236611 0.00216193 -1 -1 -1 -1 26 218 5 6.55708e+06 24110 477104. 1650.88 0.84 0.0245212 0.0201793 21022 109990 -1 206 5 43 48 4257 1028 1.13885 1.13885 -14.7223 -1.13885 0 0 585099. 2024.56 0.02 0.01 0.09 -1 -1 0.02 0.00260643 0.00236173 16 7 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_006bits.v common 1.94 vpr 61.66 MiB 0.03 6308 -1 -1 3 0.06 -1 -1 32080 -1 -1 3 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63136 13 7 41 48 1 27 23 17 17 289 -1 unnamed_device 22.9 MiB 0.01 89 887 227 554 106 61.7 MiB 0.01 0.00 1.37725 -15.4804 -1.37725 1.37725 0.33 0.000148682 0.000136138 0.00420888 0.00384431 -1 -1 -1 -1 20 157 8 6.55708e+06 36165 394039. 1363.46 0.52 0.0125893 0.0108682 19870 87366 -1 153 6 78 88 3997 1739 1.25705 1.25705 -15.7895 -1.25705 0 0 477104. 1650.88 0.02 0.01 0.07 -1 -1 0.02 0.00296234 0.00265101 19 9 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_007bits.v common 1.79 vpr 61.64 MiB 0.02 6372 -1 -1 3 0.05 -1 -1 32476 -1 -1 4 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63116 15 8 47 55 1 35 27 17 17 289 -1 unnamed_device 23.2 MiB 0.01 130 1227 294 745 188 61.6 MiB 0.04 0.00 1.23151 -17.8131 -1.23151 1.23151 0.31 0.000251661 0.000232432 0.00907333 0.00831964 -1 -1 -1 -1 26 323 11 6.55708e+06 48220 477104. 1650.88 0.33 0.0245422 0.0211268 21022 109990 -1 248 9 79 100 4761 1525 1.13885 1.13885 -18.5343 -1.13885 0 0 585099. 2024.56 0.03 0.01 0.09 -1 -1 0.03 0.0039751 0.00350554 23 10 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_008bits.v common 1.97 vpr 61.75 MiB 0.02 6292 -1 -1 3 0.06 -1 -1 32132 -1 -1 6 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63236 17 9 56 65 1 37 32 17 17 289 -1 unnamed_device 23.3 MiB 0.02 178 932 169 687 76 61.8 MiB 0.01 0.00 1.77705 -23.0458 -1.77705 1.77705 0.31 0.000174397 0.000161035 0.00373922 0.00346015 -1 -1 -1 -1 20 342 11 6.55708e+06 72330 394039. 1363.46 0.56 0.0159688 0.0137057 19870 87366 -1 328 13 123 161 9114 2904 1.77705 1.77705 -24.539 -1.77705 0 0 477104. 1650.88 0.02 0.01 0.07 -1 -1 0.02 0.00580726 0.00504644 26 14 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_009bits.v common 2.15 vpr 62.00 MiB 0.03 6376 -1 -1 4 0.06 -1 -1 31884 -1 -1 6 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63492 19 10 60 70 1 46 35 17 17 289 -1 unnamed_device 23.6 MiB 0.02 186 1232 224 942 66 62.0 MiB 0.01 0.00 1.83817 -25.6813 -1.83817 1.83817 0.31 0.000184382 0.000170589 0.00452271 0.00419042 -1 -1 -1 -1 20 466 19 6.55708e+06 72330 394039. 1363.46 0.71 0.0279027 0.0235479 19870 87366 -1 393 15 214 298 11674 4307 2.03937 2.03937 -30.3326 -2.03937 0 0 477104. 1650.88 0.02 0.02 0.07 -1 -1 0.02 0.00672168 0.00579046 29 13 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_010bits.v common 2.07 vpr 61.77 MiB 0.03 6324 -1 -1 4 0.06 -1 -1 31936 -1 -1 7 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63256 21 11 69 80 1 46 39 17 17 289 -1 unnamed_device 23.3 MiB 0.02 276 1359 263 1085 11 61.8 MiB 0.01 0.00 1.9859 -31.0743 -1.9859 1.9859 0.31 0.00021121 0.000195409 0.00496748 0.00458686 -1 -1 -1 -1 24 564 17 6.55708e+06 84385 448715. 1552.65 0.61 0.0325681 0.0275036 20734 103517 -1 514 9 133 201 13014 3345 1.7455 1.7455 -31.9552 -1.7455 0 0 554710. 1919.41 0.02 0.01 0.09 -1 -1 0.02 0.00525742 0.00461312 33 17 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_011bits.v common 2.16 vpr 61.84 MiB 0.03 6428 -1 -1 5 0.06 -1 -1 32364 -1 -1 6 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63320 23 12 73 85 1 53 41 17 17 289 -1 unnamed_device 23.3 MiB 0.02 292 1441 261 1160 20 61.8 MiB 0.01 0.00 2.60682 -38.0792 -2.60682 2.60682 0.31 0.000219464 0.000203084 0.00513191 0.00474464 -1 -1 -1 -1 22 602 9 6.55708e+06 72330 420624. 1455.45 0.69 0.0348324 0.0294077 20158 92377 -1 535 10 166 220 13909 3706 2.48662 2.48662 -38.3511 -2.48662 0 0 500653. 1732.36 0.02 0.02 0.08 -1 -1 0.02 0.00585718 0.00513458 34 16 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_012bits.v common 2.17 vpr 61.76 MiB 0.03 6308 -1 -1 5 0.06 -1 -1 31864 -1 -1 8 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63240 25 13 82 95 1 61 46 17 17 289 -1 unnamed_device 23.2 MiB 0.03 391 1932 388 1396 148 61.8 MiB 0.02 0.00 2.1851 -40.0296 -2.1851 2.1851 0.31 0.000241424 0.000223353 0.00638335 0.00590312 -1 -1 -1 -1 26 680 12 6.55708e+06 96440 477104. 1650.88 0.69 0.0630077 0.0530182 21022 109990 -1 649 11 188 284 12781 3584 2.1851 2.1851 -42.0607 -2.1851 0 0 585099. 2024.56 0.03 0.02 0.09 -1 -1 0.03 0.00671151 0.00588002 39 20 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_013bits.v common 2.13 vpr 61.99 MiB 0.03 6376 -1 -1 5 0.06 -1 -1 32084 -1 -1 10 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63476 27 14 91 105 1 72 51 17 17 289 -1 unnamed_device 23.3 MiB 0.03 462 2683 577 1816 290 62.0 MiB 0.02 0.00 2.59656 -45.1104 -2.59656 2.59656 0.28 0.000274162 0.00025416 0.00861471 0.00797451 -1 -1 -1 -1 24 752 11 6.55708e+06 120550 448715. 1552.65 0.60 0.0418406 0.0358196 20734 103517 -1 739 14 209 307 17867 4574 2.3837 2.3837 -46.1866 -2.3837 0 0 554710. 1919.41 0.02 0.02 0.09 -1 -1 0.02 0.00866172 0.00751515 44 24 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_014bits.v common 1.93 vpr 62.11 MiB 0.03 6296 -1 -1 6 0.06 -1 -1 31788 -1 -1 10 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63604 29 15 95 110 1 74 54 17 17 289 -1 unnamed_device 23.4 MiB 0.03 397 3318 653 2620 45 62.1 MiB 0.03 0.00 2.92362 -49.6372 -2.92362 2.92362 0.31 0.000285151 0.000264394 0.0100796 0.00934229 -1 -1 -1 -1 26 785 14 6.55708e+06 120550 477104. 1650.88 0.40 0.0406659 0.0350168 21022 109990 -1 694 10 212 341 15952 4689 2.76422 2.76422 -49.2109 -2.76422 0 0 585099. 2024.56 0.02 0.02 0.09 -1 -1 0.02 0.0071525 0.00625742 46 23 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_015bits.v common 2.29 vpr 61.78 MiB 0.03 6372 -1 -1 6 0.06 -1 -1 31792 -1 -1 10 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63260 31 16 104 120 1 74 57 17 17 289 -1 unnamed_device 23.1 MiB 0.03 388 2673 538 2060 75 61.8 MiB 0.02 0.00 2.4235 -49.7792 -2.4235 2.4235 0.33 0.000314307 0.000291768 0.00868864 0.00806347 -1 -1 -1 -1 26 798 10 6.55708e+06 120550 477104. 1650.88 0.75 0.0693169 0.05865 21022 109990 -1 689 13 250 353 19015 5274 2.1831 2.1831 -49.5094 -2.1831 0 0 585099. 2024.56 0.02 0.02 0.09 -1 -1 0.02 0.00917228 0.00796703 50 27 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_016bits.v common 2.37 vpr 61.91 MiB 0.03 6420 -1 -1 7 0.06 -1 -1 31836 -1 -1 10 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63400 33 17 108 125 1 77 60 17 17 289 -1 unnamed_device 23.2 MiB 0.03 484 3570 643 2715 212 61.9 MiB 0.03 0.00 3.41468 -59.8938 -3.41468 3.41468 0.31 0.000321271 0.000298431 0.0107363 0.00997272 -1 -1 -1 -1 26 947 11 6.55708e+06 120550 477104. 1650.88 0.84 0.079649 0.0676146 21022 109990 -1 849 9 238 356 22359 5793 3.41468 3.41468 -62.6758 -3.41468 0 0 585099. 2024.56 0.03 0.02 0.09 -1 -1 0.03 0.00804668 0.00715803 52 26 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_018bits.v common 2.43 vpr 62.03 MiB 0.03 6348 -1 -1 7 0.06 -1 -1 32444 -1 -1 13 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63516 37 19 127 146 1 96 69 17 17 289 -1 unnamed_device 22.9 MiB 0.04 576 5709 1280 3850 579 62.0 MiB 0.04 0.00 2.95316 -65.5347 -2.95316 2.95316 0.31 0.000369839 0.00034352 0.0160103 0.014861 -1 -1 -1 -1 26 1011 13 6.55708e+06 156715 477104. 1650.88 0.88 0.0900938 0.0771875 21022 109990 -1 976 13 305 456 21173 5968 2.8997 2.8997 -67.669 -2.8997 0 0 585099. 2024.56 0.03 0.03 0.09 -1 -1 0.03 0.0107541 0.00938794 63 35 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_020bits.v common 1.97 vpr 62.04 MiB 0.03 6324 -1 -1 8 0.07 -1 -1 32080 -1 -1 14 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63524 41 21 139 160 1 106 76 17 17 289 -1 unnamed_device 22.8 MiB 0.05 691 4076 776 3111 189 62.0 MiB 0.03 0.00 2.9395 -74.3337 -2.9395 2.9395 0.31 0.000402257 0.000373288 0.0114107 0.010609 -1 -1 -1 -1 26 1257 13 6.55708e+06 168770 477104. 1650.88 0.38 0.0537184 0.0466845 21022 109990 -1 1170 8 268 383 24156 6017 2.9395 2.9395 -78.3932 -2.9395 0 0 585099. 2024.56 0.02 0.02 0.09 -1 -1 0.02 0.00874971 0.00779802 67 37 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_022bits.v common 2.55 vpr 62.20 MiB 0.03 6348 -1 -1 9 0.08 -1 -1 32332 -1 -1 14 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63696 45 23 152 175 1 114 82 17 17 289 -1 unnamed_device 22.9 MiB 0.05 656 5778 1200 4246 332 62.2 MiB 0.04 0.00 3.50896 -86.4899 -3.50896 3.50896 0.31 0.000435088 0.00040467 0.0154814 0.0143823 -1 -1 -1 -1 26 1263 12 6.55708e+06 168770 477104. 1650.88 0.95 0.113918 0.0981967 21022 109990 -1 1167 13 370 556 29616 8301 3.30776 3.30776 -86.348 -3.30776 0 0 585099. 2024.56 0.03 0.03 0.09 -1 -1 0.03 0.0125489 0.0110314 73 40 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_024bits.v common 2.53 vpr 62.25 MiB 0.03 6328 -1 -1 10 0.06 -1 -1 32632 -1 -1 15 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63740 49 25 165 190 1 123 89 17 17 289 -1 unnamed_device 22.9 MiB 0.05 770 12761 4334 6906 1521 62.2 MiB 0.08 0.00 4.11762 -102.077 -4.11762 4.11762 0.31 0.000469597 0.000436378 0.0316911 0.0294372 -1 -1 -1 -1 26 1420 16 6.55708e+06 180825 477104. 1650.88 0.96 0.113359 0.0994 21022 109990 -1 1279 14 412 589 33508 9008 3.87722 3.87722 -102.053 -3.87722 0 0 585099. 2024.56 0.03 0.03 0.09 -1 -1 0.03 0.0142206 0.0125056 79 43 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_028bits.v common 2.51 vpr 62.38 MiB 0.02 6300 -1 -1 11 0.07 -1 -1 32540 -1 -1 21 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63876 57 29 199 228 1 148 107 17 17 289 -1 unnamed_device 23.1 MiB 0.05 683 9215 2009 6469 737 62.4 MiB 0.06 0.00 5.01553 -132.266 -5.01553 5.01553 0.31 0.000568179 0.000529341 0.0225074 0.0209121 -1 -1 -1 -1 28 1411 13 6.55708e+06 253155 500653. 1732.36 0.87 0.141376 0.12279 21310 115450 -1 1240 9 447 590 31672 10140 4.76548 4.76548 -132.308 -4.76548 0 0 612192. 2118.31 0.03 0.03 0.10 -1 -1 0.03 0.0128546 0.0114383 96 57 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_032bits.v common 2.16 vpr 62.64 MiB 0.03 6340 -1 -1 13 0.08 -1 -1 31956 -1 -1 20 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64148 65 33 224 257 1 164 118 17 17 289 -1 unnamed_device 22.9 MiB 0.06 989 18614 4289 12324 2001 62.6 MiB 0.10 0.00 5.28408 -156.845 -5.28408 5.28408 0.31 0.000640307 0.000596942 0.0431822 0.040187 -1 -1 -1 -1 28 1859 11 6.55708e+06 241100 500653. 1732.36 0.43 0.108776 0.0969116 21310 115450 -1 1667 10 458 639 35378 9665 5.04368 5.04368 -155.125 -5.04368 0 0 612192. 2118.31 0.03 0.04 0.10 -1 -1 0.03 0.0153278 0.0136288 106 62 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_048bits.v common 3.18 vpr 62.82 MiB 0.04 6404 -1 -1 19 0.10 -1 -1 32408 -1 -1 34 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64332 97 49 340 389 1 260 180 17 17 289 -1 unnamed_device 23.8 MiB 0.10 1331 34724 8061 22998 3665 62.8 MiB 0.17 0.00 7.79296 -292.17 -7.79296 7.79296 0.31 0.000989413 0.000924399 0.0705726 0.0658766 -1 -1 -1 -1 28 2799 13 6.55708e+06 409870 500653. 1732.36 1.25 0.295876 0.264001 21310 115450 -1 2447 11 761 1108 56440 16024 7.48193 7.48193 -290.119 -7.48193 0 0 612192. 2118.31 0.03 0.05 0.10 -1 -1 0.03 0.0245827 0.0221141 165 98 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml adder_064bits.v common 3.08 vpr 63.86 MiB 0.03 6696 -1 -1 26 0.12 -1 -1 32676 -1 -1 42 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65388 129 65 454 519 1 343 236 17 17 289 -1 unnamed_device 24.2 MiB 0.12 1865 57827 16105 35644 6078 63.9 MiB 0.28 0.00 10.762 -492.423 -10.762 10.762 0.31 0.00132955 0.00123905 0.109086 0.101946 -1 -1 -1 -1 32 3613 18 6.55708e+06 506310 554710. 1919.41 0.88 0.330173 0.298856 22174 131602 -1 3191 11 997 1345 80150 22909 10.2468 10.2468 -479.947 -10.2468 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0334881 0.0303791 222 132 -1 -1 -1 -1 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.46 abc 29.31 MiB 0.03 6768 -1 -1 1 0.02 -1 -1 30016 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24016 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 2 2 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.40 abc 29.35 MiB 0.03 6568 -1 -1 1 0.02 -1 -1 30056 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23972 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 2 2 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.43 abc 29.32 MiB 0.02 6704 -1 -1 1 0.02 -1 -1 30028 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24232 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.44 abc 29.37 MiB 0.03 6724 -1 -1 1 0.02 -1 -1 30076 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23992 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.44 abc 29.43 MiB 0.03 6712 -1 -1 1 0.02 -1 -1 30132 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24400 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.36 abc 29.25 MiB 0.01 6568 -1 -1 1 0.02 -1 -1 29948 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24076 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.45 abc 29.25 MiB 0.03 6708 -1 -1 1 0.02 -1 -1 29948 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24104 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.46 abc 29.45 MiB 0.03 6724 -1 -1 1 0.02 -1 -1 30152 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24160 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.46 abc 29.42 MiB 0.02 6732 -1 -1 1 0.02 -1 -1 30128 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23976 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.44 abc 29.38 MiB 0.02 6752 -1 -1 1 0.03 -1 -1 30088 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24060 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.42 abc 29.38 MiB 0.03 6720 -1 -1 1 0.02 -1 -1 30080 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24464 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 5 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.47 abc 29.64 MiB 0.04 6568 -1 -1 1 0.03 -1 -1 30352 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24000 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 5 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.45 abc 29.68 MiB 0.02 6704 -1 -1 1 0.02 -1 -1 30392 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24308 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 5 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.43 abc 29.63 MiB 0.02 6708 -1 -1 1 0.03 -1 -1 30340 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23928 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 6 6 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.43 abc 29.68 MiB 0.04 6816 -1 -1 1 0.02 -1 -1 30392 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24268 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 6 6 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.49 abc 29.64 MiB 0.04 6588 -1 -1 1 0.03 -1 -1 30352 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24092 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 7 7 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.47 abc 29.68 MiB 0.03 6716 -1 -1 1 0.02 -1 -1 30392 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24088 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 8 8 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.48 abc 29.60 MiB 0.03 6760 -1 -1 1 0.03 -1 -1 30308 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24136 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 9 9 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.49 abc 29.75 MiB 0.03 6572 -1 -1 1 0.03 -1 -1 30460 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24012 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 13 13 0 0 + fixed_k6_N8_lookahead_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.45 abc 29.75 MiB 0.04 6852 -1 -1 1 0.04 -1 -1 30464 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24180 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 17 17 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 0.46 abc 29.29 MiB 0.02 6708 -1 -1 1 0.02 -1 -1 29988 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23656 11 6 36 37 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 2 2 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 0.41 abc 29.41 MiB 0.01 6716 -1 -1 1 0.02 -1 -1 30112 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24148 13 7 42 43 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 2 2 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 0.46 abc 29.51 MiB 0.03 6712 -1 -1 1 0.02 -1 -1 30216 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23796 15 8 49 50 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 0.43 abc 29.29 MiB 0.03 6708 -1 -1 1 0.02 -1 -1 29996 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23912 17 9 55 56 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 0.42 abc 29.36 MiB 0.02 6712 -1 -1 1 0.02 -1 -1 30064 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23736 19 10 61 62 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 0.43 abc 29.29 MiB 0.03 6616 -1 -1 1 0.02 -1 -1 29992 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23772 21 11 67 68 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 3 3 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 0.44 abc 29.33 MiB 0.02 6652 -1 -1 1 0.02 -1 -1 30036 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23820 23 12 74 75 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 0.45 abc 29.42 MiB 0.02 6780 -1 -1 1 0.03 -1 -1 30128 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23864 25 13 80 81 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 0.47 abc 29.43 MiB 0.02 6708 -1 -1 1 0.03 -1 -1 30136 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23904 27 14 86 87 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 0.44 abc 29.49 MiB 0.02 6736 -1 -1 1 0.03 -1 -1 30200 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24060 29 15 92 93 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 4 4 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 0.47 abc 29.34 MiB 0.02 6708 -1 -1 1 0.02 -1 -1 30048 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23880 31 16 99 100 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 5 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 0.46 abc 29.67 MiB 0.02 6716 -1 -1 1 0.02 -1 -1 30384 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23820 33 17 105 106 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 5 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 0.49 abc 29.54 MiB 0.03 6696 -1 -1 1 0.03 -1 -1 30244 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24196 37 19 117 118 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 5 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 0.50 abc 29.68 MiB 0.03 6820 -1 -1 1 0.03 -1 -1 30396 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23788 41 21 130 131 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 6 6 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 0.49 abc 29.59 MiB 0.03 6708 -1 -1 1 0.02 -1 -1 30304 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23880 45 23 142 143 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 6 6 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 0.49 abc 29.71 MiB 0.03 6648 -1 -1 1 0.02 -1 -1 30428 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23816 49 25 155 156 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 7 7 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 0.51 abc 29.62 MiB 0.04 6588 -1 -1 1 0.02 -1 -1 30332 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23896 57 29 180 181 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 8 8 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 0.49 abc 29.64 MiB 0.03 6708 -1 -1 1 0.03 -1 -1 30348 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23884 65 33 205 206 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 9 9 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 0.51 abc 29.68 MiB 0.04 6620 -1 -1 1 0.03 -1 -1 30388 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 23796 97 49 305 306 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 13 13 0 0 + fixed_k6_N8_lookahead_unbalanced_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 0.57 abc 29.66 MiB 0.04 6816 -1 -1 1 0.03 -1 -1 30372 -1 -1 -1 -1 -1 -1 exited with return code 134 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 24136 129 65 405 406 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 17 17 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 1.88 vpr 61.86 MiB 0.03 6576 -1 -1 1 0.02 -1 -1 30168 -1 -1 3 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63344 11 6 41 42 1 26 20 17 17 289 -1 unnamed_device 23.1 MiB 0.01 136 263 59 203 1 61.9 MiB 0.01 0.00 0.803048 -13.0336 -0.803048 0.803048 0.31 0.000104173 9.5074e-05 0.00135463 0.00124089 -1 -1 -1 -1 20 227 9 6.64007e+06 37674 394039. 1363.46 0.49 0.00682042 0.00591582 20530 87850 -1 213 13 70 70 3370 1092 1.04345 1.04345 -14.39 -1.04345 0 0 477104. 1650.88 0.02 0.01 0.07 -1 -1 0.02 0.00377977 0.00328557 16 2 7 7 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 2.08 vpr 61.92 MiB 0.03 6604 -1 -1 1 0.02 -1 -1 30132 -1 -1 4 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63408 13 7 48 49 1 32 24 17 17 289 -1 unnamed_device 23.5 MiB 0.01 145 636 105 517 14 61.9 MiB 0.01 0.00 0.825048 -14.6191 -0.825048 0.825048 0.31 0.000122313 0.00011203 0.00266971 0.00244996 -1 -1 -1 -1 22 275 10 6.64007e+06 50232 420624. 1455.45 0.69 0.0223373 0.0186159 20818 92861 -1 235 15 108 108 5032 1552 0.912248 0.912248 -15.1079 -0.912248 0 0 500653. 1732.36 0.02 0.01 0.08 -1 -1 0.02 0.00472477 0.0040916 19 2 8 8 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 1.73 vpr 61.98 MiB 0.03 6804 -1 -1 1 0.02 -1 -1 30132 -1 -1 4 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63464 15 8 55 56 1 38 27 17 17 289 -1 unnamed_device 23.5 MiB 0.01 227 867 163 655 49 62.0 MiB 0.01 0.00 1.18536 -18.0665 -1.18536 1.18536 0.31 0.000140047 0.000128876 0.00345801 0.00317978 -1 -1 -1 -1 26 350 13 6.64007e+06 50232 477104. 1650.88 0.33 0.0189662 0.0160174 21682 110474 -1 351 10 125 125 6106 1854 0.954248 0.954248 -19.5944 -0.954248 0 0 585099. 2024.56 0.03 0.01 0.09 -1 -1 0.03 0.00412996 0.00363203 22 2 9 9 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 2.03 vpr 61.89 MiB 0.03 6580 -1 -1 1 0.02 -1 -1 30028 -1 -1 5 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63380 17 9 62 63 1 41 31 17 17 289 -1 unnamed_device 23.5 MiB 0.02 174 943 169 760 14 61.9 MiB 0.01 0.00 1.19636 -19.914 -1.19636 1.19636 0.31 0.000158116 0.000145773 0.00358447 0.00330728 -1 -1 -1 -1 20 349 19 6.64007e+06 62790 394039. 1363.46 0.65 0.0185526 0.0157803 20530 87850 -1 320 10 155 155 7334 2477 1.07445 1.07445 -22.2008 -1.07445 0 0 477104. 1650.88 0.02 0.01 0.08 -1 -1 0.02 0.00446293 0.00391146 25 2 10 10 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 2.45 vpr 62.06 MiB 0.03 6640 -1 -1 1 0.02 -1 -1 30024 -1 -1 5 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63548 19 10 69 70 1 44 34 17 17 289 -1 unnamed_device 23.6 MiB 0.02 223 1134 190 934 10 62.1 MiB 0.01 0.00 1.20736 -23.2962 -1.20736 1.20736 0.31 0.000176833 0.000163455 0.00418602 0.00386617 -1 -1 -1 -1 28 410 12 6.64007e+06 62790 500653. 1732.36 0.99 0.037395 0.0312357 21970 115934 -1 362 11 131 131 7334 2115 0.932248 0.932248 -23.521 -0.932248 0 0 612192. 2118.31 0.03 0.01 0.10 -1 -1 0.03 0.00506579 0.00442078 28 2 11 11 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 2.04 vpr 62.12 MiB 0.03 6684 -1 -1 1 0.02 -1 -1 30132 -1 -1 6 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63608 21 11 76 77 1 49 38 17 17 289 -1 unnamed_device 23.5 MiB 0.02 323 1298 247 984 67 62.1 MiB 0.01 0.00 1.21836 -27.8009 -1.21836 1.21836 0.31 0.000202917 0.0001884 0.00461098 0.00426552 -1 -1 -1 -1 20 523 16 6.64007e+06 75348 394039. 1363.46 0.58 0.0203965 0.0174694 20530 87850 -1 509 12 155 155 10820 2929 0.998248 0.998248 -29.6258 -0.998248 0 0 477104. 1650.88 0.02 0.02 0.08 -1 -1 0.02 0.00641472 0.00562137 31 2 12 12 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 1.93 vpr 62.10 MiB 0.03 6704 -1 -1 1 0.02 -1 -1 30012 -1 -1 7 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63592 23 12 83 84 1 55 42 17 17 289 -1 unnamed_device 23.5 MiB 0.02 205 1770 299 1445 26 62.1 MiB 0.02 0.00 1.22936 -26.9843 -1.22936 1.22936 0.31 0.000145679 0.000130774 0.00573339 0.00531444 -1 -1 -1 -1 20 438 14 6.64007e+06 87906 394039. 1363.46 0.50 0.0189757 0.0164845 20530 87850 -1 382 11 177 177 8797 3204 1.11845 1.11845 -29.7081 -1.11845 0 0 477104. 1650.88 0.02 0.02 0.07 -1 -1 0.02 0.00584345 0.00509062 34 2 13 13 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 1.86 vpr 62.20 MiB 0.03 6616 -1 -1 1 0.02 -1 -1 29976 -1 -1 7 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63696 25 13 90 91 1 61 45 17 17 289 -1 unnamed_device 23.6 MiB 0.02 401 2525 518 1825 182 62.2 MiB 0.02 0.00 1.24036 -33.9356 -1.24036 1.24036 0.31 0.000224977 0.000208196 0.00775309 0.00718717 -1 -1 -1 -1 26 636 13 6.64007e+06 87906 477104. 1650.88 0.35 0.0327664 0.0282301 21682 110474 -1 602 8 158 158 11379 2754 0.889048 0.889048 -33.2549 -0.889048 0 0 585099. 2024.56 0.03 0.01 0.09 -1 -1 0.03 0.00512806 0.00452575 37 2 14 14 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 1.84 vpr 62.09 MiB 0.03 6756 -1 -1 1 0.02 -1 -1 29980 -1 -1 8 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63576 27 14 97 98 1 67 49 17 17 289 -1 unnamed_device 23.4 MiB 0.02 262 2185 359 1806 20 62.1 MiB 0.02 0.00 1.25136 -32.6648 -1.25136 1.25136 0.31 0.000237796 0.00022075 0.00653957 0.00606642 -1 -1 -1 -1 26 537 12 6.64007e+06 100464 477104. 1650.88 0.35 0.0315952 0.0270894 21682 110474 -1 504 18 302 302 16010 5657 0.976248 0.976248 -33.9775 -0.976248 0 0 585099. 2024.56 0.03 0.02 0.09 -1 -1 0.03 0.00896876 0.00771322 40 2 15 15 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 2.36 vpr 62.20 MiB 0.03 6684 -1 -1 1 0.02 -1 -1 30316 -1 -1 8 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63696 29 15 104 105 1 73 52 17 17 289 -1 unnamed_device 23.6 MiB 0.02 394 3059 656 2338 65 62.2 MiB 0.03 0.00 1.26236 -37.9697 -1.26236 1.26236 0.31 0.000254084 0.000235264 0.00875769 0.00811532 -1 -1 -1 -1 26 742 12 6.64007e+06 100464 477104. 1650.88 0.86 0.0511371 0.0435734 21682 110474 -1 657 12 218 218 13114 3793 0.956248 0.956248 -37.9684 -0.956248 0 0 585099. 2024.56 0.02 0.02 0.09 -1 -1 0.02 0.00724257 0.00634647 43 2 16 16 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 1.84 vpr 62.20 MiB 0.03 6604 -1 -1 1 0.02 -1 -1 30312 -1 -1 9 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63688 31 16 111 112 1 79 56 17 17 289 -1 unnamed_device 23.4 MiB 0.03 368 2838 548 2263 27 62.2 MiB 0.03 0.00 1.62267 -39.2436 -1.62267 1.62267 0.31 0.000272415 0.000252766 0.00798727 0.00740779 -1 -1 -1 -1 26 687 12 6.64007e+06 113022 477104. 1650.88 0.35 0.0363444 0.0313419 21682 110474 -1 634 10 243 243 17614 5237 0.964048 0.964048 -39.834 -0.964048 0 0 585099. 2024.56 0.03 0.02 0.09 -1 -1 0.03 0.00684108 0.00601986 46 2 17 17 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 1.89 vpr 62.15 MiB 0.03 6756 -1 -1 1 0.02 -1 -1 30296 -1 -1 9 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63640 33 17 118 119 1 82 59 17 17 289 -1 unnamed_device 23.2 MiB 0.03 441 2681 480 2040 161 62.1 MiB 0.03 0.00 1.63367 -43.1429 -1.63367 1.63367 0.31 0.000290913 0.000270625 0.00768241 0.00713958 -1 -1 -1 -1 26 842 14 6.64007e+06 113022 477104. 1650.88 0.38 0.0390819 0.0336684 21682 110474 -1 757 11 300 300 18950 5356 1.20445 1.20445 -46.9048 -1.20445 0 0 585099. 2024.56 0.02 0.02 0.09 -1 -1 0.02 0.00764167 0.00669687 49 2 18 18 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 1.91 vpr 62.29 MiB 0.03 6832 -1 -1 1 0.02 -1 -1 30464 -1 -1 11 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63788 37 19 132 133 1 90 67 17 17 289 -1 unnamed_device 23.3 MiB 0.03 388 3603 641 2930 32 62.3 MiB 0.03 0.00 1.65567 -48.0323 -1.65567 1.65567 0.31 0.000336788 0.000314337 0.00967818 0.00901659 -1 -1 -1 -1 26 736 12 6.64007e+06 138138 477104. 1650.88 0.37 0.0439734 0.0379806 21682 110474 -1 650 11 285 285 15076 5113 1.05125 1.05125 -46.6701 -1.05125 0 0 585099. 2024.56 0.03 0.02 0.09 -1 -1 0.03 0.00852096 0.00742981 55 2 20 20 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 2.50 vpr 62.61 MiB 0.03 6636 -1 -1 1 0.02 -1 -1 30424 -1 -1 12 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64108 41 21 146 147 1 102 74 17 17 289 -1 unnamed_device 23.4 MiB 0.03 577 4104 822 3220 62 62.6 MiB 0.04 0.00 1.67767 -57.0444 -1.67767 1.67767 0.31 0.000362725 0.000337742 0.0106104 0.00987595 -1 -1 -1 -1 28 1040 17 6.64007e+06 150696 500653. 1732.36 0.95 0.0980832 0.0837458 21970 115934 -1 940 15 382 382 25658 7026 1.10745 1.10745 -54.7506 -1.10745 0 0 612192. 2118.31 0.03 0.03 0.10 -1 -1 0.03 0.0114861 0.00998555 61 2 22 22 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 2.05 vpr 62.53 MiB 0.04 6804 -1 -1 1 0.02 -1 -1 30312 -1 -1 13 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64032 45 23 160 161 1 114 81 17 17 289 -1 unnamed_device 23.3 MiB 0.03 761 9356 3852 5449 55 62.5 MiB 0.06 0.00 1.69967 -67.3409 -1.69967 1.69967 0.31 0.000389848 0.000362773 0.021996 0.0204828 -1 -1 -1 -1 28 1269 16 6.64007e+06 163254 500653. 1732.36 0.48 0.0649668 0.0571778 21970 115934 -1 1148 15 446 446 38197 9429 1.09525 1.09525 -62.8914 -1.09525 0 0 612192. 2118.31 0.03 0.03 0.10 -1 -1 0.03 0.0120875 0.0105482 67 2 24 24 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 2.81 vpr 62.48 MiB 0.02 6692 -1 -1 1 0.02 -1 -1 30456 -1 -1 14 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63980 49 25 174 175 1 123 88 17 17 289 -1 unnamed_device 23.2 MiB 0.04 813 11593 4672 6864 57 62.5 MiB 0.08 0.00 2.07098 -74.8771 -2.07098 2.07098 0.31 0.000425735 0.000396472 0.0264043 0.0245563 -1 -1 -1 -1 32 1237 11 6.64007e+06 175812 554710. 1919.41 1.18 0.102629 0.0897834 22834 132086 -1 1121 12 325 325 22310 5834 1.08305 1.08305 -65.8737 -1.08305 0 0 701300. 2426.64 0.03 0.03 0.11 -1 -1 0.03 0.0112433 0.00991557 73 2 26 26 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 2.11 vpr 62.69 MiB 0.03 6640 -1 -1 1 0.03 -1 -1 29968 -1 -1 17 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64192 57 29 202 203 1 143 103 17 17 289 -1 unnamed_device 23.3 MiB 0.04 935 15045 4530 8759 1756 62.7 MiB 0.09 0.00 2.11498 -89.4948 -2.11498 2.11498 0.31 0.000487016 0.000453823 0.0318696 0.029688 -1 -1 -1 -1 28 1595 16 6.64007e+06 213486 500653. 1732.36 0.46 0.0856085 0.0761532 21970 115934 -1 1379 14 443 443 34247 8513 1.21425 1.21425 -81.6596 -1.21425 0 0 612192. 2118.31 0.03 0.04 0.10 -1 -1 0.03 0.0142171 0.0125697 85 2 30 30 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 2.22 vpr 62.92 MiB 0.03 6704 -1 -1 1 0.03 -1 -1 30352 -1 -1 19 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64428 65 33 230 231 1 164 117 17 17 289 -1 unnamed_device 23.3 MiB 0.05 1122 18421 7032 10116 1273 62.9 MiB 0.11 0.00 2.50829 -108.018 -2.50829 2.50829 0.31 0.000566532 0.000528562 0.0381144 0.0355701 -1 -1 -1 -1 32 1704 14 6.64007e+06 238602 554710. 1919.41 0.47 0.0984369 0.0878945 22834 132086 -1 1535 11 465 465 38655 9331 1.18005 1.18005 -90.2429 -1.18005 0 0 701300. 2426.64 0.03 0.04 0.11 -1 -1 0.03 0.0138037 0.0122711 97 2 34 34 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 3.27 vpr 63.12 MiB 0.04 6704 -1 -1 1 0.03 -1 -1 30360 -1 -1 29 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64640 97 49 342 343 1 246 175 17 17 289 -1 unnamed_device 24.0 MiB 0.05 1707 39295 14344 22265 2686 63.1 MiB 0.23 0.00 3.38291 -181.168 -3.38291 3.38291 0.31 0.000869138 0.000815304 0.0720204 0.0674679 -1 -1 -1 -1 32 2666 14 6.64007e+06 364182 554710. 1919.41 1.40 0.256234 0.230504 22834 132086 -1 2405 18 776 776 67569 16733 1.47225 1.47225 -144.521 -1.47225 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0297577 0.0266197 145 2 50 50 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 2.83 vpr 63.39 MiB 0.03 6856 -1 -1 1 0.03 -1 -1 30340 -1 -1 39 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64908 129 65 454 455 1 328 233 17 17 289 -1 unnamed_device 24.4 MiB 0.09 2035 55365 19341 31922 4102 63.4 MiB 0.35 0.01 4.25753 -263.271 -4.25753 4.25753 0.31 0.00120102 0.00112888 0.09601 0.0902685 -1 -1 -1 -1 32 3291 15 6.64007e+06 489762 554710. 1919.41 0.63 0.229587 0.210068 22834 132086 -1 2910 19 1014 1014 86802 22344 1.61205 1.61205 -187.768 -1.61205 0 0 701300. 2426.64 0.03 0.10 0.11 -1 -1 0.03 0.0431002 0.038877 193 2 66 66 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_005bits.v common 1.86 vpr 61.86 MiB 0.03 6576 -1 -1 1 0.02 -1 -1 30080 -1 -1 3 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63348 11 6 41 42 1 26 20 17 17 289 -1 unnamed_device 23.1 MiB 0.01 122 290 73 215 2 61.9 MiB 0.01 0.00 0.803048 -13.1372 -0.803048 0.803048 0.31 0.000104501 9.5109e-05 0.00144755 0.00132503 -1 -1 -1 -1 20 207 12 6.65987e+06 38034 394039. 1363.46 0.49 0.00728444 0.00630178 20530 87850 -1 188 9 73 73 3633 1144 0.922189 0.922189 -13.6636 -0.922189 0 0 477104. 1650.88 0.02 0.01 0.08 -1 -1 0.02 0.0031618 0.00280442 16 2 7 7 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_006bits.v common 1.64 vpr 61.76 MiB 0.03 6768 -1 -1 1 0.02 -1 -1 30076 -1 -1 4 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63244 13 7 48 49 1 32 24 17 17 289 -1 unnamed_device 23.4 MiB 0.01 147 636 105 515 16 61.8 MiB 0.01 0.00 0.825048 -14.6534 -0.825048 0.825048 0.31 0.000122233 0.000112014 0.00268088 0.00245979 -1 -1 -1 -1 20 277 14 6.65987e+06 50712 394039. 1363.46 0.25 0.00752938 0.00664726 20530 87850 -1 231 8 84 84 5397 1657 0.901248 0.901248 -14.9767 -0.901248 0 0 477104. 1650.88 0.02 0.01 0.08 -1 -1 0.02 0.00337804 0.00300772 19 2 8 8 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_007bits.v common 2.07 vpr 61.85 MiB 0.03 6640 -1 -1 1 0.02 -1 -1 30144 -1 -1 4 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63332 15 8 55 56 1 38 27 17 17 289 -1 unnamed_device 23.4 MiB 0.01 238 867 167 635 65 61.8 MiB 0.01 0.00 1.18536 -19.544 -1.18536 1.18536 0.32 0.000140851 0.000129741 0.00346244 0.0031901 -1 -1 -1 -1 20 420 8 6.65987e+06 50712 394039. 1363.46 0.64 0.0160163 0.0136691 20530 87850 -1 388 9 145 145 9151 2592 0.954248 0.954248 -21.1559 -0.954248 0 0 477104. 1650.88 0.02 0.01 0.09 -1 -1 0.02 0.00395321 0.00349714 22 2 9 9 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_008bits.v common 1.96 vpr 61.82 MiB 0.01 6696 -1 -1 1 0.02 -1 -1 30004 -1 -1 5 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63308 17 9 62 63 1 41 31 17 17 289 -1 unnamed_device 23.4 MiB 0.01 172 895 165 716 14 61.8 MiB 0.01 0.00 1.19636 -19.7595 -1.19636 1.19636 0.32 0.000159483 0.000147394 0.00345089 0.00318641 -1 -1 -1 -1 20 361 13 6.65987e+06 63390 394039. 1363.46 0.57 0.0164468 0.0140398 20530 87850 -1 334 13 193 193 9618 3193 0.954248 0.954248 -21.3594 -0.954248 0 0 477104. 1650.88 0.02 0.01 0.08 -1 -1 0.02 0.00525668 0.00456049 25 2 10 10 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_009bits.v common 2.36 vpr 62.02 MiB 0.02 6696 -1 -1 1 0.02 -1 -1 30008 -1 -1 5 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63512 19 10 69 70 1 44 34 17 17 289 -1 unnamed_device 23.5 MiB 0.01 220 1189 203 975 11 62.0 MiB 0.01 0.00 1.20736 -23.2065 -1.20736 1.20736 0.32 0.000176161 0.000162967 0.00434767 0.00401623 -1 -1 -1 -1 32 402 14 6.65987e+06 63390 554710. 1919.41 0.91 0.0374954 0.0312611 22834 132086 -1 323 9 92 92 4553 1340 0.757848 0.757848 -21.8538 -0.757848 0 0 701300. 2426.64 0.03 0.01 0.11 -1 -1 0.03 0.00454231 0.0040016 28 2 11 11 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_010bits.v common 2.12 vpr 61.91 MiB 0.03 6696 -1 -1 1 0.02 -1 -1 30004 -1 -1 6 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63396 21 11 76 77 1 49 38 17 17 289 -1 unnamed_device 23.3 MiB 0.02 319 1424 271 1064 89 61.9 MiB 0.02 0.00 1.21836 -27.4574 -1.21836 1.21836 0.34 0.000195523 0.000181053 0.00506221 0.00469157 -1 -1 -1 -1 20 564 13 6.65987e+06 76068 394039. 1363.46 0.67 0.0207312 0.0178612 20530 87850 -1 505 7 127 127 8462 2321 0.878048 0.878048 -27.7026 -0.878048 0 0 477104. 1650.88 0.02 0.01 0.08 -1 -1 0.02 0.00445217 0.00395426 31 2 12 12 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_011bits.v common 2.28 vpr 62.03 MiB 0.03 6560 -1 -1 1 0.02 -1 -1 30128 -1 -1 7 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63516 23 12 83 84 1 55 42 17 17 289 -1 unnamed_device 23.3 MiB 0.02 230 1554 244 1300 10 62.0 MiB 0.02 0.00 1.22936 -27.3766 -1.22936 1.22936 0.31 0.000212276 0.000196636 0.00515723 0.00478111 -1 -1 -1 -1 28 442 9 6.65987e+06 88746 500653. 1732.36 0.81 0.048974 0.0409901 21970 115934 -1 413 11 185 185 9296 3104 0.900048 0.900048 -28.4777 -0.900048 0 0 612192. 2118.31 0.03 0.02 0.10 -1 -1 0.03 0.00596745 0.00522525 34 2 13 13 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_012bits.v common 1.90 vpr 62.18 MiB 0.02 6636 -1 -1 1 0.02 -1 -1 30072 -1 -1 7 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63672 25 13 90 91 1 61 45 17 17 289 -1 unnamed_device 23.5 MiB 0.02 409 3485 835 2303 347 62.2 MiB 0.03 0.00 1.24036 -33.7953 -1.24036 1.24036 0.31 0.000224442 0.000207614 0.0104748 0.0096921 -1 -1 -1 -1 26 637 8 6.65987e+06 88746 477104. 1650.88 0.39 0.0360189 0.031088 21682 110474 -1 624 11 212 212 14386 3643 0.911048 0.911048 -33.6091 -0.911048 0 0 585099. 2024.56 0.03 0.02 0.13 -1 -1 0.03 0.00647834 0.00570097 37 2 14 14 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_013bits.v common 2.35 vpr 61.94 MiB 0.03 6756 -1 -1 1 0.02 -1 -1 30028 -1 -1 8 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63428 27 14 97 98 1 67 49 17 17 289 -1 unnamed_device 23.3 MiB 0.02 262 2185 395 1761 29 61.9 MiB 0.02 0.00 1.25136 -32.6942 -1.25136 1.25136 0.31 0.000238926 0.00022177 0.00659082 0.00611604 -1 -1 -1 -1 26 531 16 6.65987e+06 101424 477104. 1650.88 0.86 0.0704766 0.0570241 21682 110474 -1 471 16 277 277 14113 4827 1.02145 1.02145 -32.5992 -1.02145 0 0 585099. 2024.56 0.03 0.02 0.09 -1 -1 0.03 0.00833727 0.00721634 40 2 15 15 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_014bits.v common 1.83 vpr 62.01 MiB 0.03 6712 -1 -1 1 0.02 -1 -1 30348 -1 -1 8 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63496 29 15 104 105 1 73 52 17 17 289 -1 unnamed_device 23.4 MiB 0.02 445 2380 471 1861 48 62.0 MiB 0.02 0.00 1.26236 -39.246 -1.26236 1.26236 0.31 0.000254392 0.000235531 0.00697532 0.00646239 -1 -1 -1 -1 26 753 15 6.65987e+06 101424 477104. 1650.88 0.36 0.0348818 0.0300011 21682 110474 -1 714 9 257 257 18102 4810 0.933048 0.933048 -38.8566 -0.933048 0 0 585099. 2024.56 0.02 0.02 0.09 -1 -1 0.02 0.00607428 0.00537385 43 2 16 16 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_015bits.v common 2.58 vpr 62.32 MiB 0.03 6700 -1 -1 1 0.02 -1 -1 30404 -1 -1 9 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63812 31 16 111 112 1 79 56 17 17 289 -1 unnamed_device 23.7 MiB 0.02 391 2303 365 1903 35 62.3 MiB 0.02 0.00 1.62267 -39.7198 -1.62267 1.62267 0.31 0.000271803 0.000252259 0.00662073 0.0061278 -1 -1 -1 -1 32 691 13 6.65987e+06 114102 554710. 1919.41 1.05 0.071966 0.0608376 22834 132086 -1 647 11 218 218 14191 3952 0.965248 0.965248 -38.9172 -0.965248 0 0 701300. 2426.64 0.03 0.02 0.11 -1 -1 0.03 0.00743991 0.00653078 46 2 17 17 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_016bits.v common 2.61 vpr 62.15 MiB 0.03 6664 -1 -1 1 0.02 -1 -1 30320 -1 -1 9 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63644 33 17 118 119 1 82 59 17 17 289 -1 unnamed_device 23.1 MiB 0.02 437 3023 558 2261 204 62.2 MiB 0.03 0.00 1.63367 -43.0272 -1.63367 1.63367 0.31 0.000276267 0.000255251 0.00858576 0.0079773 -1 -1 -1 -1 32 784 16 6.65987e+06 114102 554710. 1919.41 1.06 0.0805083 0.0682591 22834 132086 -1 696 15 276 276 16973 4776 1.10745 1.10745 -44.1166 -1.10745 0 0 701300. 2426.64 0.03 0.02 0.11 -1 -1 0.03 0.00945995 0.00822878 49 2 18 18 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_018bits.v common 1.90 vpr 62.24 MiB 0.02 6660 -1 -1 1 0.02 -1 -1 30292 -1 -1 11 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63732 37 19 132 133 1 90 67 17 17 289 -1 unnamed_device 23.3 MiB 0.03 392 3467 586 2833 48 62.2 MiB 0.03 0.00 1.65567 -48.2349 -1.65567 1.65567 0.31 0.000329593 0.000307324 0.00936662 0.00873063 -1 -1 -1 -1 26 812 15 6.65987e+06 139458 477104. 1650.88 0.40 0.0453993 0.039156 21682 110474 -1 712 12 341 341 19867 6706 1.22645 1.22645 -51.4169 -1.22645 0 0 585099. 2024.56 0.02 0.02 0.09 -1 -1 0.02 0.00903974 0.00790258 55 2 20 20 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_020bits.v common 2.62 vpr 62.30 MiB 0.03 6704 -1 -1 1 0.02 -1 -1 30312 -1 -1 12 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63800 41 21 146 147 1 102 74 17 17 289 -1 unnamed_device 23.1 MiB 0.03 531 4259 752 3396 111 62.3 MiB 0.04 0.00 1.67767 -55.8836 -1.67767 1.67767 0.31 0.000359195 0.000334602 0.0109398 0.0101848 -1 -1 -1 -1 30 896 10 6.65987e+06 152136 526063. 1820.29 1.07 0.0927812 0.0793515 22546 126617 -1 770 8 209 209 10850 3074 0.997048 0.997048 -50.9669 -0.997048 0 0 666494. 2306.21 0.03 0.02 0.11 -1 -1 0.03 0.00774313 0.0068671 61 2 22 22 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_022bits.v common 2.75 vpr 62.52 MiB 0.02 6656 -1 -1 1 0.02 -1 -1 30252 -1 -1 13 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64016 45 23 160 161 1 114 81 17 17 289 -1 unnamed_device 23.2 MiB 0.03 764 9356 3815 5489 52 62.5 MiB 0.06 0.00 1.69967 -67.6805 -1.69967 1.69967 0.31 0.000389167 0.000362172 0.0220569 0.0205187 -1 -1 -1 -1 32 1188 12 6.65987e+06 164814 554710. 1919.41 1.13 0.113328 0.0982649 22834 132086 -1 1103 12 366 366 28680 7324 1.04225 1.04225 -60.952 -1.04225 0 0 701300. 2426.64 0.03 0.03 0.11 -1 -1 0.03 0.0106173 0.00935199 67 2 24 24 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_024bits.v common 2.72 vpr 62.35 MiB 0.03 6692 -1 -1 1 0.02 -1 -1 30336 -1 -1 14 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63848 49 25 174 175 1 123 88 17 17 289 -1 unnamed_device 23.4 MiB 0.03 831 11593 4192 5757 1644 62.4 MiB 0.07 0.00 2.07098 -75.8197 -2.07098 2.07098 0.31 0.000422101 0.000393192 0.0262417 0.0244148 -1 -1 -1 -1 28 1333 28 6.65987e+06 177492 500653. 1732.36 1.09 0.124957 0.108589 21970 115934 -1 1219 17 437 437 39278 9751 1.18125 1.18125 -70.3009 -1.18125 0 0 612192. 2118.31 0.03 0.04 0.10 -1 -1 0.03 0.0145468 0.0127462 73 2 26 26 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_028bits.v common 2.66 vpr 62.61 MiB 0.04 6704 -1 -1 1 0.03 -1 -1 30100 -1 -1 17 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64108 57 29 202 203 1 143 103 17 17 289 -1 unnamed_device 23.2 MiB 0.04 912 15286 4502 8913 1871 62.6 MiB 0.09 0.00 2.11498 -88.9574 -2.11498 2.11498 0.32 0.000489153 0.000455741 0.0323812 0.0301748 -1 -1 -1 -1 26 1550 18 6.65987e+06 215526 477104. 1650.88 0.98 0.121837 0.107277 21682 110474 -1 1388 13 443 443 31299 8177 1.24725 1.24725 -82.6298 -1.24725 0 0 585099. 2024.56 0.03 0.04 0.09 -1 -1 0.03 0.0137722 0.0122007 85 2 30 30 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_032bits.v common 2.98 vpr 62.75 MiB 0.04 6612 -1 -1 1 0.03 -1 -1 30328 -1 -1 19 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64256 65 33 230 231 1 164 117 17 17 289 -1 unnamed_device 23.1 MiB 0.04 1143 18707 7317 10498 892 62.8 MiB 0.11 0.00 2.50829 -107.845 -2.50829 2.50829 0.31 0.000569974 0.000532034 0.0390222 0.0364211 -1 -1 -1 -1 32 1748 14 6.65987e+06 240882 554710. 1919.41 1.25 0.14817 0.130955 22834 132086 -1 1630 14 535 535 40050 9975 1.19105 1.19105 -91.6687 -1.19105 0 0 701300. 2426.64 0.03 0.04 0.11 -1 -1 0.03 0.0164661 0.0145733 97 2 34 34 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_048bits.v common 3.52 vpr 62.78 MiB 0.04 6756 -1 -1 1 0.03 -1 -1 30356 -1 -1 29 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64284 97 49 342 343 1 246 175 17 17 289 -1 unnamed_device 23.7 MiB 0.06 1707 39295 13883 22023 3389 62.8 MiB 0.23 0.00 3.38291 -180.692 -3.38291 3.38291 0.31 0.000869288 0.000814436 0.0720259 0.0674873 -1 -1 -1 -1 30 2761 18 6.65987e+06 367662 526063. 1820.29 1.58 0.265662 0.238826 22546 126617 -1 2375 15 699 699 54381 13247 1.40705 1.40705 -140.081 -1.40705 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0257587 0.0231206 145 2 50 50 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml adder_064bits.v common 2.80 vpr 64.12 MiB 0.04 6792 -1 -1 1 0.03 -1 -1 30296 -1 -1 39 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65660 129 65 454 455 1 328 233 17 17 289 -1 unnamed_device 24.4 MiB 0.08 2016 55365 18672 32218 4475 64.1 MiB 0.35 0.01 4.25753 -263.191 -4.25753 4.25753 0.31 0.00119825 0.00112662 0.0958231 0.0900876 -1 -1 -1 -1 32 3312 15 6.65987e+06 494442 554710. 1919.41 0.64 0.229644 0.210151 22834 132086 -1 2958 13 1029 1029 81817 21457 1.61205 1.61205 -189.09 -1.61205 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.032125 0.0291029 193 2 66 66 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_005bits.v common 2.28 vpr 62.79 MiB 0.03 6708 -1 -1 1 0.02 -1 -1 30164 -1 -1 1 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64292 11 6 41 42 1 20 18 17 17 289 -1 unnamed_device 24.4 MiB 0.03 62 386 62 311 13 62.8 MiB 0.01 0.00 0.701895 -12.1033 -0.701895 0.701895 0.33 0.000104225 9.5142e-05 0.00210608 0.00192352 -1 -1 -1 -1 22 161 9 6.95648e+06 14475.7 443629. 1535.05 0.83 0.0193973 0.0160855 23458 102101 -1 138 7 55 55 2772 942 0.74674 0.74674 -12.942 -0.74674 0 0 531479. 1839.03 0.02 0.01 0.09 -1 -1 0.02 0.00291234 0.00261908 8 2 7 7 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_006bits.v common 2.45 vpr 62.65 MiB 0.03 6560 -1 -1 1 0.02 -1 -1 30100 -1 -1 2 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64156 13 7 48 49 1 25 22 17 17 289 -1 unnamed_device 24.2 MiB 0.03 87 592 130 456 6 62.7 MiB 0.01 0.00 0.802432 -14.5164 -0.802432 0.802432 0.34 0.000127127 0.000116896 0.0028274 0.00258618 -1 -1 -1 -1 26 198 15 6.95648e+06 28951.4 503264. 1741.40 0.92 0.0318139 0.0262425 24322 120374 -1 178 11 63 63 3258 1111 0.74674 0.74674 -15.7863 -0.74674 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.00406124 0.00358157 10 2 8 8 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_007bits.v common 2.56 vpr 62.70 MiB 0.02 6608 -1 -1 1 0.02 -1 -1 30156 -1 -1 2 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64208 15 8 55 56 1 32 25 17 17 289 -1 unnamed_device 24.2 MiB 0.03 100 673 142 515 16 62.7 MiB 0.01 0.00 0.830632 -16.9552 -0.830632 0.830632 0.33 0.000138329 0.00012717 0.00304865 0.00280557 -1 -1 -1 -1 30 255 11 6.95648e+06 28951.4 556674. 1926.21 1.05 0.0371939 0.0307114 25186 138497 -1 211 13 123 123 6740 2400 0.955932 0.955932 -18.5062 -0.955932 0 0 706193. 2443.58 0.03 0.01 0.11 -1 -1 0.03 0.00478076 0.00417062 11 2 9 9 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_008bits.v common 2.31 vpr 63.02 MiB 0.03 6708 -1 -1 1 0.02 -1 -1 29992 -1 -1 2 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64536 17 9 62 63 1 37 28 17 17 289 -1 unnamed_device 24.5 MiB 0.03 125 952 332 614 6 63.0 MiB 0.01 0.00 0.841632 -19.8878 -0.841632 0.841632 0.33 0.000157373 0.000144688 0.00413175 0.00380665 -1 -1 -1 -1 26 311 22 6.95648e+06 28951.4 503264. 1741.40 0.78 0.0457154 0.0377034 24322 120374 -1 298 20 261 261 14472 4694 0.960732 0.960732 -21.912 -0.960732 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00686066 0.00585132 13 2 10 10 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_009bits.v common 2.40 vpr 62.72 MiB 0.03 6708 -1 -1 1 0.02 -1 -1 30060 -1 -1 3 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64228 19 10 69 70 1 44 32 17 17 289 -1 unnamed_device 24.2 MiB 0.02 146 1832 488 1226 118 62.7 MiB 0.02 0.00 0.874632 -22.1583 -0.874632 0.874632 0.33 0.000174979 0.000161424 0.00696673 0.00643382 -1 -1 -1 -1 26 404 21 6.95648e+06 43427 503264. 1741.40 0.87 0.0526737 0.0439072 24322 120374 -1 318 21 284 284 18247 5948 1.29263 1.29263 -24.4314 -1.29263 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00790038 0.00673662 14 2 11 11 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_010bits.v common 1.97 vpr 62.73 MiB 0.03 6660 -1 -1 1 0.02 -1 -1 29992 -1 -1 3 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64236 21 11 76 77 1 49 35 17 17 289 -1 unnamed_device 24.1 MiB 0.02 155 2543 828 1282 433 62.7 MiB 0.02 0.00 0.896632 -24.6955 -0.896632 0.896632 0.33 0.000194126 0.000179697 0.0092792 0.00858868 -1 -1 -1 -1 26 462 31 6.95648e+06 43427 503264. 1741.40 0.45 0.0364336 0.0310881 24322 120374 -1 398 19 338 338 26201 10587 0.99734 0.99734 -27.2189 -0.99734 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00791891 0.00675717 16 2 12 12 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_011bits.v common 1.92 vpr 62.88 MiB 0.03 6692 -1 -1 1 0.02 -1 -1 30012 -1 -1 3 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64388 23 12 83 84 1 55 38 17 17 289 -1 unnamed_device 24.3 MiB 0.03 382 1361 315 963 83 62.9 MiB 0.01 0.00 0.907632 -31.8241 -0.907632 0.907632 0.33 0.000209316 0.000193583 0.00519236 0.0048121 -1 -1 -1 -1 28 709 21 6.95648e+06 43427 531479. 1839.03 0.41 0.0315098 0.0268375 24610 126494 -1 672 17 385 385 39114 9217 1.10803 1.10803 -35.7734 -1.10803 0 0 648988. 2245.63 0.03 0.02 0.10 -1 -1 0.03 0.007843 0.00674308 17 2 13 13 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_012bits.v common 2.49 vpr 63.14 MiB 0.03 6760 -1 -1 1 0.02 -1 -1 30096 -1 -1 4 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64652 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 24.5 MiB 0.02 217 1842 400 1425 17 63.1 MiB 0.02 0.00 0.918632 -30.4825 -0.918632 0.918632 0.33 0.000225704 0.000208561 0.00649227 0.00600972 -1 -1 -1 -1 26 646 19 6.95648e+06 57902.7 503264. 1741.40 0.93 0.0509913 0.0429348 24322 120374 -1 504 13 321 321 21249 6537 1.11903 1.11903 -35.2417 -1.11903 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00707951 0.00615094 19 2 14 14 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_013bits.v common 2.59 vpr 63.15 MiB 0.03 6708 -1 -1 1 0.02 -1 -1 30196 -1 -1 4 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64664 27 14 97 98 1 66 45 17 17 289 -1 unnamed_device 24.5 MiB 0.03 301 1965 420 1532 13 63.1 MiB 0.02 0.00 0.951632 -34.1049 -0.951632 0.951632 0.33 0.000237918 0.000220458 0.00657517 0.00609508 -1 -1 -1 -1 30 661 16 6.95648e+06 57902.7 556674. 1926.21 1.05 0.0629534 0.0529979 25186 138497 -1 553 14 332 332 23518 6075 1.14103 1.14103 -37.6974 -1.14103 0 0 706193. 2443.58 0.03 0.02 0.11 -1 -1 0.03 0.00772022 0.00670017 20 2 15 15 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_014bits.v common 2.77 vpr 62.84 MiB 0.03 6756 -1 -1 1 0.02 -1 -1 30248 -1 -1 4 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64344 29 15 104 105 1 72 48 17 17 289 -1 unnamed_device 24.2 MiB 0.03 284 3615 1181 1970 464 62.8 MiB 0.03 0.00 0.962632 -36.5249 -0.962632 0.962632 0.33 0.000252111 0.000233608 0.0113814 0.0105342 -1 -1 -1 -1 28 727 17 6.95648e+06 57902.7 531479. 1839.03 1.19 0.079796 0.0676654 24610 126494 -1 620 18 431 431 33772 9630 1.64223 1.64223 -47.0349 -1.64223 0 0 648988. 2245.63 0.03 0.03 0.10 -1 -1 0.03 0.00956765 0.00827914 22 2 16 16 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_015bits.v common 2.54 vpr 62.99 MiB 0.03 6700 -1 -1 1 0.02 -1 -1 30292 -1 -1 5 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64500 31 16 111 112 1 78 52 17 17 289 -1 unnamed_device 24.2 MiB 0.04 453 4708 1459 2518 731 63.0 MiB 0.04 0.00 1.33396 -42.5374 -1.33396 1.33396 0.33 0.00027208 0.00025224 0.0140247 0.0130132 -1 -1 -1 -1 30 913 18 6.95648e+06 72378.4 556674. 1926.21 0.95 0.0723094 0.0618422 25186 138497 -1 790 14 414 414 38456 8768 1.20503 1.20503 -47.857 -1.20503 0 0 706193. 2443.58 0.03 0.03 0.11 -1 -1 0.03 0.00869876 0.00758825 24 2 17 17 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_016bits.v common 2.15 vpr 62.87 MiB 0.03 6760 -1 -1 1 0.02 -1 -1 30248 -1 -1 5 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64376 33 17 118 119 1 81 55 17 17 289 -1 unnamed_device 23.9 MiB 0.05 377 6607 2742 3791 74 62.9 MiB 0.05 0.00 1.34496 -43.4191 -1.34496 1.34496 0.33 0.000294762 0.000272557 0.0193513 0.0179452 -1 -1 -1 -1 28 913 30 6.95648e+06 72378.4 531479. 1839.03 0.50 0.0577112 0.0502372 24610 126494 -1 715 17 452 452 41946 11009 1.42263 1.42263 -49.4656 -1.42263 0 0 648988. 2245.63 0.03 0.03 0.10 -1 -1 0.03 0.0108026 0.00939751 25 2 18 18 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_018bits.v common 2.33 vpr 63.01 MiB 0.04 6580 -1 -1 1 0.02 -1 -1 30336 -1 -1 5 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64524 37 19 132 133 1 87 61 17 17 289 -1 unnamed_device 23.9 MiB 0.07 393 3301 654 2600 47 63.0 MiB 0.03 0.00 1.36696 -49.8334 -1.36696 1.36696 0.33 0.000330266 0.000307361 0.0100521 0.00936704 -1 -1 -1 -1 32 864 42 6.95648e+06 72378.4 586450. 2029.24 0.67 0.0691101 0.0588358 25474 144626 -1 705 15 408 408 32526 9042 1.10173 1.10173 -52.471 -1.10173 0 0 744469. 2576.02 0.03 0.03 0.12 -1 -1 0.03 0.0107581 0.00935112 28 2 20 20 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_020bits.v common 3.07 vpr 63.13 MiB 0.03 6580 -1 -1 1 0.03 -1 -1 30388 -1 -1 5 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64644 41 21 146 147 1 95 67 17 17 289 -1 unnamed_device 23.9 MiB 0.07 410 7955 3291 4607 57 63.1 MiB 0.05 0.00 1.38896 -55.723 -1.38896 1.38896 0.33 0.000373075 0.000347385 0.022255 0.0206821 -1 -1 -1 -1 30 1115 42 6.95648e+06 72378.4 556674. 1926.21 1.37 0.117238 0.100702 25186 138497 -1 768 18 563 563 47917 12726 1.26003 1.26003 -58.1622 -1.26003 0 0 706193. 2443.58 0.03 0.04 0.11 -1 -1 0.03 0.0132196 0.0114637 31 2 22 22 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_022bits.v common 2.81 vpr 63.12 MiB 0.03 6808 -1 -1 1 0.02 -1 -1 30328 -1 -1 6 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64636 45 23 160 161 1 107 74 17 17 289 -1 unnamed_device 23.9 MiB 0.08 569 10614 2660 7461 493 63.1 MiB 0.07 0.00 1.41096 -65.6384 -1.41096 1.41096 0.33 0.000389385 0.000362412 0.0278189 0.0259072 -1 -1 -1 -1 30 1184 24 6.95648e+06 86854.1 556674. 1926.21 1.10 0.102487 0.0892946 25186 138497 -1 976 14 527 527 35129 9046 1.24423 1.24423 -67.232 -1.24423 0 0 706193. 2443.58 0.03 0.03 0.11 -1 -1 0.03 0.011989 0.010522 34 2 24 24 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_024bits.v common 2.43 vpr 63.29 MiB 0.01 6560 -1 -1 1 0.02 -1 -1 30380 -1 -1 7 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64804 49 25 174 175 1 119 81 17 17 289 -1 unnamed_device 24.0 MiB 0.05 854 11106 4608 6456 42 63.3 MiB 0.07 0.00 1.43296 -76.1933 -1.43296 1.43296 0.33 0.00042267 0.000393493 0.0281882 0.0262471 -1 -1 -1 -1 32 1488 18 6.95648e+06 101330 586450. 2029.24 0.71 0.0909348 0.0799055 25474 144626 -1 1358 24 681 681 103355 32923 1.59383 1.59383 -87.2355 -1.59383 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0192398 0.0167411 38 2 26 26 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_028bits.v common 2.72 vpr 63.51 MiB 0.03 6712 -1 -1 1 0.03 -1 -1 30024 -1 -1 8 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65036 57 29 202 203 1 142 94 17 17 289 -1 unnamed_device 24.1 MiB 0.06 701 13726 3066 10452 208 63.5 MiB 0.08 0.00 1.47696 -80.9741 -1.47696 1.47696 0.33 0.00048541 0.000452475 0.0327471 0.0305043 -1 -1 -1 -1 36 1504 25 6.95648e+06 115805 648988. 2245.63 0.95 0.130404 0.114538 26050 158493 -1 1275 19 669 669 58321 15600 1.45133 1.45133 -89.0754 -1.45133 0 0 828058. 2865.25 0.03 0.05 0.13 -1 -1 0.03 0.0182283 0.0160219 44 2 30 30 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_032bits.v common 3.02 vpr 63.56 MiB 0.04 6608 -1 -1 1 0.03 -1 -1 30416 -1 -1 9 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65084 65 33 230 231 1 162 107 17 17 289 -1 unnamed_device 23.9 MiB 0.08 910 17311 4711 11729 871 63.6 MiB 0.10 0.00 1.88129 -96.5486 -1.88129 1.88129 0.33 0.000568125 0.000530079 0.0404902 0.0378271 -1 -1 -1 -1 38 1716 50 6.95648e+06 130281 678818. 2348.85 1.16 0.17582 0.154761 26626 170182 -1 1485 18 787 787 58967 14897 1.32593 1.32593 -97.9313 -1.32593 0 0 902133. 3121.57 0.03 0.05 0.14 -1 -1 0.03 0.0202252 0.0178317 50 2 34 34 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_048bits.v common 6.63 vpr 63.82 MiB 0.03 6616 -1 -1 1 0.03 -1 -1 30440 -1 -1 14 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65356 97 49 342 343 1 243 160 17 17 289 -1 unnamed_device 24.5 MiB 0.10 1640 34012 12509 19150 2353 63.8 MiB 0.19 0.00 2.41762 -166.789 -2.41762 2.41762 0.33 0.000873313 0.000818471 0.0707271 0.0662884 -1 -1 -1 -1 46 2590 14 6.95648e+06 202660 828058. 2865.25 4.53 0.398032 0.354733 28066 200906 -1 2334 18 952 952 79254 17784 1.47173 1.47173 -153.194 -1.47173 0 0 1.01997e+06 3529.29 0.04 0.07 0.16 -1 -1 0.04 0.0305654 0.0274123 74 2 50 50 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml adder_064bits.v common 7.79 vpr 64.66 MiB 0.04 6776 -1 -1 1 0.03 -1 -1 30312 -1 -1 19 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66216 129 65 454 455 1 324 213 17 17 289 -1 unnamed_device 24.8 MiB 0.13 1977 51013 20101 28701 2211 64.7 MiB 0.29 0.01 2.95395 -231.581 -2.95395 2.95395 0.33 0.00120007 0.00112846 0.0995914 0.093585 -1 -1 -1 -1 56 3124 19 6.95648e+06 275038 973134. 3367.25 5.43 0.673439 0.605837 29794 239141 -1 2776 20 1296 1296 121046 30748 1.89633 1.89633 -213.812 -1.89633 0 0 1.19926e+06 4149.71 0.05 0.11 0.19 -1 -1 0.05 0.0461238 0.0416744 98 2 66 66 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_005bits.v common 2.18 vpr 62.55 MiB 0.03 6700 -1 -1 1 0.02 -1 -1 30104 -1 -1 1 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64052 11 6 41 42 1 19 18 17 17 289 -1 unnamed_device 23.8 MiB 0.01 58 363 61 287 15 62.6 MiB 0.01 0.00 0.688132 -11.6961 -0.688132 0.688132 0.33 0.000103887 9.4676e-05 0.00199438 0.00182048 -1 -1 -1 -1 26 153 8 6.99608e+06 14715.7 503264. 1741.40 0.72 0.0238353 0.0195708 24322 120374 -1 139 8 48 48 2544 859 0.688132 0.688132 -12.6806 -0.688132 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.00303976 0.00271809 8 2 7 7 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_006bits.v common 2.24 vpr 62.54 MiB 0.03 6608 -1 -1 1 0.02 -1 -1 29912 -1 -1 2 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64040 13 7 48 49 1 26 22 17 17 289 -1 unnamed_device 24.1 MiB 0.01 78 562 126 419 17 62.5 MiB 0.01 0.00 0.802432 -14.4602 -0.802432 0.802432 0.32 0.000121935 0.000111669 0.00267955 0.00245719 -1 -1 -1 -1 26 205 14 6.99608e+06 29431.4 503264. 1741.40 0.76 0.0294451 0.0243692 24322 120374 -1 197 17 97 97 5169 1930 0.916732 0.916732 -16.4331 -0.916732 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.00493038 0.00425735 10 2 8 8 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_007bits.v common 2.42 vpr 62.64 MiB 0.03 6612 -1 -1 1 0.02 -1 -1 30092 -1 -1 2 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64140 15 8 55 56 1 31 25 17 17 289 -1 unnamed_device 24.1 MiB 0.01 98 637 131 492 14 62.6 MiB 0.01 0.00 0.813432 -16.8565 -0.813432 0.813432 0.31 6.2692e-05 5.6118e-05 0.0014755 0.00132676 -1 -1 -1 -1 32 226 11 6.99608e+06 29431.4 586450. 2029.24 0.92 0.0278602 0.0229111 25474 144626 -1 180 13 106 106 4615 1703 0.804379 0.804379 -17.6598 -0.804379 0 0 744469. 2576.02 0.03 0.01 0.12 -1 -1 0.03 0.00481667 0.00418904 11 2 9 9 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_008bits.v common 1.91 vpr 62.59 MiB 0.04 6560 -1 -1 1 0.02 -1 -1 30016 -1 -1 2 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64092 17 9 62 63 1 36 28 17 17 289 -1 unnamed_device 24.1 MiB 0.01 114 952 302 601 49 62.6 MiB 0.01 0.00 0.835432 -19.044 -0.835432 0.835432 0.34 0.000156766 0.000144322 0.00408126 0.00375596 -1 -1 -1 -1 28 296 22 6.99608e+06 29431.4 531479. 1839.03 0.38 0.0237415 0.0199754 24610 126494 -1 256 22 277 277 17430 6116 1.04203 1.04203 -20.1441 -1.04203 0 0 648988. 2245.63 0.03 0.02 0.10 -1 -1 0.03 0.00725829 0.0061685 13 2 10 10 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_009bits.v common 2.58 vpr 62.60 MiB 0.03 6716 -1 -1 1 0.02 -1 -1 30012 -1 -1 3 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64100 19 10 69 70 1 43 32 17 17 289 -1 unnamed_device 24.1 MiB 0.02 140 1832 485 1229 118 62.6 MiB 0.02 0.00 0.846432 -21.7224 -0.846432 0.846432 0.33 0.000173613 0.000160388 0.00696085 0.00643037 -1 -1 -1 -1 32 309 11 6.99608e+06 44147 586450. 2029.24 1.04 0.0505928 0.0421812 25474 144626 -1 264 17 235 235 13568 4536 0.971732 0.971732 -22.3105 -0.971732 0 0 744469. 2576.02 0.03 0.02 0.12 -1 -1 0.03 0.00673143 0.00577916 14 2 11 11 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_010bits.v common 2.41 vpr 62.58 MiB 0.03 6704 -1 -1 1 0.02 -1 -1 30008 -1 -1 3 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64084 21 11 76 77 1 48 35 17 17 289 -1 unnamed_device 24.1 MiB 0.02 190 2315 608 1263 444 62.6 MiB 0.02 0.00 0.868432 -25.6922 -0.868432 0.868432 0.33 0.000199171 0.000180565 0.00847415 0.00783455 -1 -1 -1 -1 26 414 17 6.99608e+06 44147 503264. 1741.40 0.90 0.0472016 0.0396651 24322 120374 -1 378 13 199 199 14854 4544 0.993732 0.993732 -27.7763 -0.993732 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.0061308 0.00533051 16 2 12 12 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_011bits.v common 2.46 vpr 62.75 MiB 0.03 6708 -1 -1 1 0.02 -1 -1 29984 -1 -1 3 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64260 23 12 83 84 1 54 38 17 17 289 -1 unnamed_device 24.1 MiB 0.02 355 1487 338 1045 104 62.8 MiB 0.02 0.00 0.879432 -29.3885 -0.879432 0.879432 0.34 0.00021161 0.000195534 0.00560054 0.00518581 -1 -1 -1 -1 26 651 22 6.99608e+06 44147 503264. 1741.40 0.94 0.0633592 0.05278 24322 120374 -1 612 16 287 287 21910 5749 0.971732 0.971732 -32.8358 -0.971732 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00751229 0.00646523 17 2 13 13 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_012bits.v common 2.45 vpr 62.94 MiB 0.03 6696 -1 -1 1 0.02 -1 -1 30000 -1 -1 4 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64452 25 13 90 91 1 60 42 17 17 289 -1 unnamed_device 24.3 MiB 0.02 215 1842 394 1399 49 62.9 MiB 0.02 0.00 0.901432 -30.3131 -0.901432 0.901432 0.33 0.000281864 0.000257049 0.00829278 0.00764444 -1 -1 -1 -1 26 564 17 6.99608e+06 58862.7 503264. 1741.40 0.93 0.0537918 0.0453251 24322 120374 -1 521 18 365 365 24551 7622 1.14103 1.14103 -36.1835 -1.14103 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.0085692 0.00736037 19 2 14 14 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_013bits.v common 2.56 vpr 62.73 MiB 0.03 6708 -1 -1 1 0.02 -1 -1 30148 -1 -1 4 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64240 27 14 97 98 1 66 45 17 17 289 -1 unnamed_device 24.1 MiB 0.02 232 1645 306 1308 31 62.7 MiB 0.02 0.00 0.912432 -32.6875 -0.912432 0.912432 0.33 0.000237403 0.000219852 0.00563039 0.0052098 -1 -1 -1 -1 28 634 16 6.99608e+06 58862.7 531479. 1839.03 0.99 0.0510613 0.0430376 24610 126494 -1 580 15 347 347 22140 6906 1.12098 1.12098 -38.2151 -1.12098 0 0 648988. 2245.63 0.03 0.02 0.10 -1 -1 0.03 0.00802719 0.00695465 20 2 15 15 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_014bits.v common 2.65 vpr 62.88 MiB 0.03 6700 -1 -1 1 0.02 -1 -1 30384 -1 -1 4 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64388 29 15 104 105 1 72 48 17 17 289 -1 unnamed_device 24.2 MiB 0.03 373 3702 1135 2001 566 62.9 MiB 0.03 0.00 0.934432 -38.3641 -0.934432 0.934432 0.33 0.000251966 0.000233344 0.0115225 0.0106543 -1 -1 -1 -1 30 767 25 6.99608e+06 58862.7 556674. 1926.21 1.06 0.0735093 0.06237 25186 138497 -1 628 13 341 341 24944 6277 1.08603 1.08603 -40.6345 -1.08603 0 0 706193. 2443.58 0.03 0.02 0.11 -1 -1 0.03 0.00773893 0.00675775 22 2 16 16 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_015bits.v common 2.06 vpr 62.76 MiB 0.03 6584 -1 -1 1 0.02 -1 -1 30324 -1 -1 5 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64268 31 16 111 112 1 78 52 17 17 289 -1 unnamed_device 24.1 MiB 0.03 329 4708 1728 2615 365 62.8 MiB 0.04 0.00 1.30576 -38.8078 -1.30576 1.30576 0.33 0.000270479 0.000250497 0.0140615 0.0130288 -1 -1 -1 -1 28 861 22 6.99608e+06 73578.4 531479. 1839.03 0.43 0.0470125 0.0407944 24610 126494 -1 671 33 467 467 69994 35425 1.31648 1.31648 -46.8964 -1.31648 0 0 648988. 2245.63 0.03 0.05 0.10 -1 -1 0.03 0.0161664 0.0137404 24 2 17 17 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_016bits.v common 2.63 vpr 62.89 MiB 0.03 6724 -1 -1 1 0.02 -1 -1 30464 -1 -1 5 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64400 33 17 118 119 1 81 55 17 17 289 -1 unnamed_device 23.8 MiB 0.03 332 6711 2788 3857 66 62.9 MiB 0.05 0.00 1.31676 -42.5221 -1.31676 1.31676 0.33 0.000290847 0.000269945 0.0196842 0.0182572 -1 -1 -1 -1 30 798 23 6.99608e+06 73578.4 556674. 1926.21 1.02 0.0861479 0.0739404 25186 138497 -1 633 14 378 378 25514 6912 1.12803 1.12803 -44.1937 -1.12803 0 0 706193. 2443.58 0.03 0.02 0.11 -1 -1 0.03 0.00909213 0.00792804 25 2 18 18 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_018bits.v common 2.26 vpr 62.82 MiB 0.03 6640 -1 -1 1 0.02 -1 -1 30308 -1 -1 5 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64324 37 19 132 133 1 87 61 17 17 289 -1 unnamed_device 23.8 MiB 0.03 358 4261 920 3151 190 62.8 MiB 0.03 0.00 1.33876 -48.1967 -1.33876 1.33876 0.33 0.000329896 0.000306815 0.0126606 0.0117764 -1 -1 -1 -1 32 835 17 6.99608e+06 73578.4 586450. 2029.24 0.64 0.056576 0.048753 25474 144626 -1 664 15 333 333 20812 6284 1.12373 1.12373 -51.9237 -1.12373 0 0 744469. 2576.02 0.03 0.03 0.12 -1 -1 0.03 0.0106675 0.00927301 28 2 20 20 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_020bits.v common 2.31 vpr 62.79 MiB 0.04 6584 -1 -1 1 0.02 -1 -1 30456 -1 -1 5 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64292 41 21 146 147 1 94 67 17 17 289 -1 unnamed_device 23.8 MiB 0.03 468 7955 3317 4596 42 62.8 MiB 0.05 0.00 1.36076 -55.6855 -1.36076 1.36076 0.33 0.0003604 0.000335335 0.0220989 0.020532 -1 -1 -1 -1 32 929 17 6.99608e+06 73578.4 586450. 2029.24 0.64 0.0715016 0.0623443 25474 144626 -1 758 14 366 366 27217 7456 1.23803 1.23803 -58.6653 -1.23803 0 0 744469. 2576.02 0.03 0.03 0.12 -1 -1 0.03 0.0110418 0.00964779 31 2 22 22 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_022bits.v common 2.91 vpr 62.83 MiB 0.02 6708 -1 -1 1 0.02 -1 -1 30332 -1 -1 6 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64340 45 23 160 161 1 106 74 17 17 289 -1 unnamed_device 23.7 MiB 0.04 574 10149 2496 7579 74 62.8 MiB 0.06 0.00 1.38276 -62.9133 -1.38276 1.38276 0.33 0.000392204 0.000364759 0.0268104 0.0248718 -1 -1 -1 -1 30 1236 38 6.99608e+06 88294.1 556674. 1926.21 1.25 0.124304 0.107554 25186 138497 -1 954 14 520 520 41622 10284 1.19403 1.19403 -64.1778 -1.19403 0 0 706193. 2443.58 0.03 0.03 0.11 -1 -1 0.03 0.01195 0.0104728 34 2 24 24 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_024bits.v common 2.24 vpr 63.00 MiB 0.03 6760 -1 -1 1 0.03 -1 -1 30312 -1 -1 7 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64512 49 25 174 175 1 118 81 17 17 289 -1 unnamed_device 23.7 MiB 0.04 868 11106 3989 6115 1002 63.0 MiB 0.07 0.00 1.40476 -74.1905 -1.40476 1.40476 0.33 0.000424614 0.000394513 0.0281667 0.0262197 -1 -1 -1 -1 30 1519 43 6.99608e+06 103010 556674. 1926.21 0.52 0.0915366 0.080441 25186 138497 -1 1309 16 480 480 43224 9730 1.31503 1.31503 -80.1605 -1.31503 0 0 706193. 2443.58 0.03 0.04 0.11 -1 -1 0.03 0.0139185 0.0122012 38 2 26 26 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_028bits.v common 2.66 vpr 63.32 MiB 0.04 6704 -1 -1 1 0.02 -1 -1 30008 -1 -1 8 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64840 57 29 202 203 1 141 94 17 17 289 -1 unnamed_device 23.9 MiB 0.05 716 13726 3497 10051 178 63.3 MiB 0.08 0.00 1.44876 -81.7332 -1.44876 1.44876 0.33 0.000485892 0.000452708 0.0329705 0.0305998 -1 -1 -1 -1 36 1485 24 6.99608e+06 117725 648988. 2245.63 0.88 0.129849 0.114084 26050 158493 -1 1285 14 597 597 52011 13012 1.30403 1.30403 -83.6263 -1.30403 0 0 828058. 2865.25 0.03 0.04 0.13 -1 -1 0.03 0.0144526 0.0128002 44 2 30 30 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_032bits.v common 2.83 vpr 63.25 MiB 0.04 6688 -1 -1 1 0.03 -1 -1 30304 -1 -1 9 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64772 65 33 230 231 1 162 107 17 17 289 -1 unnamed_device 23.7 MiB 0.05 974 17311 5634 10760 917 63.3 MiB 0.11 0.00 1.85309 -98.3079 -1.85309 1.85309 0.33 0.000573006 0.000535177 0.040683 0.0380129 -1 -1 -1 -1 38 1748 34 6.99608e+06 132441 678818. 2348.85 1.01 0.16221 0.143137 26626 170182 -1 1535 17 764 764 60474 14239 1.41203 1.41203 -101.564 -1.41203 0 0 902133. 3121.57 0.03 0.05 0.14 -1 -1 0.03 0.0193024 0.0170044 50 2 34 34 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_048bits.v common 4.23 vpr 63.43 MiB 0.02 6560 -1 -1 1 0.03 -1 -1 30436 -1 -1 14 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64952 97 49 342 343 1 243 160 17 17 289 -1 unnamed_device 24.1 MiB 0.08 1658 34012 13319 19214 1479 63.4 MiB 0.19 0.00 2.38942 -166.658 -2.38942 2.38942 0.33 0.000871933 0.000816621 0.0708685 0.0663994 -1 -1 -1 -1 46 2542 21 6.99608e+06 206020 828058. 2865.25 2.20 0.318031 0.284999 28066 200906 -1 2386 18 1000 1000 89813 19714 1.40918 1.40918 -149.495 -1.40918 0 0 1.01997e+06 3529.29 0.04 0.07 0.16 -1 -1 0.04 0.0306471 0.0274583 74 2 50 50 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml adder_064bits.v common 8.68 vpr 64.58 MiB 0.04 6868 -1 -1 1 0.03 -1 -1 30300 -1 -1 19 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66132 129 65 454 455 1 324 213 17 17 289 -1 unnamed_device 24.8 MiB 0.10 1985 51013 19564 29177 2272 64.6 MiB 0.31 0.01 2.92575 -230.422 -2.92575 2.92575 0.33 0.0012048 0.00113356 0.0999523 0.0940212 -1 -1 -1 -1 50 3267 26 6.99608e+06 279598 902133. 3121.57 6.41 0.669769 0.603211 28642 213929 -1 2965 18 1277 1277 117926 28131 1.74903 1.74903 -209.268 -1.74903 0 0 1.08113e+06 3740.92 0.04 0.10 0.17 -1 -1 0.04 0.0421011 0.0380301 98 2 66 66 0 0 + fixed_k6_frac_N8_22nm.xml adder_005bits.v common 1.73 vpr 61.99 MiB 0.03 6368 -1 -1 2 0.06 -1 -1 31820 -1 -1 2 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63480 11 6 34 40 1 23 19 17 17 289 -1 unnamed_device 23.2 MiB 0.01 185 119 39 80 0 62.0 MiB 0.00 0.00 1.02368 -16.2207 -1.02368 1.02368 0.33 0.000105613 9.6137e-05 0.000843747 0.000776845 -1 -1 -1 -1 20 272 6 6.79088e+06 26944 414966. 1435.87 0.27 0.00397713 0.00357669 22510 95286 -1 280 10 79 96 5531 1461 1.02368 1.02368 -16.6343 -1.02368 0 0 503264. 1741.40 0.02 0.01 0.08 -1 -1 0.02 0.00346008 0.00306058 10 7 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_006bits.v common 1.95 vpr 61.93 MiB 0.03 6440 -1 -1 3 0.05 -1 -1 31972 -1 -1 2 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63420 13 7 41 48 1 30 22 17 17 289 -1 unnamed_device 23.3 MiB 0.01 99 472 98 353 21 61.9 MiB 0.01 0.00 1.14898 -16.154 -1.14898 1.14898 0.33 0.000125154 0.000114524 0.00243451 0.00224419 -1 -1 -1 -1 20 280 10 6.79088e+06 26944 414966. 1435.87 0.53 0.00956601 0.00830799 22510 95286 -1 223 7 83 87 4348 1454 1.05944 1.05944 -17.1675 -1.05944 0 0 503264. 1741.40 0.02 0.01 0.08 -1 -1 0.02 0.00329137 0.00293956 11 9 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_007bits.v common 2.42 vpr 62.09 MiB 0.03 6444 -1 -1 3 0.05 -1 -1 32544 -1 -1 2 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63584 15 8 47 55 1 35 25 17 17 289 -1 unnamed_device 23.6 MiB 0.04 124 1141 451 677 13 62.1 MiB 0.01 0.00 1.31353 -19.8451 -1.31353 1.31353 0.33 0.000143611 0.000131677 0.00503436 0.0046201 -1 -1 -1 -1 24 275 11 6.79088e+06 26944 470940. 1629.55 0.93 0.0316593 0.0264652 23374 113417 -1 241 10 112 119 5467 1823 1.31353 1.31353 -21.0649 -1.31353 0 0 586450. 2029.24 0.03 0.01 0.09 -1 -1 0.03 0.00422996 0.00371899 13 10 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_008bits.v common 1.92 vpr 62.10 MiB 0.03 6416 -1 -1 3 0.06 -1 -1 32096 -1 -1 4 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63588 17 9 56 65 1 42 30 17 17 289 -1 unnamed_device 23.6 MiB 0.06 138 1364 351 850 163 62.1 MiB 0.02 0.00 1.56413 -22.2762 -1.56413 1.56413 0.33 0.000175061 0.00016151 0.00578447 0.00534538 -1 -1 -1 -1 26 380 13 6.79088e+06 53888 503264. 1741.40 0.36 0.0252761 0.0214839 23662 119890 -1 311 10 190 233 11383 4069 1.43883 1.43883 -23.4014 -1.43883 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.00506057 0.00444233 17 14 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_009bits.v common 2.42 vpr 62.01 MiB 0.03 6376 -1 -1 4 0.06 -1 -1 32004 -1 -1 3 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63496 19 10 60 70 1 47 32 17 17 289 -1 unnamed_device 23.5 MiB 0.07 175 1032 216 789 27 62.0 MiB 0.01 0.00 1.65028 -27.4579 -1.65028 1.65028 0.33 0.000184083 0.000169959 0.00440207 0.00406982 -1 -1 -1 -1 26 388 8 6.79088e+06 40416 503264. 1741.40 0.83 0.0431103 0.0358263 23662 119890 -1 349 7 136 152 6381 2191 1.65028 1.65028 -28.9406 -1.65028 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.00429807 0.00381194 17 13 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_010bits.v common 2.37 vpr 62.13 MiB 0.01 6416 -1 -1 4 0.06 -1 -1 31812 -1 -1 4 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63620 21 11 69 80 1 54 36 17 17 289 -1 unnamed_device 23.6 MiB 0.06 191 1452 298 1143 11 62.1 MiB 0.02 0.00 1.56413 -28.6885 -1.56413 1.56413 0.33 0.00021013 0.000194272 0.00585312 0.00542163 -1 -1 -1 -1 22 619 20 6.79088e+06 53888 443629. 1535.05 0.76 0.0405774 0.0341028 22798 101617 -1 442 11 230 273 12308 4104 1.51379 1.51379 -31.026 -1.51379 0 0 531479. 1839.03 0.02 0.02 0.09 -1 -1 0.02 0.006077 0.0053106 21 17 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_011bits.v common 2.02 vpr 62.25 MiB 0.03 6408 -1 -1 5 0.06 -1 -1 32476 -1 -1 4 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63748 23 12 73 85 1 57 39 17 17 289 -1 unnamed_device 23.7 MiB 0.10 324 1293 253 1010 30 62.3 MiB 0.01 0.00 1.90093 -37.0277 -1.90093 1.90093 0.33 0.000220235 0.000203862 0.00496718 0.00460762 -1 -1 -1 -1 26 610 11 6.79088e+06 53888 503264. 1741.40 0.36 0.0280228 0.0238754 23662 119890 -1 587 12 200 237 14071 3800 1.77563 1.77563 -37.5926 -1.77563 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00652735 0.00569607 21 16 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_012bits.v common 2.38 vpr 62.18 MiB 0.03 6372 -1 -1 5 0.06 -1 -1 31816 -1 -1 4 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63676 25 13 82 95 1 64 42 17 17 289 -1 unnamed_device 23.6 MiB 0.07 311 3498 1073 1762 663 62.2 MiB 0.03 0.00 2.11577 -39.2455 -2.11577 2.11577 0.33 0.000240811 0.000222276 0.0125095 0.0115677 -1 -1 -1 -1 26 678 11 6.79088e+06 53888 503264. 1741.40 0.77 0.0617736 0.0525154 23662 119890 -1 630 10 251 327 17898 4910 1.81483 1.81483 -40.2385 -1.81483 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00642909 0.00566045 25 20 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_013bits.v common 2.57 vpr 62.27 MiB 0.03 6368 -1 -1 5 0.06 -1 -1 32264 -1 -1 5 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63768 27 14 91 105 1 70 46 17 17 289 -1 unnamed_device 23.6 MiB 0.08 366 1440 252 1179 9 62.3 MiB 0.02 0.00 2.15497 -43.2188 -2.15497 2.15497 0.34 0.000272352 0.000251968 0.00569786 0.00529265 -1 -1 -1 -1 26 771 12 6.79088e+06 67360 503264. 1741.40 0.93 0.0585775 0.0494107 23662 119890 -1 724 15 295 415 26147 6829 2.06543 2.06543 -45.476 -2.06543 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00916542 0.00793504 28 24 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_014bits.v common 2.43 vpr 62.08 MiB 0.03 6384 -1 -1 6 0.06 -1 -1 31792 -1 -1 5 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63572 29 15 95 110 1 77 49 17 17 289 -1 unnamed_device 23.4 MiB 0.07 466 2363 475 1762 126 62.1 MiB 0.02 0.00 2.40562 -51.3873 -2.40562 2.40562 0.33 0.000283014 0.00026257 0.008428 0.00782966 -1 -1 -1 -1 26 1006 20 6.79088e+06 67360 503264. 1741.40 0.84 0.0737772 0.0621989 23662 119890 -1 868 15 357 442 37776 9302 2.28027 2.28027 -52.397 -2.28027 0 0 618332. 2139.56 0.03 0.03 0.08 -1 -1 0.03 0.00951784 0.00825793 29 23 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_015bits.v common 2.81 vpr 62.16 MiB 0.03 6416 -1 -1 6 0.06 -1 -1 31760 -1 -1 6 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63656 31 16 104 120 1 84 53 17 17 289 -1 unnamed_device 23.4 MiB 0.08 547 2231 435 1739 57 62.2 MiB 0.02 0.00 2.69548 -57.02 -2.69548 2.69548 0.33 0.000312731 0.000290207 0.00798741 0.00743841 -1 -1 -1 -1 30 1011 15 6.79088e+06 80832 556674. 1926.21 1.14 0.0619094 0.0525221 24526 138013 -1 888 11 296 383 24823 5960 2.44488 2.44488 -57.0491 -2.44488 0 0 706193. 2443.58 0.03 0.04 0.11 -1 -1 0.03 0.0117276 0.0101176 32 27 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_016bits.v common 2.77 vpr 62.21 MiB 0.03 6380 -1 -1 7 0.06 -1 -1 31840 -1 -1 5 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63704 33 17 108 125 1 84 55 17 17 289 -1 unnamed_device 23.5 MiB 0.14 411 6503 2709 3763 31 62.2 MiB 0.05 0.00 2.69553 -58.1741 -2.69553 2.69553 0.33 0.000323422 0.000300152 0.0211808 0.0196751 -1 -1 -1 -1 28 942 15 6.79088e+06 67360 531479. 1839.03 1.02 0.09488 0.0813611 23950 126010 -1 708 12 321 412 23073 6793 2.69553 2.69553 -57.9994 -2.69553 0 0 648988. 2245.63 0.03 0.02 0.10 -1 -1 0.03 0.00917799 0.00802688 31 26 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_018bits.v common 2.85 vpr 62.34 MiB 0.04 6408 -1 -1 7 0.06 -1 -1 32380 -1 -1 7 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63840 37 19 127 146 1 98 63 17 17 289 -1 unnamed_device 23.2 MiB 0.17 476 6063 1544 3786 733 62.3 MiB 0.04 0.00 2.90688 -68.4098 -2.90688 2.90688 0.33 0.000368248 0.000341607 0.0191151 0.0177558 -1 -1 -1 -1 26 1168 18 6.79088e+06 94304 503264. 1741.40 1.07 0.104535 0.0896586 23662 119890 -1 1004 13 384 508 32774 8739 2.90344 2.90344 -73.1338 -2.90344 0 0 618332. 2139.56 0.03 0.03 0.10 -1 -1 0.03 0.0111271 0.00974877 37 35 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_020bits.v common 3.15 vpr 62.30 MiB 0.04 6368 -1 -1 8 0.07 -1 -1 32016 -1 -1 8 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63792 41 21 139 160 1 107 70 17 17 289 -1 unnamed_device 23.3 MiB 0.14 570 6982 2815 4045 122 62.3 MiB 0.05 0.00 2.99652 -76.0046 -2.99652 2.99652 0.33 0.000399364 0.000370484 0.0206606 0.0191367 -1 -1 -1 -1 28 1580 50 6.79088e+06 107776 531479. 1839.03 1.37 0.132385 0.113608 23950 126010 -1 1054 10 373 485 28857 7567 2.74592 2.74592 -76.6852 -2.74592 0 0 648988. 2245.63 0.03 0.03 0.10 -1 -1 0.03 0.010177 0.00900614 41 37 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_022bits.v common 3.10 vpr 62.59 MiB 0.03 6416 -1 -1 9 0.07 -1 -1 32328 -1 -1 8 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64092 45 23 152 175 1 118 76 17 17 289 -1 unnamed_device 23.4 MiB 0.17 502 8076 3035 4695 346 62.6 MiB 0.06 0.00 3.44738 -89.4365 -3.44738 3.44738 0.33 0.00043407 0.000402995 0.0234092 0.0217395 -1 -1 -1 -1 28 1205 16 6.79088e+06 107776 531479. 1839.03 1.28 0.128429 0.111207 23950 126010 -1 1016 10 411 515 32993 10013 3.14645 3.14645 -88.4177 -3.14645 0 0 648988. 2245.63 0.03 0.03 0.10 -1 -1 0.03 0.0109613 0.00973903 46 40 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_024bits.v common 3.58 vpr 62.63 MiB 0.03 6464 -1 -1 10 0.09 -1 -1 32664 -1 -1 10 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64136 49 25 165 190 1 131 84 17 17 289 -1 unnamed_device 23.3 MiB 0.18 739 11247 4252 6647 348 62.6 MiB 0.07 0.00 3.86603 -103.96 -3.86603 3.86603 0.33 0.000472102 0.000438272 0.0304073 0.0282308 -1 -1 -1 -1 28 1645 20 6.79088e+06 134720 531479. 1839.03 1.66 0.152184 0.132201 23950 126010 -1 1446 27 611 946 116777 58516 3.66233 3.66233 -105.67 -3.66233 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0235639 0.0205086 51 43 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_028bits.v common 3.24 vpr 62.96 MiB 0.04 6380 -1 -1 11 0.07 -1 -1 32692 -1 -1 11 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64472 57 29 199 228 1 155 97 17 17 289 -1 unnamed_device 23.4 MiB 0.19 966 15637 5195 8163 2279 63.0 MiB 0.10 0.00 4.19267 -132.823 -4.19267 4.19267 0.33 0.000572989 0.00053382 0.0423166 0.0394322 -1 -1 -1 -1 30 1883 23 6.79088e+06 148192 556674. 1926.21 1.27 0.167367 0.146646 24526 138013 -1 1627 36 587 869 119586 67484 3.95993 3.95993 -132.604 -3.95993 0 0 706193. 2443.58 0.03 0.10 0.11 -1 -1 0.03 0.0362552 0.0315027 58 57 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_032bits.v common 4.55 vpr 62.89 MiB 0.03 6408 -1 -1 13 0.08 -1 -1 32052 -1 -1 12 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64404 65 33 224 257 1 173 110 17 17 289 -1 unnamed_device 23.3 MiB 0.33 796 9841 2082 7388 371 62.9 MiB 0.07 0.00 4.79019 -155.397 -4.79019 4.79019 0.33 0.000646067 0.000602862 0.0260827 0.0243204 -1 -1 -1 -1 40 1491 20 6.79088e+06 161664 706193. 2443.58 2.47 0.270638 0.235041 26254 175826 -1 1363 13 682 924 48199 14189 4.48925 4.48925 -144.593 -4.48925 0 0 926341. 3205.33 0.04 0.04 0.14 -1 -1 0.04 0.0184905 0.016418 66 62 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_048bits.v common 3.91 vpr 63.67 MiB 0.01 6528 -1 -1 19 0.10 -1 -1 32428 -1 -1 18 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65200 97 49 340 389 1 265 164 17 17 289 -1 unnamed_device 23.9 MiB 0.40 1609 33316 10415 19690 3211 63.7 MiB 0.18 0.00 6.98035 -294.114 -6.98035 6.98035 0.33 0.000979692 0.000914831 0.0760896 0.0710834 -1 -1 -1 -1 32 3037 18 6.79088e+06 242496 586450. 2029.24 1.57 0.319846 0.2854 24814 144142 -1 2813 16 988 1426 91867 23129 6.58396 6.58396 -295.091 -6.58396 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0326998 0.0292956 98 98 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml adder_064bits.v common 3.69 vpr 64.08 MiB 0.04 6716 -1 -1 26 0.13 -1 -1 32516 -1 -1 23 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65616 129 65 454 519 1 349 217 17 17 289 -1 unnamed_device 24.3 MiB 0.62 2134 47740 17239 26981 3520 64.1 MiB 0.24 0.00 9.1792 -467.226 -9.1792 9.1792 0.33 0.00132682 0.00124252 0.101532 0.0950444 -1 -1 -1 -1 38 3693 17 6.79088e+06 309856 678818. 2348.85 0.94 0.287394 0.260813 25966 169698 -1 3277 14 1240 1597 98903 25444 8.678 8.678 -451.298 -8.678 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0401425 0.0363529 132 132 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml adder_005bits.v common 2.05 vpr 62.20 MiB 0.03 6716 -1 -1 1 0.02 -1 -1 30044 -1 -1 2 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63692 11 6 41 42 1 27 19 17 17 289 -1 unnamed_device 23.5 MiB 0.04 82 494 104 366 24 62.2 MiB 0.01 0.00 0.811073 -12.9331 -0.811073 0.811073 0.33 0.000109216 0.000100055 0.00239581 0.00218085 -1 -1 -1 -1 22 222 7 6.87369e+06 27947.7 443629. 1535.05 0.59 0.0157082 0.0131251 23458 102101 -1 180 10 122 122 4992 1656 0.936373 0.936373 -14.3779 -0.936373 0 0 531479. 1839.03 0.02 0.01 0.09 -1 -1 0.02 0.00340253 0.00299828 12 2 7 7 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_006bits.v common 2.60 vpr 62.32 MiB 0.03 6612 -1 -1 1 0.02 -1 -1 30100 -1 -1 3 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63812 13 7 48 49 1 33 23 17 17 289 -1 unnamed_device 23.9 MiB 0.04 213 599 129 415 55 62.3 MiB 0.01 0.00 0.833073 -17.625 -0.833073 0.833073 0.33 0.000121975 0.000111736 0.00265288 0.00242771 -1 -1 -1 -1 32 353 12 6.87369e+06 41921.5 586450. 2029.24 1.07 0.0316859 0.0261142 25474 144626 -1 324 9 102 102 10094 2410 0.856592 0.856592 -18.7883 -0.856592 0 0 744469. 2576.02 0.03 0.01 0.12 -1 -1 0.03 0.00351684 0.00310223 15 2 8 8 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_007bits.v common 2.19 vpr 62.48 MiB 0.01 6668 -1 -1 1 0.03 -1 -1 30036 -1 -1 3 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63976 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 24.0 MiB 0.05 187 748 145 594 9 62.5 MiB 0.01 0.00 1.2044 -19.4991 -1.2044 1.2044 0.33 0.000139386 0.000128323 0.00316518 0.00290833 -1 -1 -1 -1 20 408 23 6.87369e+06 41921.5 414966. 1435.87 0.71 0.0194972 0.0163899 23170 95770 -1 392 10 179 179 14036 3970 1.20697 1.20697 -23.1723 -1.20697 0 0 503264. 1741.40 0.02 0.01 0.08 -1 -1 0.02 0.00417982 0.00366155 16 2 9 9 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_008bits.v common 1.96 vpr 62.47 MiB 0.03 6612 -1 -1 1 0.02 -1 -1 30000 -1 -1 3 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63968 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 24.0 MiB 0.05 149 997 208 726 63 62.5 MiB 0.01 0.00 1.2154 -21.2728 -1.2154 1.2154 0.25 7.035e-05 6.3019e-05 0.0019862 0.00178595 -1 -1 -1 -1 22 427 20 6.87369e+06 41921.5 443629. 1535.05 0.49 0.0172433 0.0142862 23458 102101 -1 345 13 233 233 11990 4205 1.12567 1.12567 -24.97 -1.12567 0 0 531479. 1839.03 0.02 0.01 0.09 -1 -1 0.02 0.00514588 0.00445328 19 2 10 10 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_009bits.v common 2.67 vpr 62.71 MiB 0.03 6672 -1 -1 1 0.02 -1 -1 29940 -1 -1 3 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64220 19 10 69 70 1 45 32 17 17 289 -1 unnamed_device 24.3 MiB 0.05 147 1332 336 883 113 62.7 MiB 0.01 0.00 1.2264 -23.8065 -1.2264 1.2264 0.33 0.000183123 0.000169976 0.00519838 0.00480106 -1 -1 -1 -1 32 310 19 6.87369e+06 41921.5 586450. 2029.24 1.08 0.0524689 0.0435148 25474 144626 -1 288 16 301 301 14927 5393 1.08167 1.08167 -24.3353 -1.08167 0 0 744469. 2576.02 0.03 0.02 0.12 -1 -1 0.03 0.00651021 0.00559509 20 2 11 11 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_010bits.v common 2.49 vpr 62.37 MiB 0.02 6712 -1 -1 1 0.02 -1 -1 29996 -1 -1 4 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63868 21 11 76 77 1 48 36 17 17 289 -1 unnamed_device 23.9 MiB 0.05 216 3399 1062 1618 719 62.4 MiB 0.03 0.00 1.2374 -27.5226 -1.2374 1.2374 0.35 0.000231461 0.000214059 0.0120169 0.0111098 -1 -1 -1 -1 28 433 11 6.87369e+06 55895.4 531479. 1839.03 0.91 0.0543141 0.0459095 24610 126494 -1 386 7 154 154 10219 3021 1.02237 1.02237 -29.2086 -1.02237 0 0 648988. 2245.63 0.03 0.01 0.10 -1 -1 0.03 0.00428849 0.00379636 22 2 12 12 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_011bits.v common 1.99 vpr 62.53 MiB 0.03 6704 -1 -1 1 0.02 -1 -1 30008 -1 -1 5 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64032 23 12 83 84 1 53 40 17 17 289 -1 unnamed_device 23.8 MiB 0.04 278 1740 348 1260 132 62.5 MiB 0.02 0.00 1.2484 -30.619 -1.2484 1.2484 0.33 0.000212692 0.000197557 0.00602394 0.00558597 -1 -1 -1 -1 30 492 12 6.87369e+06 69869.2 556674. 1926.21 0.43 0.0283989 0.0242014 25186 138497 -1 475 13 163 163 8919 2552 1.14767 1.14767 -34.0748 -1.14767 0 0 706193. 2443.58 0.03 0.02 0.13 -1 -1 0.03 0.00650489 0.00564162 24 2 13 13 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_012bits.v common 2.43 vpr 62.76 MiB 0.02 6708 -1 -1 1 0.02 -1 -1 30068 -1 -1 5 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64264 25 13 90 91 1 60 43 17 17 289 -1 unnamed_device 24.1 MiB 0.05 302 1693 290 1387 16 62.8 MiB 0.02 0.00 1.2594 -34.117 -1.2594 1.2594 0.33 0.000225641 0.000209301 0.00573914 0.00531382 -1 -1 -1 -1 26 604 12 6.87369e+06 69869.2 503264. 1741.40 0.88 0.0560887 0.0469461 24322 120374 -1 553 16 268 268 21363 5538 1.15867 1.15867 -37.5285 -1.15867 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00777771 0.00668641 26 2 14 14 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_013bits.v common 2.39 vpr 62.59 MiB 0.03 6668 -1 -1 1 0.02 -1 -1 29972 -1 -1 5 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64088 27 14 97 98 1 67 46 17 17 289 -1 unnamed_device 23.9 MiB 0.05 267 2752 605 2119 28 62.6 MiB 0.02 0.00 1.2704 -35.8231 -1.2704 1.2704 0.33 0.000237779 0.000220202 0.00864896 0.0080164 -1 -1 -1 -1 28 626 14 6.87369e+06 69869.2 531479. 1839.03 0.79 0.0596084 0.0503997 24610 126494 -1 565 16 360 360 19568 6390 1.11467 1.11467 -38.8099 -1.11467 0 0 648988. 2245.63 0.03 0.02 0.11 -1 -1 0.03 0.0081905 0.0070539 28 2 15 15 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_014bits.v common 2.65 vpr 62.57 MiB 0.03 6728 -1 -1 1 0.02 -1 -1 30348 -1 -1 6 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64068 29 15 104 105 1 74 50 17 17 289 -1 unnamed_device 23.9 MiB 0.07 449 4926 1194 3103 629 62.6 MiB 0.04 0.00 1.2814 -41.6008 -1.2814 1.2814 0.34 0.000251491 0.000232904 0.0142724 0.0132062 -1 -1 -1 -1 30 748 14 6.87369e+06 83843 556674. 1926.21 0.98 0.0710628 0.0606964 25186 138497 -1 718 16 331 331 22142 5786 1.14767 1.14767 -43.9267 -1.14767 0 0 706193. 2443.58 0.03 0.02 0.11 -1 -1 0.03 0.00873591 0.00753771 31 2 16 16 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_015bits.v common 2.62 vpr 62.69 MiB 0.03 6648 -1 -1 1 0.02 -1 -1 30212 -1 -1 6 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64192 31 16 111 112 1 80 53 17 17 289 -1 unnamed_device 23.9 MiB 0.08 506 3122 687 2071 364 62.7 MiB 0.03 0.00 1.65273 -47.1101 -1.65273 1.65273 0.33 0.000271541 0.000251916 0.00930908 0.00863013 -1 -1 -1 -1 26 972 12 6.87369e+06 83843 503264. 1741.40 0.98 0.0729331 0.0618466 24322 120374 -1 878 14 403 403 35152 8582 1.18967 1.18967 -49.5108 -1.18967 0 0 618332. 2139.56 0.03 0.03 0.10 -1 -1 0.03 0.00844785 0.00733974 32 2 17 17 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_016bits.v common 2.13 vpr 62.65 MiB 0.03 6708 -1 -1 1 0.02 -1 -1 30260 -1 -1 6 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64156 33 17 118 119 1 83 56 17 17 289 -1 unnamed_device 23.7 MiB 0.08 355 7118 2954 4050 114 62.7 MiB 0.05 0.00 1.66373 -46.6834 -1.66373 1.66373 0.33 0.000292639 0.000271267 0.0202721 0.0187953 -1 -1 -1 -1 32 794 17 6.87369e+06 83843 586450. 2029.24 0.47 0.0529502 0.0463374 25474 144626 -1 640 13 379 379 22896 6687 1.20067 1.20067 -45.3375 -1.20067 0 0 744469. 2576.02 0.03 0.02 0.12 -1 -1 0.03 0.00851033 0.00741274 35 2 18 18 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_018bits.v common 2.11 vpr 62.69 MiB 0.03 6712 -1 -1 1 0.02 -1 -1 30340 -1 -1 7 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64192 37 19 132 133 1 89 63 17 17 289 -1 unnamed_device 23.7 MiB 0.08 649 7313 2500 4015 798 62.7 MiB 0.05 0.00 1.68573 -58.9222 -1.68573 1.68573 0.33 0.000329228 0.000305972 0.0201722 0.0187566 -1 -1 -1 -1 30 989 15 6.87369e+06 97816.9 556674. 1926.21 0.43 0.0557943 0.0488353 25186 138497 -1 1001 15 396 396 27469 6892 1.13037 1.13037 -58.2873 -1.13037 0 0 706193. 2443.58 0.03 0.03 0.11 -1 -1 0.03 0.0103837 0.00897525 38 2 20 20 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_020bits.v common 2.66 vpr 62.84 MiB 0.04 6776 -1 -1 1 0.02 -1 -1 30348 -1 -1 8 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64344 41 21 146 147 1 101 70 17 17 289 -1 unnamed_device 23.7 MiB 0.08 664 7846 2295 4568 983 62.8 MiB 0.05 0.00 1.70773 -65.3086 -1.70773 1.70773 0.33 0.000360815 0.000335551 0.0206228 0.0191812 -1 -1 -1 -1 26 1218 15 6.87369e+06 111791 503264. 1741.40 0.97 0.0861072 0.07439 24322 120374 -1 1110 16 473 473 35957 8835 1.22267 1.22267 -65.1761 -1.22267 0 0 618332. 2139.56 0.03 0.03 0.10 -1 -1 0.03 0.0118095 0.010231 42 2 22 22 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_022bits.v common 2.38 vpr 62.82 MiB 0.03 6620 -1 -1 1 0.02 -1 -1 30388 -1 -1 9 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64328 45 23 160 161 1 115 77 17 17 289 -1 unnamed_device 23.7 MiB 0.09 747 10020 3319 5209 1492 62.8 MiB 0.06 0.00 1.72973 -72.3274 -1.72973 1.72973 0.33 0.000390613 0.000363431 0.0251295 0.0233677 -1 -1 -1 -1 32 1248 13 6.87369e+06 125765 586450. 2029.24 0.63 0.0724594 0.0637838 25474 144626 -1 1131 17 445 445 37682 8907 1.17437 1.17437 -69.2803 -1.17437 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.013325 0.0115909 47 2 24 24 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_024bits.v common 2.75 vpr 63.02 MiB 0.01 6712 -1 -1 1 0.02 -1 -1 30424 -1 -1 9 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64528 49 25 174 175 1 124 83 17 17 289 -1 unnamed_device 23.8 MiB 0.09 769 9983 2785 6331 867 63.0 MiB 0.07 0.00 2.11206 -79.8727 -2.11206 2.11206 0.33 0.000420086 0.000391143 0.0244999 0.0228072 -1 -1 -1 -1 26 1428 20 6.87369e+06 125765 503264. 1741.40 1.07 0.110999 0.0968084 24322 120374 -1 1344 17 540 540 50274 12230 1.24467 1.24467 -78.2689 -1.24467 0 0 618332. 2139.56 0.03 0.04 0.10 -1 -1 0.03 0.0141755 0.012354 51 2 26 26 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_028bits.v common 2.79 vpr 63.39 MiB 0.04 6812 -1 -1 1 0.02 -1 -1 29920 -1 -1 11 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64908 57 29 202 203 1 142 97 17 17 289 -1 unnamed_device 24.0 MiB 0.09 986 14527 4652 8514 1361 63.4 MiB 0.09 0.00 2.15606 -99.1531 -2.15606 2.15606 0.33 0.000489951 0.000455425 0.0333882 0.031069 -1 -1 -1 -1 26 1828 21 6.87369e+06 153712 503264. 1741.40 1.06 0.129224 0.113522 24322 120374 -1 1668 18 675 675 67210 15649 1.38567 1.38567 -96.9365 -1.38567 0 0 618332. 2139.56 0.03 0.05 0.10 -1 -1 0.03 0.0170754 0.0149627 58 2 30 30 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_032bits.v common 2.49 vpr 63.42 MiB 0.02 6708 -1 -1 1 0.03 -1 -1 30476 -1 -1 12 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64940 65 33 230 231 1 165 110 17 17 289 -1 unnamed_device 23.8 MiB 0.10 1149 15890 6271 9336 283 63.4 MiB 0.10 0.00 2.56039 -116.289 -2.56039 2.56039 0.33 0.000570494 0.000532024 0.0359975 0.0335798 -1 -1 -1 -1 32 1980 19 6.87369e+06 167686 586450. 2029.24 0.70 0.114387 0.101416 25474 144626 -1 1790 14 625 625 55337 13048 1.32437 1.32437 -103.308 -1.32437 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.0161927 0.014281 67 2 34 34 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_048bits.v common 2.84 vpr 63.51 MiB 0.04 6712 -1 -1 1 0.03 -1 -1 30432 -1 -1 18 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65036 97 49 342 343 1 247 164 17 17 289 -1 unnamed_device 24.2 MiB 0.14 1584 34212 11837 19501 2874 63.5 MiB 0.21 0.00 3.45705 -191.416 -3.45705 3.45705 0.33 0.000870741 0.000816649 0.0683671 0.0640663 -1 -1 -1 -1 32 2862 16 6.87369e+06 251529 586450. 2029.24 0.78 0.188371 0.170336 25474 144626 -1 2513 15 1047 1047 89318 22265 1.63267 1.63267 -163.007 -1.63267 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0257721 0.0230934 99 2 50 50 0 0 + fixed_k6_frac_ripple_N8_22nm.xml adder_064bits.v common 4.67 vpr 64.36 MiB 0.04 6876 -1 -1 1 0.03 -1 -1 30368 -1 -1 24 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65900 129 65 454 455 1 329 218 17 17 289 -1 unnamed_device 24.5 MiB 0.14 2195 52618 20545 30099 1974 64.4 MiB 0.33 0.01 4.35372 -284.635 -4.35372 4.35372 0.33 0.00120258 0.00112994 0.099306 0.093418 -1 -1 -1 -1 32 3987 22 6.87369e+06 335372 586450. 2029.24 2.42 0.482133 0.43529 25474 144626 -1 3398 15 1362 1362 111584 27000 1.71237 1.71237 -212.376 -1.71237 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0357095 0.032221 131 2 66 66 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_005bits.v common 2.02 vpr 62.34 MiB 0.03 6708 -1 -1 1 0.02 -1 -1 30056 -1 -1 2 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63832 11 6 41 42 1 27 19 17 17 289 -1 unnamed_device 23.6 MiB 0.04 85 469 97 346 26 62.3 MiB 0.01 0.00 0.850273 -13.1081 -0.850273 0.850273 0.33 0.000104629 9.5431e-05 0.00228532 0.00208162 -1 -1 -1 -1 20 224 19 6.89349e+06 28187.7 414966. 1435.87 0.54 0.00970642 0.00829097 23170 95770 -1 202 12 128 128 7528 2536 1.05067 1.05067 -15.1349 -1.05067 0 0 503264. 1741.40 0.02 0.01 0.08 -1 -1 0.02 0.00366989 0.00319739 12 2 7 7 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_006bits.v common 2.11 vpr 62.34 MiB 0.03 6616 -1 -1 1 0.02 -1 -1 29868 -1 -1 3 13 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63836 13 7 48 49 1 33 23 17 17 289 -1 unnamed_device 23.9 MiB 0.04 162 727 181 475 71 62.3 MiB 0.01 0.00 0.872273 -16.5201 -0.872273 0.872273 0.33 0.000121537 0.000111263 0.00311797 0.00284901 -1 -1 -1 -1 22 322 13 6.89349e+06 42281.5 443629. 1535.05 0.61 0.0208679 0.0173929 23458 102101 -1 305 11 116 116 8809 2344 0.96032 0.96032 -18.8019 -0.96032 0 0 531479. 1839.03 0.02 0.01 0.09 -1 -1 0.02 0.00388052 0.00340595 15 2 8 8 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_007bits.v common 1.88 vpr 62.41 MiB 0.03 6620 -1 -1 1 0.02 -1 -1 30048 -1 -1 3 15 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63904 15 8 55 56 1 39 26 17 17 289 -1 unnamed_device 23.9 MiB 0.04 188 748 144 591 13 62.4 MiB 0.01 0.00 1.2216 -19.9265 -1.2216 1.2216 0.33 0.000139253 0.000128044 0.00321952 0.0029619 -1 -1 -1 -1 26 377 12 6.89349e+06 42281.5 503264. 1741.40 0.36 0.0186159 0.0157025 24322 120374 -1 329 14 197 197 12002 3194 0.881273 0.881273 -20.4462 -0.881273 0 0 618332. 2139.56 0.03 0.01 0.10 -1 -1 0.03 0.00485855 0.00418679 16 2 9 9 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_008bits.v common 2.08 vpr 62.23 MiB 0.03 6612 -1 -1 1 0.02 -1 -1 30144 -1 -1 3 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63728 17 9 62 63 1 42 29 17 17 289 -1 unnamed_device 23.8 MiB 0.04 152 1041 235 743 63 62.2 MiB 0.01 0.00 1.2326 -21.189 -1.2326 1.2326 0.33 0.000157184 0.000144821 0.00417962 0.00384695 -1 -1 -1 -1 20 343 11 6.89349e+06 42281.5 414966. 1435.87 0.58 0.0159801 0.0137231 23170 95770 -1 288 9 138 138 7110 2605 0.875073 0.875073 -22.7178 -0.875073 0 0 503264. 1741.40 0.02 0.01 0.08 -1 -1 0.02 0.00430274 0.00378195 19 2 10 10 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_009bits.v common 2.51 vpr 62.31 MiB 0.02 6644 -1 -1 1 0.02 -1 -1 29980 -1 -1 3 19 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63808 19 10 69 70 1 45 32 17 17 289 -1 unnamed_device 23.9 MiB 0.04 214 1182 282 820 80 62.3 MiB 0.01 0.00 1.2436 -24.8042 -1.2436 1.2436 0.33 0.00017517 0.000162061 0.00467232 0.004308 -1 -1 -1 -1 26 421 10 6.89349e+06 42281.5 503264. 1741.40 0.96 0.0457991 0.0380226 24322 120374 -1 376 13 193 193 11232 3145 0.995573 0.995573 -26.0806 -0.995573 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00556774 0.00481207 20 2 11 11 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_010bits.v common 2.49 vpr 62.41 MiB 0.03 6616 -1 -1 1 0.02 -1 -1 30076 -1 -1 4 21 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63904 21 11 76 77 1 48 36 17 17 289 -1 unnamed_device 24.0 MiB 0.04 179 3399 1263 1623 513 62.4 MiB 0.03 0.00 1.2546 -27.4421 -1.2546 1.2546 0.33 0.000191961 0.000177522 0.0116707 0.0107938 -1 -1 -1 -1 26 445 9 6.89349e+06 56375.4 503264. 1741.40 0.90 0.0446987 0.0379883 24322 120374 -1 370 13 231 231 16365 4692 1.12087 1.12087 -28.776 -1.12087 0 0 618332. 2139.56 0.03 0.02 0.12 -1 -1 0.03 0.00888603 0.00761606 22 2 12 12 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_011bits.v common 2.69 vpr 62.41 MiB 0.03 6704 -1 -1 1 0.02 -1 -1 30052 -1 -1 5 23 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63908 23 12 83 84 1 53 40 17 17 289 -1 unnamed_device 23.9 MiB 0.06 276 1604 327 1182 95 62.4 MiB 0.02 0.00 1.2656 -31.3421 -1.2656 1.2656 0.33 0.00021091 0.000195761 0.00556254 0.00515097 -1 -1 -1 -1 32 513 11 6.89349e+06 70469.2 586450. 2029.24 1.13 0.043551 0.0365875 25474 144626 -1 494 8 166 166 12156 3163 0.89902 0.89902 -31.8409 -0.89902 0 0 744469. 2576.02 0.03 0.01 0.12 -1 -1 0.03 0.00491505 0.00433884 24 2 13 13 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_012bits.v common 2.50 vpr 62.38 MiB 0.03 6700 -1 -1 1 0.02 -1 -1 30200 -1 -1 5 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63872 25 13 90 91 1 60 43 17 17 289 -1 unnamed_device 23.8 MiB 0.05 296 2068 541 1301 226 62.4 MiB 0.02 0.00 1.2766 -35.6493 -1.2766 1.2766 0.33 0.000225783 0.000208574 0.00681219 0.00629885 -1 -1 -1 -1 26 590 15 6.89349e+06 70469.2 503264. 1741.40 0.93 0.0619097 0.0519035 24322 120374 -1 519 10 250 250 17379 5036 1.00232 1.00232 -35.2772 -1.00232 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00579924 0.00506975 26 2 14 14 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_013bits.v common 1.92 vpr 62.60 MiB 0.03 6720 -1 -1 1 0.02 -1 -1 30036 -1 -1 5 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64104 27 14 97 98 1 67 46 17 17 289 -1 unnamed_device 24.0 MiB 0.05 270 2506 503 1985 18 62.6 MiB 0.02 0.00 1.2876 -36.1111 -1.2876 1.2876 0.33 0.000242909 0.000225486 0.0080733 0.00749067 -1 -1 -1 -1 28 602 16 6.89349e+06 70469.2 531479. 1839.03 0.39 0.0346112 0.0297881 24610 126494 -1 545 14 296 296 16842 5312 1.15387 1.15387 -38.9299 -1.15387 0 0 648988. 2245.63 0.03 0.02 0.10 -1 -1 0.03 0.00750924 0.00650717 28 2 15 15 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_014bits.v common 2.50 vpr 62.59 MiB 0.04 6692 -1 -1 1 0.02 -1 -1 30464 -1 -1 6 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64092 29 15 104 105 1 74 50 17 17 289 -1 unnamed_device 23.9 MiB 0.06 433 3454 892 2308 254 62.6 MiB 0.03 0.00 1.2986 -41.2946 -1.2986 1.2986 0.33 0.00025263 0.000234007 0.0102286 0.00945211 -1 -1 -1 -1 26 790 19 6.89349e+06 84563 503264. 1741.40 0.90 0.0691103 0.0585998 24322 120374 -1 761 9 290 290 20549 5463 0.97132 0.97132 -42.1866 -0.97132 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00604144 0.00534577 31 2 16 16 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_015bits.v common 2.54 vpr 62.51 MiB 0.03 6712 -1 -1 1 0.02 -1 -1 30392 -1 -1 6 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64008 31 16 111 112 1 80 53 17 17 289 -1 unnamed_device 23.8 MiB 0.07 506 3518 755 2345 418 62.5 MiB 0.03 0.00 1.66993 -47.3509 -1.66993 1.66993 0.30 0.000271833 0.000252145 0.0103953 0.00964078 -1 -1 -1 -1 26 937 10 6.89349e+06 84563 503264. 1741.40 0.94 0.0703325 0.0597716 24322 120374 -1 856 10 288 288 21575 5335 1.06632 1.06632 -47.5744 -1.06632 0 0 618332. 2139.56 0.03 0.02 0.10 -1 -1 0.03 0.00672837 0.00590934 32 2 17 17 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_016bits.v common 2.09 vpr 62.57 MiB 0.03 6812 -1 -1 1 0.02 -1 -1 30300 -1 -1 6 33 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64068 33 17 118 119 1 83 56 17 17 289 -1 unnamed_device 23.6 MiB 0.07 355 7118 2968 4031 119 62.6 MiB 0.05 0.00 1.68093 -46.6476 -1.68093 1.68093 0.33 0.000292539 0.000271644 0.02025 0.018783 -1 -1 -1 -1 32 742 16 6.89349e+06 84563 586450. 2029.24 0.44 0.0521433 0.045664 25474 144626 -1 627 13 345 345 22999 6204 1.12567 1.12567 -44.8602 -1.12567 0 0 744469. 2576.02 0.03 0.02 0.12 -1 -1 0.03 0.00839705 0.00729405 35 2 18 18 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_018bits.v common 2.71 vpr 62.67 MiB 0.02 6692 -1 -1 1 0.02 -1 -1 30332 -1 -1 7 37 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64172 37 19 132 133 1 89 63 17 17 289 -1 unnamed_device 23.7 MiB 0.07 618 7313 2319 4209 785 62.7 MiB 0.05 0.00 1.70293 -59.1014 -1.70293 1.70293 0.33 0.000330355 0.000307328 0.0203419 0.018847 -1 -1 -1 -1 26 1056 18 6.89349e+06 98656.9 503264. 1741.40 0.96 0.0990559 0.0848204 24322 120374 -1 974 13 338 338 26941 6941 1.00507 1.00507 -56.0319 -1.00507 0 0 618332. 2139.56 0.03 0.03 0.10 -1 -1 0.03 0.00939027 0.00815172 38 2 20 20 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_020bits.v common 2.72 vpr 62.59 MiB 0.01 6612 -1 -1 1 0.02 -1 -1 30332 -1 -1 8 41 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64092 41 21 146 147 1 101 70 17 17 289 -1 unnamed_device 23.6 MiB 0.07 661 7846 2247 4897 702 62.6 MiB 0.05 0.00 1.72493 -65.1086 -1.72493 1.72493 0.33 0.000360281 0.000335234 0.020578 0.0191452 -1 -1 -1 -1 26 1172 14 6.89349e+06 112751 503264. 1741.40 0.96 0.0843787 0.0730066 24322 120374 -1 1083 11 383 383 31932 7864 1.04427 1.04427 -61.1172 -1.04427 0 0 618332. 2139.56 0.03 0.03 0.10 -1 -1 0.03 0.00897434 0.00786025 42 2 22 22 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_022bits.v common 2.77 vpr 62.54 MiB 0.04 6692 -1 -1 1 0.02 -1 -1 30456 -1 -1 9 45 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64040 45 23 160 161 1 115 77 17 17 289 -1 unnamed_device 23.4 MiB 0.07 760 10020 3321 5690 1009 62.5 MiB 0.07 0.00 1.74693 -72.6551 -1.74693 1.74693 0.33 0.000391929 0.000364742 0.025148 0.0233979 -1 -1 -1 -1 28 1350 16 6.89349e+06 126845 531479. 1839.03 1.10 0.113977 0.098921 24610 126494 -1 1226 9 414 414 32996 8098 1.28387 1.28387 -71.8955 -1.28387 0 0 648988. 2245.63 0.03 0.03 0.10 -1 -1 0.03 0.00872835 0.00771702 47 2 24 24 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_024bits.v common 2.70 vpr 62.80 MiB 0.02 6668 -1 -1 1 0.03 -1 -1 30380 -1 -1 9 49 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64312 49 25 174 175 1 124 83 17 17 289 -1 unnamed_device 23.9 MiB 0.08 809 9983 2879 5663 1441 62.8 MiB 0.07 0.00 2.12926 -80.2814 -2.12926 2.12926 0.33 0.000421866 0.000392654 0.0245734 0.0228767 -1 -1 -1 -1 30 1352 17 6.89349e+06 126845 556674. 1926.21 0.92 0.111078 0.0966581 25186 138497 -1 1223 10 398 398 27529 6709 1.10827 1.10827 -72.8896 -1.10827 0 0 706193. 2443.58 0.03 0.03 0.11 -1 -1 0.03 0.0100241 0.00886901 51 2 26 26 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_028bits.v common 3.26 vpr 62.83 MiB 0.04 6812 -1 -1 1 0.03 -1 -1 30012 -1 -1 11 57 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64340 57 29 202 203 1 142 97 17 17 289 -1 unnamed_device 23.5 MiB 0.08 997 14527 5181 7993 1353 62.8 MiB 0.09 0.00 2.17326 -99.1204 -2.17326 2.17326 0.33 0.00048722 0.000453359 0.0331513 0.0308519 -1 -1 -1 -1 28 1682 13 6.89349e+06 155032 531479. 1839.03 1.35 0.141383 0.123982 24610 126494 -1 1549 13 587 587 48315 11675 1.14137 1.14137 -86.9212 -1.14137 0 0 648988. 2245.63 0.03 0.04 0.10 -1 -1 0.03 0.0133467 0.0117682 58 2 30 30 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_032bits.v common 2.56 vpr 63.10 MiB 0.03 6584 -1 -1 1 0.03 -1 -1 30264 -1 -1 12 65 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64616 65 33 230 231 1 165 110 17 17 289 -1 unnamed_device 23.6 MiB 0.09 1136 15890 5118 9153 1619 63.1 MiB 0.10 0.00 2.57759 -117.501 -2.57759 2.57759 0.33 0.000568098 0.000530316 0.035727 0.0333474 -1 -1 -1 -1 32 1885 15 6.89349e+06 169126 586450. 2029.24 0.69 0.11053 0.0980873 25474 144626 -1 1735 13 637 637 57371 14126 1.35267 1.35267 -103.967 -1.35267 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.0154282 0.013613 67 2 34 34 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_048bits.v common 2.90 vpr 63.45 MiB 0.04 6712 -1 -1 1 0.03 -1 -1 30348 -1 -1 18 97 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64972 97 49 342 343 1 247 164 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1589 34212 11441 20008 2763 63.4 MiB 0.21 0.00 3.47425 -191.764 -3.47425 3.47425 0.33 0.000867802 0.000813674 0.0684317 0.0641065 -1 -1 -1 -1 32 2773 23 6.89349e+06 253689 586450. 2029.24 0.85 0.216363 0.194841 25474 144626 -1 2491 16 873 873 69957 17320 1.44532 1.44532 -153.656 -1.44532 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0271503 0.0243172 99 2 50 50 0 0 + fixed_k6_frac_uripple_N8_22nm.xml adder_064bits.v common 3.32 vpr 63.73 MiB 0.02 6736 -1 -1 1 0.03 -1 -1 30372 -1 -1 24 129 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65256 129 65 454 455 1 329 218 17 17 289 -1 unnamed_device 24.4 MiB 0.13 2213 52618 20882 30344 1392 63.7 MiB 0.33 0.01 4.37092 -286.442 -4.37092 4.37092 0.33 0.0012033 0.001131 0.0996157 0.0936493 -1 -1 -1 -1 32 3862 23 6.89349e+06 338252 586450. 2029.24 1.06 0.296664 0.270031 25474 144626 -1 3389 12 1184 1184 101124 24774 1.64837 1.64837 -210.641 -1.64837 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0298483 0.0270215 131 2 66 66 0 0 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/multless_consts/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/multless_consts/config/golden_results.txt index b09eed101d9..0581e25a8ca 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/multless_consts/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/multless_consts/config/golden_results.txt @@ -1,1025 +1,1025 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 5.22 vpr 63.83 MiB 0.03 7104 -1 -1 14 0.34 -1 -1 36588 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65364 32 32 280 312 1 203 90 17 17 289 -1 unnamed_device 25.0 MiB 0.26 1396 5718 1043 4241 434 63.8 MiB 0.05 0.00 8.33526 -166.471 -8.33526 8.33526 0.85 0.000298132 0.000238364 0.0117609 0.00981176 -1 -1 -1 -1 28 3716 42 6.55708e+06 313430 500653. 1732.36 1.65 0.0689479 0.0577638 21310 115450 -1 3004 21 1526 4795 248684 58174 7.4395 7.4395 -161.842 -7.4395 0 0 612192. 2118.31 0.23 0.07 0.10 -1 -1 0.23 0.0202595 0.017917 186 186 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 6.05 vpr 64.09 MiB 0.02 6952 -1 -1 14 0.37 -1 -1 36552 -1 -1 30 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65624 30 32 277 309 1 214 92 17 17 289 -1 unnamed_device 25.3 MiB 0.48 1292 9821 2314 6313 1194 64.1 MiB 0.07 0.00 7.97266 -161.847 -7.97266 7.97266 0.86 0.000279394 0.000221618 0.0166927 0.0134901 -1 -1 -1 -1 28 4133 49 6.55708e+06 361650 500653. 1732.36 1.94 0.0776588 0.0645059 21310 115450 -1 3143 20 1590 4541 279442 69927 7.1579 7.1579 -156.969 -7.1579 0 0 612192. 2118.31 0.54 0.07 0.11 -1 -1 0.54 0.0181305 0.0160094 189 189 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 7.42 vpr 64.04 MiB 0.02 6880 -1 -1 11 0.26 -1 -1 36548 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65572 32 32 274 306 1 208 89 17 17 289 -1 unnamed_device 25.3 MiB 0.40 1387 5633 1032 4013 588 64.0 MiB 0.05 0.00 6.70549 -144.379 -6.70549 6.70549 0.83 0.000279145 0.000222543 0.0107434 0.00891549 -1 -1 -1 -1 38 3367 24 6.55708e+06 301375 638502. 2209.35 3.88 0.124863 0.104095 23326 155178 -1 2938 15 1203 3923 204412 45867 5.90278 5.90278 -137.681 -5.90278 0 0 851065. 2944.86 0.30 0.06 0.12 -1 -1 0.30 0.0163744 0.0147263 180 180 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 5.60 vpr 64.01 MiB 0.02 7068 -1 -1 12 0.42 -1 -1 36704 -1 -1 29 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65548 29 32 269 301 1 203 90 17 17 289 -1 unnamed_device 25.2 MiB 0.44 1235 6522 1332 4656 534 64.0 MiB 0.05 0.00 7.67294 -144.969 -7.67294 7.67294 0.83 0.000278308 0.000221884 0.0118876 0.00978677 -1 -1 -1 -1 32 3833 44 6.55708e+06 349595 554710. 1919.41 1.83 0.0860144 0.0720033 22174 131602 -1 3094 42 2072 6957 632362 219498 7.25558 7.25558 -144.225 -7.25558 0 0 701300. 2426.64 0.26 0.15 0.11 -1 -1 0.26 0.0286853 0.0246033 185 184 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 5.92 vpr 63.16 MiB 0.02 6896 -1 -1 13 0.40 -1 -1 36720 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64680 32 32 317 349 1 246 95 17 17 289 -1 unnamed_device 24.4 MiB 0.45 1620 8303 2009 5589 705 63.2 MiB 0.07 0.00 7.84931 -165.878 -7.84931 7.84931 0.93 0.000321232 0.00025629 0.0164354 0.0135238 -1 -1 -1 -1 30 4081 45 6.55708e+06 373705 526063. 1820.29 1.84 0.0971766 0.0824286 21886 126133 -1 3417 31 2192 6994 649153 243609 6.9587 6.9587 -160.39 -6.9587 0 0 666494. 2306.21 0.26 0.16 0.11 -1 -1 0.26 0.028572 0.0249935 224 223 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 10.17 vpr 64.12 MiB 0.04 7008 -1 -1 12 0.34 -1 -1 36400 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65660 32 32 299 331 1 224 95 17 17 289 -1 unnamed_device 25.2 MiB 0.75 1415 13055 3582 8009 1464 64.1 MiB 0.09 0.00 7.00015 -146.985 -7.00015 7.00015 0.89 0.00031654 0.000247097 0.0221928 0.0178846 -1 -1 -1 -1 28 4777 41 6.55708e+06 373705 500653. 1732.36 5.70 0.160482 0.132999 21310 115450 -1 3425 17 1556 4990 279641 64342 6.22218 6.22218 -144.978 -6.22218 0 0 612192. 2118.31 0.34 0.07 0.24 -1 -1 0.34 0.0173854 0.0155007 206 205 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 6.18 vpr 63.48 MiB 0.02 6852 -1 -1 12 0.22 -1 -1 36320 -1 -1 27 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65000 27 32 210 242 1 165 86 17 17 289 -1 unnamed_device 24.7 MiB 0.44 974 6890 1587 4820 483 63.5 MiB 0.04 0.00 6.94984 -127.162 -6.94984 6.94984 0.84 0.000215012 0.000171308 0.0102381 0.00843267 -1 -1 -1 -1 28 2654 18 6.55708e+06 325485 500653. 1732.36 2.67 0.0775907 0.0646235 21310 115450 -1 2427 19 1010 2929 164353 38329 6.09998 6.09998 -124.429 -6.09998 0 0 612192. 2118.31 0.23 0.05 0.09 -1 -1 0.23 0.0129959 0.0114885 137 131 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 5.01 vpr 63.83 MiB 0.02 7092 -1 -1 11 0.22 -1 -1 36588 -1 -1 28 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65360 31 32 264 296 1 200 91 17 17 289 -1 unnamed_device 24.9 MiB 0.29 1315 7231 1531 4891 809 63.8 MiB 0.05 0.00 6.53897 -136.036 -6.53897 6.53897 0.84 0.000258039 0.000203995 0.0120496 0.00982378 -1 -1 -1 -1 30 3221 27 6.55708e+06 337540 526063. 1820.29 1.56 0.0697046 0.059383 21886 126133 -1 2714 16 1147 3840 187272 43051 5.50098 5.50098 -128.943 -5.50098 0 0 666494. 2306.21 0.28 0.06 0.11 -1 -1 0.28 0.0171813 0.0154819 175 173 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 8.07 vpr 63.88 MiB 0.02 6784 -1 -1 12 0.21 -1 -1 35732 -1 -1 25 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65412 31 32 234 266 1 190 88 17 17 289 -1 unnamed_device 24.9 MiB 0.40 1115 11203 2815 6442 1946 63.9 MiB 0.08 0.00 6.90263 -147 -6.90263 6.90263 0.90 0.000241734 0.000192658 0.0179692 0.0147247 -1 -1 -1 -1 30 2958 44 6.55708e+06 301375 526063. 1820.29 4.07 0.117929 0.098719 21886 126133 -1 2303 12 926 2399 117672 28295 6.17898 6.17898 -142.174 -6.17898 0 0 666494. 2306.21 0.55 0.04 0.13 -1 -1 0.55 0.0117269 0.010674 145 143 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 5.40 vpr 63.82 MiB 0.02 6908 -1 -1 13 0.23 -1 -1 36480 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65356 32 32 253 285 1 194 89 17 17 289 -1 unnamed_device 25.0 MiB 0.56 1192 15137 4443 8200 2494 63.8 MiB 0.09 0.00 7.39554 -161.911 -7.39554 7.39554 0.89 0.000253042 0.000202028 0.0226291 0.0183277 -1 -1 -1 -1 30 3232 46 6.55708e+06 301375 526063. 1820.29 1.46 0.0802749 0.0670454 21886 126133 -1 2512 16 1069 2908 152436 34980 6.42904 6.42904 -155.481 -6.42904 0 0 666494. 2306.21 0.28 0.06 0.11 -1 -1 0.28 0.0189785 0.017127 162 159 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 6.55 vpr 63.38 MiB 0.02 6884 -1 -1 12 0.20 -1 -1 36556 -1 -1 22 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64900 30 32 217 249 1 169 84 17 17 289 -1 unnamed_device 24.6 MiB 0.45 1009 4842 919 3670 253 63.4 MiB 0.04 0.00 7.00015 -143.218 -7.00015 7.00015 0.87 0.000220011 0.000175717 0.00853566 0.00713455 -1 -1 -1 -1 26 2871 22 6.55708e+06 265210 477104. 1650.88 3.11 0.0900748 0.0760941 21022 109990 -1 2368 17 940 2361 135836 31778 6.06078 6.06078 -139.79 -6.06078 0 0 585099. 2024.56 0.25 0.04 0.09 -1 -1 0.25 0.0130152 0.0115551 132 129 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 7.24 vpr 63.75 MiB 0.02 6944 -1 -1 12 0.17 -1 -1 36084 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65280 32 32 227 259 1 176 85 17 17 289 -1 unnamed_device 24.9 MiB 0.24 1020 12547 3823 6487 2237 63.8 MiB 0.08 0.00 6.61272 -143.64 -6.61272 6.61272 0.84 0.000217803 0.000172726 0.0177674 0.0143939 -1 -1 -1 -1 30 2715 21 6.55708e+06 253155 526063. 1820.29 3.85 0.0933524 0.0779977 21886 126133 -1 2267 16 994 2621 133216 32040 5.61918 5.61918 -136.187 -5.61918 0 0 666494. 2306.21 0.26 0.04 0.11 -1 -1 0.26 0.0127213 0.0114209 138 133 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 7.26 vpr 64.27 MiB 0.02 7056 -1 -1 13 0.33 -1 -1 37072 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65816 32 32 306 338 1 236 95 17 17 289 -1 unnamed_device 25.3 MiB 0.48 1509 7439 1652 5093 694 64.3 MiB 0.07 0.00 8.16384 -167.563 -8.16384 8.16384 0.88 0.000320998 0.000255221 0.0154697 0.0127613 -1 -1 -1 -1 32 3500 18 6.55708e+06 373705 554710. 1919.41 3.28 0.142958 0.11998 22174 131602 -1 3114 18 1384 4275 227959 52912 6.8823 6.8823 -154.863 -6.8823 0 0 701300. 2426.64 0.29 0.08 0.12 -1 -1 0.29 0.0228379 0.0205497 212 212 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 12.34 vpr 64.51 MiB 0.02 7032 -1 -1 14 0.41 -1 -1 37004 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66060 32 32 302 334 1 235 93 17 17 289 -1 unnamed_device 25.5 MiB 0.52 1515 9123 2183 5795 1145 64.5 MiB 0.07 0.00 8.8902 -181.689 -8.8902 8.8902 0.83 0.000328187 0.000264818 0.017648 0.0144547 -1 -1 -1 -1 28 4715 49 6.55708e+06 349595 500653. 1732.36 8.05 0.166383 0.141514 21310 115450 -1 3674 21 1738 5110 371676 100064 7.76655 7.76655 -175.066 -7.76655 0 0 612192. 2118.31 0.37 0.09 0.25 -1 -1 0.37 0.0207123 0.0183342 208 208 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 6.20 vpr 63.66 MiB 0.02 6760 -1 -1 11 0.20 -1 -1 36296 -1 -1 29 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65188 29 32 238 270 1 186 90 17 17 289 -1 unnamed_device 24.7 MiB 0.26 1080 7125 1641 4792 692 63.7 MiB 0.05 0.00 6.46749 -127.989 -6.46749 6.46749 0.83 0.000232089 0.000184636 0.01058 0.00864773 -1 -1 -1 -1 26 3230 26 6.55708e+06 349595 477104. 1650.88 2.98 0.0898817 0.0746038 21022 109990 -1 2623 19 1363 3671 204276 48177 5.84732 5.84732 -127.825 -5.84732 0 0 585099. 2024.56 0.25 0.06 0.09 -1 -1 0.25 0.0157088 0.0139266 160 153 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 8.30 vpr 64.15 MiB 0.02 7040 -1 -1 12 0.34 -1 -1 36340 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65692 32 32 306 338 1 235 98 17 17 289 -1 unnamed_device 25.2 MiB 0.68 1549 8648 1917 6189 542 64.2 MiB 0.07 0.00 7.81486 -160.86 -7.81486 7.81486 0.85 0.000321104 0.000257767 0.0164946 0.0134653 -1 -1 -1 -1 36 3643 18 6.55708e+06 409870 612192. 2118.31 4.13 0.146703 0.123123 22750 144809 -1 3437 16 1407 4509 270093 60818 6.7229 6.7229 -152.385 -6.7229 0 0 782063. 2706.10 0.31 0.08 0.13 -1 -1 0.31 0.0208398 0.0187612 213 212 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 5.02 vpr 64.30 MiB 0.02 7024 -1 -1 13 0.33 -1 -1 36356 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65848 32 32 311 343 1 239 96 17 17 289 -1 unnamed_device 25.2 MiB 0.34 1408 11265 2987 6987 1291 64.3 MiB 0.08 0.00 8.0479 -166.811 -8.0479 8.0479 0.84 0.000353814 0.000286696 0.0199116 0.0161441 -1 -1 -1 -1 30 3460 21 6.55708e+06 385760 526063. 1820.29 1.33 0.0874722 0.0744808 21886 126133 -1 2946 16 1333 3878 178193 42730 6.9567 6.9567 -161.012 -6.9567 0 0 666494. 2306.21 0.30 0.06 0.12 -1 -1 0.30 0.0208915 0.0187327 217 217 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 7.19 vpr 63.59 MiB 0.02 6824 -1 -1 12 0.19 -1 -1 36196 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65120 32 32 230 262 1 182 86 17 17 289 -1 unnamed_device 24.7 MiB 0.63 1098 5945 1145 4424 376 63.6 MiB 0.05 0.00 7.5252 -165.404 -7.5252 7.5252 0.85 0.00023413 0.000186931 0.0103964 0.00865345 -1 -1 -1 -1 32 2696 15 6.55708e+06 265210 554710. 1919.41 3.32 0.123592 0.104949 22174 131602 -1 2336 17 900 2722 152644 35717 6.5191 6.5191 -157.512 -6.5191 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0159043 0.0143176 139 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 5.94 vpr 62.78 MiB 0.02 6720 -1 -1 10 0.13 -1 -1 36280 -1 -1 20 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64288 30 32 176 208 1 139 82 17 17 289 -1 unnamed_device 24.3 MiB 0.16 786 5244 1130 3909 205 62.8 MiB 0.03 0.00 5.1986 -117.307 -5.1986 5.1986 0.83 0.000169945 0.000135114 0.00673901 0.00550524 -1 -1 -1 -1 30 2100 27 6.55708e+06 241100 526063. 1820.29 2.80 0.0679812 0.0566228 21886 126133 -1 1798 30 765 1984 258602 123205 4.68346 4.68346 -114.992 -4.68346 0 0 666494. 2306.21 0.27 0.08 0.11 -1 -1 0.27 0.0145373 0.0126585 96 88 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 4.76 vpr 63.65 MiB 0.02 6872 -1 -1 13 0.20 -1 -1 36140 -1 -1 24 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65180 31 32 226 258 1 176 87 17 17 289 -1 unnamed_device 24.8 MiB 0.38 1111 8919 2132 5826 961 63.7 MiB 0.06 0.00 7.4032 -157.231 -7.4032 7.4032 0.89 0.000236554 0.000184655 0.0138847 0.0113282 -1 -1 -1 -1 26 3170 27 6.55708e+06 289320 477104. 1650.88 1.30 0.0721349 0.061847 21022 109990 -1 2645 21 1259 3337 186701 43347 6.72852 6.72852 -159.007 -6.72852 0 0 585099. 2024.56 0.25 0.06 0.09 -1 -1 0.25 0.0172612 0.0152806 139 135 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 9.45 vpr 64.10 MiB 0.02 7000 -1 -1 13 0.35 -1 -1 36000 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65640 32 32 302 334 1 228 93 17 17 289 -1 unnamed_device 25.2 MiB 0.34 1380 8913 2232 5739 942 64.1 MiB 0.07 0.00 7.81686 -155.403 -7.81686 7.81686 0.83 0.000304739 0.000243023 0.0164648 0.0133462 -1 -1 -1 -1 32 3926 24 6.55708e+06 349595 554710. 1919.41 5.83 0.150884 0.124841 22174 131602 -1 3252 17 1720 5277 280893 66119 6.79164 6.79164 -153.593 -6.79164 0 0 701300. 2426.64 0.28 0.07 0.11 -1 -1 0.28 0.0185564 0.0165424 208 208 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 6.85 vpr 64.02 MiB 0.02 7180 -1 -1 13 0.38 -1 -1 36476 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65556 32 32 299 331 1 237 98 17 17 289 -1 unnamed_device 25.1 MiB 0.55 1549 7748 1691 5703 354 64.0 MiB 0.06 0.00 7.79063 -163.354 -7.79063 7.79063 0.85 0.000306707 0.000245281 0.0144244 0.0119321 -1 -1 -1 -1 38 4048 27 6.55708e+06 409870 638502. 2209.35 2.71 0.15112 0.131842 23326 155178 -1 3294 20 1454 4762 246095 54904 6.7595 6.7595 -153.467 -6.7595 0 0 851065. 2944.86 0.36 0.08 0.14 -1 -1 0.36 0.0238595 0.0212901 207 205 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 6.44 vpr 62.71 MiB 0.02 6772 -1 -1 9 0.12 -1 -1 35856 -1 -1 21 26 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64212 26 32 149 181 1 119 79 17 17 289 -1 unnamed_device 24.1 MiB 0.32 600 8867 2203 6137 527 62.7 MiB 0.04 0.00 4.59771 -89.3905 -4.59771 4.59771 0.90 0.000146678 0.000116155 0.00943273 0.00765103 -1 -1 -1 -1 26 2029 20 6.55708e+06 253155 477104. 1650.88 3.06 0.0609736 0.0510042 21022 109990 -1 1554 14 580 1530 99688 23493 4.09974 4.09974 -87.7108 -4.09974 0 0 585099. 2024.56 0.24 0.03 0.10 -1 -1 0.24 0.00847619 0.00763533 83 73 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 6.95 vpr 64.01 MiB 0.02 6992 -1 -1 13 0.38 -1 -1 36404 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65548 32 32 304 336 1 228 94 17 17 289 -1 unnamed_device 25.1 MiB 0.26 1450 8188 1784 5725 679 64.0 MiB 0.06 0.00 7.90507 -155.859 -7.90507 7.90507 0.84 0.0002988 0.000237939 0.0145972 0.0119096 -1 -1 -1 -1 28 4530 30 6.55708e+06 361650 500653. 1732.36 3.33 0.118218 0.0985298 21310 115450 -1 3439 20 1874 5478 404717 108505 7.0025 7.0025 -156.716 -7.0025 0 0 612192. 2118.31 0.25 0.10 0.10 -1 -1 0.25 0.0212926 0.0187608 211 210 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 4.22 vpr 62.73 MiB 0.02 6724 -1 -1 8 0.11 -1 -1 35320 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64240 32 32 155 187 1 113 81 17 17 289 -1 unnamed_device 24.2 MiB 0.20 444 10231 2672 5394 2165 62.7 MiB 0.05 0.00 4.58443 -87.4649 -4.58443 4.58443 0.90 0.000153361 0.00012076 0.0107874 0.00873049 -1 -1 -1 -1 30 1560 21 6.55708e+06 204935 526063. 1820.29 0.96 0.0391908 0.0330254 21886 126133 -1 1093 15 572 1185 61123 18074 4.08646 4.08646 -90.6103 -4.08646 0 0 666494. 2306.21 0.28 0.03 0.11 -1 -1 0.28 0.00826936 0.00738948 77 61 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 8.54 vpr 63.69 MiB 0.02 7080 -1 -1 15 0.30 -1 -1 36420 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65216 32 32 253 285 1 192 89 17 17 289 -1 unnamed_device 24.8 MiB 0.37 1191 12959 3762 7153 2044 63.7 MiB 0.08 0.00 8.851 -170.456 -8.851 8.851 0.90 0.000277407 0.000224525 0.0209064 0.0170095 -1 -1 -1 -1 28 3646 45 6.55708e+06 301375 500653. 1732.36 4.74 0.13443 0.113653 21310 115450 -1 2796 17 1151 3369 226875 57924 7.76915 7.76915 -165.443 -7.76915 0 0 612192. 2118.31 0.25 0.07 0.10 -1 -1 0.25 0.0185916 0.0167344 160 159 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 6.16 vpr 64.24 MiB 0.02 6976 -1 -1 12 0.30 -1 -1 36008 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65780 32 32 309 341 1 232 95 17 17 289 -1 unnamed_device 25.3 MiB 0.35 1527 8303 1758 5555 990 64.2 MiB 0.06 0.00 7.32786 -152.243 -7.32786 7.32786 0.86 0.000317377 0.000243515 0.0151555 0.0123458 -1 -1 -1 -1 30 4202 32 6.55708e+06 373705 526063. 1820.29 2.54 0.0781515 0.0656173 21886 126133 -1 3420 18 1515 4836 237951 55236 6.58078 6.58078 -146.679 -6.58078 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0216811 0.0194426 218 215 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 5.87 vpr 64.21 MiB 0.02 7116 -1 -1 13 0.34 -1 -1 36512 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65756 32 32 289 321 1 218 92 17 17 289 -1 unnamed_device 25.5 MiB 0.46 1338 13547 3937 7498 2112 64.2 MiB 0.09 0.00 7.41221 -156.076 -7.41221 7.41221 0.88 0.000290189 0.00023062 0.0227689 0.0184436 -1 -1 -1 -1 32 3768 28 6.55708e+06 337540 554710. 1919.41 1.85 0.101201 0.0851655 22174 131602 -1 3023 18 1401 4386 250232 59310 6.66178 6.66178 -151.167 -6.66178 0 0 701300. 2426.64 0.30 0.07 0.12 -1 -1 0.30 0.020194 0.018121 196 195 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 4.64 vpr 63.71 MiB 0.02 6732 -1 -1 12 0.20 -1 -1 36100 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65240 32 32 239 271 1 188 86 17 17 289 -1 unnamed_device 24.7 MiB 0.34 1079 6134 1296 4544 294 63.7 MiB 0.05 0.00 6.41157 -144.86 -6.41157 6.41157 0.89 0.000236749 0.000188755 0.0107913 0.00888551 -1 -1 -1 -1 32 2665 17 6.55708e+06 265210 554710. 1919.41 1.03 0.0542726 0.0461362 22174 131602 -1 2324 15 991 2591 136853 32870 5.80812 5.80812 -138.032 -5.80812 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0155571 0.0140333 146 145 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 5.12 vpr 63.43 MiB 0.02 6936 -1 -1 11 0.19 -1 -1 36364 -1 -1 23 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64952 30 32 213 245 1 162 85 17 17 289 -1 unnamed_device 24.7 MiB 0.25 981 10687 3354 5227 2106 63.4 MiB 0.06 0.00 6.1536 -130.188 -6.1536 6.1536 0.90 0.000207607 0.000164052 0.0146176 0.0118428 -1 -1 -1 -1 26 2992 41 6.55708e+06 277265 477104. 1650.88 1.62 0.0729502 0.0621199 21022 109990 -1 2356 15 1010 2665 151914 35962 5.41032 5.41032 -129.691 -5.41032 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.012852 0.0115642 128 125 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 7.72 vpr 63.59 MiB 0.02 6868 -1 -1 11 0.19 -1 -1 36828 -1 -1 27 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65116 28 32 221 253 1 183 87 17 17 289 -1 unnamed_device 24.6 MiB 0.29 1116 6615 1427 4569 619 63.6 MiB 0.05 0.00 6.46748 -128.458 -6.46748 6.46748 0.87 0.000241893 0.000188506 0.0117738 0.00951808 -1 -1 -1 -1 28 3129 44 6.55708e+06 325485 500653. 1732.36 4.42 0.110201 0.0923487 21310 115450 -1 2646 17 1166 3368 209362 47484 5.747 5.747 -129.033 -5.747 0 0 612192. 2118.31 0.25 0.06 0.10 -1 -1 0.25 0.0146873 0.0130868 142 139 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 4.92 vpr 63.98 MiB 0.02 6884 -1 -1 12 0.23 -1 -1 35948 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65512 32 32 273 305 1 210 92 17 17 289 -1 unnamed_device 25.0 MiB 0.30 1337 7958 1839 5430 689 64.0 MiB 0.06 0.00 7.10257 -160.257 -7.10257 7.10257 0.87 0.000264333 0.000210544 0.0137316 0.0113404 -1 -1 -1 -1 28 3756 26 6.55708e+06 337540 500653. 1732.36 1.44 0.0733631 0.0626457 21310 115450 -1 2935 17 1388 3789 200344 47661 6.36532 6.36532 -157.046 -6.36532 0 0 612192. 2118.31 0.25 0.06 0.10 -1 -1 0.25 0.0182772 0.0163391 180 179 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 7.47 vpr 63.60 MiB 0.02 6884 -1 -1 11 0.21 -1 -1 36400 -1 -1 23 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65124 31 32 238 270 1 182 86 17 17 289 -1 unnamed_device 24.6 MiB 0.27 1155 7646 1807 5307 532 63.6 MiB 0.05 0.00 6.58994 -140.092 -6.58994 6.58994 0.87 0.00024533 0.000194719 0.0129419 0.0106292 -1 -1 -1 -1 26 3481 48 6.55708e+06 277265 477104. 1650.88 4.00 0.132464 0.111975 21022 109990 -1 2698 18 1290 3488 195075 44955 5.90478 5.90478 -141.636 -5.90478 0 0 585099. 2024.56 0.27 0.06 0.10 -1 -1 0.27 0.0162971 0.0145023 147 147 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 6.03 vpr 63.44 MiB 0.02 6780 -1 -1 10 0.19 -1 -1 36464 -1 -1 24 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64960 29 32 221 253 1 165 85 17 17 289 -1 unnamed_device 24.6 MiB 0.30 1047 9943 2574 5558 1811 63.4 MiB 0.06 0.00 6.34628 -126.58 -6.34628 6.34628 0.84 0.000220596 0.00017472 0.01447 0.0117987 -1 -1 -1 -1 26 2891 25 6.55708e+06 289320 477104. 1650.88 2.63 0.0836668 0.0699952 21022 109990 -1 2322 15 879 2493 135559 31312 5.34298 5.34298 -120.054 -5.34298 0 0 585099. 2024.56 0.26 0.04 0.10 -1 -1 0.26 0.0138954 0.012492 138 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 6.11 vpr 64.36 MiB 0.02 7264 -1 -1 13 0.42 -1 -1 36500 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65904 32 32 333 365 1 249 97 17 17 289 -1 unnamed_device 25.5 MiB 0.44 1621 5869 1104 4212 553 64.4 MiB 0.05 0.00 7.46683 -155.207 -7.46683 7.46683 0.82 0.000377 0.000300893 0.0126331 0.0104079 -1 -1 -1 -1 30 3995 44 6.55708e+06 397815 526063. 1820.29 2.27 0.102839 0.0878724 21886 126133 -1 3247 17 1408 4754 234861 53197 6.6419 6.6419 -150.395 -6.6419 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.023734 0.0213608 239 239 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 5.99 vpr 64.04 MiB 0.02 6912 -1 -1 13 0.40 -1 -1 36528 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65580 32 32 297 329 1 228 93 17 17 289 -1 unnamed_device 25.1 MiB 0.49 1398 11643 3043 6787 1813 64.0 MiB 0.09 0.00 7.88716 -168.222 -7.88716 7.88716 0.85 0.000341925 0.000277287 0.0227931 0.0186899 -1 -1 -1 -1 34 3924 33 6.55708e+06 349595 585099. 2024.56 1.93 0.106959 0.0902396 22462 138074 -1 3286 18 1422 4452 250862 57965 7.1991 7.1991 -165.05 -7.1991 0 0 742403. 2568.87 0.32 0.09 0.13 -1 -1 0.32 0.0259439 0.0232999 203 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 6.26 vpr 63.64 MiB 0.02 6824 -1 -1 12 0.18 -1 -1 36528 -1 -1 25 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65172 31 32 234 266 1 181 88 17 17 289 -1 unnamed_device 24.7 MiB 0.31 1164 9058 2432 5872 754 63.6 MiB 0.06 0.00 6.64691 -142.365 -6.64691 6.64691 0.84 0.000229528 0.000182515 0.0132732 0.0108213 -1 -1 -1 -1 30 2572 26 6.55708e+06 301375 526063. 1820.29 2.75 0.101142 0.0854228 21886 126133 -1 2305 14 925 2565 123751 29500 5.82238 5.82238 -135.774 -5.82238 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.0138323 0.012428 150 143 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 5.43 vpr 64.17 MiB 0.02 7108 -1 -1 12 0.33 -1 -1 36564 -1 -1 34 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65708 31 32 310 342 1 234 97 17 17 289 -1 unnamed_device 25.2 MiB 0.29 1508 8755 2020 5739 996 64.2 MiB 0.06 0.00 7.97527 -163.603 -7.97527 7.97527 0.84 0.000316316 0.000252945 0.0154104 0.0126125 -1 -1 -1 -1 36 3668 26 6.55708e+06 409870 612192. 2118.31 1.78 0.104767 0.0877051 22750 144809 -1 3060 15 1271 3797 208693 48053 7.1573 7.1573 -156.091 -7.1573 0 0 782063. 2706.10 0.30 0.07 0.13 -1 -1 0.30 0.0217532 0.0197708 219 219 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 5.31 vpr 64.09 MiB 0.02 7140 -1 -1 14 0.43 -1 -1 36864 -1 -1 28 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65632 31 32 284 316 1 221 91 17 17 289 -1 unnamed_device 25.2 MiB 0.31 1373 7639 1733 4830 1076 64.1 MiB 0.06 0.00 8.2489 -159.291 -8.2489 8.2489 0.84 0.000293887 0.000233058 0.0146007 0.0120072 -1 -1 -1 -1 30 3820 47 6.55708e+06 337540 526063. 1820.29 1.58 0.0962282 0.0823053 21886 126133 -1 3005 22 1513 4585 215360 51734 7.16956 7.16956 -152.957 -7.16956 0 0 666494. 2306.21 0.26 0.08 0.11 -1 -1 0.26 0.0233495 0.0207571 194 193 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 5.42 vpr 64.03 MiB 0.02 7076 -1 -1 13 0.34 -1 -1 36896 -1 -1 28 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65568 31 32 271 303 1 212 91 17 17 289 -1 unnamed_device 25.3 MiB 0.31 1326 8455 1999 5637 819 64.0 MiB 0.06 0.00 7.63835 -158.099 -7.63835 7.63835 0.88 0.000282377 0.000224794 0.0152769 0.0125028 -1 -1 -1 -1 30 3710 50 6.55708e+06 337540 526063. 1820.29 1.65 0.0857809 0.0720273 21886 126133 -1 3042 20 1429 4011 203673 47955 6.85838 6.85838 -152.522 -6.85838 0 0 666494. 2306.21 0.29 0.07 0.11 -1 -1 0.29 0.0216299 0.0194296 181 180 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 19.58 vpr 64.06 MiB 0.02 7156 -1 -1 12 0.30 -1 -1 36596 -1 -1 30 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65600 31 32 280 312 1 211 93 17 17 289 -1 unnamed_device 25.3 MiB 0.74 1314 13533 3823 7424 2286 64.1 MiB 0.10 0.00 6.9636 -142.062 -6.9636 6.9636 0.84 0.000287125 0.000228968 0.0234251 0.0187414 -1 -1 -1 -1 30 4018 38 6.55708e+06 361650 526063. 1820.29 15.40 0.180766 0.150963 21886 126133 -1 3049 60 1473 5083 1019521 615173 6.19264 6.19264 -140.474 -6.19264 0 0 666494. 2306.21 0.26 0.29 0.10 -1 -1 0.26 0.0384356 0.0329998 189 189 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 5.22 vpr 63.73 MiB 0.02 7032 -1 -1 12 0.25 -1 -1 36580 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65260 32 32 264 296 1 194 88 17 17 289 -1 unnamed_device 24.9 MiB 0.40 1236 8473 1937 5465 1071 63.7 MiB 0.06 0.00 7.289 -144.81 -7.289 7.289 0.86 0.000264093 0.000211151 0.0145925 0.0120082 -1 -1 -1 -1 30 3229 49 6.55708e+06 289320 526063. 1820.29 1.49 0.0833092 0.0707556 21886 126133 -1 2470 15 1049 3144 143516 35070 6.1631 6.1631 -138.066 -6.1631 0 0 666494. 2306.21 0.28 0.05 0.11 -1 -1 0.28 0.0162915 0.0147322 172 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 11.99 vpr 64.35 MiB 0.02 7120 -1 -1 14 0.56 -1 -1 36336 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65896 32 32 339 371 1 259 98 17 17 289 -1 unnamed_device 25.4 MiB 0.45 1704 8873 1951 5982 940 64.4 MiB 0.08 0.00 7.96525 -166.736 -7.96525 7.96525 0.90 0.000351921 0.00027584 0.0181014 0.014793 -1 -1 -1 -1 32 4710 46 6.55708e+06 409870 554710. 1919.41 7.70 0.197393 0.166388 22174 131602 -1 4042 18 1708 5757 346854 77424 7.1207 7.1207 -163.785 -7.1207 0 0 701300. 2426.64 0.30 0.10 0.12 -1 -1 0.30 0.026411 0.0238367 245 245 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 7.70 vpr 63.55 MiB 0.02 6768 -1 -1 11 0.24 -1 -1 36188 -1 -1 25 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65072 31 32 246 278 1 189 88 17 17 289 -1 unnamed_device 24.8 MiB 0.31 1159 11593 2682 6691 2220 63.5 MiB 0.08 0.00 6.46989 -136.304 -6.46989 6.46989 0.88 0.000251871 0.000195783 0.0179821 0.0145443 -1 -1 -1 -1 38 2889 26 6.55708e+06 301375 638502. 2209.35 3.94 0.120659 0.100291 23326 155178 -1 2335 17 1256 3590 169479 39667 5.74338 5.74338 -129.04 -5.74338 0 0 851065. 2944.86 0.34 0.06 0.14 -1 -1 0.34 0.018868 0.0169644 160 155 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 8.08 vpr 63.95 MiB 0.02 7092 -1 -1 13 0.34 -1 -1 36572 -1 -1 27 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65480 31 32 268 300 1 203 90 17 17 289 -1 unnamed_device 24.9 MiB 0.53 1211 7728 1695 5371 662 63.9 MiB 0.06 0.00 7.98407 -153.841 -7.98407 7.98407 0.87 0.000317229 0.000257434 0.0151142 0.0124923 -1 -1 -1 -1 30 3306 25 6.55708e+06 325485 526063. 1820.29 4.20 0.128636 0.10876 21886 126133 -1 2751 16 1216 3794 187833 43301 6.7601 6.7601 -146.538 -6.7601 0 0 666494. 2306.21 0.29 0.06 0.11 -1 -1 0.29 0.0190508 0.0170667 177 177 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 9.84 vpr 64.29 MiB 0.02 6904 -1 -1 12 0.35 -1 -1 36424 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65836 32 32 318 350 1 231 98 17 17 289 -1 unnamed_device 25.3 MiB 0.42 1486 8873 2152 6049 672 64.3 MiB 0.07 0.00 7.25512 -155.423 -7.25512 7.25512 0.88 0.000325307 0.000260585 0.0172325 0.0142938 -1 -1 -1 -1 30 4017 26 6.55708e+06 409870 526063. 1820.29 6.06 0.172495 0.14491 21886 126133 -1 3156 19 1369 4907 229400 52977 6.42844 6.42844 -149.899 -6.42844 0 0 666494. 2306.21 0.29 0.08 0.11 -1 -1 0.29 0.0241712 0.0215401 227 224 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 7.60 vpr 64.17 MiB 0.02 7044 -1 -1 13 0.31 -1 -1 36420 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65708 32 32 273 305 1 205 92 17 17 289 -1 unnamed_device 25.4 MiB 0.20 1284 9614 2389 6170 1055 64.2 MiB 0.07 0.00 7.57256 -157.801 -7.57256 7.57256 0.87 0.000279682 0.000222681 0.0169011 0.0137582 -1 -1 -1 -1 34 3421 43 6.55708e+06 337540 585099. 2024.56 3.92 0.150478 0.124923 22462 138074 -1 2829 17 1336 3975 215711 50091 6.66944 6.66944 -150.313 -6.66944 0 0 742403. 2568.87 0.30 0.07 0.12 -1 -1 0.30 0.0196461 0.0176217 184 179 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 6.63 vpr 63.83 MiB 0.02 7176 -1 -1 13 0.27 -1 -1 36500 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65364 32 32 269 301 1 198 89 17 17 289 -1 unnamed_device 24.9 MiB 0.32 1228 13553 3512 7868 2173 63.8 MiB 0.09 0.00 7.53 -160.047 -7.53 7.53 0.86 0.000278737 0.00022113 0.0230117 0.0184406 -1 -1 -1 -1 30 3292 32 6.55708e+06 301375 526063. 1820.29 3.13 0.122543 0.101931 21886 126133 -1 2552 14 1009 3074 142099 33780 6.5635 6.5635 -150.772 -6.5635 0 0 666494. 2306.21 0.27 0.05 0.11 -1 -1 0.27 0.015751 0.0142193 175 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 9.93 vpr 64.19 MiB 0.02 7012 -1 -1 12 0.34 -1 -1 36848 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65728 32 32 298 330 1 223 95 17 17 289 -1 unnamed_device 25.3 MiB 0.83 1387 8087 1679 5459 949 64.2 MiB 0.07 0.00 7.05212 -153.477 -7.05212 7.05212 0.92 0.00033447 0.000270176 0.0165608 0.0137164 -1 -1 -1 -1 30 3737 38 6.55708e+06 373705 526063. 1820.29 5.54 0.18815 0.158453 21886 126133 -1 3001 26 1225 4312 345363 131107 6.17638 6.17638 -146.901 -6.17638 0 0 666494. 2306.21 0.29 0.12 0.12 -1 -1 0.29 0.0287575 0.0255341 205 204 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 8.88 vpr 63.99 MiB 0.02 7120 -1 -1 13 0.34 -1 -1 36276 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65528 32 32 299 331 1 235 93 17 17 289 -1 unnamed_device 25.1 MiB 0.47 1581 12693 3407 7880 1406 64.0 MiB 0.09 0.00 7.78084 -157.592 -7.78084 7.78084 0.87 0.00031587 0.000239706 0.0225016 0.0182361 -1 -1 -1 -1 30 3966 44 6.55708e+06 349595 526063. 1820.29 4.99 0.171832 0.144008 21886 126133 -1 3177 19 1399 4310 213553 49631 6.8425 6.8425 -149.81 -6.8425 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0228854 0.0205651 205 205 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 5.65 vpr 64.01 MiB 0.02 6916 -1 -1 14 0.33 -1 -1 36560 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65544 32 32 259 291 1 193 89 17 17 289 -1 unnamed_device 25.2 MiB 0.50 1187 11177 2647 6549 1981 64.0 MiB 0.08 0.00 8.02137 -164.712 -8.02137 8.02137 0.88 0.000267771 0.000213583 0.0197205 0.0159865 -1 -1 -1 -1 28 3776 26 6.55708e+06 301375 500653. 1732.36 1.67 0.0858366 0.0735539 21310 115450 -1 2879 18 1236 3853 224113 51773 7.0377 7.0377 -159.533 -7.0377 0 0 612192. 2118.31 0.28 0.07 0.11 -1 -1 0.28 0.0184938 0.0165382 167 165 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 6.09 vpr 64.02 MiB 0.02 7024 -1 -1 13 0.34 -1 -1 36876 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65560 32 32 293 325 1 227 95 17 17 289 -1 unnamed_device 25.2 MiB 0.83 1504 7655 1499 5468 688 64.0 MiB 0.06 0.00 8.25451 -166.221 -8.25451 8.25451 0.88 0.000313704 0.000229491 0.0140399 0.0115578 -1 -1 -1 -1 30 3699 49 6.55708e+06 373705 526063. 1820.29 1.87 0.100626 0.0864508 21886 126133 -1 3038 16 1333 3742 175416 41924 7.16956 7.16956 -159.251 -7.16956 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0216901 0.0195284 200 199 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 7.19 vpr 64.25 MiB 0.03 7032 -1 -1 13 0.36 -1 -1 37036 -1 -1 32 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65788 31 32 311 343 1 231 95 17 17 289 -1 unnamed_device 25.3 MiB 0.28 1458 8735 2070 5739 926 64.2 MiB 0.07 0.00 8.65471 -176.171 -8.65471 8.65471 0.84 0.000310227 0.000246453 0.0164551 0.0134519 -1 -1 -1 -1 30 3859 26 6.55708e+06 385760 526063. 1820.29 3.60 0.139242 0.11714 21886 126133 -1 3129 16 1414 4439 208795 49315 7.52816 7.52816 -168.262 -7.52816 0 0 666494. 2306.21 0.27 0.06 0.11 -1 -1 0.27 0.0194982 0.0174353 221 220 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 10.80 vpr 64.28 MiB 0.02 6892 -1 -1 12 0.39 -1 -1 36552 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65824 32 32 324 356 1 240 96 17 17 289 -1 unnamed_device 25.5 MiB 0.57 1609 8856 1924 5931 1001 64.3 MiB 0.07 0.00 7.61091 -162.114 -7.61091 7.61091 0.84 0.000316885 0.000250758 0.0166768 0.0135701 -1 -1 -1 -1 30 4049 24 6.55708e+06 385760 526063. 1820.29 6.89 0.167307 0.141077 21886 126133 -1 3399 18 1589 5060 252590 58323 6.71064 6.71064 -156.709 -6.71064 0 0 666494. 2306.21 0.27 0.08 0.11 -1 -1 0.27 0.0222732 0.0198876 231 230 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 4.19 vpr 63.11 MiB 0.02 6748 -1 -1 11 0.18 -1 -1 36064 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64624 32 32 216 248 1 165 83 17 17 289 -1 unnamed_device 24.6 MiB 0.22 1046 10163 2458 6316 1389 63.1 MiB 0.06 0.00 6.00396 -136.473 -6.00396 6.00396 0.84 0.000212833 0.000168945 0.0141439 0.0114369 -1 -1 -1 -1 30 2449 18 6.55708e+06 229045 526063. 1820.29 0.87 0.0497972 0.041682 21886 126133 -1 2008 15 883 2282 116446 27644 5.27786 5.27786 -131.853 -5.27786 0 0 666494. 2306.21 0.28 0.04 0.11 -1 -1 0.28 0.0132455 0.0119341 127 122 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 5.39 vpr 63.61 MiB 0.02 6824 -1 -1 13 0.26 -1 -1 36280 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65136 32 32 245 277 1 195 91 17 17 289 -1 unnamed_device 24.9 MiB 0.56 1206 9883 2182 6350 1351 63.6 MiB 0.06 0.00 7.53281 -161.158 -7.53281 7.53281 0.89 0.000281406 0.000229933 0.0151597 0.0123651 -1 -1 -1 -1 28 3645 43 6.55708e+06 325485 500653. 1732.36 1.53 0.0844088 0.0716086 21310 115450 -1 2834 19 1359 3866 220268 51163 6.5197 6.5197 -153.871 -6.5197 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0182059 0.0162115 156 151 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 5.91 vpr 64.30 MiB 0.02 7216 -1 -1 14 0.56 -1 -1 36696 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65844 32 32 361 393 1 263 100 17 17 289 -1 unnamed_device 25.8 MiB 0.46 1797 7524 1541 5344 639 64.3 MiB 0.06 0.00 8.65712 -179.7 -8.65712 8.65712 0.83 0.000380069 0.000290702 0.0158628 0.0129523 -1 -1 -1 -1 30 4366 36 6.55708e+06 433980 526063. 1820.29 1.89 0.0976993 0.0824741 21886 126133 -1 3740 18 1779 5490 277904 63610 7.52556 7.52556 -170.919 -7.52556 0 0 666494. 2306.21 0.28 0.08 0.11 -1 -1 0.28 0.0256147 0.0229409 267 267 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 5.47 vpr 64.26 MiB 0.02 6900 -1 -1 13 0.42 -1 -1 36604 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 32 32 318 350 1 242 95 17 17 289 -1 unnamed_device 25.2 MiB 0.72 1473 7655 1759 5455 441 64.3 MiB 0.06 0.00 7.66968 -164.394 -7.66968 7.66968 0.85 0.000350512 0.000283224 0.016335 0.0134695 -1 -1 -1 -1 28 4284 23 6.55708e+06 373705 500653. 1732.36 1.24 0.0790919 0.0668703 21310 115450 -1 3453 19 1700 4949 255231 60257 7.1227 7.1227 -164.459 -7.1227 0 0 612192. 2118.31 0.27 0.08 0.10 -1 -1 0.27 0.0231828 0.0207145 224 224 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 7.34 vpr 63.45 MiB 0.02 6924 -1 -1 11 0.20 -1 -1 36264 -1 -1 23 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64968 30 32 223 255 1 165 85 17 17 289 -1 unnamed_device 24.6 MiB 0.24 946 11059 3375 5324 2360 63.4 MiB 0.07 0.00 6.71515 -135.35 -6.71515 6.71515 0.88 0.00024286 0.000186959 0.0160361 0.0128765 -1 -1 -1 -1 38 2133 17 6.55708e+06 277265 638502. 2209.35 3.81 0.0959064 0.0799714 23326 155178 -1 1833 17 807 2579 114875 27959 5.57938 5.57938 -122.481 -5.57938 0 0 851065. 2944.86 0.34 0.05 0.14 -1 -1 0.34 0.0152894 0.0135975 137 135 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 8.55 vpr 64.56 MiB 0.03 7340 -1 -1 15 0.55 -1 -1 36492 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66112 32 32 335 367 1 253 97 17 17 289 -1 unnamed_device 25.6 MiB 0.45 1686 6757 1379 4893 485 64.6 MiB 0.06 0.00 8.78929 -181.271 -8.78929 8.78929 0.87 0.000346717 0.000276318 0.015268 0.0126097 -1 -1 -1 -1 38 4347 22 6.55708e+06 397815 638502. 2209.35 4.28 0.157175 0.131399 23326 155178 -1 3524 22 1713 5565 267790 60877 7.75689 7.75689 -172.406 -7.75689 0 0 851065. 2944.86 0.35 0.09 0.14 -1 -1 0.35 0.0289215 0.0256732 241 241 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 8.14 vpr 64.12 MiB 0.02 7024 -1 -1 13 0.41 -1 -1 36904 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65664 32 32 301 333 1 226 93 17 17 289 -1 unnamed_device 25.2 MiB 0.45 1481 8703 2085 5407 1211 64.1 MiB 0.07 0.00 7.77001 -158.574 -7.77001 7.77001 0.87 0.000320482 0.000258227 0.0177007 0.0147861 -1 -1 -1 -1 38 3427 22 6.55708e+06 349595 638502. 2209.35 4.03 0.147801 0.123442 23326 155178 -1 3012 20 1388 4085 190839 44515 6.9215 6.9215 -155.133 -6.9215 0 0 851065. 2944.86 0.34 0.07 0.15 -1 -1 0.34 0.0251042 0.0225412 207 207 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 6.87 vpr 63.74 MiB 0.02 6924 -1 -1 11 0.16 -1 -1 36320 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65272 32 32 238 270 1 183 88 17 17 289 -1 unnamed_device 24.8 MiB 0.32 1208 6133 1399 4430 304 63.7 MiB 0.05 0.00 6.57317 -137.784 -6.57317 6.57317 0.86 0.000224673 0.000178811 0.00979987 0.0080295 -1 -1 -1 -1 32 2944 47 6.55708e+06 289320 554710. 1919.41 3.51 0.113789 0.0946694 22174 131602 -1 2602 16 1013 2792 160241 37539 5.73878 5.73878 -134.878 -5.73878 0 0 701300. 2426.64 0.29 0.05 0.11 -1 -1 0.29 0.0136173 0.0121703 149 144 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 8.94 vpr 64.20 MiB 0.02 7180 -1 -1 12 0.39 -1 -1 36676 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65736 32 32 308 340 1 230 95 17 17 289 -1 unnamed_device 25.2 MiB 0.51 1499 9599 2367 6512 720 64.2 MiB 0.08 0.00 7.23264 -149.423 -7.23264 7.23264 0.86 0.00030917 0.00024505 0.0180522 0.0147974 -1 -1 -1 -1 38 3470 49 6.55708e+06 373705 638502. 2209.35 4.69 0.180826 0.150204 23326 155178 -1 2937 17 1431 4809 236180 53404 6.39584 6.39584 -141.928 -6.39584 0 0 851065. 2944.86 0.36 0.07 0.15 -1 -1 0.36 0.0218311 0.0196229 217 214 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 7.48 vpr 63.86 MiB 0.02 6732 -1 -1 12 0.26 -1 -1 36564 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65392 32 32 253 285 1 191 90 17 17 289 -1 unnamed_device 25.0 MiB 0.32 1248 7728 1690 5460 578 63.9 MiB 0.06 0.00 7.1989 -153.033 -7.1989 7.1989 0.91 0.000266743 0.000203713 0.0131048 0.0106485 -1 -1 -1 -1 28 3531 20 6.55708e+06 313430 500653. 1732.36 3.83 0.125158 0.105243 21310 115450 -1 2939 16 1243 3318 188886 44413 6.55124 6.55124 -152.627 -6.55124 0 0 612192. 2118.31 0.26 0.06 0.10 -1 -1 0.26 0.0165511 0.0149107 164 159 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 4.52 vpr 63.55 MiB 0.02 6736 -1 -1 12 0.25 -1 -1 36428 -1 -1 21 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65076 30 32 227 259 1 161 83 17 17 289 -1 unnamed_device 24.7 MiB 0.26 938 8723 2063 5985 675 63.6 MiB 0.06 0.00 7.11774 -140.467 -7.11774 7.11774 0.91 0.000240983 0.000190254 0.0149707 0.0123046 -1 -1 -1 -1 26 2455 21 6.55708e+06 253155 477104. 1650.88 0.91 0.0574148 0.0484869 21022 109990 -1 2097 17 1055 3033 165777 39370 6.43304 6.43304 -140.364 -6.43304 0 0 585099. 2024.56 0.24 0.05 0.10 -1 -1 0.24 0.0154647 0.013796 139 139 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 6.05 vpr 64.02 MiB 0.02 7060 -1 -1 12 0.34 -1 -1 36536 -1 -1 32 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65560 29 32 292 324 1 222 93 17 17 289 -1 unnamed_device 25.2 MiB 0.43 1365 11223 2755 7251 1217 64.0 MiB 0.09 0.00 7.16655 -136.833 -7.16655 7.16655 0.85 0.000322955 0.00025908 0.0216635 0.0175368 -1 -1 -1 -1 30 3500 43 6.55708e+06 385760 526063. 1820.29 2.09 0.107603 0.0918528 21886 126133 -1 2824 31 1256 4080 399257 177058 6.15344 6.15344 -129.211 -6.15344 0 0 666494. 2306.21 0.27 0.12 0.11 -1 -1 0.27 0.0274949 0.0240595 208 207 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 6.07 vpr 64.20 MiB 0.03 6972 -1 -1 14 0.40 -1 -1 36672 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65736 32 32 316 348 1 256 97 17 17 289 -1 unnamed_device 25.4 MiB 0.65 1609 7201 1540 4649 1012 64.2 MiB 0.06 0.00 8.6494 -177.704 -8.6494 8.6494 0.91 0.000325511 0.000259576 0.0151009 0.0124575 -1 -1 -1 -1 30 4364 32 6.55708e+06 397815 526063. 1820.29 1.73 0.0860067 0.0729763 21886 126133 -1 3490 17 1741 4865 241957 57163 7.53016 7.53016 -172.763 -7.53016 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0219565 0.0196755 227 222 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 9.60 vpr 64.16 MiB 0.02 7092 -1 -1 12 0.29 -1 -1 36320 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65704 32 32 286 318 1 212 91 17 17 289 -1 unnamed_device 25.4 MiB 0.46 1316 5191 916 3744 531 64.2 MiB 0.05 0.00 7.48095 -154.676 -7.48095 7.48095 0.90 0.00028767 0.000228216 0.0106095 0.00880049 -1 -1 -1 -1 26 4051 30 6.55708e+06 325485 477104. 1650.88 5.71 0.157476 0.134306 21022 109990 -1 3267 22 1793 5551 357937 77467 6.99484 6.99484 -158.862 -6.99484 0 0 585099. 2024.56 0.25 0.10 0.10 -1 -1 0.25 0.0238168 0.0211656 192 192 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 5.40 vpr 63.67 MiB 0.02 6748 -1 -1 12 0.17 -1 -1 36152 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65200 32 32 221 253 1 166 84 17 17 289 -1 unnamed_device 24.9 MiB 0.53 1079 8319 2120 5072 1127 63.7 MiB 0.06 0.00 6.61712 -135.685 -6.61712 6.61712 0.91 0.000279056 0.000230712 0.0137249 0.0112612 -1 -1 -1 -1 32 2756 22 6.55708e+06 241100 554710. 1919.41 1.62 0.0739829 0.063319 22174 131602 -1 2331 30 946 2771 317020 150880 5.94258 5.94258 -134.392 -5.94258 0 0 701300. 2426.64 0.31 0.10 0.11 -1 -1 0.31 0.0194604 0.0170273 133 127 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 4.61 vpr 63.84 MiB 0.02 7004 -1 -1 12 0.25 -1 -1 36120 -1 -1 25 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65368 31 32 261 293 1 199 88 17 17 289 -1 unnamed_device 25.0 MiB 0.30 1062 10033 2618 5721 1694 63.8 MiB 0.07 0.00 7.10067 -138.704 -7.10067 7.10067 0.84 0.000256607 0.000201461 0.0160174 0.012906 -1 -1 -1 -1 32 3120 35 6.55708e+06 301375 554710. 1919.41 1.15 0.070175 0.0584041 22174 131602 -1 2406 15 1181 3217 167688 41708 5.98178 5.98178 -134.745 -5.98178 0 0 701300. 2426.64 0.29 0.05 0.11 -1 -1 0.29 0.0161902 0.0145 170 170 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 5.53 vpr 63.94 MiB 0.02 7100 -1 -1 11 0.23 -1 -1 36232 -1 -1 28 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65472 30 32 277 309 1 209 90 17 17 289 -1 unnamed_device 24.9 MiB 0.25 1179 9738 2219 6538 981 63.9 MiB 0.07 0.00 6.32486 -129.246 -6.32486 6.32486 0.88 0.000291753 0.000232147 0.0169332 0.0137373 -1 -1 -1 -1 34 3264 20 6.55708e+06 337540 585099. 2024.56 1.98 0.117176 0.0995912 22462 138074 -1 2848 22 1506 5351 292195 65464 5.53252 5.53252 -124.067 -5.53252 0 0 742403. 2568.87 0.33 0.08 0.12 -1 -1 0.33 0.0224781 0.019835 189 189 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 5.53 vpr 63.73 MiB 0.02 7152 -1 -1 11 0.26 -1 -1 36764 -1 -1 28 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65260 28 32 251 283 1 194 88 17 17 289 -1 unnamed_device 24.9 MiB 0.37 1237 8473 1921 5502 1050 63.7 MiB 0.06 0.00 6.63254 -118.16 -6.63254 6.63254 0.84 0.00027866 0.000212043 0.0149534 0.0121266 -1 -1 -1 -1 34 3256 36 6.55708e+06 337540 585099. 2024.56 1.94 0.0809766 0.0680367 22462 138074 -1 2805 18 1211 3812 226847 50634 5.98178 5.98178 -116.11 -5.98178 0 0 742403. 2568.87 0.31 0.06 0.13 -1 -1 0.31 0.0175411 0.0156795 171 169 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 5.02 vpr 63.65 MiB 0.02 6784 -1 -1 13 0.23 -1 -1 36452 -1 -1 25 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65180 30 32 223 255 1 182 87 17 17 289 -1 unnamed_device 24.7 MiB 0.62 1025 6039 1172 4360 507 63.7 MiB 0.04 0.00 7.83235 -153.18 -7.83235 7.83235 0.85 0.000230351 0.000183003 0.0101166 0.00838722 -1 -1 -1 -1 28 2893 24 6.55708e+06 301375 500653. 1732.36 1.15 0.0557768 0.0475512 21310 115450 -1 2442 21 1001 2640 143953 34861 6.7993 6.7993 -146.21 -6.7993 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0168306 0.0149787 142 135 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 4.95 vpr 64.12 MiB 0.02 6928 -1 -1 12 0.23 -1 -1 35808 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65664 32 32 269 301 1 210 89 17 17 289 -1 unnamed_device 25.1 MiB 0.38 1211 13553 3317 7968 2268 64.1 MiB 0.09 0.00 7.40035 -154.861 -7.40035 7.40035 0.84 0.000272948 0.000213037 0.0213509 0.0171043 -1 -1 -1 -1 28 3973 37 6.55708e+06 301375 500653. 1732.36 1.43 0.0996804 0.0851922 21310 115450 -1 2793 18 1255 3546 191349 46097 6.46824 6.46824 -153.226 -6.46824 0 0 612192. 2118.31 0.25 0.06 0.11 -1 -1 0.25 0.017828 0.0159387 180 175 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 5.90 vpr 63.91 MiB 0.02 7032 -1 -1 13 0.35 -1 -1 36684 -1 -1 30 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65448 31 32 283 315 1 212 93 17 17 289 -1 unnamed_device 25.1 MiB 0.43 1191 15633 5095 8093 2445 63.9 MiB 0.11 0.00 7.78778 -150.869 -7.78778 7.78778 0.88 0.000305192 0.000243389 0.027056 0.0219378 -1 -1 -1 -1 30 3592 25 6.55708e+06 361650 526063. 1820.29 1.99 0.0963268 0.0808572 21886 126133 -1 2564 16 1316 3784 173970 42821 6.9215 6.9215 -144.12 -6.9215 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0205881 0.018646 195 192 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 7.14 vpr 64.06 MiB 0.02 7100 -1 -1 14 0.36 -1 -1 36640 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65600 32 32 308 340 1 227 95 17 17 289 -1 unnamed_device 25.1 MiB 0.41 1522 7223 1481 5175 567 64.1 MiB 0.06 0.00 8.11686 -165.797 -8.11686 8.11686 0.86 0.000317286 0.000253517 0.0145919 0.0120578 -1 -1 -1 -1 26 3998 32 6.55708e+06 373705 477104. 1650.88 3.29 0.142658 0.121057 21022 109990 -1 3310 18 1408 4515 245631 56469 7.4003 7.4003 -162.743 -7.4003 0 0 585099. 2024.56 0.26 0.07 0.10 -1 -1 0.26 0.021423 0.0191779 215 214 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 14.73 vpr 63.91 MiB 0.02 7100 -1 -1 14 0.32 -1 -1 36864 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65440 32 32 277 309 1 210 91 17 17 289 -1 unnamed_device 25.1 MiB 0.48 1333 7027 1488 5239 300 63.9 MiB 0.06 0.00 7.98407 -153.871 -7.98407 7.98407 0.85 0.000282561 0.00022456 0.0136169 0.0112023 -1 -1 -1 -1 34 3589 46 6.55708e+06 325485 585099. 2024.56 10.74 0.218632 0.182795 22462 138074 -1 2946 30 1313 4147 362335 132153 7.1227 7.1227 -146.915 -7.1227 0 0 742403. 2568.87 0.32 0.11 0.13 -1 -1 0.32 0.0264865 0.0232358 183 183 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 5.61 vpr 64.07 MiB 0.02 7100 -1 -1 13 0.43 -1 -1 37240 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65612 32 32 288 320 1 218 91 17 17 289 -1 unnamed_device 25.2 MiB 0.41 1405 6823 1465 4810 548 64.1 MiB 0.06 0.00 8.04044 -163.796 -8.04044 8.04044 0.86 0.000303142 0.000241961 0.0138952 0.0114692 -1 -1 -1 -1 34 3454 20 6.55708e+06 325485 585099. 2024.56 1.61 0.0808113 0.0684659 22462 138074 -1 2954 19 1356 4241 206095 48460 7.0397 7.0397 -153.278 -7.0397 0 0 742403. 2568.87 0.31 0.07 0.12 -1 -1 0.31 0.023032 0.0205713 195 194 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 4.89 vpr 63.71 MiB 0.02 6948 -1 -1 13 0.21 -1 -1 36560 -1 -1 24 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65236 30 32 230 262 1 183 86 17 17 289 -1 unnamed_device 24.8 MiB 0.32 1136 10859 2622 6566 1671 63.7 MiB 0.07 0.00 7.73016 -155.181 -7.73016 7.73016 0.86 0.00024355 0.000194758 0.0163618 0.0133129 -1 -1 -1 -1 28 3125 25 6.55708e+06 289320 500653. 1732.36 1.49 0.0677398 0.057735 21310 115450 -1 2669 16 1143 2901 166348 39619 6.6399 6.6399 -147.485 -6.6399 0 0 612192. 2118.31 0.26 0.06 0.10 -1 -1 0.26 0.0162246 0.0145388 146 142 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 6.24 vpr 64.26 MiB 0.02 7120 -1 -1 13 0.58 -1 -1 36548 -1 -1 31 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65800 30 32 294 326 1 231 93 17 17 289 -1 unnamed_device 25.4 MiB 0.37 1345 14163 3644 7776 2743 64.3 MiB 0.10 0.00 8.02437 -159.192 -8.02437 8.02437 0.88 0.000449695 0.000353706 0.0251878 0.0203191 -1 -1 -1 -1 36 3779 48 6.55708e+06 373705 612192. 2118.31 2.16 0.12447 0.10436 22750 144809 -1 3012 17 1535 4658 253245 60283 7.45176 7.45176 -153.93 -7.45176 0 0 782063. 2706.10 0.31 0.07 0.13 -1 -1 0.31 0.0195735 0.017433 208 206 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 9.30 vpr 64.15 MiB 0.02 7056 -1 -1 14 0.36 -1 -1 36704 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65688 32 32 276 308 1 206 94 17 17 289 -1 unnamed_device 25.3 MiB 0.38 1329 7549 1640 5431 478 64.1 MiB 0.06 0.00 7.66053 -161.128 -7.66053 7.66053 0.83 0.000294794 0.00023657 0.0135175 0.0110785 -1 -1 -1 -1 30 3403 30 6.55708e+06 361650 526063. 1820.29 5.68 0.144844 0.121278 21886 126133 -1 2807 17 1301 4330 213906 48388 6.73358 6.73358 -152.952 -6.73358 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0192754 0.0172661 184 182 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 7.88 vpr 64.19 MiB 0.03 7076 -1 -1 12 0.32 -1 -1 36416 -1 -1 31 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65728 31 32 293 325 1 226 94 17 17 289 -1 unnamed_device 25.3 MiB 0.26 1376 5632 1003 4241 388 64.2 MiB 0.05 0.00 8.15384 -157.78 -8.15384 8.15384 0.90 0.00029129 0.000231727 0.0115487 0.00959761 -1 -1 -1 -1 26 4182 33 6.55708e+06 373705 477104. 1650.88 4.04 0.139913 0.118356 21022 109990 -1 3449 40 1635 4833 580267 230986 7.10844 7.10844 -152.668 -7.10844 0 0 585099. 2024.56 0.26 0.18 0.11 -1 -1 0.26 0.0363946 0.0317998 203 202 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 4.89 vpr 63.82 MiB 0.03 7016 -1 -1 13 0.30 -1 -1 36592 -1 -1 28 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65348 30 32 273 305 1 212 90 17 17 289 -1 unnamed_device 24.8 MiB 0.31 1337 13557 3204 8755 1598 63.8 MiB 0.09 0.00 7.63303 -140.337 -7.63303 7.63303 0.85 0.000271632 0.000215827 0.0213828 0.0170873 -1 -1 -1 -1 30 3338 27 6.55708e+06 337540 526063. 1820.29 1.37 0.0780844 0.0654058 21886 126133 -1 2844 17 1293 3697 192727 44933 6.47284 6.47284 -135.617 -6.47284 0 0 666494. 2306.21 0.27 0.06 0.11 -1 -1 0.27 0.0195892 0.0175848 186 185 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 12.77 vpr 64.25 MiB 0.02 6924 -1 -1 14 0.45 -1 -1 36820 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65788 32 32 310 342 1 239 96 17 17 289 -1 unnamed_device 25.5 MiB 0.80 1453 7104 1305 5544 255 64.2 MiB 0.06 0.00 8.90186 -170.541 -8.90186 8.90186 0.86 0.000338356 0.000261419 0.0145662 0.0120478 -1 -1 -1 -1 30 3871 48 6.55708e+06 385760 526063. 1820.29 8.50 0.210469 0.17793 21886 126133 -1 3188 24 1467 4404 303493 109718 7.69982 7.69982 -163.604 -7.69982 0 0 666494. 2306.21 0.27 0.10 0.11 -1 -1 0.27 0.0272445 0.0241585 220 216 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 4.78 vpr 63.91 MiB 0.02 6948 -1 -1 11 0.36 -1 -1 36564 -1 -1 29 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65440 29 32 259 291 1 190 90 17 17 289 -1 unnamed_device 25.0 MiB 0.39 1157 6924 1532 4775 617 63.9 MiB 0.05 0.00 6.93657 -131.54 -6.93657 6.93657 0.85 0.000277747 0.000216307 0.0122016 0.00999715 -1 -1 -1 -1 28 3272 17 6.55708e+06 349595 500653. 1732.36 1.17 0.0609786 0.0517942 21310 115450 -1 2559 15 1101 3523 187529 43768 6.11164 6.11164 -126.771 -6.11164 0 0 612192. 2118.31 0.26 0.06 0.10 -1 -1 0.26 0.019206 0.0174322 174 174 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 4.81 vpr 63.52 MiB 0.02 6700 -1 -1 13 0.20 -1 -1 36468 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65048 32 32 225 257 1 185 87 17 17 289 -1 unnamed_device 24.6 MiB 0.35 1099 6807 1492 4622 693 63.5 MiB 0.05 0.00 7.61867 -163.8 -7.61867 7.61867 0.87 0.000239717 0.000191317 0.0117244 0.00956982 -1 -1 -1 -1 26 3172 32 6.55708e+06 277265 477104. 1650.88 1.19 0.0653046 0.0555375 21022 109990 -1 2466 17 1234 3024 154568 38150 6.70864 6.70864 -155.561 -6.70864 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.015524 0.0138752 142 131 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 7.00 vpr 63.82 MiB 0.02 7112 -1 -1 14 0.30 -1 -1 36500 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65348 32 32 273 305 1 209 91 17 17 289 -1 unnamed_device 25.1 MiB 0.30 1349 5803 1181 3934 688 63.8 MiB 0.05 0.00 8.14347 -163.597 -8.14347 8.14347 0.87 0.000269708 0.000213694 0.0110077 0.00916641 -1 -1 -1 -1 30 3351 26 6.55708e+06 325485 526063. 1820.29 3.35 0.115144 0.0974617 21886 126133 -1 2769 16 1203 3581 174601 40566 7.1207 7.1207 -155.461 -7.1207 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0177312 0.0158639 183 179 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 10.03 vpr 64.42 MiB 0.02 6988 -1 -1 15 0.46 -1 -1 36572 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65968 32 32 322 354 1 251 96 17 17 289 -1 unnamed_device 25.6 MiB 0.81 1653 6666 1327 4767 572 64.4 MiB 0.06 0.00 9.56735 -197.421 -9.56735 9.56735 0.88 0.000325277 0.000257987 0.0140575 0.0116458 -1 -1 -1 -1 30 4163 39 6.55708e+06 385760 526063. 1820.29 5.71 0.177431 0.149634 21886 126133 -1 3442 17 1531 4221 198455 46772 8.17401 8.17401 -183.453 -8.17401 0 0 666494. 2306.21 0.27 0.07 0.11 -1 -1 0.27 0.023628 0.0212617 228 228 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 8.44 vpr 63.41 MiB 0.02 6876 -1 -1 11 0.20 -1 -1 35936 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64932 32 32 218 250 1 164 86 17 17 289 -1 unnamed_device 24.6 MiB 0.72 1095 7835 2015 4854 966 63.4 MiB 0.06 0.00 6.79369 -139.331 -6.79369 6.79369 0.88 0.000249087 0.00020031 0.0121946 0.0100213 -1 -1 -1 -1 28 2965 43 6.55708e+06 265210 500653. 1732.36 4.50 0.0981117 0.0823844 21310 115450 -1 2415 15 869 2553 169293 36993 6.03324 6.03324 -138.892 -6.03324 0 0 612192. 2118.31 0.25 0.05 0.10 -1 -1 0.25 0.0127936 0.0114979 126 124 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 4.74 vpr 63.91 MiB 0.02 6844 -1 -1 12 0.23 -1 -1 36224 -1 -1 26 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65440 31 32 244 276 1 192 89 17 17 289 -1 unnamed_device 25.1 MiB 0.48 1142 12761 3209 8075 1477 63.9 MiB 0.08 0.00 7.38518 -156.247 -7.38518 7.38518 0.98 0.000256384 0.000203888 0.0198178 0.0158531 -1 -1 -1 -1 32 2928 16 6.55708e+06 313430 554710. 1919.41 0.93 0.0635986 0.0534267 22174 131602 -1 2656 16 1107 3219 175326 41921 6.67144 6.67144 -150.105 -6.67144 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.015839 0.0142434 157 153 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 7.55 vpr 64.25 MiB 0.02 6836 -1 -1 12 0.37 -1 -1 36576 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65788 32 32 301 333 1 232 96 17 17 289 -1 unnamed_device 25.3 MiB 0.48 1401 10827 2800 6524 1503 64.2 MiB 0.08 0.00 7.63524 -167.112 -7.63524 7.63524 0.84 0.000346156 0.000259263 0.018952 0.0152163 -1 -1 -1 -1 36 3731 19 6.55708e+06 385760 612192. 2118.31 3.72 0.127038 0.105692 22750 144809 -1 3102 16 1345 3982 205818 48763 6.9215 6.9215 -158.888 -6.9215 0 0 782063. 2706.10 0.32 0.07 0.13 -1 -1 0.32 0.0216318 0.0195986 209 207 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 5.74 vpr 63.94 MiB 0.02 7096 -1 -1 12 0.30 -1 -1 36672 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65476 32 32 278 310 1 215 92 17 17 289 -1 unnamed_device 25.2 MiB 0.56 1413 11063 2862 6898 1303 63.9 MiB 0.08 0.00 7.56535 -160.035 -7.56535 7.56535 0.84 0.000293681 0.000232273 0.0191544 0.0155624 -1 -1 -1 -1 30 3743 28 6.55708e+06 337540 526063. 1820.29 1.83 0.0823766 0.0698988 21886 126133 -1 3028 17 1348 4224 217211 49859 6.47024 6.47024 -151.298 -6.47024 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0199442 0.0179174 186 184 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 11.60 vpr 64.41 MiB 0.02 7204 -1 -1 14 0.57 -1 -1 36616 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65952 32 32 333 365 1 247 99 17 17 289 -1 unnamed_device 25.5 MiB 0.30 1571 16287 4218 9846 2223 64.4 MiB 0.11 0.00 8.43809 -174.225 -8.43809 8.43809 0.86 0.000343647 0.000273407 0.02888 0.0233839 -1 -1 -1 -1 30 4281 30 6.55708e+06 421925 526063. 1820.29 7.54 0.214048 0.181104 21886 126133 -1 3433 17 1638 5130 255217 59174 7.49096 7.49096 -166.697 -7.49096 0 0 666494. 2306.21 0.28 0.09 0.11 -1 -1 0.28 0.0261 0.0234986 241 239 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 5.88 vpr 63.83 MiB 0.02 7080 -1 -1 11 0.28 -1 -1 36572 -1 -1 27 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65360 30 32 261 293 1 202 89 17 17 289 -1 unnamed_device 24.9 MiB 0.55 1258 9791 2659 6167 965 63.8 MiB 0.07 0.00 6.70478 -131.306 -6.70478 6.70478 0.86 0.000276321 0.00021011 0.016355 0.0132849 -1 -1 -1 -1 28 3817 39 6.55708e+06 325485 500653. 1732.36 2.03 0.101606 0.0877922 21310 115450 -1 3034 18 1514 4756 299800 65715 5.87324 5.87324 -132.688 -5.87324 0 0 612192. 2118.31 0.25 0.08 0.10 -1 -1 0.25 0.0189851 0.0168774 176 173 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 5.08 vpr 63.52 MiB 0.02 6892 -1 -1 11 0.23 -1 -1 36524 -1 -1 25 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65048 27 32 217 249 1 157 84 17 17 289 -1 unnamed_device 24.7 MiB 0.30 955 9966 2597 6231 1138 63.5 MiB 0.06 0.00 6.3206 -117.079 -6.3206 6.3206 0.89 0.00022406 0.000177257 0.0159729 0.0129419 -1 -1 -1 -1 26 2769 48 6.55708e+06 301375 477104. 1650.88 1.43 0.0889041 0.0762591 21022 109990 -1 2256 18 1049 3086 173843 40596 5.90538 5.90538 -122.985 -5.90538 0 0 585099. 2024.56 0.25 0.06 0.11 -1 -1 0.25 0.0167934 0.0150601 138 138 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 12.51 vpr 64.34 MiB 0.03 7248 -1 -1 13 0.57 -1 -1 36432 -1 -1 40 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65884 32 32 373 405 1 274 104 17 17 289 -1 unnamed_device 25.7 MiB 0.37 1955 9864 2276 6867 721 64.3 MiB 0.09 0.00 7.70458 -159.131 -7.70458 7.70458 0.89 0.00040458 0.000314847 0.020795 0.0169625 -1 -1 -1 -1 32 5267 30 6.55708e+06 482200 554710. 1919.41 8.24 0.24217 0.204734 22174 131602 -1 4286 20 1840 6441 375287 83145 6.70864 6.70864 -153.062 -6.70864 0 0 701300. 2426.64 0.29 0.11 0.12 -1 -1 0.29 0.0317761 0.0284656 280 279 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 7.78 vpr 64.12 MiB 0.03 7112 -1 -1 14 0.35 -1 -1 36596 -1 -1 26 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65660 31 32 269 301 1 200 89 17 17 289 -1 unnamed_device 25.2 MiB 0.37 1245 11573 2731 6807 2035 64.1 MiB 0.07 0.00 8.47244 -166.107 -8.47244 8.47244 0.83 0.000268334 0.000213207 0.0185654 0.0150714 -1 -1 -1 -1 28 3737 39 6.55708e+06 313430 500653. 1732.36 4.08 0.132593 0.111743 21310 115450 -1 2974 20 1297 3617 203413 48136 7.72676 7.72676 -166.808 -7.72676 0 0 612192. 2118.31 0.27 0.07 0.10 -1 -1 0.27 0.019978 0.0178293 179 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 10.39 vpr 63.69 MiB 0.02 6764 -1 -1 12 0.19 -1 -1 35860 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65220 32 32 228 260 1 185 91 17 17 289 -1 unnamed_device 24.7 MiB 0.47 1139 15187 4363 8443 2381 63.7 MiB 0.09 0.00 7.2366 -160.978 -7.2366 7.2366 0.84 0.000234849 0.000187012 0.020205 0.0163212 -1 -1 -1 -1 30 3262 38 6.55708e+06 325485 526063. 1820.29 6.82 0.128113 0.108089 21886 126133 -1 2410 15 1019 2901 146395 34302 6.73618 6.73618 -157.069 -6.73618 0 0 666494. 2306.21 0.28 0.05 0.11 -1 -1 0.28 0.0138912 0.0125211 144 134 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 5.69 vpr 64.04 MiB 0.03 6968 -1 -1 13 0.39 -1 -1 36388 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65576 32 32 265 297 1 201 89 17 17 289 -1 unnamed_device 25.1 MiB 0.58 1179 9593 2501 5729 1363 64.0 MiB 0.07 0.00 8.00084 -154.84 -8.00084 8.00084 0.84 0.000271355 0.000215952 0.0167866 0.0137163 -1 -1 -1 -1 30 3624 25 6.55708e+06 301375 526063. 1820.29 1.46 0.0761745 0.0648917 21886 126133 -1 2743 16 1272 3680 179696 42920 6.81356 6.81356 -148.908 -6.81356 0 0 666494. 2306.21 0.28 0.07 0.12 -1 -1 0.28 0.0200828 0.018193 172 171 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 5.86 vpr 64.28 MiB 0.02 7216 -1 -1 13 0.41 -1 -1 37372 -1 -1 35 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65824 31 32 325 357 1 258 98 17 17 289 -1 unnamed_device 25.4 MiB 0.58 1723 8423 1649 6094 680 64.3 MiB 0.07 0.00 7.58638 -160.922 -7.58638 7.58638 0.87 0.000338583 0.000259409 0.0166252 0.0136691 -1 -1 -1 -1 28 5070 35 6.55708e+06 421925 500653. 1732.36 1.76 0.0966098 0.0821903 21310 115450 -1 3860 17 1717 4870 279650 64248 6.66944 6.66944 -155.587 -6.66944 0 0 612192. 2118.31 0.25 0.08 0.10 -1 -1 0.25 0.0220229 0.0197957 235 234 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 7.89 vpr 64.00 MiB 0.02 7012 -1 -1 11 0.32 -1 -1 36304 -1 -1 33 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65532 30 32 287 319 1 213 95 17 17 289 -1 unnamed_device 25.2 MiB 0.40 1432 7007 1504 4847 656 64.0 MiB 0.06 0.00 7.1643 -145.437 -7.1643 7.1643 0.91 0.000288392 0.000229319 0.01299 0.0107272 -1 -1 -1 -1 36 3455 24 6.55708e+06 397815 612192. 2118.31 3.92 0.124881 0.104868 22750 144809 -1 3057 14 1160 4035 224179 49806 6.27104 6.27104 -137.153 -6.27104 0 0 782063. 2706.10 0.33 0.06 0.13 -1 -1 0.33 0.018285 0.016571 199 199 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 11.06 vpr 64.10 MiB 0.02 7080 -1 -1 15 0.40 -1 -1 36616 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65640 32 32 297 329 1 230 93 17 17 289 -1 unnamed_device 25.1 MiB 0.42 1519 8073 1948 5210 915 64.1 MiB 0.07 0.00 9.01015 -184 -9.01015 9.01015 0.84 0.000298742 0.000235879 0.0159879 0.0129205 -1 -1 -1 -1 30 4315 34 6.55708e+06 349595 526063. 1820.29 7.26 0.192618 0.163649 21886 126133 -1 3257 18 1521 4714 250033 57709 7.68815 7.68815 -171.412 -7.68815 0 0 666494. 2306.21 0.28 0.08 0.11 -1 -1 0.28 0.021571 0.0192241 203 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 7.54 vpr 64.45 MiB 0.02 7044 -1 -1 13 0.41 -1 -1 37060 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65992 32 32 311 343 1 238 96 17 17 289 -1 unnamed_device 25.4 MiB 0.23 1448 14331 3665 9149 1517 64.4 MiB 0.11 0.00 7.86097 -165.619 -7.86097 7.86097 1.00 0.000320192 0.000254766 0.0265909 0.0216849 -1 -1 -1 -1 30 3712 22 6.55708e+06 385760 526063. 1820.29 3.56 0.158236 0.133852 21886 126133 -1 3129 22 1511 4692 227372 52827 6.7621 6.7621 -157.93 -6.7621 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0241661 0.0213933 217 217 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 5.53 vpr 63.88 MiB 0.02 6752 -1 -1 12 0.24 -1 -1 36124 -1 -1 27 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65412 29 32 236 268 1 193 88 17 17 289 -1 unnamed_device 24.8 MiB 0.54 1047 10813 2718 6103 1992 63.9 MiB 0.07 0.00 6.80146 -143.314 -6.80146 6.80146 0.86 0.000245089 0.000187995 0.0162557 0.013024 -1 -1 -1 -1 30 3274 41 6.55708e+06 325485 526063. 1820.29 1.68 0.0766992 0.0649065 21886 126133 -1 2397 18 1274 3345 158487 38872 6.20792 6.20792 -141.221 -6.20792 0 0 666494. 2306.21 0.27 0.05 0.11 -1 -1 0.27 0.0154468 0.0137462 157 151 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 6.54 vpr 63.71 MiB 0.02 6880 -1 -1 11 0.19 -1 -1 36024 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65240 32 32 231 263 1 179 86 17 17 289 -1 unnamed_device 24.8 MiB 0.54 1093 5189 1003 3884 302 63.7 MiB 0.04 0.00 6.74698 -142.525 -6.74698 6.74698 0.86 0.00023989 0.000191244 0.00881634 0.00725299 -1 -1 -1 -1 30 2837 23 6.55708e+06 265210 526063. 1820.29 2.94 0.0864309 0.0721558 21886 126133 -1 2246 14 1007 2678 125747 30981 5.78058 5.78058 -133.996 -5.78058 0 0 666494. 2306.21 0.27 0.04 0.11 -1 -1 0.27 0.0127879 0.0115325 138 137 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 8.54 vpr 63.91 MiB 0.02 7004 -1 -1 13 0.38 -1 -1 36676 -1 -1 31 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65448 31 32 294 326 1 224 94 17 17 289 -1 unnamed_device 25.0 MiB 0.47 1501 5632 1004 4261 367 63.9 MiB 0.05 0.00 8.25511 -166.809 -8.25511 8.25511 0.91 0.000307555 0.000244539 0.0119607 0.0100437 -1 -1 -1 -1 30 3515 19 6.55708e+06 373705 526063. 1820.29 4.61 0.139394 0.117936 21886 126133 -1 3024 19 1290 4346 201320 47018 7.2781 7.2781 -156.731 -7.2781 0 0 666494. 2306.21 0.28 0.07 0.11 -1 -1 0.28 0.0223288 0.0199746 204 203 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 5.09 vpr 63.89 MiB 0.02 6904 -1 -1 10 0.21 -1 -1 36248 -1 -1 25 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65424 29 32 221 253 1 167 86 17 17 289 -1 unnamed_device 25.0 MiB 0.27 1064 7646 1912 5016 718 63.9 MiB 0.06 0.00 5.93963 -122.7 -5.93963 5.93963 0.88 0.000220546 0.000175484 0.0116926 0.00961066 -1 -1 -1 -1 28 2898 29 6.55708e+06 301375 500653. 1732.36 1.46 0.0583357 0.0490757 21310 115450 -1 2474 18 1070 3212 180262 41209 5.46578 5.46578 -123.918 -5.46578 0 0 612192. 2118.31 0.27 0.06 0.10 -1 -1 0.27 0.0150667 0.0134541 138 136 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 7.52 vpr 63.66 MiB 0.02 6840 -1 -1 14 0.24 -1 -1 36520 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65192 32 32 240 272 1 178 88 17 17 289 -1 unnamed_device 24.7 MiB 0.53 1142 10033 2412 5986 1635 63.7 MiB 0.07 0.00 7.66803 -156.849 -7.66803 7.66803 0.98 0.000247424 0.000196666 0.0159746 0.0131398 -1 -1 -1 -1 32 2810 16 6.55708e+06 289320 554710. 1919.41 3.47 0.125443 0.105305 22174 131602 -1 2425 17 1015 2963 157025 37268 6.6817 6.6817 -148.145 -6.6817 0 0 701300. 2426.64 0.34 0.06 0.12 -1 -1 0.34 0.0177247 0.0159515 149 146 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 5.45 vpr 64.03 MiB 0.02 7084 -1 -1 12 0.38 -1 -1 36512 -1 -1 29 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65568 31 32 292 324 1 211 92 17 17 289 -1 unnamed_device 25.2 MiB 0.39 1394 6095 1205 4292 598 64.0 MiB 0.05 0.00 7.67729 -159.665 -7.67729 7.67729 0.84 0.000304467 0.000244099 0.0122875 0.0101395 -1 -1 -1 -1 32 3565 27 6.55708e+06 349595 554710. 1919.41 1.76 0.0855718 0.0720168 22174 131602 -1 3095 16 1295 4298 227234 52867 6.5191 6.5191 -150.453 -6.5191 0 0 701300. 2426.64 0.30 0.06 0.12 -1 -1 0.30 0.0186651 0.0167223 201 201 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 6.67 vpr 63.56 MiB 0.02 6816 -1 -1 12 0.18 -1 -1 36088 -1 -1 23 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65084 31 32 229 261 1 182 86 17 17 289 -1 unnamed_device 24.7 MiB 0.39 1194 5567 1099 4211 257 63.6 MiB 0.04 0.00 6.82849 -150.919 -6.82849 6.82849 0.83 0.000234562 0.000188187 0.00950918 0.00788677 -1 -1 -1 -1 34 2708 14 6.55708e+06 277265 585099. 2024.56 3.26 0.0829609 0.0696408 22462 138074 -1 2308 13 879 2480 133425 30666 6.05818 6.05818 -142.406 -6.05818 0 0 742403. 2568.87 0.29 0.04 0.12 -1 -1 0.29 0.0123035 0.0110735 142 138 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 5.33 vpr 63.89 MiB 0.02 7168 -1 -1 12 0.24 -1 -1 36532 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65420 32 32 282 314 1 206 90 17 17 289 -1 unnamed_device 24.9 MiB 0.25 1308 5517 1109 4058 350 63.9 MiB 0.05 0.00 6.90658 -147.949 -6.90658 6.90658 0.88 0.000302926 0.000241491 0.0118227 0.00971088 -1 -1 -1 -1 28 3809 37 6.55708e+06 313430 500653. 1732.36 1.73 0.10379 0.0904984 21310 115450 -1 3106 20 1650 5246 296681 67610 6.21252 6.21252 -145.602 -6.21252 0 0 612192. 2118.31 0.27 0.09 0.11 -1 -1 0.27 0.0225026 0.0199913 188 188 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 9.37 vpr 63.89 MiB 0.02 7000 -1 -1 13 0.35 -1 -1 37064 -1 -1 30 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65420 31 32 269 301 1 216 93 17 17 289 -1 unnamed_device 25.1 MiB 0.38 1442 9753 2402 6155 1196 63.9 MiB 0.07 0.00 7.83564 -165.134 -7.83564 7.83564 0.83 0.000289396 0.000232359 0.0159244 0.0130092 -1 -1 -1 -1 28 4165 41 6.55708e+06 361650 500653. 1732.36 5.75 0.141449 0.118461 21310 115450 -1 3349 17 1370 4128 248392 56542 7.0005 7.0005 -162.099 -7.0005 0 0 612192. 2118.31 0.25 0.07 0.12 -1 -1 0.25 0.0183956 0.0164602 180 178 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 6.64 vpr 63.81 MiB 0.02 6924 -1 -1 11 0.21 -1 -1 36032 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65344 32 32 237 269 1 183 90 17 17 289 -1 unnamed_device 25.1 MiB 0.28 1181 5316 953 4041 322 63.8 MiB 0.04 0.00 6.7625 -141.932 -6.7625 6.7625 0.84 0.000237384 0.000189084 0.0092397 0.00766251 -1 -1 -1 -1 28 3253 27 6.55708e+06 313430 500653. 1732.36 3.07 0.0909022 0.0763821 21310 115450 -1 2766 31 1534 4997 419976 144205 5.87584 5.87584 -139.934 -5.87584 0 0 612192. 2118.31 0.26 0.14 0.11 -1 -1 0.26 0.0285719 0.0253473 148 143 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 4.88 vpr 63.95 MiB 0.02 6688 -1 -1 13 0.24 -1 -1 36400 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65484 32 32 259 291 1 202 91 17 17 289 -1 unnamed_device 25.0 MiB 0.29 1261 6619 1462 4468 689 63.9 MiB 0.05 0.00 7.87238 -161.512 -7.87238 7.87238 0.84 0.000258374 0.00020518 0.0110293 0.00907364 -1 -1 -1 -1 28 3782 22 6.55708e+06 325485 500653. 1732.36 1.41 0.0633755 0.0538763 21310 115450 -1 2987 36 1896 5422 530398 211567 6.74584 6.74584 -155.563 -6.74584 0 0 612192. 2118.31 0.26 0.16 0.10 -1 -1 0.26 0.0270705 0.0234281 167 165 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 8.29 vpr 63.89 MiB 0.02 6992 -1 -1 13 0.32 -1 -1 36744 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65420 32 32 277 309 1 220 93 17 17 289 -1 unnamed_device 25.1 MiB 0.50 1331 9963 2251 5897 1815 63.9 MiB 0.07 0.00 7.86397 -163.224 -7.86397 7.86397 0.87 0.000277828 0.000221054 0.0167115 0.013565 -1 -1 -1 -1 40 3099 16 6.55708e+06 349595 666494. 2306.21 4.37 0.137187 0.115212 23614 160646 -1 2777 16 1182 3762 194468 45608 6.7601 6.7601 -150.521 -6.7601 0 0 872365. 3018.56 0.35 0.06 0.14 -1 -1 0.35 0.0173114 0.0155737 188 183 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 5.24 vpr 63.86 MiB 0.02 7032 -1 -1 11 0.23 -1 -1 36880 -1 -1 27 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65392 29 32 245 277 1 190 88 17 17 289 -1 unnamed_device 25.1 MiB 0.32 1009 12373 3112 6826 2435 63.9 MiB 0.08 0.00 6.353 -119.656 -6.353 6.353 0.84 0.000244033 0.000192409 0.0186486 0.0149545 -1 -1 -1 -1 32 2901 44 6.55708e+06 325485 554710. 1919.41 1.72 0.0930536 0.0780791 22174 131602 -1 2282 15 1070 2996 160001 39318 5.65272 5.65272 -119.121 -5.65272 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0143295 0.0128734 160 160 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 6.19 vpr 64.43 MiB 0.02 7184 -1 -1 14 0.40 -1 -1 37188 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65972 32 32 316 348 1 250 96 17 17 289 -1 unnamed_device 25.6 MiB 0.51 1579 9732 2188 6618 926 64.4 MiB 0.08 0.00 8.66947 -182.489 -8.66947 8.66947 0.84 0.0003277 0.000262158 0.0187291 0.015363 -1 -1 -1 -1 34 4311 25 6.55708e+06 385760 585099. 2024.56 2.11 0.129777 0.110265 22462 138074 -1 3629 18 1591 4901 297947 76640 7.55769 7.55769 -171.651 -7.55769 0 0 742403. 2568.87 0.32 0.09 0.12 -1 -1 0.32 0.0246415 0.0221208 225 222 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 5.24 vpr 63.60 MiB 0.02 6708 -1 -1 12 0.21 -1 -1 36268 -1 -1 28 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65128 31 32 230 262 1 186 91 17 17 289 -1 unnamed_device 24.6 MiB 0.48 1132 10903 2780 6518 1605 63.6 MiB 0.07 0.00 6.86778 -146.474 -6.86778 6.86778 0.86 0.000231443 0.000183366 0.0157316 0.0128046 -1 -1 -1 -1 32 3018 28 6.55708e+06 337540 554710. 1919.41 1.48 0.0697337 0.0585911 22174 131602 -1 2447 14 955 2532 154272 35741 5.75164 5.75164 -135.852 -5.75164 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0135844 0.0122742 145 139 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 5.66 vpr 63.89 MiB 0.03 6888 -1 -1 13 0.35 -1 -1 37084 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65424 32 32 282 314 1 213 91 17 17 289 -1 unnamed_device 25.1 MiB 0.43 1389 6211 1334 4310 567 63.9 MiB 0.05 0.00 7.65501 -153.87 -7.65501 7.65501 0.85 0.000303021 0.000242638 0.0121264 0.0100216 -1 -1 -1 -1 36 3214 19 6.55708e+06 325485 612192. 2118.31 1.80 0.0910502 0.0763426 22750 144809 -1 2944 18 1414 4408 241792 55629 6.71064 6.71064 -147.421 -6.71064 0 0 782063. 2706.10 0.31 0.10 0.14 -1 -1 0.31 0.0286117 0.0255379 189 188 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 6.88 vpr 63.79 MiB 0.02 6952 -1 -1 13 0.23 -1 -1 36324 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65316 32 32 235 267 1 180 90 17 17 289 -1 unnamed_device 24.8 MiB 0.58 1095 7326 1550 5416 360 63.8 MiB 0.05 0.00 7.45231 -160.211 -7.45231 7.45231 0.88 0.000234317 0.000186876 0.0112672 0.009257 -1 -1 -1 -1 30 2802 25 6.55708e+06 313430 526063. 1820.29 2.92 0.0871777 0.0726675 21886 126133 -1 2370 18 1049 2929 138014 33390 6.66944 6.66944 -152.748 -6.66944 0 0 666494. 2306.21 0.32 0.07 0.12 -1 -1 0.32 0.0212637 0.0190718 145 141 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 8.43 vpr 63.91 MiB 0.02 7120 -1 -1 12 0.29 -1 -1 36460 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 32 32 265 297 1 193 90 17 17 289 -1 unnamed_device 25.0 MiB 0.36 1228 7728 1665 5629 434 63.9 MiB 0.06 0.00 7.58733 -154.847 -7.58733 7.58733 0.87 0.000272203 0.000217187 0.0140775 0.0115271 -1 -1 -1 -1 26 3621 49 6.55708e+06 313430 477104. 1650.88 4.72 0.135325 0.113682 21022 109990 -1 2693 14 1094 3256 176092 41182 6.7641 6.7641 -149.211 -6.7641 0 0 585099. 2024.56 0.26 0.06 0.10 -1 -1 0.26 0.0177149 0.016092 172 171 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 6.43 vpr 64.11 MiB 0.03 7260 -1 -1 15 0.63 -1 -1 36444 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65648 32 32 344 376 1 259 98 17 17 289 -1 unnamed_device 25.6 MiB 0.36 1717 9323 2212 6516 595 64.1 MiB 0.08 0.00 8.85561 -175.55 -8.85561 8.85561 0.88 0.000357479 0.000284797 0.0195989 0.0159209 -1 -1 -1 -1 34 4541 22 6.55708e+06 409870 585099. 2024.56 2.14 0.125433 0.106862 22462 138074 -1 3829 21 1999 6567 350217 79612 7.57962 7.57962 -166.192 -7.57962 0 0 742403. 2568.87 0.31 0.11 0.14 -1 -1 0.31 0.0309428 0.0277356 250 250 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 6.09 vpr 62.97 MiB 0.02 6620 -1 -1 10 0.12 -1 -1 35416 -1 -1 16 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64484 30 32 173 205 1 128 78 17 17 289 -1 unnamed_device 24.3 MiB 0.37 663 7880 1846 5770 264 63.0 MiB 0.05 0.00 5.47134 -123.464 -5.47134 5.47134 0.93 0.00020641 0.000158449 0.0107095 0.00866901 -1 -1 -1 -1 28 1974 20 6.55708e+06 192880 500653. 1732.36 2.73 0.0667658 0.055894 21310 115450 -1 1678 23 664 1642 133806 42267 5.20346 5.20346 -126.486 -5.20346 0 0 612192. 2118.31 0.25 0.05 0.11 -1 -1 0.25 0.0117747 0.0103303 92 85 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 6.21 vpr 63.59 MiB 0.02 6920 -1 -1 13 0.22 -1 -1 36488 -1 -1 29 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65120 30 32 229 261 1 173 91 17 17 289 -1 unnamed_device 24.6 MiB 0.18 1085 9679 2271 6084 1324 63.6 MiB 0.06 0.00 7.6407 -150.826 -7.6407 7.6407 0.87 0.000241522 0.000183036 0.0137415 0.0112097 -1 -1 -1 -1 28 2979 22 6.55708e+06 349595 500653. 1732.36 2.89 0.0900264 0.0751611 21310 115450 -1 2391 17 1007 2862 149022 35937 6.45858 6.45858 -142.834 -6.45858 0 0 612192. 2118.31 0.28 0.06 0.10 -1 -1 0.28 0.0176865 0.0159836 149 141 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 7.83 vpr 64.00 MiB 0.02 6752 -1 -1 12 0.23 -1 -1 36096 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65540 32 32 261 293 1 201 87 17 17 289 -1 unnamed_device 25.1 MiB 0.33 1229 11607 2776 7348 1483 64.0 MiB 0.08 0.00 6.61226 -148.616 -6.61226 6.61226 0.89 0.00026394 0.000209435 0.0184624 0.0149389 -1 -1 -1 -1 28 3740 42 6.55708e+06 277265 500653. 1732.36 4.31 0.136247 0.11367 21310 115450 -1 2954 17 1348 3709 210484 49410 5.90338 5.90338 -148.246 -5.90338 0 0 612192. 2118.31 0.26 0.07 0.10 -1 -1 0.26 0.0176191 0.015695 167 167 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 6.44 vpr 63.11 MiB 0.03 6992 -1 -1 9 0.16 -1 -1 36096 -1 -1 23 25 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64620 25 32 184 216 1 142 80 17 17 289 -1 unnamed_device 24.6 MiB 0.27 731 11260 3536 5471 2253 63.1 MiB 0.06 0.00 5.58849 -100.305 -5.58849 5.58849 0.87 0.000183545 0.000145428 0.0142705 0.01157 -1 -1 -1 -1 26 2516 31 6.55708e+06 277265 477104. 1650.88 2.97 0.0868175 0.0718134 21022 109990 -1 1831 19 899 2487 131676 32476 4.60446 4.60446 -98.7119 -4.60446 0 0 585099. 2024.56 0.25 0.04 0.10 -1 -1 0.25 0.0126331 0.011223 113 111 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 5.76 vpr 64.32 MiB 0.02 6984 -1 -1 12 0.37 -1 -1 36208 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65860 32 32 302 334 1 241 97 17 17 289 -1 unnamed_device 25.4 MiB 0.30 1511 13861 3457 8236 2168 64.3 MiB 0.10 0.00 7.51028 -165.632 -7.51028 7.51028 0.89 0.000309921 0.00024871 0.0238751 0.0194201 -1 -1 -1 -1 36 3687 19 6.55708e+06 397815 612192. 2118.31 1.82 0.0966782 0.0815641 22750 144809 -1 3430 17 1490 4587 277511 62512 6.66178 6.66178 -159.1 -6.66178 0 0 782063. 2706.10 0.31 0.08 0.13 -1 -1 0.31 0.0209734 0.0189261 209 208 -1 -1 -1 -1 -fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 7.72 vpr 64.23 MiB 0.02 7132 -1 -1 14 0.40 -1 -1 36936 -1 -1 28 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65772 31 32 295 327 1 222 91 17 17 289 -1 unnamed_device 25.3 MiB 0.49 1427 6415 1288 4361 766 64.2 MiB 0.06 0.00 8.59764 -174.646 -8.59764 8.59764 0.86 0.000303329 0.000241347 0.0137583 0.011275 -1 -1 -1 -1 30 3687 25 6.55708e+06 337540 526063. 1820.29 3.61 0.134831 0.112911 21886 126133 -1 2995 16 1276 3871 177285 41783 7.82002 7.82002 -167.42 -7.82002 0 0 666494. 2306.21 0.33 0.06 0.12 -1 -1 0.33 0.0204084 0.0184057 204 204 -1 -1 -1 -1 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.26 vpr 64.39 MiB 0.03 7204 -1 -1 1 0.03 -1 -1 34524 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65940 32 32 438 350 1 194 100 17 17 289 -1 unnamed_device 25.5 MiB 0.15 929 17268 4565 10218 2485 64.4 MiB 0.13 0.00 4.24756 -141.398 -4.24756 4.24756 0.86 0.000259933 0.000202609 0.021183 0.0166716 -1 -1 -1 -1 32 2502 21 6.64007e+06 452088 554710. 1919.41 1.03 0.0640493 0.0524575 22834 132086 -1 2003 21 1599 2725 153325 37317 3.62623 3.62623 -137.156 -3.62623 0 0 701300. 2426.64 0.30 0.06 0.12 -1 -1 0.30 0.016883 0.0147965 153 96 32 32 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.60 vpr 64.21 MiB 0.02 7192 -1 -1 1 0.06 -1 -1 34252 -1 -1 23 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65748 30 32 409 330 1 186 85 17 17 289 -1 unnamed_device 25.2 MiB 0.21 873 12919 4129 6395 2395 64.2 MiB 0.11 0.00 4.45516 -130.844 -4.45516 4.45516 0.89 0.000227213 0.000178692 0.0205306 0.016632 -1 -1 -1 -1 32 2188 21 6.64007e+06 288834 554710. 1919.41 0.97 0.0618261 0.0513815 22834 132086 -1 1899 23 1583 2754 164100 38700 3.84263 3.84263 -133.584 -3.84263 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0166886 0.0145581 142 91 30 30 89 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.12 vpr 64.32 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 34196 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65864 32 32 387 309 1 186 99 17 17 289 -1 unnamed_device 25.4 MiB 0.15 1003 9675 2005 7104 566 64.3 MiB 0.08 0.00 3.83457 -129.818 -3.83457 3.83457 0.88 0.000215331 0.000169711 0.011041 0.00889378 -1 -1 -1 -1 30 2327 20 6.64007e+06 439530 526063. 1820.29 0.88 0.0475795 0.0394977 22546 126617 -1 2038 20 1231 2024 112746 25536 3.42623 3.42623 -130.48 -3.42623 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0162917 0.0142713 142 65 54 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 3.97 vpr 64.33 MiB 0.03 7204 -1 -1 1 0.04 -1 -1 34172 -1 -1 24 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65876 29 32 343 267 1 184 85 17 17 289 -1 unnamed_device 25.5 MiB 0.13 990 11245 3461 6773 1011 64.3 MiB 0.10 0.00 4.46418 -132.416 -4.46418 4.46418 0.85 0.000215376 0.000172449 0.0156027 0.0127183 -1 -1 -1 -1 26 2361 21 6.64007e+06 301392 477104. 1650.88 0.87 0.0530226 0.0443146 21682 110474 -1 1898 19 1382 2391 133144 31525 3.75363 3.75363 -131.002 -3.75363 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.01433 0.0126017 138 34 87 29 29 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.16 vpr 64.22 MiB 0.02 7096 -1 -1 1 0.04 -1 -1 33828 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65764 32 32 376 288 1 195 86 17 17 289 -1 unnamed_device 25.3 MiB 0.15 849 15017 4515 8552 1950 64.2 MiB 0.13 0.00 4.14936 -139.21 -4.14936 4.14936 0.85 0.000243855 0.00019718 0.0213406 0.0171585 -1 -1 -1 -1 32 2158 19 6.64007e+06 276276 554710. 1919.41 0.93 0.0598378 0.0492011 22834 132086 -1 1883 23 1627 2940 175616 44084 3.50723 3.50723 -131.536 -3.50723 0 0 701300. 2426.64 0.29 0.06 0.11 -1 -1 0.29 0.0170532 0.0148643 153 34 96 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.38 vpr 64.30 MiB 0.03 7184 -1 -1 1 0.05 -1 -1 34016 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65844 32 32 402 316 1 199 103 17 17 289 -1 unnamed_device 25.5 MiB 0.14 1024 19142 5775 10357 3010 64.3 MiB 0.16 0.00 3.5603 -122.248 -3.5603 3.5603 0.86 0.000236865 0.000187545 0.0231688 0.0186781 -1 -1 -1 -1 32 2275 21 6.64007e+06 489762 554710. 1919.41 0.99 0.0675318 0.0562993 22834 132086 -1 1914 18 1191 1922 112493 27492 2.85617 2.85617 -114.664 -2.85617 0 0 701300. 2426.64 0.31 0.04 0.12 -1 -1 0.31 0.0143411 0.0125645 156 64 63 32 63 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.21 vpr 63.77 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34368 -1 -1 20 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65300 27 32 269 226 1 135 79 17 17 289 -1 unnamed_device 24.8 MiB 0.12 536 12754 3285 8720 749 63.8 MiB 0.08 0.00 3.7877 -97.0533 -3.7877 3.7877 0.84 0.000161805 0.000127881 0.0142715 0.0115701 -1 -1 -1 -1 32 1263 20 6.64007e+06 251160 554710. 1919.41 0.99 0.0469307 0.0394295 22834 132086 -1 1040 20 890 1548 89627 21823 2.79977 2.79977 -89.8593 -2.79977 0 0 701300. 2426.64 0.30 0.04 0.12 -1 -1 0.30 0.0122122 0.0107845 97 34 54 27 27 27 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.34 vpr 64.30 MiB 0.02 7228 -1 -1 1 0.04 -1 -1 33624 -1 -1 34 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65848 31 32 317 242 1 185 97 17 17 289 -1 unnamed_device 25.4 MiB 0.14 948 16081 4441 8879 2761 64.3 MiB 0.12 0.00 3.49449 -109.504 -3.49449 3.49449 0.90 0.000233602 0.000187103 0.018421 0.0150374 -1 -1 -1 -1 28 2250 21 6.64007e+06 426972 500653. 1732.36 0.96 0.0538166 0.0450278 21970 115934 -1 1978 20 1191 1997 126595 29386 2.65357 2.65357 -104.975 -2.65357 0 0 612192. 2118.31 0.25 0.05 0.10 -1 -1 0.25 0.0139128 0.0120919 140 4 115 31 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 3.91 vpr 63.79 MiB 0.02 7108 -1 -1 1 0.03 -1 -1 34008 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65316 31 32 338 292 1 147 80 17 17 289 -1 unnamed_device 24.9 MiB 0.18 706 7820 1805 5417 598 63.8 MiB 0.06 0.00 3.31336 -101.862 -3.31336 3.31336 0.89 0.000198541 0.000157041 0.0107985 0.00876509 -1 -1 -1 -1 28 1834 19 6.64007e+06 213486 500653. 1732.36 0.83 0.0450752 0.0372564 21970 115934 -1 1580 18 727 1210 75427 17896 2.78397 2.78397 -100.796 -2.78397 0 0 612192. 2118.31 0.26 0.04 0.10 -1 -1 0.26 0.0121727 0.0105631 106 85 0 0 84 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 4.32 vpr 63.89 MiB 0.02 6932 -1 -1 1 0.05 -1 -1 33880 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65424 32 32 314 256 1 162 81 17 17 289 -1 unnamed_device 25.1 MiB 0.17 890 10756 2975 5928 1853 63.9 MiB 0.08 0.00 3.5061 -124.869 -3.5061 3.5061 0.87 0.000184786 0.000146273 0.014126 0.0115031 -1 -1 -1 -1 32 1891 22 6.64007e+06 213486 554710. 1919.41 0.92 0.0496154 0.0415313 22834 132086 -1 1778 22 1293 2043 128760 29112 2.85677 2.85677 -120.971 -2.85677 0 0 701300. 2426.64 0.31 0.05 0.12 -1 -1 0.31 0.0136456 0.0119649 121 34 64 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.29 vpr 63.91 MiB 0.02 7088 -1 -1 1 0.04 -1 -1 33784 -1 -1 18 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65448 30 32 325 273 1 151 80 17 17 289 -1 unnamed_device 25.0 MiB 0.18 822 14012 5060 7295 1657 63.9 MiB 0.10 0.00 3.4841 -115.834 -3.4841 3.4841 0.95 0.000216729 0.000174733 0.0187339 0.015216 -1 -1 -1 -1 28 1790 20 6.64007e+06 226044 500653. 1732.36 0.83 0.050825 0.0423282 21970 115934 -1 1551 18 1049 1513 95909 21865 2.76697 2.76697 -110.142 -2.76697 0 0 612192. 2118.31 0.26 0.04 0.10 -1 -1 0.26 0.0127133 0.0111761 110 63 30 30 60 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.21 vpr 64.08 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 34124 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65616 32 32 331 280 1 156 93 17 17 289 -1 unnamed_device 25.1 MiB 0.14 840 9753 2297 6936 520 64.1 MiB 0.07 0.00 3.52209 -114.564 -3.52209 3.52209 0.88 0.000189512 0.000149184 0.0109417 0.00887498 -1 -1 -1 -1 30 1983 23 6.64007e+06 364182 526063. 1820.29 0.88 0.0453337 0.0376979 22546 126617 -1 1684 20 963 1598 88084 20474 2.86577 2.86577 -112.046 -2.86577 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.0130201 0.0113871 114 65 25 25 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 4.60 vpr 64.28 MiB 0.04 7240 -1 -1 1 0.04 -1 -1 33864 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65820 32 32 386 305 1 188 98 17 17 289 -1 unnamed_device 25.3 MiB 0.21 893 19448 6133 10048 3267 64.3 MiB 0.16 0.00 3.56129 -122.026 -3.56129 3.56129 0.97 0.000249057 0.000200043 0.0238154 0.0191416 -1 -1 -1 -1 32 2126 22 6.64007e+06 426972 554710. 1919.41 1.00 0.0679577 0.0566976 22834 132086 -1 1768 20 1395 2386 143294 33441 2.87597 2.87597 -115.245 -2.87597 0 0 701300. 2426.64 0.30 0.05 0.14 -1 -1 0.30 0.0152489 0.0134 145 58 64 32 57 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.22 vpr 64.31 MiB 0.02 7232 -1 -1 1 0.04 -1 -1 34120 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65856 32 32 407 319 1 200 100 17 17 289 -1 unnamed_device 25.5 MiB 0.21 891 11700 2919 7112 1669 64.3 MiB 0.08 0.00 4.29776 -143.645 -4.29776 4.29776 0.85 0.000239384 0.000192022 0.0137638 0.0110697 -1 -1 -1 -1 30 2503 26 6.64007e+06 452088 526063. 1820.29 1.05 0.0578345 0.0478993 22546 126617 -1 1950 21 1586 2514 140522 33275 3.65443 3.65443 -141.805 -3.65443 0 0 666494. 2306.21 0.27 0.05 0.11 -1 -1 0.27 0.0152806 0.0133045 158 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 3.96 vpr 63.75 MiB 0.02 6980 -1 -1 1 0.04 -1 -1 34268 -1 -1 19 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65276 29 32 272 228 1 145 80 17 17 289 -1 unnamed_device 24.8 MiB 0.15 800 8852 2481 5509 862 63.7 MiB 0.06 0.00 3.4261 -103.793 -3.4261 3.4261 0.87 0.00016639 0.000131978 0.0103095 0.00840218 -1 -1 -1 -1 32 1628 17 6.64007e+06 238602 554710. 1919.41 0.87 0.0375356 0.0313759 22834 132086 -1 1425 19 787 1405 74846 17979 2.42237 2.42237 -94.0611 -2.42237 0 0 701300. 2426.64 0.29 0.03 0.12 -1 -1 0.29 0.0102343 0.00897435 108 29 58 29 24 24 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 4.41 vpr 64.25 MiB 0.03 7184 -1 -1 1 0.04 -1 -1 33896 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65796 32 32 401 315 1 192 86 17 17 289 -1 unnamed_device 25.5 MiB 0.24 1073 13316 3773 7644 1899 64.3 MiB 0.12 0.00 3.5141 -124.76 -3.5141 3.5141 0.87 0.000236516 0.000189097 0.020343 0.01663 -1 -1 -1 -1 32 2082 18 6.64007e+06 276276 554710. 1919.41 0.94 0.0606782 0.0507563 22834 132086 -1 1958 23 1569 2671 163833 36569 2.92017 2.92017 -118.943 -2.92017 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0164535 0.0142155 147 63 64 32 62 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 7.79 vpr 64.20 MiB 0.02 7200 -1 -1 1 0.03 -1 -1 33996 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65740 32 32 383 303 1 185 100 17 17 289 -1 unnamed_device 25.2 MiB 0.20 964 16340 5208 8057 3075 64.2 MiB 0.09 0.00 3.6263 -123.14 -3.6263 3.6263 0.86 0.000223374 0.000176388 0.0177017 0.0141741 -1 -1 -1 -1 32 2360 34 6.64007e+06 452088 554710. 1919.41 4.53 0.129746 0.107066 22834 132086 -1 1859 21 1419 2163 148923 35930 2.94397 2.94397 -118.909 -2.94397 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0145272 0.0126139 144 57 64 32 56 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 3.95 vpr 64.02 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 34144 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65560 32 32 339 284 1 162 95 17 17 289 -1 unnamed_device 25.1 MiB 0.17 919 13487 3473 7992 2022 64.0 MiB 0.09 0.00 2.83964 -105.375 -2.83964 2.83964 0.86 0.000192737 0.00015202 0.0144539 0.0116531 -1 -1 -1 -1 26 2102 19 6.64007e+06 389298 477104. 1650.88 0.81 0.0475843 0.0394471 21682 110474 -1 1857 20 1152 1834 119069 26548 2.21651 2.21651 -99.4103 -2.21651 0 0 585099. 2024.56 0.25 0.04 0.10 -1 -1 0.25 0.0131988 0.0114349 119 65 29 29 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 3.84 vpr 63.43 MiB 0.02 6872 -1 -1 1 0.03 -1 -1 33988 -1 -1 15 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64952 30 32 226 208 1 119 77 17 17 289 -1 unnamed_device 24.9 MiB 0.08 670 10835 3352 6011 1472 63.4 MiB 0.06 0.00 2.72344 -89.4054 -2.72344 2.72344 0.86 0.000142178 0.000112385 0.0105452 0.00841796 -1 -1 -1 -1 32 1398 19 6.64007e+06 188370 554710. 1919.41 0.84 0.0341816 0.0282176 22834 132086 -1 1282 18 507 809 56366 13085 1.81371 1.81371 -80.478 -1.81371 0 0 701300. 2426.64 0.30 0.03 0.12 -1 -1 0.30 0.00915317 0.00796408 85 34 24 24 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.36 vpr 64.03 MiB 0.02 7092 -1 -1 1 0.04 -1 -1 33912 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65568 31 32 335 280 1 154 81 17 17 289 -1 unnamed_device 25.1 MiB 0.16 858 12506 4894 6227 1385 64.0 MiB 0.08 0.00 4.22795 -126.106 -4.22795 4.22795 0.91 0.000199539 0.000158427 0.016439 0.0133389 -1 -1 -1 -1 32 1872 15 6.64007e+06 226044 554710. 1919.41 0.90 0.0494479 0.0412942 22834 132086 -1 1637 23 715 1092 69637 16518 3.51162 3.51162 -122.799 -3.51162 0 0 701300. 2426.64 0.30 0.04 0.13 -1 -1 0.30 0.0150158 0.013155 114 64 31 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 4.58 vpr 64.38 MiB 0.03 7216 -1 -1 1 0.04 -1 -1 33924 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65924 32 32 366 283 1 192 100 17 17 289 -1 unnamed_device 25.5 MiB 0.13 885 17732 4998 9545 3189 64.4 MiB 0.13 0.00 4.22193 -138.344 -4.22193 4.22193 0.96 0.000213407 0.000169745 0.0190645 0.0154147 -1 -1 -1 -1 32 2233 23 6.64007e+06 452088 554710. 1919.41 1.02 0.0593993 0.0493202 22834 132086 -1 1968 20 1479 2228 140291 33293 3.88103 3.88103 -138.413 -3.88103 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0177857 0.0158579 147 34 91 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.24 vpr 64.50 MiB 0.02 7392 -1 -1 1 0.04 -1 -1 34212 -1 -1 38 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66044 32 32 460 375 1 196 102 17 17 289 -1 unnamed_device 25.6 MiB 0.23 960 11288 2842 7087 1359 64.5 MiB 0.10 0.00 3.74425 -123.858 -3.74425 3.74425 0.87 0.000274592 0.000220173 0.0142074 0.0114241 -1 -1 -1 -1 30 2600 21 6.64007e+06 477204 526063. 1820.29 0.97 0.0564841 0.0468288 22546 126617 -1 2046 23 1395 2198 125630 29103 3.47943 3.47943 -125.707 -3.47943 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0175497 0.0152192 150 124 0 0 125 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 3.78 vpr 62.93 MiB 0.02 6952 -1 -1 1 0.03 -1 -1 34220 -1 -1 17 26 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64440 26 32 198 186 1 109 75 17 17 289 -1 unnamed_device 24.4 MiB 0.11 395 10503 3120 6151 1232 62.9 MiB 0.05 0.00 2.74064 -71.156 -2.74064 2.74064 0.87 0.000128912 0.000101205 0.0100454 0.00801519 -1 -1 -1 -1 28 1144 31 6.64007e+06 213486 500653. 1732.36 0.84 0.0349059 0.028748 21970 115934 -1 976 16 565 861 51604 13410 1.97531 1.97531 -68.1975 -1.97531 0 0 612192. 2118.31 0.25 0.03 0.10 -1 -1 0.25 0.0074863 0.00661256 77 30 26 26 22 22 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 4.16 vpr 64.15 MiB 0.02 7064 -1 -1 1 0.04 -1 -1 33484 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65692 32 32 333 251 1 187 86 17 17 289 -1 unnamed_device 25.3 MiB 0.14 992 12749 4476 6094 2179 64.2 MiB 0.10 0.00 4.46433 -140.075 -4.46433 4.46433 0.88 0.00020226 0.000160474 0.0158941 0.0129073 -1 -1 -1 -1 32 2268 23 6.64007e+06 276276 554710. 1919.41 0.94 0.0520245 0.0434201 22834 132086 -1 1965 19 1342 2402 132157 32318 3.78683 3.78683 -136.12 -3.78683 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0163061 0.0145665 138 3 122 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 4.00 vpr 63.14 MiB 0.02 6876 -1 -1 1 0.04 -1 -1 33952 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64660 32 32 199 182 1 122 77 17 17 289 -1 unnamed_device 24.5 MiB 0.07 603 10020 2349 7350 321 63.1 MiB 0.05 0.00 2.3583 -84.1764 -2.3583 2.3583 0.86 0.000131519 0.000103306 0.00954172 0.00769964 -1 -1 -1 -1 28 1501 13 6.64007e+06 163254 500653. 1732.36 0.92 0.0308525 0.0257815 21970 115934 -1 1304 19 631 833 70376 17674 2.04931 2.04931 -86.2334 -2.04931 0 0 612192. 2118.31 0.28 0.03 0.13 -1 -1 0.28 0.00863275 0.00757045 81 3 53 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.36 vpr 64.50 MiB 0.03 7076 -1 -1 1 0.04 -1 -1 34180 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66048 32 32 376 288 1 194 99 17 17 289 -1 unnamed_device 25.4 MiB 0.11 956 14691 4376 8904 1411 64.5 MiB 0.11 0.00 4.18856 -140.856 -4.18856 4.18856 0.87 0.000214277 0.000168514 0.0164732 0.0131539 -1 -1 -1 -1 32 2374 20 6.64007e+06 439530 554710. 1919.41 0.99 0.0570988 0.0477004 22834 132086 -1 2007 22 1606 2528 142742 34241 3.66543 3.66543 -137.36 -3.66543 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0163222 0.0143776 153 34 96 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 5.05 vpr 64.33 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 34052 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65872 32 32 337 253 1 196 101 17 17 289 -1 unnamed_device 25.5 MiB 0.12 1019 8796 1857 6524 415 64.3 MiB 0.08 0.00 3.60659 -123.354 -3.60659 3.60659 0.93 0.000231261 0.000188698 0.00992566 0.00816845 -1 -1 -1 -1 26 2993 28 6.64007e+06 464646 477104. 1650.88 1.63 0.0631832 0.0542198 21682 110474 -1 2335 18 1436 2378 175403 44105 3.20157 3.20157 -127.093 -3.20157 0 0 585099. 2024.56 0.25 0.06 0.11 -1 -1 0.25 0.0145647 0.0129215 152 3 124 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 4.27 vpr 64.54 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 34200 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66088 32 32 407 319 1 197 101 17 17 289 -1 unnamed_device 25.7 MiB 0.11 1069 18901 5689 10576 2636 64.5 MiB 0.14 0.00 4.16036 -141.868 -4.16036 4.16036 0.89 0.000251582 0.000201176 0.0216092 0.0173575 -1 -1 -1 -1 32 2548 21 6.64007e+06 464646 554710. 1919.41 0.99 0.0613534 0.0506809 22834 132086 -1 2129 21 1646 2691 141977 34781 3.66043 3.66043 -137.544 -3.66043 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0155065 0.0135 155 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 3.94 vpr 63.82 MiB 0.02 7028 -1 -1 1 0.03 -1 -1 33900 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65348 32 32 294 246 1 149 80 17 17 289 -1 unnamed_device 25.0 MiB 0.12 857 10572 2555 6423 1594 63.8 MiB 0.07 0.00 3.07196 -107.422 -3.07196 3.07196 0.87 0.000183554 0.00014496 0.0140156 0.0113617 -1 -1 -1 -1 32 1757 18 6.64007e+06 200928 554710. 1919.41 0.88 0.0443754 0.036848 22834 132086 -1 1665 19 796 1246 81562 19040 2.71797 2.71797 -108.439 -2.71797 0 0 701300. 2426.64 0.29 0.04 0.11 -1 -1 0.29 0.0120249 0.0104809 107 34 54 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.21 vpr 63.93 MiB 0.03 6908 -1 -1 1 0.04 -1 -1 34020 -1 -1 19 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65464 30 32 296 244 1 154 81 17 17 289 -1 unnamed_device 25.1 MiB 0.11 824 11806 3275 6761 1770 63.9 MiB 0.08 0.00 3.4951 -114.009 -3.4951 3.4951 0.85 0.000176092 0.000138903 0.0140123 0.0113742 -1 -1 -1 -1 32 1730 21 6.64007e+06 238602 554710. 1919.41 0.91 0.0468535 0.0392437 22834 132086 -1 1616 23 1128 1775 119175 26629 2.83897 2.83897 -109.351 -2.83897 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.014034 0.0122878 115 34 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.26 vpr 63.83 MiB 0.02 6948 -1 -1 1 0.04 -1 -1 33964 -1 -1 20 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65360 28 32 278 232 1 150 80 17 17 289 -1 unnamed_device 25.0 MiB 0.12 658 7132 1686 4570 876 63.8 MiB 0.06 0.00 3.4309 -100.483 -3.4309 3.4309 0.94 0.00017869 0.000143471 0.009914 0.00819883 -1 -1 -1 -1 32 1685 20 6.64007e+06 251160 554710. 1919.41 0.92 0.0399228 0.033651 22834 132086 -1 1475 19 960 1599 81347 20779 2.77357 2.77357 -100.425 -2.77357 0 0 701300. 2426.64 0.29 0.04 0.13 -1 -1 0.29 0.0114576 0.0101375 107 34 56 28 28 28 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.33 vpr 63.77 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 33836 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65304 32 32 283 225 1 166 82 17 17 289 -1 unnamed_device 24.9 MiB 0.12 802 15390 5648 7380 2362 63.8 MiB 0.10 0.00 3.5251 -121.985 -3.5251 3.5251 0.89 0.000175822 0.000139061 0.0173769 0.0140137 -1 -1 -1 -1 32 1891 19 6.64007e+06 226044 554710. 1919.41 0.91 0.0484583 0.0403158 22834 132086 -1 1756 20 1298 2123 132969 31154 3.03597 3.03597 -116.087 -3.03597 0 0 701300. 2426.64 0.31 0.05 0.12 -1 -1 0.31 0.0121069 0.0106948 125 3 96 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 3.89 vpr 64.16 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 33964 -1 -1 31 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65704 31 32 303 249 1 162 94 17 17 289 -1 unnamed_device 25.2 MiB 0.10 772 9679 2262 6618 799 64.2 MiB 0.08 0.00 3.47387 -114.287 -3.47387 3.47387 0.88 0.00018292 0.000145298 0.0100881 0.00818797 -1 -1 -1 -1 28 2154 23 6.64007e+06 389298 500653. 1732.36 0.85 0.0414495 0.0344651 21970 115934 -1 1814 21 1290 2116 130616 31908 2.90597 2.90597 -113.24 -2.90597 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0125532 0.0109516 119 34 61 31 31 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 3.96 vpr 63.86 MiB 0.02 7124 -1 -1 1 0.03 -1 -1 34096 -1 -1 31 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65388 29 32 312 264 1 154 92 17 17 289 -1 unnamed_device 25.0 MiB 0.17 877 15824 4460 9332 2032 63.9 MiB 0.10 0.00 2.80466 -91.9047 -2.80466 2.80466 0.88 0.000179594 0.000141171 0.0157251 0.0125205 -1 -1 -1 -1 26 2085 22 6.64007e+06 389298 477104. 1650.88 0.86 0.047004 0.0387385 21682 110474 -1 1803 21 1117 1812 124382 28366 2.23771 2.23771 -91.2216 -2.23771 0 0 585099. 2024.56 0.26 0.04 0.10 -1 -1 0.26 0.0119306 0.0103724 110 61 29 29 57 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 4.94 vpr 64.26 MiB 0.03 7256 -1 -1 1 0.04 -1 -1 34244 -1 -1 41 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 32 32 423 310 1 229 105 17 17 289 -1 unnamed_device 25.8 MiB 0.21 1234 17889 5288 10109 2492 64.3 MiB 0.18 0.00 4.16036 -142.499 -4.16036 4.16036 0.87 0.000287294 0.000230447 0.0226246 0.0182209 -1 -1 -1 -1 28 3489 28 6.64007e+06 514878 500653. 1732.36 1.44 0.0943156 0.0808251 21970 115934 -1 2691 19 1782 3070 228492 48363 3.77982 3.77982 -142.437 -3.77982 0 0 612192. 2118.31 0.26 0.07 0.11 -1 -1 0.26 0.0168856 0.0148312 181 29 128 32 27 27 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.12 vpr 64.26 MiB 0.02 7212 -1 -1 1 0.03 -1 -1 33956 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65800 32 32 403 317 1 198 101 17 17 289 -1 unnamed_device 25.5 MiB 0.19 1001 12556 2992 8501 1063 64.3 MiB 0.10 0.00 3.5823 -124.897 -3.5823 3.5823 0.89 0.000244822 0.000192063 0.0158924 0.0128736 -1 -1 -1 -1 26 2436 22 6.64007e+06 464646 477104. 1650.88 0.90 0.0604371 0.0506451 21682 110474 -1 2084 22 1860 2884 168245 39492 3.26977 3.26977 -124.845 -3.26977 0 0 585099. 2024.56 0.25 0.06 0.10 -1 -1 0.25 0.0161862 0.0140993 154 65 62 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 4.29 vpr 63.88 MiB 0.02 7180 -1 -1 1 0.03 -1 -1 34212 -1 -1 29 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65416 31 32 353 302 1 156 92 17 17 289 -1 unnamed_device 25.0 MiB 0.23 849 6716 1490 4831 395 63.9 MiB 0.06 0.00 3.4309 -114.527 -3.4309 3.4309 0.87 0.0001951 0.000153153 0.00827963 0.00673983 -1 -1 -1 -1 26 2154 24 6.64007e+06 364182 477104. 1650.88 1.09 0.054709 0.0464538 21682 110474 -1 1833 22 1208 2029 124963 28900 2.87597 2.87597 -112.016 -2.87597 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0132546 0.0114545 114 90 0 0 89 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.09 vpr 64.32 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 33820 -1 -1 24 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65864 31 32 391 309 1 194 87 17 17 289 -1 unnamed_device 25.4 MiB 0.20 1058 10839 2803 6606 1430 64.3 MiB 0.09 0.00 3.4841 -118.469 -3.4841 3.4841 0.86 0.000221674 0.000175555 0.0145427 0.0117407 -1 -1 -1 -1 28 2547 22 6.64007e+06 301392 500653. 1732.36 0.90 0.0534732 0.0443312 21970 115934 -1 2220 21 1654 2736 175719 41104 3.11837 3.11837 -120.431 -3.11837 0 0 612192. 2118.31 0.27 0.06 0.10 -1 -1 0.27 0.01537 0.0133577 149 64 60 30 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.71 vpr 64.38 MiB 0.03 7248 -1 -1 1 0.05 -1 -1 34308 -1 -1 23 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65924 31 32 455 371 1 193 86 17 17 289 -1 unnamed_device 25.5 MiB 0.40 953 7835 1760 5704 371 64.4 MiB 0.08 0.00 5.23812 -147.937 -5.23812 5.23812 0.97 0.00023748 0.000187408 0.0141787 0.0115014 -1 -1 -1 -1 32 2338 21 6.64007e+06 288834 554710. 1919.41 0.97 0.0614344 0.0516524 22834 132086 -1 2016 16 1078 1825 120781 27853 4.12088 4.12088 -141.247 -4.12088 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0185417 0.0164541 150 124 0 0 124 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.51 vpr 64.25 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 33844 -1 -1 23 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65792 31 32 413 333 1 188 86 17 17 289 -1 unnamed_device 25.5 MiB 0.30 955 10859 3044 7047 768 64.2 MiB 0.10 0.00 5.02279 -136.574 -5.02279 5.02279 0.96 0.000233267 0.000183068 0.0163306 0.0132375 -1 -1 -1 -1 30 2194 18 6.64007e+06 288834 526063. 1820.29 0.94 0.0586651 0.048943 22546 126617 -1 1811 17 953 1502 84216 19390 3.80628 3.80628 -130.763 -3.80628 0 0 666494. 2306.21 0.28 0.04 0.12 -1 -1 0.28 0.0164305 0.0146057 144 90 31 31 89 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.20 vpr 64.51 MiB 0.03 7128 -1 -1 1 0.04 -1 -1 34036 -1 -1 35 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66056 31 32 391 309 1 193 98 17 17 289 -1 unnamed_device 25.5 MiB 0.17 1024 15398 4164 9880 1354 64.5 MiB 0.12 0.00 3.5401 -119.706 -3.5401 3.5401 0.87 0.000236706 0.000179083 0.0187382 0.0147923 -1 -1 -1 -1 26 2781 25 6.64007e+06 439530 477104. 1650.88 0.99 0.0647029 0.0535635 21682 110474 -1 2130 22 1689 3053 184511 42853 2.80297 2.80297 -114.997 -2.80297 0 0 585099. 2024.56 0.26 0.06 0.10 -1 -1 0.26 0.0165913 0.0144054 148 64 60 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 4.28 vpr 64.34 MiB 0.03 7160 -1 -1 1 0.04 -1 -1 34628 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65880 32 32 407 319 1 198 101 17 17 289 -1 unnamed_device 25.6 MiB 0.15 865 10206 2264 6941 1001 64.3 MiB 0.09 0.00 4.23656 -140.329 -4.23656 4.23656 0.87 0.000236922 0.000187097 0.0124004 0.00999782 -1 -1 -1 -1 32 2446 25 6.64007e+06 464646 554710. 1919.41 1.00 0.0549103 0.0458283 22834 132086 -1 1951 21 1581 2455 139913 34155 3.64523 3.64523 -141.39 -3.64523 0 0 701300. 2426.64 0.30 0.06 0.12 -1 -1 0.30 0.0177487 0.0155958 156 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 4.30 vpr 64.53 MiB 0.03 7348 -1 -1 1 0.04 -1 -1 34348 -1 -1 42 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66076 32 32 496 380 1 232 106 17 17 289 -1 unnamed_device 25.8 MiB 0.21 1205 17356 4219 10649 2488 64.5 MiB 0.14 0.00 4.21478 -145.938 -4.21478 4.21478 0.87 0.000278079 0.00022091 0.0221063 0.0177771 -1 -1 -1 -1 32 2757 18 6.64007e+06 527436 554710. 1919.41 0.93 0.0663592 0.0548385 22834 132086 -1 2368 20 1722 2693 165769 37051 3.52623 3.52623 -139.535 -3.52623 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0190456 0.0165568 186 96 62 32 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.12 vpr 63.99 MiB 0.02 7080 -1 -1 1 0.03 -1 -1 34048 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65528 31 32 305 250 1 158 81 17 17 289 -1 unnamed_device 25.2 MiB 0.14 655 13731 5030 6417 2284 64.0 MiB 0.09 0.00 3.7665 -117.146 -3.7665 3.7665 0.92 0.000186017 0.00014681 0.0168689 0.0135111 -1 -1 -1 -1 32 1675 22 6.64007e+06 226044 554710. 1919.41 0.92 0.0490117 0.0404927 22834 132086 -1 1426 19 1054 1688 97004 24503 2.74857 2.74857 -108.046 -2.74857 0 0 701300. 2426.64 0.30 0.04 0.12 -1 -1 0.30 0.0123819 0.0108236 116 34 62 31 31 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 4.38 vpr 64.52 MiB 0.02 7180 -1 -1 1 0.04 -1 -1 34092 -1 -1 38 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66068 31 32 395 311 1 196 101 17 17 289 -1 unnamed_device 25.7 MiB 0.15 910 7386 1527 5477 382 64.5 MiB 0.08 0.00 4.20356 -136.322 -4.20356 4.20356 0.87 0.000234729 0.000186116 0.010774 0.00892467 -1 -1 -1 -1 32 2419 20 6.64007e+06 477204 554710. 1919.41 0.97 0.0498861 0.0419104 22834 132086 -1 1918 21 1393 2203 121364 29505 3.62623 3.62623 -133.697 -3.62623 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0161588 0.0141339 152 64 62 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 4.17 vpr 64.44 MiB 0.03 7080 -1 -1 1 0.03 -1 -1 34072 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65988 32 32 397 313 1 196 98 17 17 289 -1 unnamed_device 25.6 MiB 0.17 994 14048 3500 8468 2080 64.4 MiB 0.12 0.00 3.7163 -119.726 -3.7163 3.7163 0.85 0.000247105 0.000196727 0.0176267 0.0142339 -1 -1 -1 -1 32 2244 20 6.64007e+06 426972 554710. 1919.41 0.94 0.0571042 0.0473558 22834 132086 -1 1981 20 1440 2516 132401 32249 2.69476 2.69476 -108.894 -2.69476 0 0 701300. 2426.64 0.29 0.05 0.11 -1 -1 0.29 0.0164968 0.0142983 149 63 62 32 62 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.42 vpr 64.56 MiB 0.02 6892 -1 -1 1 0.04 -1 -1 33868 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66108 32 32 345 257 1 194 86 17 17 289 -1 unnamed_device 25.6 MiB 0.13 1080 15017 4624 8554 1839 64.6 MiB 0.13 0.00 4.14936 -144.892 -4.14936 4.14936 0.86 0.000218166 0.000173645 0.0210827 0.0171691 -1 -1 -1 -1 32 2456 20 6.64007e+06 276276 554710. 1919.41 0.97 0.0593956 0.0497498 22834 132086 -1 2218 22 1675 2996 190105 42442 3.50723 3.50723 -139.173 -3.50723 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0165588 0.0146299 151 3 128 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 4.58 vpr 64.39 MiB 0.04 7204 -1 -1 1 0.04 -1 -1 35112 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65932 32 32 424 343 1 190 99 17 17 289 -1 unnamed_device 25.6 MiB 0.24 1044 15603 4218 9336 2049 64.4 MiB 0.13 0.00 3.55822 -125.535 -3.55822 3.55822 0.92 0.000237363 0.000187203 0.0206762 0.0168129 -1 -1 -1 -1 32 2279 18 6.64007e+06 439530 554710. 1919.41 0.91 0.0584069 0.048383 22834 132086 -1 2007 18 1212 1817 107674 25436 2.74557 2.74557 -115.101 -2.74557 0 0 701300. 2426.64 0.33 0.05 0.12 -1 -1 0.33 0.016919 0.0147944 146 96 25 25 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 6.10 vpr 64.52 MiB 0.02 7192 -1 -1 1 0.03 -1 -1 33908 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66068 32 32 395 311 1 194 101 17 17 289 -1 unnamed_device 25.4 MiB 0.20 1017 12791 3286 8285 1220 64.5 MiB 0.11 0.00 3.47912 -120.914 -3.47912 3.47912 0.94 0.000264341 0.000214788 0.0161327 0.0130516 -1 -1 -1 -1 24 2900 34 6.64007e+06 464646 448715. 1552.65 2.60 0.0876829 0.0733215 21394 104001 -1 2079 20 1135 2074 123650 30088 3.10537 3.10537 -121.977 -3.10537 0 0 554710. 1919.41 0.25 0.05 0.09 -1 -1 0.25 0.0168043 0.0146825 148 61 64 32 60 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.49 vpr 64.32 MiB 0.03 7192 -1 -1 1 0.06 -1 -1 33932 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65860 32 32 405 318 1 200 103 17 17 289 -1 unnamed_device 25.5 MiB 0.18 1102 19142 5372 11310 2460 64.3 MiB 0.13 0.00 3.5243 -123.608 -3.5243 3.5243 0.87 0.000225337 0.000177519 0.0205457 0.0164433 -1 -1 -1 -1 32 2252 20 6.64007e+06 489762 554710. 1919.41 0.93 0.0604887 0.0498849 22834 132086 -1 2002 18 1458 2281 124068 28286 2.64137 2.64137 -113.426 -2.64137 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0187895 0.0167658 157 65 63 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.31 vpr 64.18 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 34184 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65720 32 32 376 288 1 194 101 17 17 289 -1 unnamed_device 25.2 MiB 0.12 1032 14906 4320 9186 1400 64.2 MiB 0.13 0.00 4.18856 -144.112 -4.18856 4.18856 0.91 0.000229918 0.000182949 0.0170876 0.0136752 -1 -1 -1 -1 30 2350 21 6.64007e+06 464646 526063. 1820.29 0.95 0.0590914 0.0491947 22546 126617 -1 1948 23 1626 2580 137099 31450 3.46723 3.46723 -136.683 -3.46723 0 0 666494. 2306.21 0.30 0.06 0.11 -1 -1 0.30 0.0185049 0.0163578 152 34 96 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 4.25 vpr 64.36 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 34120 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65904 32 32 407 319 1 197 103 17 17 289 -1 unnamed_device 25.6 MiB 0.16 1016 12153 3010 8355 788 64.4 MiB 0.10 0.00 4.23153 -146.068 -4.23153 4.23153 0.87 0.000236105 0.000186767 0.0145297 0.0116297 -1 -1 -1 -1 26 2715 27 6.64007e+06 489762 477104. 1650.88 1.07 0.0581034 0.0480773 21682 110474 -1 2215 21 1727 2816 198145 44037 3.75563 3.75563 -146.462 -3.75563 0 0 585099. 2024.56 0.26 0.06 0.10 -1 -1 0.26 0.0149467 0.0129695 155 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 4.69 vpr 64.82 MiB 0.04 7448 -1 -1 1 0.05 -1 -1 34296 -1 -1 36 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66380 31 32 449 367 1 193 99 17 17 289 -1 unnamed_device 25.9 MiB 0.24 1147 12639 3382 8238 1019 64.8 MiB 0.11 0.00 4.39296 -135.032 -4.39296 4.39296 0.96 0.0002378 0.000185031 0.016031 0.0128926 -1 -1 -1 -1 28 3055 26 6.64007e+06 452088 500653. 1732.36 1.12 0.0710358 0.0595902 21970 115934 -1 2476 19 1406 2484 165517 38177 3.64943 3.64943 -137.226 -3.64943 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0169647 0.0148386 147 122 0 0 122 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 4.50 vpr 64.52 MiB 0.03 7232 -1 -1 1 0.04 -1 -1 34268 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66064 32 32 432 346 1 195 86 17 17 289 -1 unnamed_device 25.7 MiB 0.21 1069 15584 4992 8664 1928 64.5 MiB 0.12 0.00 4.34993 -137.194 -4.34993 4.34993 0.93 0.000232995 0.00018377 0.0220618 0.0177837 -1 -1 -1 -1 32 2483 21 6.64007e+06 276276 554710. 1919.41 0.94 0.0634712 0.0525736 22834 132086 -1 2204 21 1597 2967 171986 40364 3.60343 3.60343 -135.549 -3.60343 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0163713 0.0141703 151 94 32 32 94 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 3.90 vpr 64.12 MiB 0.02 6952 -1 -1 1 0.03 -1 -1 33968 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65660 32 32 312 255 1 166 95 17 17 289 -1 unnamed_device 25.1 MiB 0.12 928 8735 1852 5986 897 64.1 MiB 0.06 0.00 3.50687 -122.364 -3.50687 3.50687 0.85 0.000201805 0.000161795 0.00962113 0.00787879 -1 -1 -1 -1 28 2268 21 6.64007e+06 389298 500653. 1732.36 0.88 0.0413011 0.0342616 21970 115934 -1 1966 19 1238 1976 126734 29630 3.00117 3.00117 -120.372 -3.00117 0 0 612192. 2118.31 0.27 0.04 0.10 -1 -1 0.27 0.0113774 0.00996614 125 34 63 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.25 vpr 64.13 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 33876 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65672 32 32 370 314 1 164 82 17 17 289 -1 unnamed_device 25.4 MiB 0.20 885 10406 2864 6861 681 64.1 MiB 0.09 0.00 3.5031 -121.505 -3.5031 3.5031 0.92 0.000205124 0.000161668 0.0156831 0.012803 -1 -1 -1 -1 26 2176 22 6.64007e+06 226044 477104. 1650.88 0.87 0.0551054 0.0459777 21682 110474 -1 1889 21 1261 2024 140942 31764 3.17337 3.17337 -121.891 -3.17337 0 0 585099. 2024.56 0.26 0.05 0.11 -1 -1 0.26 0.0151839 0.0133115 121 94 0 0 94 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.31 vpr 63.74 MiB 0.03 7260 -1 -1 1 0.04 -1 -1 34180 -1 -1 42 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65272 32 32 469 351 1 233 106 17 17 289 -1 unnamed_device 25.0 MiB 0.14 1352 17606 4821 10688 2097 63.7 MiB 0.17 0.00 4.98622 -168.741 -4.98622 4.98622 0.87 0.000268892 0.000213368 0.021758 0.0175393 -1 -1 -1 -1 32 3069 21 6.64007e+06 527436 554710. 1919.41 1.02 0.0662945 0.0550217 22834 132086 -1 2682 25 2299 3864 225754 52387 4.87789 4.87789 -173.346 -4.87789 0 0 701300. 2426.64 0.29 0.07 0.12 -1 -1 0.29 0.0200294 0.0173563 189 65 96 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.08 vpr 63.32 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 33796 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64840 32 32 368 284 1 194 97 17 17 289 -1 unnamed_device 24.4 MiB 0.16 1055 17857 5354 10411 2092 63.3 MiB 0.14 0.00 3.51607 -123.396 -3.51607 3.51607 0.88 0.000221199 0.000172581 0.0202998 0.0160269 -1 -1 -1 -1 30 2214 17 6.64007e+06 414414 526063. 1820.29 0.88 0.0590754 0.0486163 22546 126617 -1 1952 19 1238 1872 93959 22767 2.93717 2.93717 -120.668 -2.93717 0 0 666494. 2306.21 0.29 0.04 0.11 -1 -1 0.29 0.0137791 0.0121028 148 34 92 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.31 vpr 63.14 MiB 0.02 6920 -1 -1 1 0.05 -1 -1 33776 -1 -1 31 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64652 30 32 296 244 1 158 93 17 17 289 -1 unnamed_device 24.3 MiB 0.11 854 17523 5448 9891 2184 63.1 MiB 0.11 0.00 3.4529 -114.919 -3.4529 3.4529 0.94 0.00018714 0.000148558 0.0179237 0.0144683 -1 -1 -1 -1 30 1877 21 6.64007e+06 389298 526063. 1820.29 0.91 0.0501534 0.0417452 22546 126617 -1 1638 19 882 1371 83618 18199 2.61677 2.61677 -105.127 -2.61677 0 0 666494. 2306.21 0.30 0.04 0.12 -1 -1 0.30 0.0120535 0.0105881 116 34 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 4.77 vpr 64.12 MiB 0.04 7616 -1 -1 1 0.05 -1 -1 34656 -1 -1 45 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65664 32 32 531 413 1 232 109 17 17 289 -1 unnamed_device 25.5 MiB 0.33 1192 13629 3357 8864 1408 64.1 MiB 0.12 0.00 4.97469 -167.233 -4.97469 4.97469 0.93 0.000322643 0.000260263 0.018259 0.0147475 -1 -1 -1 -1 32 2677 21 6.64007e+06 565110 554710. 1919.41 1.01 0.0742995 0.0620425 22834 132086 -1 2378 20 1867 2959 182545 40993 4.55829 4.55829 -166.895 -4.55829 0 0 701300. 2426.64 0.29 0.07 0.12 -1 -1 0.29 0.0232445 0.0205477 188 127 32 32 128 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.09 vpr 64.38 MiB 0.02 7004 -1 -1 1 0.05 -1 -1 33968 -1 -1 38 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65924 32 32 376 288 1 194 102 17 17 289 -1 unnamed_device 25.3 MiB 0.13 1027 16762 4357 10483 1922 64.4 MiB 0.12 0.00 4.27488 -146.847 -4.27488 4.27488 0.86 0.000231769 0.000184248 0.0180869 0.0143812 -1 -1 -1 -1 28 2732 21 6.64007e+06 477204 500653. 1732.36 0.91 0.0577514 0.0476383 21970 115934 -1 2262 19 1618 2382 151152 37456 3.85383 3.85383 -149.152 -3.85383 0 0 612192. 2118.31 0.26 0.05 0.10 -1 -1 0.26 0.015425 0.0135776 153 34 96 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 4.05 vpr 64.03 MiB 0.02 6916 -1 -1 1 0.03 -1 -1 34024 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65564 32 32 283 225 1 164 96 17 17 289 -1 unnamed_device 25.1 MiB 0.08 882 11046 2802 6952 1292 64.0 MiB 0.09 0.00 3.5621 -124.172 -3.5621 3.5621 0.96 0.000189392 0.000150366 0.0118212 0.00960726 -1 -1 -1 -1 30 1789 19 6.64007e+06 401856 526063. 1820.29 0.87 0.0428872 0.0358449 22546 126617 -1 1527 18 784 1304 70997 17006 2.46797 2.46797 -107.154 -2.46797 0 0 666494. 2306.21 0.28 0.03 0.11 -1 -1 0.28 0.0111268 0.00982292 124 3 96 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 4.39 vpr 64.39 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 34344 -1 -1 43 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65940 32 32 438 320 1 235 107 17 17 289 -1 unnamed_device 25.8 MiB 0.16 1334 20347 5362 13158 1827 64.4 MiB 0.17 0.00 4.95502 -168.119 -4.95502 4.95502 0.88 0.000260299 0.000208384 0.0236534 0.0191094 -1 -1 -1 -1 30 3282 23 6.64007e+06 539994 526063. 1820.29 1.07 0.0712297 0.0593049 22546 126617 -1 2570 22 2061 3452 211185 45460 4.62329 4.62329 -171.941 -4.62329 0 0 666494. 2306.21 0.27 0.07 0.11 -1 -1 0.27 0.0180782 0.0158252 190 34 128 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.07 vpr 63.68 MiB 0.02 6848 -1 -1 1 0.03 -1 -1 33872 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65212 32 32 283 225 1 162 81 17 17 289 -1 unnamed_device 24.9 MiB 0.11 623 13731 4925 6406 2400 63.7 MiB 0.09 0.00 3.5061 -118.666 -3.5061 3.5061 0.85 0.000186015 0.000147957 0.0159127 0.0128275 -1 -1 -1 -1 32 1971 24 6.64007e+06 213486 554710. 1919.41 0.94 0.0493014 0.0409629 22834 132086 -1 1480 24 1366 2172 125687 32312 3.17817 3.17817 -118.014 -3.17817 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0134802 0.0117294 121 3 96 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 4.21 vpr 63.87 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 33748 -1 -1 32 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65400 30 32 296 244 1 157 94 17 17 289 -1 unnamed_device 25.0 MiB 0.15 846 13087 3844 8172 1071 63.9 MiB 0.09 0.00 3.50322 -113.721 -3.50322 3.50322 0.88 0.000189643 0.000151862 0.013855 0.0112512 -1 -1 -1 -1 28 1910 20 6.64007e+06 401856 500653. 1732.36 0.88 0.0468662 0.0392732 21970 115934 -1 1625 19 901 1600 89445 21481 2.68757 2.68757 -105.677 -2.68757 0 0 612192. 2118.31 0.26 0.06 0.10 -1 -1 0.26 0.0164335 0.0145756 114 34 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 4.37 vpr 64.17 MiB 0.04 7372 -1 -1 1 0.04 -1 -1 33680 -1 -1 34 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 29 32 393 319 1 182 95 17 17 289 -1 unnamed_device 25.2 MiB 0.24 1003 12839 3224 8329 1286 64.2 MiB 0.10 0.00 3.6803 -109.03 -3.6803 3.6803 0.88 0.000224998 0.000179002 0.0160257 0.0130448 -1 -1 -1 -1 26 2481 18 6.64007e+06 426972 477104. 1650.88 0.87 0.0539759 0.0450894 21682 110474 -1 1994 20 1368 2397 149614 34975 3.01617 3.01617 -109.022 -3.01617 0 0 585099. 2024.56 0.25 0.06 0.10 -1 -1 0.25 0.0168301 0.0148771 134 88 29 29 85 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.50 vpr 64.37 MiB 0.03 6988 -1 -1 1 0.04 -1 -1 34140 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65912 32 32 407 319 1 194 86 17 17 289 -1 unnamed_device 25.6 MiB 0.17 937 11048 2797 7564 687 64.4 MiB 0.09 0.00 4.21976 -143.232 -4.21976 4.21976 0.87 0.000224116 0.000176908 0.0162198 0.0132135 -1 -1 -1 -1 32 2064 24 6.64007e+06 276276 554710. 1919.41 1.01 0.0601819 0.0504569 22834 132086 -1 1768 20 1585 2461 150379 34846 3.63243 3.63243 -140.901 -3.63243 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.018671 0.0163887 152 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.35 vpr 64.34 MiB 0.02 7140 -1 -1 1 0.04 -1 -1 34284 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65884 32 32 407 319 1 195 100 17 17 289 -1 unnamed_device 25.6 MiB 0.26 1070 15876 4480 9346 2050 64.3 MiB 0.14 0.00 4.25856 -146.098 -4.25856 4.25856 0.86 0.000234164 0.000186199 0.0192536 0.0154407 -1 -1 -1 -1 32 2599 21 6.64007e+06 452088 554710. 1919.41 0.99 0.0600367 0.0497525 22834 132086 -1 2322 21 1600 2740 173936 39501 3.61523 3.61523 -141.653 -3.61523 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.015469 0.0135641 154 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.36 vpr 64.02 MiB 0.02 6916 -1 -1 1 0.04 -1 -1 34200 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65552 32 32 345 287 1 161 96 17 17 289 -1 unnamed_device 25.0 MiB 0.15 863 8856 1892 6516 448 64.0 MiB 0.07 0.00 3.4749 -121.747 -3.4749 3.4749 0.97 0.00019694 0.000155006 0.0103759 0.00839123 -1 -1 -1 -1 32 1940 22 6.64007e+06 401856 554710. 1919.41 0.95 0.0458526 0.0383256 22834 132086 -1 1745 21 1206 1801 118597 27707 2.80957 2.80957 -118.728 -2.80957 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0136014 0.011899 122 65 32 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.09 vpr 63.97 MiB 0.02 7112 -1 -1 1 0.04 -1 -1 34236 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65508 31 32 353 302 1 152 80 17 17 289 -1 unnamed_device 25.1 MiB 0.21 821 8336 2395 4162 1779 64.0 MiB 0.06 0.00 3.72326 -116.749 -3.72326 3.72326 0.86 0.000192318 0.00015132 0.0110068 0.00888356 -1 -1 -1 -1 32 1824 20 6.64007e+06 213486 554710. 1919.41 0.89 0.0438646 0.0363244 22834 132086 -1 1612 18 957 1711 90139 22392 2.80577 2.80577 -106.974 -2.80577 0 0 701300. 2426.64 0.29 0.04 0.12 -1 -1 0.29 0.0116108 0.0101655 109 90 0 0 89 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 4.32 vpr 64.14 MiB 0.03 7088 -1 -1 1 0.05 -1 -1 33788 -1 -1 35 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65676 30 32 374 297 1 186 97 17 17 289 -1 unnamed_device 25.2 MiB 0.18 919 13195 3488 8459 1248 64.1 MiB 0.11 0.00 3.5511 -113.034 -3.5511 3.5511 0.86 0.000222325 0.000175882 0.0161759 0.0130496 -1 -1 -1 -1 26 2226 23 6.64007e+06 439530 477104. 1650.88 0.85 0.0567336 0.0472873 21682 110474 -1 1904 18 1093 1908 108055 26573 3.03897 3.03897 -113.295 -3.03897 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0163004 0.0144592 139 60 60 30 57 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.13 vpr 64.31 MiB 0.02 7048 -1 -1 1 0.03 -1 -1 34224 -1 -1 32 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65856 28 32 332 260 1 180 92 17 17 289 -1 unnamed_device 25.5 MiB 0.12 939 14996 4215 8524 2257 64.3 MiB 0.12 0.00 4.39198 -124.88 -4.39198 4.39198 0.88 0.000259392 0.000197166 0.0177125 0.0141569 -1 -1 -1 -1 26 2366 21 6.64007e+06 401856 477104. 1650.88 1.00 0.0545501 0.0452299 21682 110474 -1 1945 18 1136 1905 129674 28556 3.60142 3.60142 -122.128 -3.60142 0 0 585099. 2024.56 0.26 0.05 0.10 -1 -1 0.26 0.0138906 0.0122304 134 34 84 28 28 28 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.10 vpr 64.04 MiB 0.02 6924 -1 -1 1 0.03 -1 -1 33720 -1 -1 19 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65572 30 32 325 273 1 157 81 17 17 289 -1 unnamed_device 25.3 MiB 0.17 812 12506 4081 6359 2066 64.0 MiB 0.09 0.00 3.5343 -115.469 -3.5343 3.5343 0.87 0.000192273 0.000151488 0.0162237 0.0130458 -1 -1 -1 -1 32 1937 21 6.64007e+06 238602 554710. 1919.41 0.91 0.0503033 0.0412744 22834 132086 -1 1726 23 1277 2198 156154 33320 2.60657 2.60657 -105.956 -2.60657 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0144274 0.0124512 115 63 30 30 60 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.42 vpr 64.15 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 33980 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65692 32 32 361 308 1 158 81 17 17 289 -1 unnamed_device 25.1 MiB 0.21 892 11281 2807 6986 1488 64.2 MiB 0.09 0.00 3.6865 -117.315 -3.6865 3.6865 1.00 0.000231686 0.000166095 0.0162594 0.0131115 -1 -1 -1 -1 30 1814 21 6.64007e+06 213486 526063. 1820.29 0.85 0.0531827 0.0438512 22546 126617 -1 1687 17 815 1359 86402 19223 2.67457 2.67457 -106.696 -2.67457 0 0 666494. 2306.21 0.28 0.04 0.11 -1 -1 0.28 0.0130339 0.0114756 114 91 0 0 91 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.41 vpr 64.18 MiB 0.03 7076 -1 -1 1 0.05 -1 -1 34084 -1 -1 37 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65720 31 32 335 251 1 196 100 17 17 289 -1 unnamed_device 25.3 MiB 0.11 1121 19124 6194 10224 2706 64.2 MiB 0.15 0.00 4.18856 -139.706 -4.18856 4.18856 0.88 0.000214268 0.00017099 0.0207053 0.0166982 -1 -1 -1 -1 32 2403 20 6.64007e+06 464646 554710. 1919.41 0.98 0.0593101 0.0495879 22834 132086 -1 2150 18 1442 2433 147746 33172 3.78482 3.78482 -137.835 -3.78482 0 0 701300. 2426.64 0.32 0.05 0.12 -1 -1 0.32 0.0138186 0.0122646 152 4 124 31 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.36 vpr 64.36 MiB 0.02 7060 -1 -1 1 0.04 -1 -1 34368 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65904 32 32 407 319 1 197 100 17 17 289 -1 unnamed_device 25.6 MiB 0.20 1037 18660 5257 10625 2778 64.4 MiB 0.15 0.00 4.17032 -143.358 -4.17032 4.17032 0.91 0.00029491 0.00022015 0.0225101 0.0181099 -1 -1 -1 -1 32 2397 19 6.64007e+06 452088 554710. 1919.41 1.02 0.0655858 0.0543825 22834 132086 -1 2132 21 1659 2930 178184 40209 3.47723 3.47723 -135.648 -3.47723 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0167113 0.0146237 155 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.52 vpr 64.65 MiB 0.02 7080 -1 -1 1 0.04 -1 -1 33900 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66204 32 32 407 319 1 194 100 17 17 289 -1 unnamed_device 25.8 MiB 0.20 1085 15876 4268 10377 1231 64.7 MiB 0.12 0.00 4.15553 -144.194 -4.15553 4.15553 0.91 0.000240247 0.000191609 0.0187673 0.0150693 -1 -1 -1 -1 32 2615 21 6.64007e+06 452088 554710. 1919.41 0.99 0.0614132 0.0510024 22834 132086 -1 2178 22 1570 2540 145027 33425 3.62623 3.62623 -139.984 -3.62623 0 0 701300. 2426.64 0.30 0.07 0.12 -1 -1 0.30 0.0193922 0.0171044 153 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 4.79 vpr 64.35 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 33948 -1 -1 38 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65896 32 32 399 315 1 196 102 17 17 289 -1 unnamed_device 25.5 MiB 0.20 958 7956 1551 5183 1222 64.4 MiB 0.06 0.00 4.17056 -134.333 -4.17056 4.17056 0.91 0.000227732 0.000179441 0.0103805 0.00847939 -1 -1 -1 -1 28 3255 26 6.64007e+06 477204 500653. 1732.36 1.52 0.0582608 0.0488359 21970 115934 -1 2421 18 1473 2405 166313 43423 3.91283 3.91283 -143.762 -3.91283 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0147235 0.012955 149 65 60 30 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.02 vpr 64.05 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 34040 -1 -1 19 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65588 30 32 296 244 1 156 81 17 17 289 -1 unnamed_device 25.2 MiB 0.15 840 12856 4254 6466 2136 64.1 MiB 0.08 0.00 3.4921 -115.538 -3.4921 3.4921 0.85 0.000177735 0.000140207 0.0148918 0.0119814 -1 -1 -1 -1 32 1934 20 6.64007e+06 238602 554710. 1919.41 0.89 0.0448034 0.037139 22834 132086 -1 1742 21 1067 1755 114510 25571 2.86097 2.86097 -113.552 -2.86097 0 0 701300. 2426.64 0.29 0.04 0.12 -1 -1 0.29 0.012042 0.0105224 113 34 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.42 vpr 64.37 MiB 0.02 7184 -1 -1 1 0.04 -1 -1 34140 -1 -1 24 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65916 30 32 383 303 1 191 86 17 17 289 -1 unnamed_device 25.4 MiB 0.23 996 13127 3599 7422 2106 64.4 MiB 0.10 0.00 4.20393 -135.69 -4.20393 4.20393 0.88 0.00027076 0.000224256 0.0185437 0.0151405 -1 -1 -1 -1 26 2442 22 6.64007e+06 301392 477104. 1650.88 1.03 0.0631652 0.0531875 21682 110474 -1 2103 20 1623 2452 154154 35629 3.91003 3.91003 -142.145 -3.91003 0 0 585099. 2024.56 0.25 0.06 0.10 -1 -1 0.25 0.0164675 0.0144506 146 63 60 30 60 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 4.63 vpr 64.98 MiB 0.02 7308 -1 -1 1 0.04 -1 -1 34508 -1 -1 41 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66544 32 32 469 381 1 198 105 17 17 289 -1 unnamed_device 25.8 MiB 0.24 1061 10232 2187 7405 640 65.0 MiB 0.10 0.00 4.16036 -143.59 -4.16036 4.16036 0.93 0.000331941 0.00027393 0.0146909 0.0120867 -1 -1 -1 -1 26 3028 29 6.64007e+06 514878 477104. 1650.88 1.16 0.0688574 0.0579107 21682 110474 -1 2417 22 1822 3013 193726 44007 3.88563 3.88563 -151.062 -3.88563 0 0 585099. 2024.56 0.25 0.07 0.10 -1 -1 0.25 0.0186923 0.016134 156 127 0 0 128 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.13 vpr 64.30 MiB 0.02 7316 -1 -1 1 0.04 -1 -1 34420 -1 -1 33 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65848 31 32 425 341 1 189 96 17 17 289 -1 unnamed_device 25.6 MiB 0.14 924 14769 3776 9247 1746 64.3 MiB 0.12 0.00 4.18868 -135.93 -4.18868 4.18868 0.85 0.000244569 0.000194683 0.0192404 0.0155446 -1 -1 -1 -1 32 2310 19 6.64007e+06 414414 554710. 1919.41 0.93 0.0599186 0.0496362 22834 132086 -1 1909 20 1310 2189 117944 28698 3.68663 3.68663 -134.444 -3.68663 0 0 701300. 2426.64 0.31 0.05 0.12 -1 -1 0.31 0.015225 0.0132637 148 94 31 31 93 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 4.24 vpr 64.32 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 33872 -1 -1 32 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65860 30 32 404 328 1 182 94 17 17 289 -1 unnamed_device 25.6 MiB 0.18 981 15217 4561 7983 2673 64.3 MiB 0.11 0.00 3.6613 -113.514 -3.6613 3.6613 0.88 0.000226532 0.000178468 0.0183776 0.0147226 -1 -1 -1 -1 32 2091 18 6.64007e+06 401856 554710. 1919.41 0.93 0.0597869 0.049415 22834 132086 -1 1791 20 1074 1761 94302 22269 2.87797 2.87797 -109.572 -2.87797 0 0 701300. 2426.64 0.31 0.04 0.12 -1 -1 0.31 0.0146751 0.0128001 138 92 26 26 90 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.32 vpr 64.11 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 33964 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65648 32 32 407 319 1 198 86 17 17 289 -1 unnamed_device 25.3 MiB 0.32 1125 9725 2385 6614 726 64.1 MiB 0.09 0.00 4.21673 -144.443 -4.21673 4.21673 0.86 0.000227194 0.000179855 0.013718 0.0110989 -1 -1 -1 -1 30 2755 23 6.64007e+06 276276 526063. 1820.29 0.99 0.0545957 0.0453588 22546 126617 -1 2426 18 1756 2988 165500 39165 3.63843 3.63843 -145.722 -3.63843 0 0 666494. 2306.21 0.28 0.05 0.11 -1 -1 0.28 0.0146708 0.0129422 155 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.40 vpr 64.34 MiB 0.02 7216 -1 -1 1 0.04 -1 -1 34012 -1 -1 36 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65884 29 32 387 316 1 179 97 17 17 289 -1 unnamed_device 25.4 MiB 0.18 964 18079 5198 10699 2182 64.3 MiB 0.12 0.00 3.5353 -109.347 -3.5353 3.5353 0.89 0.000211216 0.000166725 0.0198423 0.0157529 -1 -1 -1 -1 32 2001 18 6.64007e+06 452088 554710. 1919.41 0.95 0.0622356 0.0517002 22834 132086 -1 1793 18 1207 1991 113093 26929 2.78997 2.78997 -103.83 -2.78997 0 0 701300. 2426.64 0.33 0.05 0.12 -1 -1 0.33 0.0154254 0.013583 136 88 26 26 85 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.20 vpr 63.82 MiB 0.02 6864 -1 -1 1 0.04 -1 -1 33836 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65356 32 32 283 225 1 156 81 17 17 289 -1 unnamed_device 25.0 MiB 0.10 799 9356 2113 6168 1075 63.8 MiB 0.07 0.00 3.4921 -122.483 -3.4921 3.4921 0.89 0.000177534 0.000140445 0.0116538 0.00955447 -1 -1 -1 -1 32 1829 18 6.64007e+06 213486 554710. 1919.41 0.90 0.0422085 0.0355861 22834 132086 -1 1719 19 1097 1726 113875 26031 2.77657 2.77657 -117.584 -2.77657 0 0 701300. 2426.64 0.31 0.05 0.13 -1 -1 0.31 0.0138212 0.0123438 115 3 96 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 4.34 vpr 64.38 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 33752 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65920 32 32 407 319 1 194 99 17 17 289 -1 unnamed_device 25.6 MiB 0.29 992 16743 5741 8435 2567 64.4 MiB 0.13 0.00 4.25856 -144.485 -4.25856 4.25856 0.88 0.000238178 0.000187781 0.0203483 0.0163239 -1 -1 -1 -1 28 2713 24 6.64007e+06 439530 500653. 1732.36 0.97 0.0637637 0.0527494 21970 115934 -1 2167 22 1661 2731 178638 41444 3.84763 3.84763 -145.268 -3.84763 0 0 612192. 2118.31 0.26 0.06 0.10 -1 -1 0.26 0.0166111 0.0144753 152 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.48 vpr 64.31 MiB 0.02 7180 -1 -1 1 0.04 -1 -1 34016 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65852 32 32 407 319 1 201 87 17 17 289 -1 unnamed_device 25.5 MiB 0.23 1054 17367 5167 10302 1898 64.3 MiB 0.14 0.00 4.21976 -145.962 -4.21976 4.21976 0.89 0.000249179 0.000198727 0.0241911 0.0195948 -1 -1 -1 -1 32 2231 22 6.64007e+06 288834 554710. 1919.41 0.97 0.0680152 0.0566267 22834 132086 -1 2043 20 1590 2588 163886 39062 3.78383 3.78383 -147.702 -3.78383 0 0 701300. 2426.64 0.32 0.06 0.12 -1 -1 0.32 0.0176871 0.0155561 158 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.23 vpr 64.23 MiB 0.02 7016 -1 -1 1 0.03 -1 -1 33876 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65768 32 32 315 267 1 158 94 17 17 289 -1 unnamed_device 25.4 MiB 0.17 691 7975 1531 6145 299 64.2 MiB 0.06 0.00 3.6913 -111.241 -3.6913 3.6913 0.87 0.000210756 0.000171302 0.0086359 0.00697742 -1 -1 -1 -1 26 2061 22 6.64007e+06 376740 477104. 1650.88 1.17 0.0510627 0.04311 21682 110474 -1 1804 20 988 1512 104440 25813 2.82777 2.82777 -109.632 -2.82777 0 0 585099. 2024.56 0.24 0.04 0.10 -1 -1 0.24 0.0115712 0.0100804 112 55 32 32 54 27 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 4.27 vpr 63.71 MiB 0.02 7160 -1 -1 1 0.05 -1 -1 34152 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65236 31 32 275 220 1 160 81 17 17 289 -1 unnamed_device 25.0 MiB 0.11 653 13381 4684 6039 2658 63.7 MiB 0.09 0.00 3.5533 -116.629 -3.5533 3.5533 0.86 0.000172257 0.000136062 0.0153824 0.012466 -1 -1 -1 -1 32 1750 21 6.64007e+06 226044 554710. 1919.41 0.92 0.0489869 0.0411245 22834 132086 -1 1476 19 1204 1906 113123 28242 3.20137 3.20137 -114.741 -3.20137 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0139591 0.0124381 118 4 93 31 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 4.23 vpr 64.32 MiB 0.02 7008 -1 -1 1 0.04 -1 -1 33892 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65868 32 32 381 303 1 188 97 17 17 289 -1 unnamed_device 25.3 MiB 0.20 927 16303 4785 8793 2725 64.3 MiB 0.12 0.00 4.16476 -135.871 -4.16476 4.16476 0.86 0.000214425 0.000169547 0.0186242 0.0149077 -1 -1 -1 -1 32 2140 22 6.64007e+06 414414 554710. 1919.41 0.94 0.0578515 0.0477727 22834 132086 -1 1889 20 1256 1910 116840 27528 3.41802 3.41802 -125.912 -3.41802 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0157401 0.0137388 139 59 60 32 58 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 4.32 vpr 64.27 MiB 0.02 7348 -1 -1 1 0.04 -1 -1 34292 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65816 32 32 406 330 1 190 96 17 17 289 -1 unnamed_device 25.3 MiB 0.14 1051 17397 5163 9750 2484 64.3 MiB 0.13 0.00 4.41596 -136.112 -4.41596 4.41596 0.86 0.000231919 0.000184321 0.0204928 0.016475 -1 -1 -1 -1 26 2810 21 6.64007e+06 401856 477104. 1650.88 1.18 0.0640676 0.0533102 21682 110474 -1 2235 21 1299 2191 157955 34760 3.91883 3.91883 -135.985 -3.91883 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0148661 0.0129345 136 88 28 28 88 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 4.52 vpr 63.97 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 34004 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65504 32 32 399 285 1 228 101 17 17 289 -1 unnamed_device 25.4 MiB 0.12 1159 10441 2545 7247 649 64.0 MiB 0.10 0.00 4.95022 -163.094 -4.95022 4.95022 0.95 0.000238937 0.000190625 0.0140771 0.0116276 -1 -1 -1 -1 32 3024 24 6.64007e+06 464646 554710. 1919.41 1.03 0.0587592 0.0495233 22834 132086 -1 2550 21 1946 3237 217198 49782 4.60829 4.60829 -165.814 -4.60829 0 0 701300. 2426.64 0.29 0.07 0.13 -1 -1 0.29 0.0175796 0.0154733 179 3 156 32 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.17 vpr 64.06 MiB 0.02 7116 -1 -1 1 0.03 -1 -1 33872 -1 -1 34 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65596 30 32 371 295 1 184 96 17 17 289 -1 unnamed_device 25.1 MiB 0.17 809 10170 2335 6303 1532 64.1 MiB 0.06 0.00 3.8005 -110.812 -3.8005 3.8005 0.86 0.000211319 0.000167158 0.0118884 0.0095831 -1 -1 -1 -1 32 2143 22 6.64007e+06 426972 554710. 1919.41 0.95 0.0510521 0.042662 22834 132086 -1 1634 19 1303 2105 121705 30432 2.94317 2.94317 -108.414 -2.94317 0 0 701300. 2426.64 0.32 0.04 0.12 -1 -1 0.32 0.0143888 0.0126424 138 59 60 30 56 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.15 vpr 63.90 MiB 0.02 7004 -1 -1 1 0.03 -1 -1 34416 -1 -1 21 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65432 27 32 269 226 1 143 80 17 17 289 -1 unnamed_device 24.9 MiB 0.09 529 12292 5081 5761 1450 63.9 MiB 0.07 0.00 3.54427 -98.353 -3.54427 3.54427 0.87 0.000169159 0.000133488 0.0140685 0.0113645 -1 -1 -1 -1 32 1446 26 6.64007e+06 263718 554710. 1919.41 0.98 0.0456466 0.0379906 22834 132086 -1 1143 22 982 1487 99047 23800 2.79977 2.79977 -92.9425 -2.79977 0 0 701300. 2426.64 0.29 0.04 0.13 -1 -1 0.29 0.0107466 0.00934659 107 34 54 27 27 27 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 4.61 vpr 64.55 MiB 0.03 7288 -1 -1 1 0.04 -1 -1 34132 -1 -1 42 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66104 32 32 493 378 1 232 106 17 17 289 -1 unnamed_device 25.9 MiB 0.19 1462 20856 5895 12562 2399 64.6 MiB 0.20 0.00 4.52196 -148.077 -4.52196 4.52196 0.87 0.000286277 0.00021701 0.0266278 0.0213372 -1 -1 -1 -1 30 3434 23 6.64007e+06 527436 526063. 1820.29 1.16 0.0895068 0.0755703 22546 126617 -1 2882 20 1953 3609 227728 48875 3.83863 3.83863 -145.246 -3.83863 0 0 666494. 2306.21 0.30 0.07 0.12 -1 -1 0.30 0.0186118 0.0162783 186 95 62 31 95 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.22 vpr 64.32 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34140 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65860 31 32 455 371 1 188 85 17 17 289 -1 unnamed_device 25.5 MiB 0.30 983 10501 2625 7195 681 64.3 MiB 0.09 0.00 4.43796 -139.728 -4.43796 4.43796 0.85 0.00023457 0.000184715 0.0160309 0.012969 -1 -1 -1 -1 32 2330 19 6.64007e+06 276276 554710. 1919.41 0.95 0.0563085 0.0465816 22834 132086 -1 2080 19 1285 2105 131522 30636 3.86303 3.86303 -142.801 -3.86303 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.015414 0.0134999 145 124 0 0 124 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 4.05 vpr 64.25 MiB 0.02 7148 -1 -1 1 0.03 -1 -1 33796 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65792 32 32 355 304 1 150 80 17 17 289 -1 unnamed_device 25.3 MiB 0.23 851 9540 2516 6274 750 64.2 MiB 0.07 0.00 3.72946 -115.235 -3.72946 3.72946 0.85 0.000199491 0.000156194 0.0128658 0.0103524 -1 -1 -1 -1 32 1856 20 6.64007e+06 200928 554710. 1919.41 0.87 0.0476618 0.0394235 22834 132086 -1 1679 16 644 994 63164 14955 2.68397 2.68397 -105.845 -2.68397 0 0 701300. 2426.64 0.30 0.03 0.12 -1 -1 0.30 0.0125449 0.0111185 109 89 0 0 89 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.45 vpr 64.20 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34168 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65736 32 32 364 282 1 196 97 17 17 289 -1 unnamed_device 25.2 MiB 0.12 1023 18745 6322 9498 2925 64.2 MiB 0.14 0.00 4.46396 -139.111 -4.46396 4.46396 0.89 0.000209619 0.000165715 0.0215712 0.0173186 -1 -1 -1 -1 28 2964 23 6.64007e+06 414414 500653. 1732.36 1.09 0.0650414 0.0541968 21970 115934 -1 2275 21 1444 2227 169602 37184 3.84102 3.84102 -135.67 -3.84102 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0156578 0.0137902 147 34 90 30 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.31 vpr 64.48 MiB 0.04 7380 -1 -1 1 0.04 -1 -1 34448 -1 -1 38 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66032 31 32 443 336 1 220 101 17 17 289 -1 unnamed_device 25.9 MiB 0.19 1135 20076 5790 11566 2720 64.5 MiB 0.15 0.00 4.51716 -144.659 -4.51716 4.51716 0.86 0.000245612 0.000193049 0.0242368 0.0193645 -1 -1 -1 -1 32 2551 21 6.64007e+06 477204 554710. 1919.41 0.95 0.0679181 0.0560487 22834 132086 -1 2236 18 1548 2456 140504 33387 3.59562 3.59562 -139.594 -3.59562 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0170489 0.0150186 173 64 87 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 4.53 vpr 64.42 MiB 0.02 7228 -1 -1 1 0.04 -1 -1 33744 -1 -1 34 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65968 30 32 373 297 1 186 96 17 17 289 -1 unnamed_device 25.4 MiB 0.18 923 11484 2608 8162 714 64.4 MiB 0.10 0.00 3.73061 -110.59 -3.73061 3.73061 0.88 0.000231305 0.00018633 0.0145757 0.0119053 -1 -1 -1 -1 26 2828 26 6.64007e+06 426972 477104. 1650.88 1.23 0.0583959 0.0487281 21682 110474 -1 2132 23 1645 2941 193856 51763 3.24137 3.24137 -113.013 -3.24137 0 0 585099. 2024.56 0.26 0.06 0.10 -1 -1 0.26 0.0156967 0.0135613 135 61 58 30 58 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 7.90 vpr 64.64 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34248 -1 -1 43 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66188 32 32 407 319 1 201 107 17 17 289 -1 unnamed_device 25.6 MiB 0.18 1008 13516 3637 9135 744 64.6 MiB 0.11 0.00 4.19956 -142.899 -4.19956 4.19956 0.89 0.000227666 0.00017962 0.0153254 0.012387 -1 -1 -1 -1 26 3198 46 6.64007e+06 539994 477104. 1650.88 4.40 0.130313 0.109247 21682 110474 -1 2350 24 2056 3441 234066 66801 3.94803 3.94803 -153.959 -3.94803 0 0 585099. 2024.56 0.27 0.08 0.11 -1 -1 0.27 0.0188204 0.0164132 158 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 4.47 vpr 64.48 MiB 0.03 7024 -1 -1 1 0.04 -1 -1 34036 -1 -1 40 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66024 32 32 405 318 1 200 104 17 17 289 -1 unnamed_device 25.6 MiB 0.18 988 17184 5218 8807 3159 64.5 MiB 0.13 0.00 3.62559 -123.648 -3.62559 3.62559 0.85 0.000262181 0.000212009 0.0196698 0.0158139 -1 -1 -1 -1 28 2903 40 6.64007e+06 502320 500653. 1732.36 1.21 0.0678937 0.0560273 21970 115934 -1 2226 21 1439 2284 161332 37219 2.89997 2.89997 -117.754 -2.89997 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0155528 0.0135179 157 65 63 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 4.29 vpr 63.91 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 34184 -1 -1 18 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 29 32 287 238 1 134 79 17 17 289 -1 unnamed_device 25.1 MiB 0.10 627 13430 5541 5355 2534 63.9 MiB 0.08 0.00 3.6785 -106.673 -3.6785 3.6785 0.88 0.000178679 0.000140091 0.0163139 0.0130466 -1 -1 -1 -1 32 1417 18 6.64007e+06 226044 554710. 1919.41 0.94 0.0466379 0.0387415 22834 132086 -1 1241 19 745 1124 65511 15515 2.65657 2.65657 -98.9797 -2.65657 0 0 701300. 2426.64 0.32 0.04 0.13 -1 -1 0.32 0.0125119 0.0111006 95 34 58 29 29 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.02 vpr 63.81 MiB 0.02 7072 -1 -1 1 0.03 -1 -1 33916 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65344 32 32 334 290 1 154 81 17 17 289 -1 unnamed_device 25.0 MiB 0.19 811 6206 1352 4542 312 63.8 MiB 0.05 0.00 4.00083 -111.764 -4.00083 4.00083 0.86 0.000192444 0.000151392 0.00827682 0.00668931 -1 -1 -1 -1 32 1778 20 6.64007e+06 213486 554710. 1919.41 0.88 0.0402113 0.0332945 22834 132086 -1 1689 19 781 1167 81919 18448 2.93697 2.93697 -106.988 -2.93697 0 0 701300. 2426.64 0.29 0.04 0.12 -1 -1 0.29 0.011588 0.0100673 110 82 0 0 82 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 4.48 vpr 64.17 MiB 0.03 7216 -1 -1 1 0.05 -1 -1 34276 -1 -1 38 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 31 32 365 281 1 196 101 17 17 289 -1 unnamed_device 25.2 MiB 0.15 1140 17961 4896 10693 2372 64.2 MiB 0.13 0.00 4.56916 -143.41 -4.56916 4.56916 0.89 0.000220724 0.000174225 0.0194397 0.0156279 -1 -1 -1 -1 30 2480 22 6.64007e+06 477204 526063. 1820.29 0.98 0.059867 0.0498119 22546 126617 -1 2142 19 1456 2447 150989 33118 3.78563 3.78563 -139.153 -3.78563 0 0 666494. 2306.21 0.30 0.06 0.11 -1 -1 0.30 0.015833 0.0139266 151 34 93 31 31 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.20 vpr 63.87 MiB 0.02 7192 -1 -1 1 0.03 -1 -1 34084 -1 -1 31 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65400 29 32 297 254 1 150 92 17 17 289 -1 unnamed_device 25.0 MiB 0.20 620 10442 2502 7352 588 63.9 MiB 0.07 0.00 3.6803 -100.526 -3.6803 3.6803 0.87 0.000187072 0.000150115 0.0108713 0.00876626 -1 -1 -1 -1 26 1974 24 6.64007e+06 389298 477104. 1650.88 1.04 0.0406868 0.0334845 21682 110474 -1 1539 15 894 1454 92703 23294 3.26657 3.26657 -107.274 -3.26657 0 0 585099. 2024.56 0.25 0.03 0.10 -1 -1 0.25 0.00910889 0.00799628 108 56 29 29 52 26 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.33 vpr 63.96 MiB 0.02 6840 -1 -1 1 0.04 -1 -1 33896 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65500 32 32 314 256 1 160 81 17 17 289 -1 unnamed_device 25.1 MiB 0.17 691 13906 4599 7385 1922 64.0 MiB 0.10 0.00 3.53127 -120.288 -3.53127 3.53127 0.93 0.000195233 0.000155092 0.01788 0.0145408 -1 -1 -1 -1 32 1946 23 6.64007e+06 213486 554710. 1919.41 0.94 0.0516459 0.0430359 22834 132086 -1 1615 22 1414 2340 136519 32243 2.89397 2.89397 -116.882 -2.89397 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0135935 0.0118808 118 34 64 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 4.35 vpr 64.38 MiB 0.03 7264 -1 -1 1 0.05 -1 -1 33992 -1 -1 38 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65924 31 32 387 307 1 189 101 17 17 289 -1 unnamed_device 25.4 MiB 0.17 999 13261 3446 8635 1180 64.4 MiB 0.11 0.00 3.5665 -119.865 -3.5665 3.5665 0.89 0.000230866 0.000182599 0.0162005 0.0130152 -1 -1 -1 -1 30 2083 21 6.64007e+06 477204 526063. 1820.29 0.90 0.0556 0.0461182 22546 126617 -1 1827 22 1534 2359 132012 30369 2.96017 2.96017 -115.637 -2.96017 0 0 666494. 2306.21 0.29 0.05 0.11 -1 -1 0.29 0.0163316 0.0142716 144 64 58 31 62 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.05 vpr 63.89 MiB 0.02 6968 -1 -1 1 0.03 -1 -1 33984 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65420 31 32 308 262 1 147 80 17 17 289 -1 unnamed_device 25.1 MiB 0.19 869 9368 2508 6076 784 63.9 MiB 0.07 0.00 3.34153 -105.882 -3.34153 3.34153 0.86 0.000229262 0.00019097 0.0116431 0.00942741 -1 -1 -1 -1 32 1866 23 6.64007e+06 213486 554710. 1919.41 0.90 0.0443576 0.0368834 22834 132086 -1 1656 18 876 1510 96218 21620 2.68277 2.68277 -105.301 -2.68277 0 0 701300. 2426.64 0.29 0.04 0.12 -1 -1 0.29 0.01071 0.00932685 106 55 31 31 53 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 7.59 vpr 64.20 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 34136 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65740 32 32 383 307 1 184 97 17 17 289 -1 unnamed_device 25.2 MiB 0.18 879 9865 2512 6573 780 64.2 MiB 0.08 0.00 3.57229 -117.612 -3.57229 3.57229 0.90 0.000219077 0.000173355 0.0125769 0.0102295 -1 -1 -1 -1 26 3280 36 6.64007e+06 414414 477104. 1650.88 4.37 0.104752 0.0871642 21682 110474 -1 2003 21 1289 2229 173884 48268 3.07017 3.07017 -117.232 -3.07017 0 0 585099. 2024.56 0.27 0.06 0.10 -1 -1 0.27 0.0147174 0.0128609 137 65 52 26 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 4.46 vpr 64.32 MiB 0.02 7196 -1 -1 1 0.04 -1 -1 33936 -1 -1 37 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65860 31 32 422 339 1 195 100 17 17 289 -1 unnamed_device 25.5 MiB 0.27 885 10772 2451 7753 568 64.3 MiB 0.09 0.00 3.7525 -117.99 -3.7525 3.7525 0.88 0.000229301 0.000179619 0.0130518 0.010463 -1 -1 -1 -1 26 2623 27 6.64007e+06 464646 477104. 1650.88 1.18 0.0620257 0.05131 21682 110474 -1 2043 25 1941 2933 232283 73792 3.30757 3.30757 -127.372 -3.30757 0 0 585099. 2024.56 0.25 0.07 0.10 -1 -1 0.25 0.0180795 0.0154506 149 93 31 31 92 31 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.31 vpr 63.94 MiB 0.02 6904 -1 -1 1 0.04 -1 -1 33736 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65472 32 32 333 279 1 160 82 17 17 289 -1 unnamed_device 25.1 MiB 0.19 816 8626 2345 5890 391 63.9 MiB 0.07 0.00 3.06096 -106.925 -3.06096 3.06096 0.95 0.000193813 0.000153913 0.0118941 0.00970485 -1 -1 -1 -1 32 1904 19 6.64007e+06 226044 554710. 1919.41 0.92 0.0467999 0.039306 22834 132086 -1 1699 21 1117 1821 116745 26571 2.62357 2.62357 -105.22 -2.62357 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.014163 0.0123529 115 61 32 32 60 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.06 vpr 64.18 MiB 0.02 7096 -1 -1 1 0.03 -1 -1 33752 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65716 32 32 339 283 1 164 82 17 17 289 -1 unnamed_device 25.2 MiB 0.20 920 11296 3024 7326 946 64.2 MiB 0.08 0.00 3.5031 -121.121 -3.5031 3.5031 0.86 0.000193365 0.000152104 0.0145439 0.0117398 -1 -1 -1 -1 30 2213 19 6.64007e+06 226044 526063. 1820.29 0.90 0.0493196 0.0409988 22546 126617 -1 1909 18 1150 1903 117299 26636 2.93097 2.93097 -116.249 -2.93097 0 0 666494. 2306.21 0.28 0.04 0.12 -1 -1 0.28 0.0124707 0.0109331 121 63 32 32 62 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.31 vpr 64.30 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 34120 -1 -1 38 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65848 32 32 407 319 1 198 102 17 17 289 -1 unnamed_device 25.3 MiB 0.17 1027 12240 2965 8371 904 64.3 MiB 0.10 0.00 4.25676 -144.495 -4.25676 4.25676 0.86 0.000240998 0.000190721 0.0149571 0.012111 -1 -1 -1 -1 32 2439 23 6.64007e+06 477204 554710. 1919.41 1.02 0.0577898 0.0480186 22834 132086 -1 2110 21 1599 2398 140437 33858 3.60243 3.60243 -141.509 -3.60243 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.015313 0.0133721 156 65 64 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.15 vpr 64.27 MiB 0.02 7192 -1 -1 1 0.03 -1 -1 34272 -1 -1 34 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65816 29 32 367 293 1 183 95 17 17 289 -1 unnamed_device 25.3 MiB 0.16 1020 16079 4107 10319 1653 64.3 MiB 0.12 0.00 3.72052 -109.725 -3.72052 3.72052 0.87 0.00022959 0.000175625 0.0188595 0.0150887 -1 -1 -1 -1 32 2087 23 6.64007e+06 426972 554710. 1919.41 0.91 0.0585367 0.048494 22834 132086 -1 1904 18 1088 1735 96314 23271 2.84497 2.84497 -106.604 -2.84497 0 0 701300. 2426.64 0.32 0.04 0.12 -1 -1 0.32 0.0132667 0.0116569 135 62 56 29 58 29 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 4.33 vpr 64.45 MiB 0.02 7376 -1 -1 1 0.04 -1 -1 34168 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65992 32 32 469 381 1 200 103 17 17 289 -1 unnamed_device 25.5 MiB 0.26 937 9020 1835 6701 484 64.4 MiB 0.08 0.00 4.29776 -145.038 -4.29776 4.29776 0.90 0.000247013 0.000194277 0.0114251 0.00919374 -1 -1 -1 -1 32 2387 22 6.64007e+06 489762 554710. 1919.41 0.99 0.0563489 0.0467413 22834 132086 -1 2071 23 1697 2672 154136 37642 3.75863 3.75863 -143.561 -3.75863 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0175334 0.0151179 158 127 0 0 128 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 4.06 vpr 63.88 MiB 0.02 7112 -1 -1 1 0.04 -1 -1 34032 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65416 31 32 259 212 1 146 80 17 17 289 -1 unnamed_device 24.9 MiB 0.10 804 11948 3967 6404 1577 63.9 MiB 0.08 0.00 3.08296 -103.529 -3.08296 3.08296 0.92 0.000170877 0.000135673 0.0148738 0.0121354 -1 -1 -1 -1 32 1740 19 6.64007e+06 213486 554710. 1919.41 0.91 0.045141 0.0377923 22834 132086 -1 1631 18 764 1218 81797 19289 2.80917 2.80917 -105.592 -2.80917 0 0 701300. 2426.64 0.30 0.04 0.13 -1 -1 0.30 0.0108504 0.00952096 106 4 85 31 0 0 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 4.56 vpr 64.30 MiB 0.03 7256 -1 -1 1 0.04 -1 -1 33788 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65840 32 32 418 338 1 190 99 17 17 289 -1 unnamed_device 25.5 MiB 0.17 998 18111 4956 10747 2408 64.3 MiB 0.13 0.00 4.26296 -139.632 -4.26296 4.26296 0.87 0.000234777 0.000185365 0.0221881 0.0177595 -1 -1 -1 -1 26 2618 23 6.64007e+06 439530 477104. 1650.88 1.18 0.0755246 0.0635089 21682 110474 -1 2140 21 1575 2267 165127 38345 3.79503 3.79503 -138.561 -3.79503 0 0 585099. 2024.56 0.24 0.06 0.09 -1 -1 0.24 0.0170802 0.0148888 144 92 28 28 92 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.37 vpr 64.14 MiB 0.02 7196 -1 -1 1 0.04 -1 -1 33932 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65676 32 32 376 318 1 155 81 17 17 289 -1 unnamed_device 25.4 MiB 0.28 734 13381 4309 7077 1995 64.1 MiB 0.09 0.00 3.54047 -121.881 -3.54047 3.54047 0.88 0.000205881 0.00016231 0.0196371 0.0159474 -1 -1 -1 -1 28 2081 23 6.64007e+06 213486 500653. 1732.36 0.90 0.0597306 0.0498923 21970 115934 -1 1725 20 1287 1882 126348 29174 2.89997 2.89997 -118.217 -2.89997 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0130573 0.0113721 114 96 0 0 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 4.41 vpr 64.39 MiB 0.03 7088 -1 -1 1 0.04 -1 -1 34164 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65932 32 32 401 316 1 196 101 17 17 289 -1 unnamed_device 25.4 MiB 0.18 1060 9736 1961 7168 607 64.4 MiB 0.08 0.00 3.51212 -122.885 -3.51212 3.51212 0.88 0.000222726 0.000175722 0.0125685 0.0102996 -1 -1 -1 -1 26 2722 22 6.64007e+06 464646 477104. 1650.88 1.04 0.0605853 0.0511951 21682 110474 -1 2283 20 1346 1924 137623 31055 2.99937 2.99937 -123.86 -2.99937 0 0 585099. 2024.56 0.26 0.06 0.11 -1 -1 0.26 0.0190909 0.0169345 151 65 61 32 64 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 4.56 vpr 64.48 MiB 0.03 7320 -1 -1 1 0.04 -1 -1 34364 -1 -1 45 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66024 32 32 500 382 1 232 109 17 17 289 -1 unnamed_device 26.0 MiB 0.25 1244 16489 4012 10933 1544 64.5 MiB 0.14 0.00 4.96651 -168.366 -4.96651 4.96651 0.87 0.000288065 0.000229579 0.0224214 0.0182557 -1 -1 -1 -1 26 3331 25 6.64007e+06 565110 477104. 1650.88 1.07 0.0755519 0.0630738 21682 110474 -1 2710 22 2295 3724 240942 54867 4.68148 4.68148 -171.314 -4.68148 0 0 585099. 2024.56 0.26 0.08 0.10 -1 -1 0.26 0.0211128 0.018455 188 96 64 32 96 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 3.92 vpr 63.66 MiB 0.02 6848 -1 -1 1 0.03 -1 -1 33740 -1 -1 15 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65188 30 32 246 229 1 118 77 17 17 289 -1 unnamed_device 24.9 MiB 0.11 483 10509 2545 7262 702 63.7 MiB 0.05 0.00 2.73878 -81.5531 -2.73878 2.73878 0.86 0.000151596 0.000120137 0.0107733 0.00857158 -1 -1 -1 -1 28 1456 39 6.64007e+06 188370 500653. 1732.36 0.90 0.0432804 0.0358672 21970 115934 -1 1089 14 600 820 56572 16089 1.95331 1.95331 -77.1144 -1.95331 0 0 612192. 2118.31 0.26 0.03 0.11 -1 -1 0.26 0.00763667 0.00665148 83 56 0 0 53 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 4.13 vpr 63.93 MiB 0.02 7168 -1 -1 1 0.03 -1 -1 34008 -1 -1 17 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65460 30 32 296 244 1 137 79 17 17 289 -1 unnamed_device 25.2 MiB 0.10 791 10388 3354 5416 1618 63.9 MiB 0.07 0.00 3.6895 -113.454 -3.6895 3.6895 0.87 0.0001735 0.000136704 0.0128017 0.0104009 -1 -1 -1 -1 32 1566 17 6.64007e+06 213486 554710. 1919.41 0.90 0.043504 0.0364611 22834 132086 -1 1475 20 897 1391 103007 22158 2.89197 2.89197 -107.197 -2.89197 0 0 701300. 2426.64 0.29 0.04 0.12 -1 -1 0.29 0.0122819 0.010726 97 34 60 30 30 30 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.29 vpr 64.18 MiB 0.02 6848 -1 -1 1 0.04 -1 -1 33448 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65720 32 32 314 256 1 167 82 17 17 289 -1 unnamed_device 25.3 MiB 0.14 798 13966 5020 6560 2386 64.2 MiB 0.10 0.00 3.4859 -119.604 -3.4859 3.4859 0.88 0.000185736 0.000146197 0.0170562 0.0137718 -1 -1 -1 -1 32 2230 25 6.64007e+06 226044 554710. 1919.41 0.97 0.0542637 0.0452993 22834 132086 -1 1831 22 1321 2366 147698 35175 2.94077 2.94077 -117.638 -2.94077 0 0 701300. 2426.64 0.30 0.06 0.12 -1 -1 0.30 0.0151166 0.0133177 126 34 64 32 32 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 3.99 vpr 63.79 MiB 0.02 6904 -1 -1 1 0.05 -1 -1 34092 -1 -1 34 25 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65320 25 32 251 214 1 138 91 17 17 289 -1 unnamed_device 24.8 MiB 0.09 678 12127 3168 7672 1287 63.8 MiB 0.08 0.00 3.4089 -91.215 -3.4089 3.4089 0.90 0.000168491 0.000134753 0.0116586 0.00946704 -1 -1 -1 -1 26 1692 19 6.64007e+06 426972 477104. 1650.88 0.90 0.0389972 0.0325536 21682 110474 -1 1492 19 924 1518 92505 21415 2.76557 2.76557 -92.2391 -2.76557 0 0 585099. 2024.56 0.26 0.04 0.10 -1 -1 0.26 0.0105529 0.00916501 103 34 50 25 25 25 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 4.40 vpr 64.48 MiB 0.03 7228 -1 -1 1 0.06 -1 -1 34164 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66028 32 32 432 346 1 193 86 17 17 289 -1 unnamed_device 25.7 MiB 0.20 1064 14828 5337 7470 2021 64.5 MiB 0.12 0.00 4.34676 -140.278 -4.34676 4.34676 0.88 0.000231141 0.000181034 0.0207891 0.0166439 -1 -1 -1 -1 32 2306 25 6.64007e+06 276276 554710. 1919.41 0.95 0.0644728 0.0530903 22834 132086 -1 1995 20 1368 2467 139291 32056 3.42803 3.42803 -133.215 -3.42803 0 0 701300. 2426.64 0.29 0.05 0.13 -1 -1 0.29 0.0162241 0.0141842 149 94 32 32 94 32 -fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 4.61 vpr 64.40 MiB 0.03 7172 -1 -1 1 0.05 -1 -1 34224 -1 -1 39 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65944 31 32 421 339 1 193 102 17 17 289 -1 unnamed_device 25.6 MiB 0.19 994 11288 2808 7770 710 64.4 MiB 0.09 0.00 3.54427 -117.284 -3.54427 3.54427 0.94 0.000230643 0.000181768 0.0139695 0.0112671 -1 -1 -1 -1 26 2483 22 6.64007e+06 489762 477104. 1650.88 1.12 0.0609049 0.0511219 21682 110474 -1 2174 19 1650 2620 154872 38837 3.11657 3.11657 -120.491 -3.11657 0 0 585099. 2024.56 0.26 0.06 0.10 -1 -1 0.26 0.0167739 0.0147592 148 94 29 29 93 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.45 vpr 64.03 MiB 0.02 7248 -1 -1 1 0.05 -1 -1 34496 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65568 32 32 438 350 1 194 98 17 17 289 -1 unnamed_device 25.4 MiB 0.15 984 7523 1506 5708 309 64.0 MiB 0.07 0.00 3.92206 -133.487 -3.92206 3.92206 0.88 0.000235824 0.000185889 0.0107224 0.00878564 -1 -1 -1 -1 32 2622 21 6.65987e+06 431052 554710. 1919.41 1.02 0.0560613 0.0471062 22834 132086 -1 2157 21 1547 2490 152232 37056 3.36171 3.36171 -132.639 -3.36171 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0174359 0.015349 151 96 32 32 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 4.29 vpr 64.20 MiB 0.03 7356 -1 -1 1 0.04 -1 -1 34116 -1 -1 21 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65744 30 32 409 330 1 186 83 17 17 289 -1 unnamed_device 25.2 MiB 0.27 1021 12323 3933 6472 1918 64.2 MiB 0.10 0.00 4.34307 -130.434 -4.34307 4.34307 0.89 0.000228771 0.000180327 0.0185091 0.0149103 -1 -1 -1 -1 32 2272 21 6.65987e+06 266238 554710. 1919.41 0.95 0.0582666 0.048288 22834 132086 -1 2050 22 1443 2431 176108 38292 3.63571 3.63571 -124.943 -3.63571 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0161838 0.014123 140 91 30 30 89 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.27 vpr 64.17 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 34360 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65708 32 32 387 309 1 186 98 17 17 289 -1 unnamed_device 25.4 MiB 0.23 1046 17198 4925 10203 2070 64.2 MiB 0.13 0.00 3.50085 -120.205 -3.50085 3.50085 0.93 0.000236674 0.000188818 0.0205334 0.0164149 -1 -1 -1 -1 28 2505 34 6.65987e+06 431052 500653. 1732.36 0.97 0.0661429 0.0545916 21970 115934 -1 2179 20 1412 2288 161252 36771 3.16185 3.16185 -122.027 -3.16185 0 0 612192. 2118.31 0.26 0.05 0.10 -1 -1 0.26 0.0142431 0.0124293 141 65 54 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 4.25 vpr 63.87 MiB 0.03 7224 -1 -1 1 0.03 -1 -1 33956 -1 -1 22 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65400 29 32 343 267 1 184 83 17 17 289 -1 unnamed_device 25.0 MiB 0.15 792 11963 2924 7039 2000 63.9 MiB 0.07 0.00 4.3057 -123.39 -4.3057 4.3057 0.86 0.000205469 0.00016254 0.0160257 0.0130239 -1 -1 -1 -1 32 2326 24 6.65987e+06 278916 554710. 1919.41 1.07 0.0542803 0.0450852 22834 132086 -1 1788 22 1543 2752 171817 43958 3.46431 3.46431 -122.506 -3.46431 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0155374 0.0135797 138 34 87 29 29 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 4.35 vpr 63.99 MiB 0.03 7124 -1 -1 1 0.04 -1 -1 34212 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65524 32 32 376 288 1 195 84 17 17 289 -1 unnamed_device 25.3 MiB 0.24 1026 15456 4961 8586 1909 64.0 MiB 0.12 0.00 4.14936 -143.085 -4.14936 4.14936 0.86 0.000222356 0.000176445 0.021947 0.0177341 -1 -1 -1 -1 32 2589 20 6.65987e+06 253560 554710. 1919.41 0.97 0.0609418 0.0506463 22834 132086 -1 2222 22 1680 3105 206991 47036 3.69143 3.69143 -143.37 -3.69143 0 0 701300. 2426.64 0.30 0.07 0.12 -1 -1 0.30 0.0172213 0.015149 151 34 96 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 4.49 vpr 64.23 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 33844 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65768 32 32 402 316 1 199 101 17 17 289 -1 unnamed_device 25.4 MiB 0.23 1029 9501 1978 7135 388 64.2 MiB 0.09 0.00 3.43623 -117.882 -3.43623 3.43623 0.92 0.000233029 0.00018302 0.0120644 0.00985763 -1 -1 -1 -1 32 2342 20 6.65987e+06 469086 554710. 1919.41 0.95 0.0528538 0.0443739 22834 132086 -1 1962 18 1126 1792 107710 25832 2.72371 2.72371 -112.034 -2.72371 0 0 701300. 2426.64 0.30 0.04 0.12 -1 -1 0.30 0.0149223 0.013213 154 64 63 32 63 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 4.07 vpr 63.64 MiB 0.02 6904 -1 -1 1 0.03 -1 -1 33952 -1 -1 19 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65164 27 32 269 226 1 135 78 17 17 289 -1 unnamed_device 24.9 MiB 0.14 580 13026 4344 6329 2353 63.6 MiB 0.09 0.00 3.7565 -98.351 -3.7565 3.7565 0.97 0.00016047 0.000126685 0.0158445 0.0128417 -1 -1 -1 -1 30 1411 19 6.65987e+06 240882 526063. 1820.29 0.86 0.0445457 0.0369664 22546 126617 -1 1171 19 804 1373 82491 19526 2.70051 2.70051 -92.1985 -2.70051 0 0 666494. 2306.21 0.29 0.03 0.11 -1 -1 0.29 0.0110419 0.00972093 96 34 54 27 27 27 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 4.19 vpr 63.96 MiB 0.02 7032 -1 -1 1 0.03 -1 -1 34040 -1 -1 33 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65492 31 32 317 242 1 185 96 17 17 289 -1 unnamed_device 25.2 MiB 0.30 1016 11265 2888 7039 1338 64.0 MiB 0.09 0.00 3.36201 -108.145 -3.36201 3.36201 0.86 0.000196594 0.000156412 0.0129528 0.0105918 -1 -1 -1 -1 26 2532 27 6.65987e+06 418374 477104. 1650.88 1.00 0.0530519 0.0445537 21682 110474 -1 2233 18 1175 2022 139017 32170 2.74797 2.74797 -107.276 -2.74797 0 0 585099. 2024.56 0.26 0.05 0.10 -1 -1 0.26 0.0129167 0.0114214 139 4 115 31 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 4.06 vpr 64.00 MiB 0.02 7112 -1 -1 1 0.03 -1 -1 33884 -1 -1 16 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65540 31 32 338 292 1 147 79 17 17 289 -1 unnamed_device 25.3 MiB 0.28 818 11571 4275 5789 1507 64.0 MiB 0.08 0.00 3.08801 -101.656 -3.08801 3.08801 0.86 0.000194887 0.000152233 0.0157148 0.0125822 -1 -1 -1 -1 28 2012 20 6.65987e+06 202848 500653. 1732.36 0.89 0.0503903 0.0416852 21970 115934 -1 1768 19 888 1441 101867 23067 2.77365 2.77365 -102.085 -2.77365 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.0132013 0.0115096 105 85 0 0 84 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 5.70 vpr 63.76 MiB 0.02 7048 -1 -1 1 0.04 -1 -1 33868 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65288 32 32 314 256 1 162 80 17 17 289 -1 unnamed_device 24.8 MiB 0.23 642 11432 4032 4649 2751 63.8 MiB 0.07 0.00 3.56921 -118.924 -3.56921 3.56921 0.89 0.000204221 0.000163325 0.0157006 0.0127499 -1 -1 -1 -1 36 2044 28 6.65987e+06 202848 612192. 2118.31 2.19 0.0902007 0.0764587 23410 145293 -1 1461 25 1464 2371 152631 38874 3.06097 3.06097 -111.701 -3.06097 0 0 782063. 2706.10 0.32 0.06 0.13 -1 -1 0.32 0.0154486 0.0133314 121 34 64 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 4.36 vpr 63.83 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 33896 -1 -1 17 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65364 30 32 325 273 1 151 79 17 17 289 -1 unnamed_device 25.0 MiB 0.23 688 7684 1925 5205 554 63.8 MiB 0.07 0.00 3.53806 -112.993 -3.53806 3.53806 0.89 0.000199151 0.000157834 0.0114809 0.00944231 -1 -1 -1 -1 32 1563 20 6.65987e+06 215526 554710. 1919.41 0.91 0.048507 0.0408916 22834 132086 -1 1404 20 939 1333 81887 19635 2.76697 2.76697 -105.554 -2.76697 0 0 701300. 2426.64 0.31 0.04 0.12 -1 -1 0.31 0.0142186 0.0125318 110 63 30 30 60 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.12 vpr 64.06 MiB 0.02 7060 -1 -1 1 0.04 -1 -1 33892 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65600 32 32 331 280 1 156 93 17 17 289 -1 unnamed_device 25.3 MiB 0.27 841 11223 2602 8034 587 64.1 MiB 0.08 0.00 3.27957 -108.894 -3.27957 3.27957 0.88 0.000190398 0.000148882 0.0118571 0.00951992 -1 -1 -1 -1 30 2020 22 6.65987e+06 367662 526063. 1820.29 0.88 0.0460649 0.038006 22546 126617 -1 1668 22 1025 1665 104569 24167 2.50305 2.50305 -103.61 -2.50305 0 0 666494. 2306.21 0.28 0.04 0.12 -1 -1 0.28 0.0129487 0.0112801 114 65 25 25 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 4.38 vpr 64.01 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 34084 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65544 32 32 386 305 1 188 96 17 17 289 -1 unnamed_device 25.3 MiB 0.40 1002 18711 5900 10256 2555 64.0 MiB 0.13 0.00 3.50686 -122.446 -3.50686 3.50686 0.85 0.00022056 0.000173029 0.0209913 0.0166714 -1 -1 -1 -1 32 2230 20 6.65987e+06 405696 554710. 1919.41 0.94 0.058645 0.048293 22834 132086 -1 1914 19 1317 2220 127627 30379 2.76377 2.76377 -113.281 -2.76377 0 0 701300. 2426.64 0.29 0.05 0.11 -1 -1 0.29 0.0151247 0.0132771 143 58 64 32 57 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.57 vpr 64.16 MiB 0.04 7084 -1 -1 1 0.05 -1 -1 34024 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65704 32 32 407 319 1 200 98 17 17 289 -1 unnamed_device 25.3 MiB 0.24 896 8423 1626 6163 634 64.2 MiB 0.08 0.00 4.07724 -137.456 -4.07724 4.07724 0.87 0.000248659 0.000199814 0.0122192 0.0101396 -1 -1 -1 -1 32 2353 22 6.65987e+06 431052 554710. 1919.41 1.03 0.0597878 0.0508458 22834 132086 -1 2106 22 1643 2700 178482 44548 3.66751 3.66751 -139.961 -3.66751 0 0 701300. 2426.64 0.29 0.07 0.12 -1 -1 0.29 0.0203484 0.0177778 156 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 4.27 vpr 63.52 MiB 0.02 6840 -1 -1 1 0.04 -1 -1 34020 -1 -1 18 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65048 29 32 272 228 1 145 79 17 17 289 -1 unnamed_device 24.8 MiB 0.25 686 7177 1709 4538 930 63.5 MiB 0.06 0.00 3.15358 -93.6229 -3.15358 3.15358 0.88 0.000173679 0.000137734 0.0100514 0.00828262 -1 -1 -1 -1 28 1892 19 6.65987e+06 228204 500653. 1732.36 0.87 0.0409682 0.0344969 21970 115934 -1 1647 23 1148 1915 132777 31641 2.65245 2.65245 -95.4509 -2.65245 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0157757 0.0138858 107 29 58 29 24 24 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 4.59 vpr 64.16 MiB 0.02 7272 -1 -1 1 0.04 -1 -1 33708 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65696 32 32 401 315 1 192 84 17 17 289 -1 unnamed_device 25.4 MiB 0.27 1074 13992 4161 7846 1985 64.2 MiB 0.12 0.00 3.5141 -125.301 -3.5141 3.5141 0.88 0.000272516 0.000214316 0.02221 0.0180216 -1 -1 -1 -1 32 2362 21 6.65987e+06 253560 554710. 1919.41 0.97 0.0647798 0.0539167 22834 132086 -1 2100 19 1387 2433 160863 37488 3.00917 3.00917 -120.058 -3.00917 0 0 701300. 2426.64 0.33 0.05 0.12 -1 -1 0.33 0.0164651 0.0145785 146 63 64 32 62 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 5.22 vpr 64.17 MiB 0.02 7252 -1 -1 1 0.04 -1 -1 34176 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 32 32 383 303 1 185 98 17 17 289 -1 unnamed_device 25.4 MiB 0.40 934 18323 6450 8556 3317 64.2 MiB 0.11 0.00 3.6343 -123.732 -3.6343 3.6343 0.86 0.000218734 0.000172517 0.0218129 0.0175926 -1 -1 -1 -1 30 2378 28 6.65987e+06 431052 526063. 1820.29 1.45 0.074348 0.0625194 22546 126617 -1 1916 19 1275 1888 125113 28979 2.76277 2.76277 -114.988 -2.76277 0 0 666494. 2306.21 0.28 0.05 0.12 -1 -1 0.28 0.0161028 0.0141349 142 57 64 32 56 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 4.54 vpr 64.10 MiB 0.02 7124 -1 -1 1 0.04 -1 -1 34144 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65636 32 32 339 284 1 162 94 17 17 289 -1 unnamed_device 25.3 MiB 0.32 832 15430 4777 8379 2274 64.1 MiB 0.13 0.00 2.83964 -101.659 -2.83964 2.83964 0.86 0.00028625 0.00022412 0.020992 0.0168723 -1 -1 -1 -1 30 1996 22 6.65987e+06 380340 526063. 1820.29 0.95 0.060009 0.0498959 22546 126617 -1 1635 14 802 1184 72625 16499 2.18971 2.18971 -96.9741 -2.18971 0 0 666494. 2306.21 0.29 0.04 0.12 -1 -1 0.29 0.0132388 0.0119215 118 65 29 29 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 3.75 vpr 63.32 MiB 0.02 6928 -1 -1 1 0.03 -1 -1 33756 -1 -1 15 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64836 30 32 226 208 1 119 77 17 17 289 -1 unnamed_device 24.8 MiB 0.10 661 10835 3152 6204 1479 63.3 MiB 0.06 0.00 2.60038 -85.2282 -2.60038 2.60038 0.86 0.000146349 0.000115364 0.0115561 0.00926061 -1 -1 -1 -1 28 1452 20 6.65987e+06 190170 500653. 1732.36 0.80 0.0360421 0.0297892 21970 115934 -1 1272 18 515 793 57249 13039 1.71265 1.71265 -76.7055 -1.71265 0 0 612192. 2118.31 0.25 0.03 0.11 -1 -1 0.25 0.00829805 0.00726069 85 34 24 24 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 4.39 vpr 63.92 MiB 0.02 7144 -1 -1 1 0.05 -1 -1 34172 -1 -1 16 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65452 31 32 335 280 1 154 79 17 17 289 -1 unnamed_device 25.2 MiB 0.14 862 13768 4646 7227 1895 63.9 MiB 0.09 0.00 3.94338 -122.441 -3.94338 3.94338 0.88 0.00021207 0.000168982 0.018332 0.0148478 -1 -1 -1 -1 32 1822 20 6.65987e+06 202848 554710. 1919.41 0.89 0.0533518 0.0444459 22834 132086 -1 1666 18 875 1353 101459 22409 2.91545 2.91545 -114.226 -2.91545 0 0 701300. 2426.64 0.31 0.04 0.12 -1 -1 0.31 0.0129711 0.0115155 113 64 31 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 4.50 vpr 64.01 MiB 0.02 7076 -1 -1 1 0.05 -1 -1 33524 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65548 32 32 366 283 1 192 98 17 17 289 -1 unnamed_device 25.0 MiB 0.23 1067 12248 3339 7850 1059 64.0 MiB 0.09 0.00 4.06436 -136.288 -4.06436 4.06436 0.88 0.000235554 0.000189371 0.014899 0.0122004 -1 -1 -1 -1 30 2353 19 6.65987e+06 431052 526063. 1820.29 0.92 0.0565076 0.0477459 22546 126617 -1 2038 20 1356 2011 119922 27360 3.22177 3.22177 -126.056 -3.22177 0 0 666494. 2306.21 0.29 0.05 0.11 -1 -1 0.29 0.0157327 0.0139328 145 34 91 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 4.35 vpr 64.25 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34632 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65796 32 32 460 375 1 196 100 17 17 289 -1 unnamed_device 25.8 MiB 0.33 1107 12164 3260 7320 1584 64.3 MiB 0.10 0.00 3.45103 -121.866 -3.45103 3.45103 0.86 0.00025615 0.000191433 0.0153242 0.0120164 -1 -1 -1 -1 32 2586 24 6.65987e+06 456408 554710. 1919.41 0.93 0.060485 0.0497648 22834 132086 -1 2228 18 1253 1902 113164 26704 3.01545 3.01545 -117.593 -3.01545 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0194744 0.0173037 149 124 0 0 125 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 3.83 vpr 62.89 MiB 0.02 6876 -1 -1 1 0.03 -1 -1 34076 -1 -1 17 26 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64404 26 32 198 186 1 109 75 17 17 289 -1 unnamed_device 24.4 MiB 0.19 410 10345 3142 6004 1199 62.9 MiB 0.04 0.00 2.61938 -68.655 -2.61938 2.61938 0.86 0.000125071 9.7591e-05 0.00937445 0.00750279 -1 -1 -1 -1 30 1071 20 6.65987e+06 215526 526063. 1820.29 0.80 0.0298943 0.0246568 22546 126617 -1 892 17 477 715 39145 10340 1.85405 1.85405 -64.8879 -1.85405 0 0 666494. 2306.21 0.28 0.02 0.11 -1 -1 0.28 0.00774252 0.00681641 77 30 26 26 22 22 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 6.50 vpr 63.80 MiB 0.02 7092 -1 -1 1 0.05 -1 -1 33904 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65332 32 32 333 251 1 187 84 17 17 289 -1 unnamed_device 24.9 MiB 0.23 1115 13443 3576 8165 1702 63.8 MiB 0.11 0.00 4.2335 -135.193 -4.2335 4.2335 1.02 0.00019936 0.000157616 0.0172239 0.0140116 -1 -1 -1 -1 28 2630 26 6.65987e+06 253560 500653. 1732.36 2.84 0.0959019 0.0796908 21970 115934 -1 2272 22 1473 2541 181143 40800 3.73177 3.73177 -136.785 -3.73177 0 0 612192. 2118.31 0.26 0.06 0.10 -1 -1 0.26 0.0151157 0.013274 137 3 122 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 3.78 vpr 63.01 MiB 0.02 6776 -1 -1 1 0.03 -1 -1 33852 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64524 32 32 199 182 1 122 77 17 17 289 -1 unnamed_device 24.3 MiB 0.10 594 7901 1809 5857 235 63.0 MiB 0.05 0.00 2.22607 -81.2607 -2.22607 2.22607 0.85 0.000135476 0.000106952 0.00801793 0.00648837 -1 -1 -1 -1 32 1389 15 6.65987e+06 164814 554710. 1919.41 0.84 0.0304616 0.0255145 22834 132086 -1 1233 16 549 735 54361 13016 1.93825 1.93825 -78.4309 -1.93825 0 0 701300. 2426.64 0.29 0.03 0.12 -1 -1 0.29 0.00807441 0.00716267 81 3 53 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 4.63 vpr 64.14 MiB 0.02 7120 -1 -1 1 0.04 -1 -1 34116 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65684 32 32 376 288 1 195 97 17 17 289 -1 unnamed_device 25.4 MiB 0.15 1065 18079 5979 9630 2470 64.1 MiB 0.15 0.00 4.06247 -139.199 -4.06247 4.06247 0.94 0.000237937 0.000191141 0.0228789 0.0186552 -1 -1 -1 -1 32 2367 20 6.65987e+06 418374 554710. 1919.41 1.00 0.0679986 0.0572457 22834 132086 -1 2074 22 1496 2206 146587 33354 3.39397 3.39397 -131.759 -3.39397 0 0 701300. 2426.64 0.28 0.05 0.12 -1 -1 0.28 0.0168664 0.0149314 152 34 96 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 4.16 vpr 64.14 MiB 0.02 6960 -1 -1 1 0.04 -1 -1 34208 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65680 32 32 337 253 1 196 99 17 17 289 -1 unnamed_device 25.2 MiB 0.22 1134 16059 4004 10217 1838 64.1 MiB 0.13 0.00 3.38184 -119.391 -3.38184 3.38184 0.87 0.000220735 0.000175379 0.0179557 0.0144782 -1 -1 -1 -1 30 2478 22 6.65987e+06 443730 526063. 1820.29 0.91 0.0553238 0.0460675 22546 126617 -1 2138 23 1418 2253 152856 33329 2.67931 2.67931 -115.639 -2.67931 0 0 666494. 2306.21 0.28 0.05 0.11 -1 -1 0.28 0.0152187 0.0132582 150 3 124 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 6.06 vpr 64.15 MiB 0.02 7076 -1 -1 1 0.04 -1 -1 33948 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65688 32 32 407 319 1 197 99 17 17 289 -1 unnamed_device 25.6 MiB 0.15 1118 17199 4898 10401 1900 64.1 MiB 0.13 0.00 3.91784 -137.067 -3.91784 3.91784 0.84 0.000233033 0.000185887 0.0198197 0.0158727 -1 -1 -1 -1 30 2570 27 6.65987e+06 443730 526063. 1820.29 2.93 0.106041 0.0868113 22546 126617 -1 2132 19 1575 2660 153360 35523 3.16231 3.16231 -129.907 -3.16231 0 0 666494. 2306.21 0.27 0.05 0.11 -1 -1 0.27 0.0148045 0.0130393 153 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 3.92 vpr 63.66 MiB 0.02 6928 -1 -1 1 0.03 -1 -1 33652 -1 -1 15 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65184 32 32 294 246 1 149 79 17 17 289 -1 unnamed_device 24.8 MiB 0.18 736 8191 2107 5347 737 63.7 MiB 0.06 0.00 2.8895 -100.047 -2.8895 2.8895 0.86 0.000176608 0.000139393 0.010168 0.00825419 -1 -1 -1 -1 28 2097 23 6.65987e+06 190170 500653. 1732.36 0.84 0.0417828 0.0348056 21970 115934 -1 1812 19 1072 1768 132294 30499 2.85491 2.85491 -104.569 -2.85491 0 0 612192. 2118.31 0.26 0.04 0.11 -1 -1 0.26 0.011401 0.0100046 106 34 54 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 4.02 vpr 63.67 MiB 0.02 7024 -1 -1 1 0.04 -1 -1 34040 -1 -1 19 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65200 30 32 296 244 1 154 81 17 17 289 -1 unnamed_device 24.8 MiB 0.15 832 12156 3666 7026 1464 63.7 MiB 0.08 0.00 3.4951 -115.55 -3.4951 3.4951 0.88 0.00017766 0.000139605 0.0145473 0.0117716 -1 -1 -1 -1 32 1812 18 6.65987e+06 240882 554710. 1919.41 0.90 0.0452634 0.0376541 22834 132086 -1 1637 24 948 1418 102648 23106 2.94997 2.94997 -112.088 -2.94997 0 0 701300. 2426.64 0.30 0.04 0.12 -1 -1 0.30 0.0132396 0.0115416 115 34 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 4.35 vpr 63.80 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 33784 -1 -1 20 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65328 28 32 278 232 1 150 80 17 17 289 -1 unnamed_device 25.0 MiB 0.20 593 11776 2929 8189 658 63.8 MiB 0.08 0.00 3.4309 -100.687 -3.4309 3.4309 0.93 0.000168229 0.000133495 0.013632 0.0110604 -1 -1 -1 -1 30 1720 21 6.65987e+06 253560 526063. 1820.29 0.92 0.0460275 0.0385239 22546 126617 -1 1406 23 1009 1775 109227 27586 2.83191 2.83191 -101.024 -2.83191 0 0 666494. 2306.21 0.29 0.05 0.12 -1 -1 0.29 0.0151439 0.0133195 107 34 56 28 28 28 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 4.39 vpr 63.84 MiB 0.02 6916 -1 -1 1 0.04 -1 -1 33784 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65372 32 32 283 225 1 166 82 17 17 289 -1 unnamed_device 25.0 MiB 0.21 686 12008 2561 8162 1285 63.8 MiB 0.07 0.00 3.4859 -118.026 -3.4859 3.4859 0.89 0.000229501 0.000192125 0.0152544 0.0125173 -1 -1 -1 -1 32 2108 24 6.65987e+06 228204 554710. 1919.41 1.00 0.0545184 0.046107 22834 132086 -1 1631 20 1209 1890 125284 30035 2.73971 2.73971 -110.442 -2.73971 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0136135 0.0120325 125 3 96 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 4.43 vpr 63.84 MiB 0.02 7024 -1 -1 1 0.05 -1 -1 34132 -1 -1 31 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65372 31 32 303 249 1 162 94 17 17 289 -1 unnamed_device 24.9 MiB 0.19 735 8614 2125 5723 766 63.8 MiB 0.08 0.00 3.29178 -108.454 -3.29178 3.29178 0.92 0.000219705 0.000167933 0.0124794 0.0104425 -1 -1 -1 -1 28 2096 24 6.65987e+06 393018 500653. 1732.36 0.97 0.0553195 0.0471305 21970 115934 -1 1861 24 1396 2258 163291 38205 2.77565 2.77565 -108.842 -2.77565 0 0 612192. 2118.31 0.27 0.06 0.11 -1 -1 0.27 0.0154836 0.0134816 119 34 61 31 31 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 4.56 vpr 63.98 MiB 0.03 6952 -1 -1 1 0.04 -1 -1 33856 -1 -1 30 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65516 29 32 312 264 1 154 91 17 17 289 -1 unnamed_device 25.0 MiB 0.29 717 8047 1725 5786 536 64.0 MiB 0.07 0.00 2.76744 -86.2128 -2.76744 2.76744 0.92 0.000180985 0.000142457 0.0100526 0.00824251 -1 -1 -1 -1 32 1718 21 6.65987e+06 380340 554710. 1919.41 0.95 0.0456769 0.038576 22834 132086 -1 1480 18 839 1446 82236 20096 1.99625 1.99625 -81.928 -1.99625 0 0 701300. 2426.64 0.34 0.04 0.14 -1 -1 0.34 0.0138296 0.0121381 109 61 29 29 57 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 6.55 vpr 64.14 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 34152 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65684 32 32 423 310 1 229 103 17 17 289 -1 unnamed_device 25.6 MiB 0.38 1246 13117 3185 8526 1406 64.1 MiB 0.13 0.00 4.16036 -141.523 -4.16036 4.16036 0.86 0.000239798 0.000190496 0.0160078 0.0130221 -1 -1 -1 -1 28 3650 28 6.65987e+06 494442 500653. 1732.36 3.08 0.110543 0.0915706 21970 115934 -1 2889 22 2073 3566 281141 62285 3.86363 3.86363 -148.555 -3.86363 0 0 612192. 2118.31 0.26 0.08 0.10 -1 -1 0.26 0.0186994 0.0163891 179 29 128 32 27 27 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 4.55 vpr 64.27 MiB 0.03 7160 -1 -1 1 0.04 -1 -1 34264 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65812 32 32 403 317 1 198 99 17 17 289 -1 unnamed_device 25.4 MiB 0.31 1041 9447 2232 6542 673 64.3 MiB 0.08 0.00 3.5061 -122.514 -3.5061 3.5061 0.88 0.000240141 0.000185736 0.0125694 0.010158 -1 -1 -1 -1 32 2288 19 6.65987e+06 443730 554710. 1919.41 0.94 0.0522072 0.0436475 22834 132086 -1 1994 21 1391 2140 124097 29585 2.77297 2.77297 -115.234 -2.77297 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0162666 0.0142913 152 65 62 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 4.67 vpr 64.23 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 34524 -1 -1 28 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65768 31 32 353 302 1 156 91 17 17 289 -1 unnamed_device 25.5 MiB 0.35 709 5599 957 4403 239 64.2 MiB 0.05 0.00 3.18838 -103.883 -3.18838 3.18838 0.89 0.000193365 0.000152611 0.0074812 0.00619347 -1 -1 -1 -1 26 2105 38 6.65987e+06 354984 477104. 1650.88 1.09 0.0499822 0.0417201 21682 110474 -1 1745 19 1012 1678 105217 25769 2.55939 2.55939 -102.816 -2.55939 0 0 585099. 2024.56 0.25 0.05 0.12 -1 -1 0.25 0.0146118 0.0129378 113 90 0 0 89 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 4.76 vpr 64.30 MiB 0.02 7324 -1 -1 1 0.06 -1 -1 33916 -1 -1 21 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65848 31 32 391 309 1 194 84 17 17 289 -1 unnamed_device 25.5 MiB 0.29 950 14541 4604 7502 2435 64.3 MiB 0.12 0.00 3.4921 -115.341 -3.4921 3.4921 0.94 0.000218513 0.000172546 0.0215484 0.0175215 -1 -1 -1 -1 32 2284 19 6.65987e+06 266238 554710. 1919.41 0.99 0.0643863 0.0538435 22834 132086 -1 1926 24 1607 2769 175988 39847 2.89577 2.89577 -113.543 -2.89577 0 0 701300. 2426.64 0.33 0.08 0.13 -1 -1 0.33 0.0231932 0.0205581 148 64 60 30 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 4.25 vpr 64.27 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34192 -1 -1 21 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65808 31 32 455 371 1 193 84 17 17 289 -1 unnamed_device 25.3 MiB 0.37 1075 7953 1851 5455 647 64.3 MiB 0.07 0.00 4.84238 -140.996 -4.84238 4.84238 0.86 0.000237949 0.000187108 0.0129485 0.0105657 -1 -1 -1 -1 30 2514 21 6.65987e+06 266238 526063. 1820.29 0.90 0.0558263 0.0463713 22546 126617 -1 2032 18 1004 1712 93766 22265 3.60671 3.60671 -132.535 -3.60671 0 0 666494. 2306.21 0.27 0.04 0.12 -1 -1 0.27 0.0155553 0.0136789 149 124 0 0 124 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 4.58 vpr 64.20 MiB 0.03 7360 -1 -1 1 0.04 -1 -1 34176 -1 -1 21 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65736 31 32 413 333 1 188 84 17 17 289 -1 unnamed_device 25.4 MiB 0.26 947 10332 2670 7099 563 64.2 MiB 0.09 0.00 4.78027 -132.754 -4.78027 4.78027 0.93 0.00023816 0.000188952 0.0164624 0.0135123 -1 -1 -1 -1 32 2150 18 6.65987e+06 266238 554710. 1919.41 0.90 0.0567469 0.0476182 22834 132086 -1 1930 19 1139 1864 120278 28305 3.69497 3.69497 -130.77 -3.69497 0 0 701300. 2426.64 0.29 0.05 0.15 -1 -1 0.29 0.0150248 0.0132065 143 90 31 31 89 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 4.64 vpr 64.31 MiB 0.03 7156 -1 -1 1 0.06 -1 -1 34028 -1 -1 33 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65852 31 32 391 309 1 193 96 17 17 289 -1 unnamed_device 25.5 MiB 0.30 995 11265 2756 7734 775 64.3 MiB 0.10 0.00 3.36361 -112.108 -3.36361 3.36361 0.86 0.000223451 0.000176788 0.0138665 0.0111909 -1 -1 -1 -1 32 2231 21 6.65987e+06 418374 554710. 1919.41 0.93 0.0535533 0.0445229 22834 132086 -1 2051 22 1429 2522 161477 37406 2.80191 2.80191 -109.124 -2.80191 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0200867 0.0178564 146 64 60 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 4.30 vpr 64.45 MiB 0.03 7084 -1 -1 1 0.04 -1 -1 34348 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66000 32 32 407 319 1 198 99 17 17 289 -1 unnamed_device 25.5 MiB 0.22 1091 9903 2241 6952 710 64.5 MiB 0.09 0.00 3.91784 -134.792 -3.91784 3.91784 0.85 0.000230603 0.000182409 0.0130468 0.0105336 -1 -1 -1 -1 30 2537 27 6.65987e+06 443730 526063. 1820.29 1.06 0.0560136 0.0466339 22546 126617 -1 2201 22 1649 2612 165739 37609 3.29771 3.29771 -131.299 -3.29771 0 0 666494. 2306.21 0.29 0.06 0.11 -1 -1 0.29 0.0162299 0.0141879 154 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 5.61 vpr 64.40 MiB 0.03 7436 -1 -1 1 0.06 -1 -1 33856 -1 -1 40 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65944 32 32 496 380 1 232 104 17 17 289 -1 unnamed_device 26.0 MiB 0.31 1184 18648 4691 11584 2373 64.4 MiB 0.18 0.00 4.06547 -137.623 -4.06547 4.06547 0.94 0.000290764 0.000232192 0.024197 0.0195494 -1 -1 -1 -1 34 2821 22 6.65987e+06 507120 585099. 2024.56 1.67 0.102929 0.0869897 23122 138558 -1 2347 19 1676 2732 179173 42035 3.71977 3.71977 -136.162 -3.71977 0 0 742403. 2568.87 0.31 0.06 0.12 -1 -1 0.31 0.0194582 0.0171595 184 96 62 32 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 4.13 vpr 63.97 MiB 0.02 6900 -1 -1 1 0.04 -1 -1 34076 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65508 31 32 305 250 1 158 81 17 17 289 -1 unnamed_device 25.0 MiB 0.23 685 11806 2914 7153 1739 64.0 MiB 0.08 0.00 3.55518 -111.493 -3.55518 3.55518 0.87 0.000188 0.000148625 0.0149034 0.0120671 -1 -1 -1 -1 32 1837 21 6.65987e+06 228204 554710. 1919.41 0.93 0.0484108 0.0402551 22834 132086 -1 1559 21 1192 1993 124706 29921 3.10705 3.10705 -109.722 -3.10705 0 0 701300. 2426.64 0.29 0.04 0.12 -1 -1 0.29 0.0130355 0.0114709 116 34 62 31 31 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 4.90 vpr 63.46 MiB 0.02 7272 -1 -1 1 0.04 -1 -1 33820 -1 -1 36 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64980 31 32 395 311 1 196 99 17 17 289 -1 unnamed_device 24.6 MiB 0.21 975 9675 2183 7040 452 63.5 MiB 0.10 0.00 4.0281 -131.106 -4.0281 4.0281 0.97 0.000240279 0.000188018 0.0149648 0.0123429 -1 -1 -1 -1 26 2958 42 6.65987e+06 456408 477104. 1650.88 1.34 0.0756727 0.0642825 21682 110474 -1 2412 20 1578 2670 189219 43824 3.73577 3.73577 -138.183 -3.73577 0 0 585099. 2024.56 0.25 0.06 0.10 -1 -1 0.25 0.0161226 0.0142303 150 64 62 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 4.71 vpr 64.20 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 34352 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65744 32 32 397 313 1 196 97 17 17 289 -1 unnamed_device 25.4 MiB 0.32 1040 11641 3109 7665 867 64.2 MiB 0.11 0.00 3.62624 -117.445 -3.62624 3.62624 0.97 0.000279853 0.000230454 0.0155215 0.0126195 -1 -1 -1 -1 28 2841 21 6.65987e+06 418374 500653. 1732.36 0.94 0.0555543 0.0462223 21970 115934 -1 2311 25 1614 2976 197433 45925 2.86271 2.86271 -111.815 -2.86271 0 0 612192. 2118.31 0.26 0.08 0.10 -1 -1 0.26 0.022325 0.0196621 148 63 62 32 62 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 4.72 vpr 64.01 MiB 0.02 7056 -1 -1 1 0.03 -1 -1 33972 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65544 32 32 345 257 1 194 84 17 17 289 -1 unnamed_device 25.1 MiB 0.22 853 8685 1897 5601 1187 64.0 MiB 0.07 0.00 4.14936 -138.467 -4.14936 4.14936 0.85 0.000217517 0.000172692 0.0128369 0.0104785 -1 -1 -1 -1 32 2947 27 6.65987e+06 253560 554710. 1919.41 1.52 0.06375 0.0530946 22834 132086 -1 2183 20 1697 3130 202299 50463 4.17937 4.17937 -151.011 -4.17937 0 0 701300. 2426.64 0.29 0.06 0.12 -1 -1 0.29 0.0155497 0.013763 150 3 128 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 4.27 vpr 64.19 MiB 0.03 7320 -1 -1 1 0.03 -1 -1 35408 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65728 32 32 424 343 1 190 98 17 17 289 -1 unnamed_device 25.3 MiB 0.37 1097 11798 3144 7589 1065 64.2 MiB 0.11 0.00 3.29555 -116.715 -3.29555 3.29555 0.84 0.000239341 0.000187499 0.0156126 0.0125119 -1 -1 -1 -1 32 2347 22 6.65987e+06 431052 554710. 1919.41 0.90 0.0552587 0.0456105 22834 132086 -1 2185 19 1263 1818 115545 27756 2.61645 2.61645 -112.838 -2.61645 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0154936 0.0136053 145 96 25 25 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 4.28 vpr 64.00 MiB 0.02 7080 -1 -1 1 0.04 -1 -1 33932 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65536 32 32 395 311 1 194 99 17 17 289 -1 unnamed_device 25.2 MiB 0.34 1042 7623 1446 5778 399 64.0 MiB 0.08 0.00 3.5841 -121.365 -3.5841 3.5841 0.86 0.000228832 0.000180186 0.00976497 0.00795133 -1 -1 -1 -1 32 2471 17 6.65987e+06 443730 554710. 1919.41 0.92 0.0476632 0.0398904 22834 132086 -1 2175 17 1146 1960 113469 28322 2.94877 2.94877 -121.037 -2.94877 0 0 701300. 2426.64 0.29 0.04 0.13 -1 -1 0.29 0.0141025 0.0124614 146 61 64 32 60 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 4.29 vpr 64.26 MiB 0.02 7192 -1 -1 1 0.03 -1 -1 34024 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 32 32 405 318 1 200 101 17 17 289 -1 unnamed_device 25.4 MiB 0.28 1118 19136 5296 11671 2169 64.3 MiB 0.15 0.00 3.42984 -118.83 -3.42984 3.42984 0.86 0.000225916 0.000177541 0.0209634 0.0166007 -1 -1 -1 -1 32 2413 21 6.65987e+06 469086 554710. 1919.41 0.92 0.0613611 0.0507289 22834 132086 -1 2145 19 1493 2416 145812 35243 2.87811 2.87811 -111.803 -2.87811 0 0 701300. 2426.64 0.30 0.05 0.12 -1 -1 0.30 0.0151555 0.0132863 155 65 63 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.55 vpr 64.16 MiB 0.02 6980 -1 -1 1 0.04 -1 -1 33952 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65700 32 32 376 288 1 194 99 17 17 289 -1 unnamed_device 25.4 MiB 0.22 1050 17883 5724 9105 3054 64.2 MiB 0.13 0.00 4.02327 -139.218 -4.02327 4.02327 0.89 0.000212962 0.000168474 0.020298 0.0163747 -1 -1 -1 -1 32 2447 22 6.65987e+06 443730 554710. 1919.41 1.02 0.0639285 0.0535609 22834 132086 -1 2034 20 1555 2605 159208 36836 3.49097 3.49097 -131.341 -3.49097 0 0 701300. 2426.64 0.33 0.06 0.13 -1 -1 0.33 0.0169885 0.0150001 150 34 96 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 4.69 vpr 63.94 MiB 0.02 7156 -1 -1 1 0.04 -1 -1 34404 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65476 32 32 407 319 1 197 101 17 17 289 -1 unnamed_device 25.4 MiB 0.27 1025 18901 5265 11118 2518 63.9 MiB 0.15 0.00 3.95704 -138.682 -3.95704 3.95704 0.91 0.000237819 0.000186986 0.0238361 0.0191897 -1 -1 -1 -1 32 2290 23 6.65987e+06 469086 554710. 1919.41 1.03 0.0687873 0.0572488 22834 132086 -1 2103 23 1697 2639 176451 40256 3.38671 3.38671 -133.347 -3.38671 0 0 701300. 2426.64 0.31 0.06 0.13 -1 -1 0.31 0.0183719 0.016118 153 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 4.80 vpr 64.04 MiB 0.03 7268 -1 -1 1 0.05 -1 -1 34192 -1 -1 34 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65572 31 32 449 367 1 193 97 17 17 289 -1 unnamed_device 25.4 MiB 0.38 1075 12529 3319 7633 1577 64.0 MiB 0.12 0.00 3.98298 -125.763 -3.98298 3.98298 0.94 0.000263789 0.000205086 0.0207667 0.0169871 -1 -1 -1 -1 28 2875 24 6.65987e+06 431052 500653. 1732.36 1.03 0.0680779 0.0567205 21970 115934 -1 2454 21 1535 2576 174851 40840 3.55825 3.55825 -129.218 -3.55825 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0179787 0.0156959 145 122 0 0 122 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 4.34 vpr 64.15 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 34168 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65688 32 32 432 346 1 195 84 17 17 289 -1 unnamed_device 25.2 MiB 0.28 1088 15822 4733 9518 1571 64.1 MiB 0.12 0.00 4.01118 -127.976 -4.01118 4.01118 0.86 0.000230879 0.000181222 0.0223147 0.0178722 -1 -1 -1 -1 32 2447 24 6.65987e+06 253560 554710. 1919.41 0.96 0.0664386 0.0547738 22834 132086 -1 2163 22 1707 3104 193742 43407 3.17765 3.17765 -121.359 -3.17765 0 0 701300. 2426.64 0.31 0.06 0.11 -1 -1 0.31 0.0172721 0.0150439 149 94 32 32 94 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 4.50 vpr 63.73 MiB 0.02 6868 -1 -1 1 0.04 -1 -1 33984 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65264 32 32 312 255 1 166 94 17 17 289 -1 unnamed_device 24.8 MiB 0.20 771 8827 2156 6189 482 63.7 MiB 0.07 0.00 3.35364 -111.63 -3.35364 3.35364 0.93 0.000208378 0.000162646 0.0107347 0.00884616 -1 -1 -1 -1 28 2265 24 6.65987e+06 380340 500653. 1732.36 0.99 0.0512892 0.0436202 21970 115934 -1 1988 24 1408 2241 175119 41581 2.87885 2.87885 -113.156 -2.87885 0 0 612192. 2118.31 0.26 0.08 0.11 -1 -1 0.26 0.0198981 0.017597 124 34 63 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 4.33 vpr 63.97 MiB 0.02 7000 -1 -1 1 0.04 -1 -1 34116 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65508 32 32 370 314 1 164 82 17 17 289 -1 unnamed_device 25.2 MiB 0.32 775 7914 1954 5542 418 64.0 MiB 0.06 0.00 3.38184 -115.147 -3.38184 3.38184 0.86 0.000203243 0.000160444 0.0110477 0.008959 -1 -1 -1 -1 32 1876 23 6.65987e+06 228204 554710. 1919.41 0.90 0.0472121 0.0390771 22834 132086 -1 1751 22 1235 1983 135410 30234 2.53731 2.53731 -107.925 -2.53731 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0157865 0.0138575 121 94 0 0 94 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 4.29 vpr 64.33 MiB 0.03 7156 -1 -1 1 0.04 -1 -1 34196 -1 -1 40 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65876 32 32 469 351 1 233 104 17 17 289 -1 unnamed_device 25.7 MiB 0.18 1358 14500 3674 9480 1346 64.3 MiB 0.14 0.00 4.6627 -160.408 -4.6627 4.6627 0.85 0.00029908 0.000212861 0.0201361 0.0162125 -1 -1 -1 -1 32 2828 20 6.65987e+06 507120 554710. 1919.41 0.98 0.0658264 0.0547301 22834 132086 -1 2592 20 2112 3449 200165 48304 4.13857 4.13857 -159.759 -4.13857 0 0 701300. 2426.64 0.30 0.06 0.11 -1 -1 0.30 0.0183217 0.0161196 187 65 96 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 4.72 vpr 64.27 MiB 0.03 7072 -1 -1 1 0.05 -1 -1 34176 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65812 32 32 368 284 1 194 95 17 17 289 -1 unnamed_device 25.5 MiB 0.31 954 14567 4735 7432 2400 64.3 MiB 0.12 0.00 3.51422 -121.562 -3.51422 3.51422 0.92 0.000224144 0.000176961 0.0190945 0.0155758 -1 -1 -1 -1 32 2355 23 6.65987e+06 393018 554710. 1919.41 1.02 0.0643413 0.0540318 22834 132086 -1 1996 20 1380 2125 138010 32355 2.94216 2.94216 -118.256 -2.94216 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0163025 0.0144465 146 34 92 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.08 vpr 64.00 MiB 0.02 6924 -1 -1 1 0.04 -1 -1 33800 -1 -1 30 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65536 30 32 296 244 1 158 92 17 17 289 -1 unnamed_device 24.9 MiB 0.19 839 17066 5534 9253 2279 64.0 MiB 0.11 0.00 3.49012 -114.14 -3.49012 3.49012 0.85 0.000180881 0.000144 0.0168054 0.0134939 -1 -1 -1 -1 32 1786 21 6.65987e+06 380340 554710. 1919.41 0.90 0.0479918 0.0398136 22834 132086 -1 1612 21 982 1572 108138 24890 2.66737 2.66737 -105.642 -2.66737 0 0 701300. 2426.64 0.29 0.04 0.12 -1 -1 0.29 0.0120459 0.010547 115 34 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 4.97 vpr 64.62 MiB 0.03 7472 -1 -1 1 0.05 -1 -1 34480 -1 -1 43 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66168 32 32 531 413 1 232 107 17 17 289 -1 unnamed_device 26.1 MiB 0.57 1333 18829 5161 11634 2034 64.6 MiB 0.17 0.00 4.64147 -157.361 -4.64147 4.64147 0.89 0.000289058 0.000225857 0.0250218 0.0197806 -1 -1 -1 -1 30 2844 21 6.65987e+06 545154 526063. 1820.29 0.97 0.0777588 0.0644079 22546 126617 -1 2398 23 2018 3072 157660 37757 4.17037 4.17037 -158 -4.17037 0 0 666494. 2306.21 0.28 0.06 0.12 -1 -1 0.28 0.0214825 0.0188006 186 127 32 32 128 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 4.48 vpr 63.95 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 33812 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65480 32 32 376 288 1 194 100 17 17 289 -1 unnamed_device 25.5 MiB 0.21 1044 16340 4500 10034 1806 63.9 MiB 0.12 0.00 4.15932 -143.209 -4.15932 4.15932 0.94 0.000227382 0.000181584 0.0180974 0.0146392 -1 -1 -1 -1 28 2434 23 6.65987e+06 456408 500653. 1732.36 0.94 0.0595807 0.0496523 21970 115934 -1 2212 21 1724 2576 175138 40284 3.49823 3.49823 -139.756 -3.49823 0 0 612192. 2118.31 0.26 0.06 0.10 -1 -1 0.26 0.0186107 0.016527 151 34 96 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 4.01 vpr 64.04 MiB 0.02 6912 -1 -1 1 0.04 -1 -1 34056 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65580 32 32 283 225 1 164 95 17 17 289 -1 unnamed_device 25.0 MiB 0.14 722 13055 3473 8103 1479 64.0 MiB 0.10 0.00 3.50687 -117.927 -3.50687 3.50687 0.87 0.000204996 0.000163902 0.0137235 0.0110272 -1 -1 -1 -1 28 2310 22 6.65987e+06 393018 500653. 1732.36 0.92 0.0475175 0.0395702 21970 115934 -1 1874 19 1249 1926 119722 30066 2.97077 2.97077 -121.563 -2.97077 0 0 612192. 2118.31 0.26 0.05 0.10 -1 -1 0.26 0.0124692 0.0109595 123 3 96 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 4.84 vpr 64.14 MiB 0.03 7332 -1 -1 1 0.05 -1 -1 34392 -1 -1 41 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65680 32 32 438 320 1 235 105 17 17 289 -1 unnamed_device 25.5 MiB 0.26 1337 12702 3419 8223 1060 64.1 MiB 0.13 0.00 4.90437 -166.477 -4.90437 4.90437 0.93 0.000364548 0.000302208 0.0187206 0.0154481 -1 -1 -1 -1 30 3005 22 6.65987e+06 519798 526063. 1820.29 1.05 0.0671451 0.0565234 22546 126617 -1 2536 23 1897 3418 212452 47742 4.54303 4.54303 -164.492 -4.54303 0 0 666494. 2306.21 0.30 0.07 0.13 -1 -1 0.30 0.0194344 0.0170516 188 34 128 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 4.48 vpr 63.82 MiB 0.02 6744 -1 -1 1 0.04 -1 -1 33868 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65348 32 32 283 225 1 162 80 17 17 289 -1 unnamed_device 24.9 MiB 0.18 852 11260 3935 5447 1878 63.8 MiB 0.08 0.00 3.4749 -119.679 -3.4749 3.4749 0.95 0.000204384 0.000166857 0.0140302 0.0114205 -1 -1 -1 -1 32 2054 21 6.65987e+06 202848 554710. 1919.41 0.95 0.0482203 0.0405875 22834 132086 -1 1732 24 1316 2108 152415 36089 2.72571 2.72571 -112.904 -2.72571 0 0 701300. 2426.64 0.30 0.06 0.12 -1 -1 0.30 0.0157272 0.0139518 121 3 96 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 3.96 vpr 63.70 MiB 0.02 6972 -1 -1 1 0.04 -1 -1 33556 -1 -1 31 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65224 30 32 296 244 1 157 93 17 17 289 -1 unnamed_device 24.8 MiB 0.18 707 8073 1842 5622 609 63.7 MiB 0.07 0.00 3.47387 -110.471 -3.47387 3.47387 0.87 0.000185366 0.000147346 0.00897922 0.0073044 -1 -1 -1 -1 28 2018 21 6.65987e+06 393018 500653. 1732.36 0.87 0.0398835 0.0332487 21970 115934 -1 1690 19 1134 1899 121372 29545 2.89017 2.89017 -109.84 -2.89017 0 0 612192. 2118.31 0.26 0.05 0.10 -1 -1 0.26 0.0126448 0.0111171 113 34 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 4.38 vpr 64.29 MiB 0.03 7304 -1 -1 1 0.04 -1 -1 34060 -1 -1 33 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65828 29 32 393 319 1 182 94 17 17 289 -1 unnamed_device 25.2 MiB 0.36 964 15856 4549 8713 2594 64.3 MiB 0.11 0.00 3.50895 -109.722 -3.50895 3.50895 0.86 0.000215153 0.000170439 0.0186278 0.0149426 -1 -1 -1 -1 30 1987 21 6.65987e+06 418374 526063. 1820.29 0.86 0.0565959 0.0467885 22546 126617 -1 1675 18 1050 1751 89648 21297 2.54417 2.54417 -99.3535 -2.54417 0 0 666494. 2306.21 0.31 0.05 0.12 -1 -1 0.31 0.0162979 0.0143824 133 88 29 29 85 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 4.65 vpr 64.19 MiB 0.02 7076 -1 -1 1 0.05 -1 -1 34224 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65732 32 32 407 319 1 194 84 17 17 289 -1 unnamed_device 25.4 MiB 0.19 969 7587 1560 5690 337 64.2 MiB 0.08 0.00 4.0593 -135.974 -4.0593 4.0593 0.97 0.000298929 0.000230566 0.0135139 0.0112082 -1 -1 -1 -1 32 2319 20 6.65987e+06 253560 554710. 1919.41 1.00 0.0557418 0.0469158 22834 132086 -1 2040 23 1856 2812 178487 41989 3.53137 3.53137 -133.763 -3.53137 0 0 701300. 2426.64 0.31 0.06 0.12 -1 -1 0.31 0.0171988 0.0150094 151 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 4.45 vpr 64.14 MiB 0.02 7068 -1 -1 1 0.04 -1 -1 34164 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65684 32 32 407 319 1 195 98 17 17 289 -1 unnamed_device 25.2 MiB 0.43 1039 19223 6359 10018 2846 64.1 MiB 0.14 0.00 4.06007 -140.169 -4.06007 4.06007 0.85 0.000234183 0.000186692 0.021595 0.0172521 -1 -1 -1 -1 28 2771 24 6.65987e+06 431052 500653. 1732.36 1.02 0.0656223 0.0543637 21970 115934 -1 2216 20 1629 2862 194922 45065 3.75757 3.75757 -139.87 -3.75757 0 0 612192. 2118.31 0.25 0.06 0.10 -1 -1 0.25 0.015982 0.0139802 152 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 4.10 vpr 64.04 MiB 0.02 6928 -1 -1 1 0.03 -1 -1 34232 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65576 32 32 345 287 1 161 94 17 17 289 -1 unnamed_device 25.3 MiB 0.21 697 8614 1900 5780 934 64.0 MiB 0.07 0.00 3.41884 -113.998 -3.41884 3.41884 0.87 0.000206004 0.000161124 0.0104849 0.00845867 -1 -1 -1 -1 32 1820 17 6.65987e+06 380340 554710. 1919.41 0.92 0.0448956 0.0375126 22834 132086 -1 1492 22 1148 1828 107428 26393 2.74151 2.74151 -105.644 -2.74151 0 0 701300. 2426.64 0.29 0.05 0.11 -1 -1 0.29 0.014387 0.0125029 120 65 32 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 4.31 vpr 63.97 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 34188 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65504 31 32 353 302 1 152 80 17 17 289 -1 unnamed_device 25.3 MiB 0.32 800 12464 4445 5613 2406 64.0 MiB 0.09 0.00 3.46898 -107.215 -3.46898 3.46898 0.89 0.00019997 0.000156691 0.0170875 0.013651 -1 -1 -1 -1 32 1807 19 6.65987e+06 215526 554710. 1919.41 0.91 0.0510589 0.0422033 22834 132086 -1 1612 21 941 1768 104618 25029 2.51805 2.51805 -100.388 -2.51805 0 0 701300. 2426.64 0.31 0.04 0.12 -1 -1 0.31 0.0133321 0.0116015 109 90 0 0 89 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 4.50 vpr 64.06 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 33940 -1 -1 33 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65600 30 32 374 297 1 186 95 17 17 289 -1 unnamed_device 25.0 MiB 0.30 998 10463 2754 7099 610 64.1 MiB 0.09 0.00 3.41496 -111.837 -3.41496 3.41496 0.94 0.000213302 0.000170101 0.0129597 0.0105604 -1 -1 -1 -1 26 2353 22 6.65987e+06 418374 477104. 1650.88 0.96 0.0546171 0.045868 21682 110474 -1 2029 17 1123 1957 131265 30894 2.71545 2.71545 -108.984 -2.71545 0 0 585099. 2024.56 0.26 0.05 0.11 -1 -1 0.26 0.0141206 0.0125491 137 60 60 30 57 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 4.45 vpr 63.79 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 34084 -1 -1 31 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65316 28 32 332 260 1 180 91 17 17 289 -1 unnamed_device 24.9 MiB 0.21 995 16207 5283 8775 2149 63.8 MiB 0.13 0.00 4.24344 -123.397 -4.24344 4.24344 0.94 0.000193242 0.000152952 0.0192057 0.015567 -1 -1 -1 -1 28 2452 19 6.65987e+06 393018 500653. 1732.36 0.95 0.0561114 0.0469721 21970 115934 -1 2053 21 1498 2513 164181 38572 3.61111 3.61111 -125.602 -3.61111 0 0 612192. 2118.31 0.27 0.05 0.12 -1 -1 0.27 0.0142277 0.0125585 133 34 84 28 28 28 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 4.05 vpr 63.76 MiB 0.02 6984 -1 -1 1 0.04 -1 -1 33920 -1 -1 18 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65288 30 32 325 273 1 157 80 17 17 289 -1 unnamed_device 25.1 MiB 0.19 833 13152 4911 6590 1651 63.8 MiB 0.09 0.00 3.5343 -116.066 -3.5343 3.5343 0.85 0.000189181 0.000149716 0.0162887 0.0131504 -1 -1 -1 -1 32 1758 17 6.65987e+06 228204 554710. 1919.41 0.91 0.0469285 0.0388271 22834 132086 -1 1622 19 1092 1796 110886 26410 2.76277 2.76277 -110.032 -2.76277 0 0 701300. 2426.64 0.30 0.04 0.12 -1 -1 0.30 0.0120534 0.0105848 114 63 30 30 60 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 4.16 vpr 63.83 MiB 0.02 7068 -1 -1 1 0.03 -1 -1 34068 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65360 32 32 361 308 1 158 80 17 17 289 -1 unnamed_device 25.1 MiB 0.33 910 8164 2057 5403 704 63.8 MiB 0.07 0.00 3.44398 -109.924 -3.44398 3.44398 0.86 0.000219018 0.000174042 0.012316 0.00999175 -1 -1 -1 -1 30 1877 20 6.65987e+06 202848 526063. 1820.29 0.86 0.0469381 0.038908 22546 126617 -1 1665 19 860 1405 84533 19191 2.47605 2.47605 -102.562 -2.47605 0 0 666494. 2306.21 0.27 0.04 0.11 -1 -1 0.27 0.0128525 0.0112062 113 91 0 0 91 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 4.66 vpr 64.05 MiB 0.04 7224 -1 -1 1 0.04 -1 -1 34040 -1 -1 35 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65584 31 32 335 251 1 196 98 17 17 289 -1 unnamed_device 25.1 MiB 0.20 1111 13823 3486 9077 1260 64.0 MiB 0.12 0.00 4.13353 -137.36 -4.13353 4.13353 0.92 0.000234818 0.000182046 0.0166072 0.0134227 -1 -1 -1 -1 32 2590 21 6.65987e+06 443730 554710. 1919.41 1.04 0.0599369 0.0504033 22834 132086 -1 2181 19 1360 2255 139229 34227 3.70543 3.70543 -138.179 -3.70543 0 0 701300. 2426.64 0.33 0.05 0.13 -1 -1 0.33 0.014366 0.01275 150 4 124 31 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 4.93 vpr 64.34 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 34348 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65880 32 32 407 319 1 197 98 17 17 289 -1 unnamed_device 25.4 MiB 0.31 1037 13598 4125 8601 872 64.3 MiB 0.12 0.00 4.1263 -141.609 -4.1263 4.1263 0.92 0.000250062 0.000198811 0.0176691 0.0142417 -1 -1 -1 -1 26 2960 37 6.65987e+06 431052 477104. 1650.88 1.25 0.0779238 0.0658367 21682 110474 -1 2249 22 1692 3000 199861 46709 3.59517 3.59517 -140.665 -3.59517 0 0 585099. 2024.56 0.25 0.06 0.11 -1 -1 0.25 0.0178305 0.0156392 153 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 4.68 vpr 64.21 MiB 0.03 7304 -1 -1 1 0.04 -1 -1 33872 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65752 32 32 407 319 1 194 98 17 17 289 -1 unnamed_device 25.3 MiB 0.23 1033 10448 2380 7653 415 64.2 MiB 0.10 0.00 4.16458 -142.258 -4.16458 4.16458 0.88 0.000227579 0.000180213 0.0136564 0.0109757 -1 -1 -1 -1 28 2950 23 6.65987e+06 431052 500653. 1732.36 1.23 0.0616907 0.0516743 21970 115934 -1 2366 14 1336 2203 163664 38169 3.67477 3.67477 -147.386 -3.67477 0 0 612192. 2118.31 0.28 0.05 0.11 -1 -1 0.28 0.0135227 0.0121468 151 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 4.29 vpr 63.89 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 33988 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65420 32 32 399 315 1 196 101 17 17 289 -1 unnamed_device 25.3 MiB 0.35 982 9031 1878 6401 752 63.9 MiB 0.08 0.00 3.86706 -126.941 -3.86706 3.86706 0.85 0.000223285 0.00017658 0.0110086 0.00892723 -1 -1 -1 -1 30 2516 24 6.65987e+06 469086 526063. 1820.29 0.97 0.0527037 0.0439763 22546 126617 -1 2125 20 1264 2174 119793 28949 3.29571 3.29571 -124.191 -3.29571 0 0 666494. 2306.21 0.27 0.05 0.12 -1 -1 0.27 0.0151636 0.0133061 148 65 60 30 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 4.55 vpr 63.67 MiB 0.02 7004 -1 -1 1 0.04 -1 -1 34100 -1 -1 18 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65196 30 32 296 244 1 156 80 17 17 289 -1 unnamed_device 24.8 MiB 0.31 698 7992 1870 5622 500 63.7 MiB 0.06 0.00 3.50927 -110.859 -3.50927 3.50927 0.90 0.000184222 0.00014674 0.010644 0.00873391 -1 -1 -1 -1 32 1861 17 6.65987e+06 228204 554710. 1919.41 0.97 0.0430998 0.0363375 22834 132086 -1 1458 20 905 1434 81381 21081 2.75597 2.75597 -105.004 -2.75597 0 0 701300. 2426.64 0.31 0.04 0.14 -1 -1 0.31 0.0144868 0.0128538 112 34 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 4.17 vpr 64.49 MiB 0.02 7112 -1 -1 1 0.04 -1 -1 34032 -1 -1 22 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66040 30 32 383 303 1 191 84 17 17 289 -1 unnamed_device 25.4 MiB 0.28 985 11796 3468 7405 923 64.5 MiB 0.11 0.00 4.19776 -134.529 -4.19776 4.19776 0.85 0.000230315 0.000182359 0.0172369 0.0139425 -1 -1 -1 -1 32 2009 21 6.65987e+06 278916 554710. 1919.41 0.90 0.0557442 0.0462361 22834 132086 -1 1752 20 1321 1969 105280 25542 3.56043 3.56043 -130.097 -3.56043 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.015448 0.0136244 145 63 60 30 60 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 4.63 vpr 64.05 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34400 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65592 32 32 469 381 1 198 103 17 17 289 -1 unnamed_device 25.6 MiB 0.24 1052 13117 2855 8842 1420 64.1 MiB 0.10 0.00 3.91498 -132.986 -3.91498 3.91498 0.94 0.000259669 0.00020629 0.0167786 0.013628 -1 -1 -1 -1 32 2453 22 6.65987e+06 494442 554710. 1919.41 0.98 0.0608658 0.0505698 22834 132086 -1 2157 22 1790 2994 187896 45084 3.62605 3.62605 -137.441 -3.62605 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0179191 0.0155926 154 127 0 0 128 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 4.72 vpr 63.97 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 34316 -1 -1 31 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65504 31 32 425 341 1 189 94 17 17 289 -1 unnamed_device 25.4 MiB 0.16 1050 9679 2438 6769 472 64.0 MiB 0.09 0.00 3.91106 -131.382 -3.91106 3.91106 0.88 0.000427902 0.000363857 0.0153748 0.0126998 -1 -1 -1 -1 26 2853 26 6.65987e+06 393018 477104. 1650.88 1.25 0.0637988 0.0536406 21682 110474 -1 2216 22 1545 2682 190227 44085 3.91691 3.91691 -140.392 -3.91691 0 0 585099. 2024.56 0.26 0.06 0.10 -1 -1 0.26 0.0169902 0.0148516 146 94 31 31 93 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 4.57 vpr 64.14 MiB 0.03 7352 -1 -1 1 0.06 -1 -1 34172 -1 -1 30 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65680 30 32 404 328 1 182 92 17 17 289 -1 unnamed_device 25.4 MiB 0.29 992 11063 2679 7283 1101 64.1 MiB 0.09 0.00 3.74723 -113.498 -3.74723 3.74723 0.91 0.00021966 0.000173512 0.0141335 0.0114634 -1 -1 -1 -1 28 2320 21 6.65987e+06 380340 500653. 1732.36 0.88 0.0559482 0.0468534 21970 115934 -1 1911 18 1016 1843 106397 26846 3.08637 3.08637 -110.967 -3.08637 0 0 612192. 2118.31 0.26 0.05 0.11 -1 -1 0.26 0.0158639 0.0140921 136 92 26 26 90 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 4.90 vpr 64.11 MiB 0.02 7132 -1 -1 1 0.05 -1 -1 34024 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65644 32 32 407 319 1 198 85 17 17 289 -1 unnamed_device 25.3 MiB 0.39 1074 13477 4127 7567 1783 64.1 MiB 0.12 0.00 4.06547 -141.302 -4.06547 4.06547 1.00 0.000228597 0.000180904 0.0201545 0.0164508 -1 -1 -1 -1 32 2517 20 6.65987e+06 266238 554710. 1919.41 0.96 0.0598829 0.0497928 22834 132086 -1 2263 21 1834 3159 192050 44920 3.36097 3.36097 -134.209 -3.36097 0 0 701300. 2426.64 0.34 0.07 0.12 -1 -1 0.34 0.0181254 0.0160363 154 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 4.14 vpr 64.09 MiB 0.02 7372 -1 -1 1 0.03 -1 -1 34084 -1 -1 34 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65628 29 32 387 316 1 179 95 17 17 289 -1 unnamed_device 25.1 MiB 0.25 874 10895 2930 7022 943 64.1 MiB 0.09 0.00 3.36406 -101.988 -3.36406 3.36406 0.86 0.000220277 0.000174201 0.0133337 0.0107319 -1 -1 -1 -1 32 1935 18 6.65987e+06 431052 554710. 1919.41 0.89 0.0482552 0.039936 22834 132086 -1 1666 21 1137 1899 101145 25132 2.66151 2.66151 -98.0325 -2.66151 0 0 701300. 2426.64 0.30 0.04 0.12 -1 -1 0.30 0.0146317 0.0127484 134 88 26 26 85 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 4.05 vpr 63.50 MiB 0.02 6788 -1 -1 1 0.03 -1 -1 33952 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65020 32 32 283 225 1 158 80 17 17 289 -1 unnamed_device 24.6 MiB 0.18 799 10056 2635 6899 522 63.5 MiB 0.08 0.00 3.5031 -122.874 -3.5031 3.5031 0.87 0.000205426 0.000165631 0.0129178 0.0104787 -1 -1 -1 -1 32 1971 18 6.65987e+06 202848 554710. 1919.41 0.91 0.0432357 0.0361987 22834 132086 -1 1754 22 1114 1735 125414 29154 2.86777 2.86777 -118.356 -2.86777 0 0 701300. 2426.64 0.29 0.05 0.11 -1 -1 0.29 0.0135667 0.0119384 117 3 96 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 5.04 vpr 63.94 MiB 0.03 7012 -1 -1 1 0.04 -1 -1 33880 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65472 32 32 407 319 1 194 97 17 17 289 -1 unnamed_device 25.5 MiB 0.41 1015 16525 5345 8784 2396 63.9 MiB 0.13 0.00 4.18856 -142.192 -4.18856 4.18856 1.03 0.000234025 0.000184401 0.021043 0.0171308 -1 -1 -1 -1 32 2391 18 6.65987e+06 418374 554710. 1919.41 0.97 0.061095 0.0511326 22834 132086 -1 1935 22 1491 2315 154663 35170 3.56843 3.56843 -134.747 -3.56843 0 0 701300. 2426.64 0.32 0.06 0.14 -1 -1 0.32 0.0188209 0.0165247 150 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 4.26 vpr 64.34 MiB 0.02 7044 -1 -1 1 0.03 -1 -1 34012 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65880 32 32 407 319 1 201 85 17 17 289 -1 unnamed_device 25.4 MiB 0.30 1026 16081 4881 8736 2464 64.3 MiB 0.12 0.00 4.23393 -146.239 -4.23393 4.23393 0.85 0.000227134 0.000178885 0.0222291 0.0178092 -1 -1 -1 -1 32 2423 20 6.65987e+06 266238 554710. 1919.41 0.94 0.0621139 0.0513255 22834 132086 -1 2088 20 1618 2397 158021 36521 3.54323 3.54323 -139.034 -3.54323 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0166336 0.0146456 157 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 4.81 vpr 63.68 MiB 0.02 7040 -1 -1 1 0.04 -1 -1 34116 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65204 32 32 315 267 1 158 93 17 17 289 -1 unnamed_device 24.8 MiB 0.25 688 16683 5557 7719 3407 63.7 MiB 0.10 0.00 3.44878 -105.048 -3.44878 3.44878 0.96 0.000192075 0.000150792 0.0183431 0.0147613 -1 -1 -1 -1 32 2061 28 6.65987e+06 367662 554710. 1919.41 1.10 0.0603129 0.0504057 22834 132086 -1 1604 22 1074 1599 128090 35387 2.74465 2.74465 -99.8729 -2.74465 0 0 701300. 2426.64 0.31 0.05 0.12 -1 -1 0.31 0.0149201 0.013139 111 55 32 32 54 27 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 4.32 vpr 63.91 MiB 0.02 6932 -1 -1 1 0.04 -1 -1 33900 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65448 31 32 275 220 1 160 81 17 17 289 -1 unnamed_device 25.1 MiB 0.21 720 9356 2387 5915 1054 63.9 MiB 0.08 0.00 3.4529 -113.153 -3.4529 3.4529 0.88 0.000182473 0.000145676 0.0118602 0.00971275 -1 -1 -1 -1 30 1921 19 6.65987e+06 228204 526063. 1820.29 0.92 0.0444613 0.037458 22546 126617 -1 1653 19 1135 1847 109681 25398 2.89017 2.89017 -110.427 -2.89017 0 0 666494. 2306.21 0.28 0.04 0.12 -1 -1 0.28 0.0128444 0.011367 118 4 93 31 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 6.30 vpr 64.00 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 33892 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65536 32 32 381 303 1 188 96 17 17 289 -1 unnamed_device 25.0 MiB 0.38 913 5790 1032 4561 197 64.0 MiB 0.05 0.00 3.91316 -128.563 -3.91316 3.91316 0.85 0.000214525 0.000169549 0.00777021 0.0064358 -1 -1 -1 -1 26 2646 37 6.65987e+06 405696 477104. 1650.88 3.03 0.0950528 0.0790268 21682 110474 -1 2100 23 1573 2374 154692 36908 3.58831 3.58831 -133.102 -3.58831 0 0 585099. 2024.56 0.25 0.06 0.10 -1 -1 0.25 0.0169989 0.0146948 138 59 60 32 58 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 4.88 vpr 64.11 MiB 0.03 7320 -1 -1 1 0.04 -1 -1 33752 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65652 32 32 406 330 1 190 94 17 17 289 -1 unnamed_device 25.3 MiB 0.15 879 9892 2434 7009 449 64.1 MiB 0.10 0.00 4.11224 -123.302 -4.11224 4.11224 0.86 0.000321479 0.000268756 0.0144725 0.0118283 -1 -1 -1 -1 28 2708 31 6.65987e+06 380340 500653. 1732.36 1.43 0.0751404 0.0641647 21970 115934 -1 2190 24 1517 2512 179886 43994 3.75965 3.75965 -131.439 -3.75965 0 0 612192. 2118.31 0.26 0.06 0.11 -1 -1 0.26 0.0187983 0.0164705 134 88 28 28 88 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 4.56 vpr 64.03 MiB 0.03 7284 -1 -1 1 0.05 -1 -1 34032 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65564 32 32 399 285 1 228 99 17 17 289 -1 unnamed_device 25.6 MiB 0.22 1247 19251 5678 10972 2601 64.0 MiB 0.17 0.00 4.78976 -159.687 -4.78976 4.78976 0.86 0.000238781 0.000190561 0.0250133 0.0203764 -1 -1 -1 -1 32 2872 20 6.65987e+06 443730 554710. 1919.41 1.02 0.0727967 0.0613132 22834 132086 -1 2519 21 1954 3285 237082 51906 4.04943 4.04943 -149.419 -4.04943 0 0 701300. 2426.64 0.30 0.07 0.12 -1 -1 0.30 0.0175497 0.0155177 177 3 156 32 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 4.25 vpr 64.29 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 33868 -1 -1 32 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65832 30 32 371 295 1 184 94 17 17 289 -1 unnamed_device 25.3 MiB 0.31 1003 9892 2469 6555 868 64.3 MiB 0.08 0.00 3.59821 -110.073 -3.59821 3.59821 0.85 0.00020839 0.000164853 0.0118818 0.00956624 -1 -1 -1 -1 32 2189 22 6.65987e+06 405696 554710. 1919.41 0.94 0.0497122 0.0414156 22834 132086 -1 1957 22 1356 2177 131501 31199 2.77671 2.77671 -109.109 -2.77671 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0151062 0.0131895 136 59 60 30 56 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 4.36 vpr 63.53 MiB 0.02 6920 -1 -1 1 0.04 -1 -1 34212 -1 -1 20 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65056 27 32 269 226 1 143 79 17 17 289 -1 unnamed_device 24.8 MiB 0.12 768 12754 4322 6521 1911 63.5 MiB 0.08 0.00 3.3979 -99.6122 -3.3979 3.3979 0.96 0.00016626 0.000130912 0.0160227 0.013089 -1 -1 -1 -1 32 1486 19 6.65987e+06 253560 554710. 1919.41 0.97 0.0486231 0.0407528 22834 132086 -1 1328 19 850 1272 81588 19169 2.56737 2.56737 -92.6845 -2.56737 0 0 701300. 2426.64 0.31 0.04 0.13 -1 -1 0.31 0.0120134 0.0106387 107 34 54 27 27 27 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 4.41 vpr 64.48 MiB 0.03 7300 -1 -1 1 0.04 -1 -1 34204 -1 -1 40 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66028 32 32 493 378 1 232 104 17 17 289 -1 unnamed_device 26.0 MiB 0.28 1366 15232 4128 9656 1448 64.5 MiB 0.14 0.00 4.15924 -136.806 -4.15924 4.15924 0.85 0.000277765 0.000220544 0.0207731 0.0166701 -1 -1 -1 -1 32 3092 23 6.65987e+06 507120 554710. 1919.41 0.99 0.06996 0.0578366 22834 132086 -1 2778 24 2083 3703 243892 56131 3.46791 3.46791 -136.36 -3.46791 0 0 701300. 2426.64 0.31 0.07 0.12 -1 -1 0.31 0.0200441 0.0173711 184 95 62 31 95 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 4.17 vpr 64.46 MiB 0.02 7448 -1 -1 1 0.04 -1 -1 34200 -1 -1 21 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66012 31 32 455 371 1 189 84 17 17 289 -1 unnamed_device 25.5 MiB 0.24 989 7038 1632 4931 475 64.5 MiB 0.07 0.00 4.3087 -132.62 -4.3087 4.3087 0.84 0.000235578 0.000185919 0.0114304 0.00927209 -1 -1 -1 -1 32 2348 23 6.65987e+06 266238 554710. 1919.41 0.91 0.0526485 0.0432866 22834 132086 -1 2136 20 1285 2053 142990 32843 3.57811 3.57811 -134.798 -3.57811 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0161723 0.0141581 145 124 0 0 124 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 4.48 vpr 63.89 MiB 0.02 7040 -1 -1 1 0.04 -1 -1 33896 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65424 32 32 355 304 1 151 80 17 17 289 -1 unnamed_device 24.9 MiB 0.22 741 9196 2428 5976 792 63.9 MiB 0.07 0.00 3.81463 -109.262 -3.81463 3.81463 0.95 0.000220879 0.000177835 0.0138884 0.0114192 -1 -1 -1 -1 32 1801 19 6.65987e+06 202848 554710. 1919.41 0.96 0.0532942 0.0449636 22834 132086 -1 1593 16 667 1046 64001 15581 2.76971 2.76971 -104.892 -2.76971 0 0 701300. 2426.64 0.31 0.04 0.13 -1 -1 0.31 0.0149849 0.013423 109 89 0 0 89 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 4.43 vpr 64.00 MiB 0.02 6912 -1 -1 1 0.04 -1 -1 34040 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65540 32 32 364 282 1 196 96 17 17 289 -1 unnamed_device 25.0 MiB 0.13 1123 15645 4083 9696 1866 64.0 MiB 0.12 0.00 4.2837 -136.384 -4.2837 4.2837 0.96 0.000211771 0.000167069 0.0188431 0.0153039 -1 -1 -1 -1 26 2734 22 6.65987e+06 405696 477104. 1650.88 1.01 0.0583938 0.0487463 21682 110474 -1 2304 21 1435 2192 157562 36356 3.90497 3.90497 -138.663 -3.90497 0 0 585099. 2024.56 0.25 0.05 0.10 -1 -1 0.25 0.0161463 0.0142706 146 34 90 30 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 4.86 vpr 64.05 MiB 0.03 7372 -1 -1 1 0.04 -1 -1 34460 -1 -1 36 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65584 31 32 443 336 1 220 99 17 17 289 -1 unnamed_device 25.6 MiB 0.34 1167 13551 3218 9177 1156 64.0 MiB 0.13 0.00 4.22766 -133.836 -4.22766 4.22766 0.90 0.000263693 0.000210924 0.0194889 0.0159014 -1 -1 -1 -1 32 2393 23 6.65987e+06 456408 554710. 1919.41 1.00 0.0709292 0.0599018 22834 132086 -1 2240 21 1644 2505 148012 35341 3.52031 3.52031 -130.484 -3.52031 0 0 701300. 2426.64 0.34 0.06 0.13 -1 -1 0.34 0.019955 0.0176184 171 64 87 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 6.08 vpr 64.07 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34212 -1 -1 33 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65604 30 32 373 297 1 186 95 17 17 289 -1 unnamed_device 25.0 MiB 0.30 1070 11111 2802 7426 883 64.1 MiB 0.09 0.00 3.62941 -110.797 -3.62941 3.62941 0.89 0.000216898 0.000171859 0.0133401 0.0108081 -1 -1 -1 -1 22 3059 42 6.65987e+06 418374 420624. 1455.45 2.81 0.0855172 0.0706322 20818 92861 -1 2541 19 1204 2230 204381 44907 3.36171 3.36171 -117.007 -3.36171 0 0 500653. 1732.36 0.23 0.06 0.08 -1 -1 0.23 0.0143945 0.0126119 134 61 58 30 58 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.28 vpr 64.42 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 34076 -1 -1 42 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65964 32 32 407 319 1 201 106 17 17 289 -1 unnamed_device 25.3 MiB 0.26 1074 12606 3053 8336 1217 64.4 MiB 0.11 0.00 4.0783 -140.694 -4.0783 4.0783 0.85 0.000234095 0.000184681 0.0145852 0.0117767 -1 -1 -1 -1 30 2478 23 6.65987e+06 532476 526063. 1820.29 0.98 0.0550997 0.0457093 22546 126617 -1 2051 21 1402 2299 137271 31767 3.45817 3.45817 -133.145 -3.45817 0 0 666494. 2306.21 0.28 0.05 0.11 -1 -1 0.28 0.0156737 0.0136999 157 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 4.59 vpr 63.81 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34304 -1 -1 38 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65340 32 32 405 318 1 200 102 17 17 289 -1 unnamed_device 25.3 MiB 0.31 984 6766 1232 5172 362 63.8 MiB 0.07 0.00 3.41884 -115.761 -3.41884 3.41884 0.93 0.000247148 0.000195377 0.00970804 0.00800792 -1 -1 -1 -1 26 2790 23 6.65987e+06 481764 477104. 1650.88 0.94 0.0585829 0.0496962 21682 110474 -1 2277 22 1589 2490 166815 39888 2.87011 2.87011 -115.85 -2.87011 0 0 585099. 2024.56 0.26 0.07 0.10 -1 -1 0.26 0.0227187 0.0202367 155 65 63 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 3.90 vpr 63.59 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 33988 -1 -1 16 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65112 29 32 287 238 1 135 77 17 17 289 -1 unnamed_device 24.8 MiB 0.09 508 12791 3386 7672 1733 63.6 MiB 0.06 0.00 3.7595 -104.085 -3.7595 3.7595 0.84 0.000167729 0.000131427 0.0150113 0.0120138 -1 -1 -1 -1 32 1446 20 6.65987e+06 202848 554710. 1919.41 0.86 0.0446822 0.0369271 22834 132086 -1 1280 19 920 1333 92562 23039 2.80171 2.80171 -100.186 -2.80171 0 0 701300. 2426.64 0.29 0.04 0.12 -1 -1 0.29 0.0111545 0.00976707 93 34 58 29 29 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 4.35 vpr 63.76 MiB 0.02 7088 -1 -1 1 0.04 -1 -1 34116 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65292 32 32 334 290 1 154 81 17 17 289 -1 unnamed_device 24.8 MiB 0.20 872 14431 4553 8297 1581 63.8 MiB 0.09 0.00 3.69338 -109.525 -3.69338 3.69338 0.91 0.000212239 0.00017062 0.0188757 0.0153019 -1 -1 -1 -1 32 1890 18 6.65987e+06 215526 554710. 1919.41 0.94 0.0540843 0.0450317 22834 132086 -1 1798 17 771 1118 82831 18046 2.62671 2.62671 -102.907 -2.62671 0 0 701300. 2426.64 0.29 0.04 0.13 -1 -1 0.29 0.0123393 0.0109298 111 82 0 0 82 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 5.81 vpr 64.08 MiB 0.03 7044 -1 -1 1 0.04 -1 -1 34024 -1 -1 37 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65620 31 32 365 281 1 196 100 17 17 289 -1 unnamed_device 25.1 MiB 0.24 964 13556 3768 8523 1265 64.1 MiB 0.12 0.00 4.3897 -133.197 -4.3897 4.3897 0.86 0.000230146 0.000184894 0.0159005 0.0130657 -1 -1 -1 -1 28 2998 50 6.65987e+06 469086 500653. 1732.36 2.10 0.0712368 0.05962 21970 115934 -1 2210 24 2070 3451 281681 63736 3.91377 3.91377 -140.951 -3.91377 0 0 612192. 2118.31 0.27 0.10 0.11 -1 -1 0.27 0.024783 0.0221684 150 34 93 31 31 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 4.60 vpr 63.76 MiB 0.02 7180 -1 -1 1 0.06 -1 -1 33988 -1 -1 31 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65292 29 32 297 254 1 150 92 17 17 289 -1 unnamed_device 24.9 MiB 0.27 621 11063 2736 7707 620 63.8 MiB 0.08 0.00 3.58224 -95.8028 -3.58224 3.58224 0.91 0.000171037 0.000133562 0.0112281 0.00901717 -1 -1 -1 -1 26 1813 22 6.65987e+06 393018 477104. 1650.88 1.02 0.0490225 0.0412671 21682 110474 -1 1602 17 840 1268 82834 21231 2.78565 2.78565 -100.012 -2.78565 0 0 585099. 2024.56 0.26 0.04 0.10 -1 -1 0.26 0.0114202 0.0101537 108 56 29 29 52 26 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 4.77 vpr 63.80 MiB 0.02 7028 -1 -1 1 0.05 -1 -1 33896 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65328 32 32 314 256 1 160 80 17 17 289 -1 unnamed_device 24.9 MiB 0.23 823 7992 1920 5681 391 63.8 MiB 0.08 0.00 3.5141 -118.56 -3.5141 3.5141 0.95 0.000212288 0.000163298 0.0115542 0.00939533 -1 -1 -1 -1 32 1965 19 6.65987e+06 202848 554710. 1919.41 1.03 0.045829 0.0384227 22834 132086 -1 1725 18 1124 1875 121897 29067 2.82857 2.82857 -115.262 -2.82857 0 0 701300. 2426.64 0.32 0.05 0.12 -1 -1 0.32 0.0133285 0.0118801 119 34 64 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 4.35 vpr 64.30 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 34104 -1 -1 36 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65848 31 32 387 307 1 189 99 17 17 289 -1 unnamed_device 25.5 MiB 0.28 997 12411 3191 8197 1023 64.3 MiB 0.09 0.00 3.50507 -117.588 -3.50507 3.50507 0.91 0.000225858 0.000175333 0.0148189 0.0118768 -1 -1 -1 -1 26 2261 19 6.65987e+06 456408 477104. 1650.88 0.88 0.0564569 0.0473976 21682 110474 -1 1929 20 1442 2138 126025 29548 2.78571 2.78571 -115.079 -2.78571 0 0 585099. 2024.56 0.25 0.06 0.10 -1 -1 0.25 0.0180723 0.0160056 142 64 58 31 62 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 4.08 vpr 63.61 MiB 0.02 6968 -1 -1 1 0.04 -1 -1 33824 -1 -1 16 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65140 31 32 308 262 1 147 79 17 17 289 -1 unnamed_device 24.7 MiB 0.32 889 12754 3806 7303 1645 63.6 MiB 0.08 0.00 3.11304 -101.246 -3.11304 3.11304 0.84 0.000195861 0.000155969 0.0154357 0.0123096 -1 -1 -1 -1 32 1732 19 6.65987e+06 202848 554710. 1919.41 0.88 0.0452196 0.037293 22834 132086 -1 1557 13 635 1065 56669 14489 2.67545 2.67545 -99.9304 -2.67545 0 0 701300. 2426.64 0.29 0.03 0.11 -1 -1 0.29 0.00953536 0.00851094 105 55 31 31 53 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 5.65 vpr 64.28 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 34016 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65820 32 32 383 307 1 184 96 17 17 289 -1 unnamed_device 25.3 MiB 0.37 929 17616 5738 7949 3929 64.3 MiB 0.11 0.00 3.3979 -111.1 -3.3979 3.3979 0.87 0.000223965 0.000176453 0.0208594 0.0168011 -1 -1 -1 -1 32 2317 41 6.65987e+06 405696 554710. 1919.41 2.03 0.0852565 0.0710206 22834 132086 -1 1896 18 1239 2139 175466 43245 2.75477 2.75477 -106.462 -2.75477 0 0 701300. 2426.64 0.30 0.06 0.13 -1 -1 0.30 0.0168361 0.0150402 136 65 52 26 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 4.62 vpr 63.93 MiB 0.02 7308 -1 -1 1 0.03 -1 -1 34104 -1 -1 36 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65460 31 32 422 339 1 195 99 17 17 289 -1 unnamed_device 25.4 MiB 0.80 966 17427 4771 10069 2587 63.9 MiB 0.13 0.00 3.7525 -119.295 -3.7525 3.7525 0.85 0.000247417 0.00019615 0.0214676 0.0171495 -1 -1 -1 -1 28 2373 19 6.65987e+06 456408 500653. 1732.36 0.85 0.0599269 0.0493077 21970 115934 -1 2028 19 1642 2395 148618 35956 3.00737 3.00737 -117.232 -3.00737 0 0 612192. 2118.31 0.26 0.05 0.10 -1 -1 0.26 0.016465 0.0142604 148 93 31 31 92 31 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 4.63 vpr 63.84 MiB 0.02 6912 -1 -1 1 0.04 -1 -1 33908 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65376 32 32 333 279 1 160 82 17 17 289 -1 unnamed_device 25.0 MiB 0.29 861 11652 3522 6006 2124 63.8 MiB 0.08 0.00 2.81844 -100.349 -2.81844 2.81844 0.97 0.000198971 0.00015632 0.0156976 0.0127349 -1 -1 -1 -1 32 1853 21 6.65987e+06 228204 554710. 1919.41 1.01 0.0534988 0.0447903 22834 132086 -1 1607 17 1010 1569 98798 22749 2.60745 2.60745 -102.851 -2.60745 0 0 701300. 2426.64 0.30 0.04 0.12 -1 -1 0.30 0.0131704 0.011693 115 61 32 32 60 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 4.22 vpr 63.85 MiB 0.02 7132 -1 -1 1 0.03 -1 -1 33804 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65380 32 32 339 283 1 164 82 17 17 289 -1 unnamed_device 25.1 MiB 0.32 667 7380 1595 4913 872 63.8 MiB 0.05 0.00 3.38184 -112.707 -3.38184 3.38184 0.84 0.000206345 0.000165141 0.00994296 0.00808268 -1 -1 -1 -1 32 2059 26 6.65987e+06 228204 554710. 1919.41 1.01 0.0465543 0.038809 22834 132086 -1 1562 19 1091 1685 116660 29140 2.76171 2.76171 -110.403 -2.76171 0 0 701300. 2426.64 0.29 0.04 0.12 -1 -1 0.29 0.0124826 0.0109317 121 63 32 32 62 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 4.45 vpr 63.78 MiB 0.02 7264 -1 -1 1 0.04 -1 -1 34304 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65308 32 32 407 319 1 198 100 17 17 289 -1 unnamed_device 25.2 MiB 0.26 1042 12164 2979 8000 1185 63.8 MiB 0.10 0.00 4.02524 -139.262 -4.02524 4.02524 0.89 0.000224224 0.000177437 0.0143822 0.011598 -1 -1 -1 -1 28 2574 23 6.65987e+06 456408 500653. 1732.36 0.93 0.0544088 0.0451301 21970 115934 -1 2245 21 1795 2760 183069 42394 3.45191 3.45191 -135.897 -3.45191 0 0 612192. 2118.31 0.26 0.06 0.12 -1 -1 0.26 0.017424 0.0153585 154 65 64 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 4.23 vpr 64.05 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34152 -1 -1 32 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65592 29 32 367 293 1 183 93 17 17 289 -1 unnamed_device 25.1 MiB 0.28 974 17313 5216 9261 2836 64.1 MiB 0.13 0.00 3.57304 -105.909 -3.57304 3.57304 0.87 0.000215211 0.000171489 0.0209218 0.0168287 -1 -1 -1 -1 32 2030 20 6.65987e+06 405696 554710. 1919.41 0.87 0.0572275 0.0471326 22834 132086 -1 1783 15 787 1212 72557 17895 2.73571 2.73571 -101.927 -2.73571 0 0 701300. 2426.64 0.29 0.03 0.11 -1 -1 0.29 0.0132598 0.0118308 133 62 56 29 58 29 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 4.26 vpr 64.08 MiB 0.02 7196 -1 -1 1 0.04 -1 -1 34044 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65616 32 32 469 381 1 200 101 17 17 289 -1 unnamed_device 25.6 MiB 0.35 1004 11616 2968 7911 737 64.1 MiB 0.10 0.00 3.97241 -135.454 -3.97241 3.97241 0.86 0.000245837 0.000193262 0.0149478 0.0118908 -1 -1 -1 -1 32 2350 23 6.65987e+06 469086 554710. 1919.41 0.91 0.0575117 0.0473782 22834 132086 -1 2119 18 1433 2346 143697 34733 3.52231 3.52231 -134.27 -3.52231 0 0 701300. 2426.64 0.29 0.05 0.11 -1 -1 0.29 0.0155355 0.0136356 156 127 0 0 128 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 5.70 vpr 63.50 MiB 0.02 6808 -1 -1 1 0.03 -1 -1 33768 -1 -1 16 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65024 31 32 259 212 1 146 79 17 17 289 -1 unnamed_device 24.7 MiB 0.18 635 8698 2288 5521 889 63.5 MiB 0.07 0.00 2.9397 -95.8867 -2.9397 2.9397 0.87 0.000194796 0.000158562 0.0113121 0.00927696 -1 -1 -1 -1 32 1618 16 6.65987e+06 202848 554710. 1919.41 2.45 0.0849356 0.070936 22834 132086 -1 1428 19 892 1396 78771 21607 2.61571 2.61571 -97.4937 -2.61571 0 0 701300. 2426.64 0.30 0.04 0.12 -1 -1 0.30 0.0115836 0.0102602 105 4 85 31 0 0 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 4.50 vpr 64.24 MiB 0.02 7304 -1 -1 1 0.04 -1 -1 34068 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65784 32 32 418 338 1 190 97 17 17 289 -1 unnamed_device 25.4 MiB 0.22 948 20077 6167 11074 2836 64.2 MiB 0.15 0.00 4.10497 -133.778 -4.10497 4.10497 0.88 0.000237769 0.000187399 0.0253409 0.0202843 -1 -1 -1 -1 32 2138 21 6.65987e+06 418374 554710. 1919.41 0.96 0.0680995 0.0564173 22834 132086 -1 1855 19 1230 1767 113991 26733 3.46417 3.46417 -126.503 -3.46417 0 0 701300. 2426.64 0.29 0.06 0.13 -1 -1 0.29 0.0195772 0.0172145 142 92 28 28 92 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 4.96 vpr 63.83 MiB 0.02 7196 -1 -1 1 0.04 -1 -1 33884 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65364 32 32 376 318 1 156 80 17 17 289 -1 unnamed_device 25.0 MiB 0.24 805 9196 3450 4876 870 63.8 MiB 0.07 0.00 3.54047 -120.422 -3.54047 3.54047 0.91 0.000223891 0.00017629 0.0154269 0.0126552 -1 -1 -1 -1 30 2166 35 6.65987e+06 202848 526063. 1820.29 1.44 0.0876728 0.0760202 22546 126617 -1 1721 17 1153 1677 119370 27737 2.75177 2.75177 -114.486 -2.75177 0 0 666494. 2306.21 0.29 0.06 0.12 -1 -1 0.29 0.0177851 0.0159531 115 96 0 0 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 4.54 vpr 63.97 MiB 0.02 7188 -1 -1 1 0.05 -1 -1 33996 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65508 32 32 401 316 1 196 99 17 17 289 -1 unnamed_device 25.4 MiB 0.27 1002 18111 5520 9663 2928 64.0 MiB 0.15 0.00 3.45184 -118.995 -3.45184 3.45184 0.89 0.000297556 0.000242959 0.0238077 0.0191885 -1 -1 -1 -1 32 2250 18 6.65987e+06 443730 554710. 1919.41 0.95 0.0645535 0.0537115 22834 132086 -1 1984 20 1211 1785 114450 27538 2.92871 2.92871 -113.309 -2.92871 0 0 701300. 2426.64 0.30 0.05 0.13 -1 -1 0.30 0.0158785 0.0139949 149 65 61 32 64 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 5.35 vpr 64.25 MiB 0.03 7276 -1 -1 1 0.04 -1 -1 34484 -1 -1 43 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65792 32 32 500 382 1 232 107 17 17 289 -1 unnamed_device 25.8 MiB 0.32 1201 15034 3694 9653 1687 64.2 MiB 0.13 0.00 4.6905 -158.567 -4.6905 4.6905 0.93 0.000296697 0.000225422 0.0193272 0.0156803 -1 -1 -1 -1 26 3580 40 6.65987e+06 545154 477104. 1650.88 1.78 0.105786 0.0907775 21682 110474 -1 2748 23 2234 3617 241095 54547 4.27157 4.27157 -157.997 -4.27157 0 0 585099. 2024.56 0.27 0.08 0.11 -1 -1 0.27 0.0222677 0.0196067 186 96 64 32 96 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 4.32 vpr 63.14 MiB 0.02 6844 -1 -1 1 0.03 -1 -1 33872 -1 -1 15 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64652 30 32 246 229 1 118 77 17 17 289 -1 unnamed_device 24.6 MiB 0.18 532 10509 2640 7460 409 63.1 MiB 0.06 0.00 2.61752 -80.0454 -2.61752 2.61752 0.92 0.000148961 0.000116709 0.0120144 0.00966443 -1 -1 -1 -1 26 1435 38 6.65987e+06 190170 477104. 1650.88 1.07 0.0433009 0.0357958 21682 110474 -1 1200 16 635 870 65985 16366 2.23045 2.23045 -84.1729 -2.23045 0 0 585099. 2024.56 0.26 0.03 0.10 -1 -1 0.26 0.00857646 0.00757015 83 56 0 0 53 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 3.97 vpr 63.71 MiB 0.02 6816 -1 -1 1 0.03 -1 -1 34036 -1 -1 16 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65240 30 32 296 244 1 137 78 17 17 289 -1 unnamed_device 24.9 MiB 0.14 762 10536 3371 5501 1664 63.7 MiB 0.07 0.00 3.53704 -109.534 -3.53704 3.53704 0.86 0.000176247 0.000139416 0.0134536 0.010957 -1 -1 -1 -1 32 1600 16 6.65987e+06 202848 554710. 1919.41 0.90 0.0449791 0.0376944 22834 132086 -1 1431 19 799 1198 93640 20131 2.50811 2.50811 -100.397 -2.50811 0 0 701300. 2426.64 0.30 0.04 0.12 -1 -1 0.30 0.0116723 0.0102421 96 34 60 30 30 30 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 4.26 vpr 63.74 MiB 0.02 6940 -1 -1 1 0.04 -1 -1 33740 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65268 32 32 314 256 1 167 82 17 17 289 -1 unnamed_device 24.8 MiB 0.20 856 9872 2316 7018 538 63.7 MiB 0.08 0.00 3.4859 -122.574 -3.4859 3.4859 0.87 0.000204285 0.000164586 0.0126941 0.0103389 -1 -1 -1 -1 32 2258 21 6.65987e+06 228204 554710. 1919.41 0.97 0.0503115 0.0423163 22834 132086 -1 1925 15 1074 1910 122835 28729 2.94997 2.94997 -119.966 -2.94997 0 0 701300. 2426.64 0.30 0.04 0.12 -1 -1 0.30 0.0116685 0.0104679 126 34 64 32 32 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 3.98 vpr 63.58 MiB 0.02 6948 -1 -1 1 0.04 -1 -1 33828 -1 -1 34 25 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65104 25 32 251 214 1 138 91 17 17 289 -1 unnamed_device 24.6 MiB 0.18 702 13351 3659 7846 1846 63.6 MiB 0.09 0.00 3.31884 -88.9455 -3.31884 3.31884 0.94 0.000331638 0.000267458 0.0136609 0.0111658 -1 -1 -1 -1 26 1711 17 6.65987e+06 431052 477104. 1650.88 0.84 0.0395022 0.0330243 21682 110474 -1 1496 21 986 1564 109230 25903 2.73151 2.73151 -90.9013 -2.73151 0 0 585099. 2024.56 0.25 0.04 0.10 -1 -1 0.25 0.0105045 0.0091572 103 34 50 25 25 25 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 4.33 vpr 64.41 MiB 0.02 7240 -1 -1 1 0.03 -1 -1 34344 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65956 32 32 432 346 1 193 84 17 17 289 -1 unnamed_device 25.5 MiB 0.26 877 14541 4608 7775 2158 64.4 MiB 0.11 0.00 4.02035 -125.217 -4.02035 4.02035 0.84 0.000215236 0.00016857 0.0203307 0.0162665 -1 -1 -1 -1 32 2388 35 6.65987e+06 253560 554710. 1919.41 1.08 0.0753804 0.0628972 22834 132086 -1 1943 20 1480 2653 154776 38270 3.30865 3.30865 -119.433 -3.30865 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0158793 0.0139114 147 94 32 32 94 32 -fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 4.30 vpr 64.15 MiB 0.02 7184 -1 -1 1 0.04 -1 -1 34108 -1 -1 37 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65692 31 32 421 339 1 193 100 17 17 289 -1 unnamed_device 25.3 MiB 0.28 939 18660 5305 10506 2849 64.2 MiB 0.14 0.00 3.4903 -116.281 -3.4903 3.4903 0.82 0.000240794 0.0001918 0.0230166 0.0185783 -1 -1 -1 -1 32 2168 23 6.65987e+06 469086 554710. 1919.41 0.95 0.0651704 0.0539486 22834 132086 -1 1955 17 1391 2276 132266 32346 3.08657 3.08657 -112.981 -3.08657 0 0 701300. 2426.64 0.29 0.05 0.12 -1 -1 0.29 0.0150481 0.0133464 146 94 29 29 93 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 8.05 vpr 65.00 MiB 0.03 7376 -1 -1 1 0.04 -1 -1 34296 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66560 32 32 438 350 1 187 90 17 17 289 -1 unnamed_device 26.2 MiB 1.00 781 14160 4399 7086 2675 65.0 MiB 0.09 0.00 3.72605 -134.976 -3.72605 3.72605 0.86 0.000234623 0.000184919 0.0207347 0.0168121 -1 -1 -1 -1 58 2355 45 6.95648e+06 376368 997811. 3452.63 3.43 0.1131 0.0929055 30370 251734 -1 1777 22 1827 2905 256685 55164 3.82046 3.82046 -140.977 -3.82046 0 0 1.25153e+06 4330.55 0.50 0.08 0.24 -1 -1 0.50 0.0194132 0.0171401 85 96 32 32 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 7.20 vpr 64.96 MiB 0.02 7224 -1 -1 1 0.04 -1 -1 34340 -1 -1 14 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66516 30 32 409 330 1 179 76 17 17 289 -1 unnamed_device 26.1 MiB 2.18 824 12716 4465 6411 1840 65.0 MiB 0.08 0.00 3.9478 -132.405 -3.9478 3.9478 0.89 0.000226062 0.000178265 0.0212191 0.0171123 -1 -1 -1 -1 38 2654 28 6.95648e+06 202660 678818. 2348.85 1.77 0.0734624 0.0603106 26626 170182 -1 2188 22 1858 2789 247969 51753 4.03506 4.03506 -147.29 -4.03506 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0163367 0.0142434 76 91 30 30 89 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 8.37 vpr 64.86 MiB 0.04 6992 -1 -1 1 0.04 -1 -1 34212 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66416 32 32 387 309 1 179 83 17 17 289 -1 unnamed_device 26.0 MiB 0.85 1022 7103 1835 4569 699 64.9 MiB 0.06 0.00 3.60914 -132.635 -3.60914 3.60914 0.88 0.000377173 0.000299614 0.0116577 0.00964621 -1 -1 -1 -1 46 2565 43 6.95648e+06 275038 828058. 2865.25 4.18 0.118892 0.0985321 28066 200906 -1 2228 20 1383 2090 172291 34620 3.58116 3.58116 -138.28 -3.58116 0 0 1.01997e+06 3529.29 0.38 0.05 0.17 -1 -1 0.38 0.0156659 0.0137637 77 65 54 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 6.53 vpr 64.63 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 34000 -1 -1 16 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66180 29 32 343 267 1 176 77 17 17 289 -1 unnamed_device 25.9 MiB 0.51 752 10672 3799 5216 1657 64.6 MiB 0.07 0.00 4.001 -128.21 -4.001 4.001 0.89 0.000203993 0.000162004 0.0162375 0.0133566 -1 -1 -1 -1 40 2598 38 6.95648e+06 231611 706193. 2443.58 2.62 0.092438 0.077457 26914 176310 -1 2092 24 1815 2619 252347 55632 4.49236 4.49236 -154.879 -4.49236 0 0 926341. 3205.33 0.35 0.08 0.16 -1 -1 0.35 0.0176845 0.0155424 75 34 87 29 29 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 10.79 vpr 64.83 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 33932 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66388 32 32 376 288 1 187 77 17 17 289 -1 unnamed_device 25.9 MiB 0.87 812 8879 3631 4902 346 64.8 MiB 0.06 0.00 3.71619 -136.578 -3.71619 3.71619 0.90 0.000217302 0.000171809 0.0146666 0.0120464 -1 -1 -1 -1 60 2779 26 6.95648e+06 188184 1.01997e+06 3529.29 6.32 0.117777 0.0972151 30658 258169 -1 2020 20 1917 3309 267682 58055 3.68116 3.68116 -146.369 -3.68116 0 0 1.27783e+06 4421.56 0.55 0.08 0.25 -1 -1 0.55 0.0173787 0.015413 78 34 96 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 13.89 vpr 64.77 MiB 0.02 7056 -1 -1 1 0.04 -1 -1 34116 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66320 32 32 402 316 1 191 93 17 17 289 -1 unnamed_device 26.1 MiB 0.49 804 15213 5066 7689 2458 64.8 MiB 0.10 0.00 3.0985 -115.525 -3.0985 3.0985 0.90 0.000226379 0.000178662 0.0204726 0.0165431 -1 -1 -1 -1 40 2302 35 6.95648e+06 419795 706193. 2443.58 9.94 0.165808 0.137529 26914 176310 -1 1940 19 1565 2193 178455 41014 3.18097 3.18097 -120.927 -3.18097 0 0 926341. 3205.33 0.35 0.06 0.18 -1 -1 0.35 0.0165518 0.0146712 89 64 63 32 63 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 11.60 vpr 64.03 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 34124 -1 -1 14 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65568 27 32 269 226 1 131 73 17 17 289 -1 unnamed_device 25.5 MiB 6.24 466 7825 3200 4121 504 64.0 MiB 0.04 0.00 3.26916 -94.6801 -3.26916 3.26916 0.90 0.000159241 0.000124218 0.0100214 0.00811157 -1 -1 -1 -1 38 1802 28 6.95648e+06 202660 678818. 2348.85 2.11 0.0580563 0.0477318 26626 170182 -1 1357 23 1123 1624 130296 32272 3.38283 3.38283 -105.787 -3.38283 0 0 902133. 3121.57 0.40 0.06 0.16 -1 -1 0.40 0.0151281 0.0132054 55 34 54 27 27 27 -fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 15.25 vpr 64.51 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 33884 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66060 31 32 317 242 1 178 80 17 17 289 -1 unnamed_device 25.6 MiB 0.66 720 11088 4590 6028 470 64.5 MiB 0.07 0.00 3.0082 -105.111 -3.0082 3.0082 0.92 0.000231919 0.000168537 0.0157324 0.0128738 -1 -1 -1 -1 44 2805 44 6.95648e+06 246087 787024. 2723.27 11.35 0.158193 0.131613 27778 195446 -1 1830 18 1273 1799 152459 38105 3.36257 3.36257 -113.798 -3.36257 0 0 997811. 3452.63 0.39 0.05 0.17 -1 -1 0.39 0.0136364 0.0120364 77 4 115 31 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 7.00 vpr 64.75 MiB 0.02 7160 -1 -1 1 0.04 -1 -1 33972 -1 -1 11 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66304 31 32 338 292 1 143 74 17 17 289 -1 unnamed_device 25.8 MiB 1.84 523 9839 2724 5527 1588 64.8 MiB 0.06 0.00 3.10275 -98.6883 -3.10275 3.10275 0.87 0.000223336 0.00018019 0.0152576 0.0122849 -1 -1 -1 -1 42 1667 49 6.95648e+06 159232 744469. 2576.02 1.87 0.0680408 0.0559074 27202 183097 -1 1242 24 987 1542 129535 31017 3.07417 3.07417 -108.139 -3.07417 0 0 949917. 3286.91 0.36 0.05 0.17 -1 -1 0.36 0.014507 0.0125942 57 85 0 0 84 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 6.41 vpr 64.49 MiB 0.02 6804 -1 -1 1 0.03 -1 -1 33924 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66036 32 32 314 256 1 156 74 17 17 289 -1 unnamed_device 25.9 MiB 1.02 638 10614 4216 4843 1555 64.5 MiB 0.06 0.00 2.95005 -114.898 -2.95005 2.95005 0.93 0.000189591 0.000149738 0.0158569 0.0129286 -1 -1 -1 -1 38 2010 47 6.95648e+06 144757 678818. 2348.85 2.13 0.0800227 0.0660846 26626 170182 -1 1682 21 1536 2200 204120 42048 3.20292 3.20292 -127.541 -3.20292 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.0132027 0.0116085 62 34 64 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 7.05 vpr 64.66 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 33736 -1 -1 12 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66216 30 32 325 273 1 148 74 17 17 289 -1 unnamed_device 25.8 MiB 2.12 652 11079 4648 6085 346 64.7 MiB 0.06 0.00 3.1095 -111.937 -3.1095 3.1095 0.85 0.000185081 0.000145664 0.0151523 0.0121886 -1 -1 -1 -1 36 1864 27 6.95648e+06 173708 648988. 2245.63 1.87 0.0716854 0.0589095 26050 158493 -1 1465 21 1330 1798 134831 29950 3.06667 3.06667 -117.899 -3.06667 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0146652 0.0129001 60 63 30 30 60 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 7.00 vpr 64.54 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 34220 -1 -1 12 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66092 32 32 331 280 1 150 76 17 17 289 -1 unnamed_device 25.7 MiB 0.96 538 10476 4359 5709 408 64.5 MiB 0.06 0.00 2.9793 -106.415 -2.9793 2.9793 0.87 0.000184954 0.000145034 0.0145094 0.011746 -1 -1 -1 -1 52 1632 21 6.95648e+06 173708 926341. 3205.33 2.72 0.0706911 0.058667 29218 227130 -1 1345 24 1114 1571 160473 46447 3.27657 3.27657 -114.812 -3.27657 0 0 1.14541e+06 3963.36 0.39 0.06 0.19 -1 -1 0.39 0.0156895 0.0137389 60 65 25 25 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 9.80 vpr 64.81 MiB 0.02 7004 -1 -1 1 0.04 -1 -1 34092 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66368 32 32 386 305 1 180 85 17 17 289 -1 unnamed_device 25.9 MiB 1.50 751 11803 3276 6505 2022 64.8 MiB 0.08 0.00 3.1024 -116.607 -3.1024 3.1024 0.93 0.000218532 0.000172421 0.0171091 0.0139767 -1 -1 -1 -1 40 3036 49 6.95648e+06 303989 706193. 2443.58 4.74 0.0984285 0.0819917 26914 176310 -1 2162 25 1825 2799 320543 71019 3.35787 3.35787 -136.972 -3.35787 0 0 926341. 3205.33 0.36 0.08 0.18 -1 -1 0.36 0.0176333 0.0153125 79 58 64 32 57 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 7.13 vpr 64.93 MiB 0.02 7176 -1 -1 1 0.03 -1 -1 34216 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66484 32 32 407 319 1 192 90 17 17 289 -1 unnamed_device 26.2 MiB 1.05 814 16371 6936 9066 369 64.9 MiB 0.10 0.00 3.79319 -140.457 -3.79319 3.79319 0.93 0.000227824 0.000179479 0.0215847 0.0174207 -1 -1 -1 -1 40 2624 30 6.95648e+06 376368 706193. 2443.58 2.68 0.0941303 0.0779886 26914 176310 -1 2220 23 2215 3165 387799 96045 4.45166 4.45166 -158.511 -4.45166 0 0 926341. 3205.33 0.35 0.10 0.16 -1 -1 0.35 0.017607 0.0153551 87 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 6.64 vpr 63.98 MiB 0.02 6864 -1 -1 1 0.03 -1 -1 34132 -1 -1 13 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65516 29 32 272 228 1 141 74 17 17 289 -1 unnamed_device 25.6 MiB 1.20 465 11234 4544 5569 1121 64.0 MiB 0.06 0.00 3.14676 -95.8879 -3.14676 3.14676 0.92 0.000165855 0.000129683 0.0143056 0.011617 -1 -1 -1 -1 42 1841 46 6.95648e+06 188184 744469. 2576.02 2.15 0.0645886 0.0536616 27202 183097 -1 1312 22 1137 1681 147723 42177 3.01362 3.01362 -104.969 -3.01362 0 0 949917. 3286.91 0.35 0.05 0.16 -1 -1 0.35 0.0123785 0.0108412 58 29 58 29 24 24 -fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 22.15 vpr 65.19 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 33896 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66756 32 32 401 315 1 186 77 17 17 289 -1 unnamed_device 26.1 MiB 1.84 727 13443 5705 7147 591 65.2 MiB 0.11 0.00 3.1505 -117.517 -3.1505 3.1505 0.93 0.000229954 0.000181505 0.0292832 0.0238386 -1 -1 -1 -1 50 2722 37 6.95648e+06 188184 902133. 3121.57 16.76 0.17932 0.148263 28642 213929 -1 1873 25 1935 3112 349416 88572 3.70312 3.70312 -135.946 -3.70312 0 0 1.08113e+06 3740.92 0.41 0.09 0.19 -1 -1 0.41 0.0176833 0.0153476 77 63 64 32 62 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 7.42 vpr 64.70 MiB 0.02 7088 -1 -1 1 0.04 -1 -1 33912 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66256 32 32 383 303 1 179 84 17 17 289 -1 unnamed_device 25.9 MiB 1.63 707 11064 3431 5769 1864 64.7 MiB 0.07 0.00 3.0804 -113.798 -3.0804 3.0804 0.85 0.000228172 0.000180987 0.0174747 0.0142219 -1 -1 -1 -1 46 2318 36 6.95648e+06 289514 828058. 2865.25 2.45 0.0835152 0.06892 28066 200906 -1 1732 25 1625 2120 272273 97944 3.26132 3.26132 -124.624 -3.26132 0 0 1.01997e+06 3529.29 0.39 0.08 0.19 -1 -1 0.39 0.0179264 0.0156254 78 57 64 32 56 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 6.43 vpr 64.52 MiB 0.02 7088 -1 -1 1 0.04 -1 -1 33696 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66064 32 32 339 284 1 156 84 17 17 289 -1 unnamed_device 25.6 MiB 0.88 574 10698 2981 5511 2206 64.5 MiB 0.06 0.00 2.43656 -93.1005 -2.43656 2.43656 0.89 0.000208642 0.000166267 0.0138876 0.011309 -1 -1 -1 -1 46 1570 42 6.95648e+06 289514 828058. 2865.25 2.22 0.0774884 0.0640786 28066 200906 -1 1288 21 1166 1606 125868 31593 2.33483 2.33483 -97.298 -2.33483 0 0 1.01997e+06 3529.29 0.39 0.05 0.20 -1 -1 0.39 0.0139052 0.0121568 67 65 29 29 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 5.14 vpr 63.99 MiB 0.02 6728 -1 -1 1 0.04 -1 -1 33912 -1 -1 10 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65524 30 32 226 208 1 118 72 17 17 289 -1 unnamed_device 25.6 MiB 0.42 450 11098 4782 5950 366 64.0 MiB 0.05 0.00 2.21746 -80.5091 -2.21746 2.21746 0.93 0.000147255 0.000115763 0.0129774 0.0104718 -1 -1 -1 -1 36 1394 20 6.95648e+06 144757 648988. 2245.63 1.55 0.0539263 0.0446022 26050 158493 -1 1139 20 730 928 91203 20471 2.48208 2.48208 -93.4098 -2.48208 0 0 828058. 2865.25 0.31 0.03 0.14 -1 -1 0.31 0.00900591 0.00786554 45 34 24 24 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 6.97 vpr 64.76 MiB 0.02 7068 -1 -1 1 0.04 -1 -1 33972 -1 -1 11 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66316 31 32 335 280 1 152 74 17 17 289 -1 unnamed_device 25.8 MiB 1.40 541 9374 3783 5060 531 64.8 MiB 0.06 0.00 3.8646 -129.431 -3.8646 3.8646 0.88 0.000195028 0.000153635 0.0143635 0.011709 -1 -1 -1 -1 42 1992 39 6.95648e+06 159232 744469. 2576.02 2.20 0.075925 0.0626627 27202 183097 -1 1405 24 1023 1412 113163 26412 3.76892 3.76892 -129.965 -3.76892 0 0 949917. 3286.91 0.37 0.05 0.16 -1 -1 0.37 0.0160129 0.014042 61 64 31 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 6.37 vpr 64.71 MiB 0.02 7220 -1 -1 1 0.05 -1 -1 34036 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66264 32 32 366 283 1 184 85 17 17 289 -1 unnamed_device 25.9 MiB 0.55 668 13663 3947 7828 1888 64.7 MiB 0.09 0.00 3.70334 -128.05 -3.70334 3.70334 0.97 0.000215034 0.000170437 0.0192106 0.0156092 -1 -1 -1 -1 46 2240 45 6.95648e+06 303989 828058. 2865.25 2.32 0.0860662 0.0719979 28066 200906 -1 1563 20 1524 2028 140595 33315 3.68886 3.68886 -133.096 -3.68886 0 0 1.01997e+06 3529.29 0.37 0.05 0.18 -1 -1 0.37 0.0151998 0.0134221 81 34 91 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 16.81 vpr 65.01 MiB 0.02 7376 -1 -1 1 0.04 -1 -1 34692 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66568 32 32 460 375 1 188 91 17 17 289 -1 unnamed_device 26.2 MiB 1.24 791 14779 5223 7361 2195 65.0 MiB 0.09 0.00 3.66119 -126.81 -3.66119 3.66119 0.91 0.000238926 0.000187306 0.020416 0.0164924 -1 -1 -1 -1 48 2577 33 6.95648e+06 390843 865456. 2994.66 12.12 0.197193 0.162203 28354 207349 -1 1933 21 1542 2309 180595 40330 3.81596 3.81596 -136.074 -3.81596 0 0 1.05005e+06 3633.38 0.39 0.06 0.18 -1 -1 0.39 0.016401 0.0142197 85 124 0 0 125 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 6.72 vpr 63.87 MiB 0.02 6992 -1 -1 1 0.03 -1 -1 34096 -1 -1 13 26 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65404 26 32 198 186 1 108 71 17 17 289 -1 unnamed_device 25.3 MiB 1.73 352 7809 2730 3957 1122 63.9 MiB 0.04 0.00 2.19726 -66.7151 -2.19726 2.19726 0.89 0.000126848 9.9376e-05 0.00895844 0.00732295 -1 -1 -1 -1 46 892 24 6.95648e+06 188184 828058. 2865.25 1.83 0.0446986 0.0370973 28066 200906 -1 604 17 553 688 32667 9718 2.22483 2.22483 -65.3089 -2.22483 0 0 1.01997e+06 3529.29 0.38 0.02 0.17 -1 -1 0.38 0.00831079 0.00739636 44 30 26 26 22 22 -fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 6.58 vpr 64.64 MiB 0.02 7112 -1 -1 1 0.04 -1 -1 33528 -1 -1 12 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66196 32 32 333 251 1 180 76 17 17 289 -1 unnamed_device 25.9 MiB 1.20 755 9836 4062 5477 297 64.6 MiB 0.06 0.00 4.01986 -136.639 -4.01986 4.01986 0.88 0.000197592 0.000156166 0.0149909 0.012293 -1 -1 -1 -1 42 2992 24 6.95648e+06 173708 744469. 2576.02 2.09 0.0694728 0.0583928 27202 183097 -1 2087 23 1911 2887 275152 61216 4.09781 4.09781 -148.697 -4.09781 0 0 949917. 3286.91 0.36 0.07 0.16 -1 -1 0.36 0.0154741 0.0135685 74 3 122 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 4.94 vpr 63.73 MiB 0.02 6652 -1 -1 1 0.04 -1 -1 34112 -1 -1 8 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65256 32 32 199 182 1 119 72 17 17 289 -1 unnamed_device 25.2 MiB 0.30 731 9906 3689 5081 1136 63.7 MiB 0.05 0.00 2.15326 -87.6492 -2.15326 2.15326 0.90 0.000128976 0.000100746 0.0104179 0.00844287 -1 -1 -1 -1 34 1601 34 6.95648e+06 115805 618332. 2139.56 1.44 0.0539755 0.0450008 25762 151098 -1 1513 21 741 939 95976 19405 2.04638 2.04638 -92.5632 -2.04638 0 0 787024. 2723.27 0.31 0.04 0.13 -1 -1 0.31 0.0101093 0.00892957 44 3 53 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 8.87 vpr 64.86 MiB 0.02 7120 -1 -1 1 0.04 -1 -1 33932 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66420 32 32 376 288 1 187 90 17 17 289 -1 unnamed_device 25.9 MiB 0.69 780 14763 5307 7672 1784 64.9 MiB 0.10 0.00 3.76019 -137.184 -3.76019 3.76019 0.89 0.000223111 0.000176079 0.0188675 0.0151189 -1 -1 -1 -1 46 2359 26 6.95648e+06 376368 828058. 2865.25 4.88 0.111971 0.0915639 28066 200906 -1 1907 25 2100 3140 250198 55970 4.61946 4.61946 -154.809 -4.61946 0 0 1.01997e+06 3529.29 0.39 0.07 0.18 -1 -1 0.39 0.0166747 0.0145066 85 34 96 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 5.56 vpr 64.76 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 34124 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66316 32 32 337 253 1 188 92 17 17 289 -1 unnamed_device 25.9 MiB 0.36 983 14168 4026 8379 1763 64.8 MiB 0.09 0.00 3.0955 -119.792 -3.0955 3.0955 0.91 0.000206109 0.000162206 0.0170754 0.0138691 -1 -1 -1 -1 38 2608 30 6.95648e+06 405319 678818. 2348.85 1.91 0.0695205 0.0582158 26626 170182 -1 2113 22 1601 2271 178677 36607 3.05267 3.05267 -126.051 -3.05267 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.015779 0.0139181 87 3 124 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 17.53 vpr 65.03 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 34252 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66592 32 32 407 319 1 189 92 17 17 289 -1 unnamed_device 26.4 MiB 0.44 796 18308 6118 9933 2257 65.0 MiB 0.11 0.00 3.79319 -137.71 -3.79319 3.79319 0.91 0.000223675 0.000175846 0.0230543 0.0184878 -1 -1 -1 -1 42 3010 27 6.95648e+06 405319 744469. 2576.02 13.61 0.170031 0.140978 27202 183097 -1 2261 22 2081 3328 316829 67059 3.99296 3.99296 -152.048 -3.99296 0 0 949917. 3286.91 0.35 0.08 0.18 -1 -1 0.35 0.018077 0.0158003 87 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 13.83 vpr 64.54 MiB 0.02 6928 -1 -1 1 0.03 -1 -1 34084 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66092 32 32 294 246 1 146 74 17 17 289 -1 unnamed_device 25.8 MiB 1.12 513 12009 5130 6527 352 64.5 MiB 0.06 0.00 2.8982 -102.347 -2.8982 2.8982 0.92 0.000180234 0.000141889 0.0167292 0.0135707 -1 -1 -1 -1 40 2016 38 6.95648e+06 144757 706193. 2443.58 9.44 0.137635 0.113977 26914 176310 -1 1573 22 1257 1866 181387 50915 3.21542 3.21542 -115.096 -3.21542 0 0 926341. 3205.33 0.34 0.06 0.15 -1 -1 0.34 0.0129709 0.0113353 57 34 54 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 8.20 vpr 64.12 MiB 0.02 7036 -1 -1 1 0.03 -1 -1 34144 -1 -1 12 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65664 30 32 296 244 1 148 74 17 17 289 -1 unnamed_device 25.6 MiB 0.73 522 8134 3289 4515 330 64.1 MiB 0.05 0.00 3.1175 -109.73 -3.1175 3.1175 0.86 0.000183202 0.00014411 0.0118641 0.00966177 -1 -1 -1 -1 44 1586 22 6.95648e+06 173708 787024. 2723.27 4.38 0.0844167 0.069379 27778 195446 -1 1302 18 1147 1527 116551 27325 2.94137 2.94137 -112.054 -2.94137 0 0 997811. 3452.63 0.37 0.04 0.17 -1 -1 0.37 0.0111119 0.00978567 60 34 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 11.56 vpr 64.29 MiB 0.02 7048 -1 -1 1 0.04 -1 -1 33908 -1 -1 13 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65832 28 32 278 232 1 144 73 17 17 289 -1 unnamed_device 25.9 MiB 0.75 506 10257 3642 4936 1679 64.3 MiB 0.06 0.00 3.0435 -98.1657 -3.0435 3.0435 0.94 0.000167384 0.000131795 0.0140753 0.0114719 -1 -1 -1 -1 42 1657 36 6.95648e+06 188184 744469. 2576.02 7.49 0.125247 0.104037 27202 183097 -1 1283 22 1196 1811 121533 30067 2.98487 2.98487 -100.837 -2.98487 0 0 949917. 3286.91 0.36 0.04 0.17 -1 -1 0.36 0.0126576 0.0111039 61 34 56 28 28 28 -fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 5.82 vpr 64.68 MiB 0.02 6932 -1 -1 1 0.04 -1 -1 33904 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66236 32 32 283 225 1 160 74 17 17 289 -1 unnamed_device 25.9 MiB 0.29 692 9374 3935 5270 169 64.7 MiB 0.05 0.00 2.93285 -115.319 -2.93285 2.93285 0.90 0.000186509 0.000148655 0.0132487 0.0108422 -1 -1 -1 -1 42 2095 24 6.95648e+06 144757 744469. 2576.02 2.37 0.0737983 0.0622524 27202 183097 -1 1784 20 1499 2162 196373 40794 3.45672 3.45672 -128.776 -3.45672 0 0 949917. 3286.91 0.36 0.06 0.16 -1 -1 0.36 0.0145075 0.0129358 64 3 96 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 6.18 vpr 64.46 MiB 0.02 7008 -1 -1 1 0.05 -1 -1 34264 -1 -1 21 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66008 31 32 303 249 1 156 84 17 17 289 -1 unnamed_device 25.6 MiB 0.33 589 13443 5223 6848 1372 64.5 MiB 0.08 0.00 3.0875 -112.189 -3.0875 3.0875 0.89 0.000180375 0.000142557 0.0156359 0.0126973 -1 -1 -1 -1 40 2277 40 6.95648e+06 303989 706193. 2443.58 2.59 0.0863775 0.0726952 26914 176310 -1 1711 19 1230 1878 161304 37653 3.12307 3.12307 -117.037 -3.12307 0 0 926341. 3205.33 0.34 0.05 0.16 -1 -1 0.34 0.0124819 0.0110377 68 34 61 31 31 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 8.97 vpr 64.50 MiB 0.02 6932 -1 -1 1 0.04 -1 -1 33968 -1 -1 18 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66052 29 32 312 264 1 148 79 17 17 289 -1 unnamed_device 25.7 MiB 0.86 508 10219 3550 4648 2021 64.5 MiB 0.05 0.00 2.43392 -85.0275 -2.43392 2.43392 0.88 0.000185664 0.000146513 0.0130751 0.0106328 -1 -1 -1 -1 50 1315 21 6.95648e+06 260562 902133. 3121.57 4.69 0.0827601 0.0679859 28642 213929 -1 1174 20 1184 1648 116798 30070 2.38503 2.38503 -89.2481 -2.38503 0 0 1.08113e+06 3740.92 0.43 0.04 0.20 -1 -1 0.43 0.0129333 0.0113869 64 61 29 29 57 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 22.38 vpr 65.12 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34224 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66688 32 32 423 310 1 219 92 17 17 289 -1 unnamed_device 26.2 MiB 0.87 1016 13340 4236 7350 1754 65.1 MiB 0.09 0.00 3.83055 -140.164 -3.83055 3.83055 0.94 0.000244124 0.000191787 0.0198359 0.0162278 -1 -1 -1 -1 40 3491 28 6.95648e+06 405319 706193. 2443.58 18.01 0.182583 0.152555 26914 176310 -1 2536 25 2446 3889 419881 121943 4.40622 4.40622 -160.278 -4.40622 0 0 926341. 3205.33 0.35 0.11 0.17 -1 -1 0.35 0.021037 0.0183338 100 29 128 32 27 27 -fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 6.62 vpr 64.89 MiB 0.03 7200 -1 -1 1 0.05 -1 -1 33936 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66452 32 32 403 317 1 190 91 17 17 289 -1 unnamed_device 26.2 MiB 0.99 765 12739 4335 6808 1596 64.9 MiB 0.08 0.00 3.0804 -114.704 -3.0804 3.0804 0.93 0.000229302 0.000181126 0.0171639 0.0138984 -1 -1 -1 -1 40 2322 24 6.95648e+06 390843 706193. 2443.58 2.22 0.0839727 0.0694767 26914 176310 -1 1836 21 1974 2849 235748 51580 3.58982 3.58982 -126.816 -3.58982 0 0 926341. 3205.33 0.34 0.07 0.16 -1 -1 0.34 0.0163169 0.0143021 87 65 62 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 16.43 vpr 64.77 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34384 -1 -1 15 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66320 31 32 353 302 1 150 78 17 17 289 -1 unnamed_device 26.2 MiB 1.16 526 12362 5185 6683 494 64.8 MiB 0.07 0.00 3.26916 -109.86 -3.26916 3.26916 0.90 0.000193955 0.000151724 0.0177465 0.0143926 -1 -1 -1 -1 44 2107 41 6.95648e+06 217135 787024. 2723.27 11.90 0.143665 0.118702 27778 195446 -1 1503 21 1138 1668 143770 38815 2.99487 2.99487 -114.993 -2.99487 0 0 997811. 3452.63 0.38 0.05 0.17 -1 -1 0.38 0.0130607 0.0113697 62 90 0 0 89 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 6.84 vpr 64.98 MiB 0.02 7336 -1 -1 1 0.07 -1 -1 33884 -1 -1 14 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66536 31 32 391 309 1 186 77 17 17 289 -1 unnamed_device 26.1 MiB 0.68 824 12954 4665 6336 1953 65.0 MiB 0.08 0.00 3.0625 -110.593 -3.0625 3.0625 0.93 0.000245805 0.000199531 0.02032 0.0165501 -1 -1 -1 -1 36 3000 33 6.95648e+06 202660 648988. 2245.63 2.79 0.0995819 0.0831907 26050 158493 -1 2382 22 1959 2972 306645 63529 3.32342 3.32342 -129.62 -3.32342 0 0 828058. 2865.25 0.33 0.08 0.14 -1 -1 0.33 0.0168405 0.0146826 79 64 60 30 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 8.03 vpr 64.76 MiB 0.03 7396 -1 -1 1 0.05 -1 -1 34212 -1 -1 14 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66316 31 32 455 371 1 185 77 17 17 289 -1 unnamed_device 26.1 MiB 2.35 778 10998 4559 6059 380 64.8 MiB 0.08 0.00 4.63397 -149.774 -4.63397 4.63397 0.90 0.00024371 0.000190966 0.0198411 0.0159577 -1 -1 -1 -1 42 3197 35 6.95648e+06 202660 744469. 2576.02 2.23 0.0831513 0.0680942 27202 183097 -1 2238 23 1687 2592 248478 54871 4.77941 4.77941 -161.64 -4.77941 0 0 949917. 3286.91 0.39 0.07 0.16 -1 -1 0.39 0.018557 0.0161691 78 124 0 0 124 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 8.04 vpr 65.06 MiB 0.03 7216 -1 -1 1 0.04 -1 -1 34216 -1 -1 13 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66624 31 32 413 333 1 180 76 17 17 289 -1 unnamed_device 26.3 MiB 1.79 719 13676 5548 6572 1556 65.1 MiB 0.08 0.00 4.52934 -135.424 -4.52934 4.52934 0.94 0.00022981 0.000180504 0.0233361 0.0188824 -1 -1 -1 -1 52 2392 26 6.95648e+06 188184 926341. 3205.33 2.82 0.0893647 0.073465 29218 227130 -1 1864 21 1198 1901 178376 40105 4.50686 4.50686 -147.707 -4.50686 0 0 1.14541e+06 3963.36 0.42 0.05 0.21 -1 -1 0.42 0.0159139 0.0139252 75 90 31 31 89 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 6.92 vpr 64.86 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34160 -1 -1 25 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66412 31 32 391 309 1 185 88 17 17 289 -1 unnamed_device 26.0 MiB 0.95 804 14128 5884 7757 487 64.9 MiB 0.09 0.00 3.1856 -115.963 -3.1856 3.1856 0.95 0.000227981 0.000179419 0.0199528 0.0162187 -1 -1 -1 -1 46 2396 33 6.95648e+06 361892 828058. 2865.25 2.42 0.0875106 0.0721169 28066 200906 -1 1860 22 1647 2448 203706 42981 3.11687 3.11687 -120.842 -3.11687 0 0 1.01997e+06 3529.29 0.39 0.07 0.18 -1 -1 0.39 0.0179078 0.0156786 85 64 60 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 7.04 vpr 65.00 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 34216 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66560 32 32 407 319 1 190 90 17 17 289 -1 unnamed_device 26.0 MiB 0.56 956 10743 3793 5013 1937 65.0 MiB 0.07 0.00 3.77119 -139.239 -3.77119 3.77119 0.88 0.000262731 0.000210666 0.0144867 0.0117058 -1 -1 -1 -1 40 2758 24 6.95648e+06 376368 706193. 2443.58 3.17 0.0846341 0.0701485 26914 176310 -1 2298 24 2115 3188 341880 77779 4.25266 4.25266 -156.202 -4.25266 0 0 926341. 3205.33 0.35 0.12 0.16 -1 -1 0.35 0.0261686 0.0233303 86 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 17.21 vpr 65.20 MiB 0.03 7516 -1 -1 1 0.04 -1 -1 34080 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66764 32 32 496 380 1 222 95 17 17 289 -1 unnamed_device 26.6 MiB 1.10 1006 8087 1735 5255 1097 65.2 MiB 0.07 0.00 3.89228 -139.02 -3.89228 3.89228 0.88 0.000281155 0.000223372 0.0137383 0.0113495 -1 -1 -1 -1 44 3004 27 6.95648e+06 448746 787024. 2723.27 12.54 0.181343 0.150161 27778 195446 -1 2432 23 2302 3634 298290 63479 3.89701 3.89701 -145.351 -3.89701 0 0 997811. 3452.63 0.45 0.10 0.17 -1 -1 0.45 0.0254826 0.0225055 104 96 62 32 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 6.57 vpr 64.53 MiB 0.02 7012 -1 -1 1 0.04 -1 -1 34256 -1 -1 11 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66076 31 32 305 250 1 152 74 17 17 289 -1 unnamed_device 25.7 MiB 0.67 589 10304 4334 5652 318 64.5 MiB 0.06 0.00 3.38836 -119.14 -3.38836 3.38836 0.97 0.000184644 0.000145692 0.0143092 0.0116647 -1 -1 -1 -1 36 2300 43 6.95648e+06 159232 648988. 2245.63 2.69 0.0850846 0.0712621 26050 158493 -1 1707 19 1387 1919 175590 41198 3.54282 3.54282 -128.096 -3.54282 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0123226 0.0108318 62 34 62 31 31 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 6.67 vpr 64.86 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 34096 -1 -1 27 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66412 31 32 395 311 1 188 90 17 17 289 -1 unnamed_device 25.9 MiB 0.73 807 13959 3748 8318 1893 64.9 MiB 0.09 0.00 3.90275 -135.486 -3.90275 3.90275 0.98 0.000231152 0.000182294 0.0194515 0.0158095 -1 -1 -1 -1 42 2665 23 6.95648e+06 390843 744469. 2576.02 2.40 0.0885421 0.0735368 27202 183097 -1 2191 20 1849 2845 264362 56154 4.00046 4.00046 -148.359 -4.00046 0 0 949917. 3286.91 0.35 0.07 0.16 -1 -1 0.35 0.0172906 0.0153058 86 64 62 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 9.86 vpr 64.84 MiB 0.02 7284 -1 -1 1 0.05 -1 -1 34128 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66396 32 32 397 313 1 188 90 17 17 289 -1 unnamed_device 25.9 MiB 0.91 803 11145 4511 6144 490 64.8 MiB 0.08 0.00 3.29596 -117.163 -3.29596 3.29596 1.00 0.00025319 0.000201584 0.0164162 0.0133755 -1 -1 -1 -1 54 2502 46 6.95648e+06 376368 949917. 3286.91 5.33 0.134508 0.111689 29506 232905 -1 1854 23 1537 2548 207024 46249 3.37657 3.37657 -119.135 -3.37657 0 0 1.17392e+06 4061.99 0.44 0.07 0.23 -1 -1 0.44 0.018406 0.0160299 85 63 62 32 62 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 20.67 vpr 64.77 MiB 0.02 7088 -1 -1 1 0.04 -1 -1 34068 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66328 32 32 345 257 1 187 77 17 17 289 -1 unnamed_device 26.0 MiB 1.03 760 7738 3134 4374 230 64.8 MiB 0.06 0.00 3.65689 -135.736 -3.65689 3.65689 0.91 0.000208226 0.000165098 0.0131697 0.0109817 -1 -1 -1 -1 44 3035 42 6.95648e+06 188184 787024. 2723.27 16.17 0.150528 0.126399 27778 195446 -1 2241 25 2075 3424 412749 84918 4.22456 4.22456 -154.747 -4.22456 0 0 997811. 3452.63 0.38 0.11 0.19 -1 -1 0.38 0.0196571 0.0172957 78 3 128 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 7.16 vpr 64.93 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 35196 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66484 32 32 424 343 1 182 87 17 17 289 -1 unnamed_device 26.0 MiB 1.63 808 11991 3810 6067 2114 64.9 MiB 0.07 0.00 3.1768 -117.392 -3.1768 3.1768 0.93 0.000225908 0.000177617 0.0169376 0.0137758 -1 -1 -1 -1 46 2027 40 6.95648e+06 332941 828058. 2865.25 2.07 0.0826164 0.0685564 28066 200906 -1 1562 25 1554 2445 143436 36834 3.24547 3.24547 -120.275 -3.24547 0 0 1.01997e+06 3529.29 0.39 0.06 0.18 -1 -1 0.39 0.0196859 0.0172229 81 96 25 25 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 10.06 vpr 64.93 MiB 0.02 7096 -1 -1 1 0.04 -1 -1 34224 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66488 32 32 395 311 1 186 92 17 17 289 -1 unnamed_device 26.0 MiB 0.97 754 13547 4133 6686 2728 64.9 MiB 0.08 0.00 3.20182 -116.76 -3.20182 3.20182 0.87 0.000221515 0.000173773 0.0174201 0.0141381 -1 -1 -1 -1 62 1903 45 6.95648e+06 405319 1.05005e+06 3633.38 5.60 0.131132 0.107906 30946 263737 -1 1557 21 1400 2192 153638 36037 3.33447 3.33447 -119.513 -3.33447 0 0 1.30136e+06 4502.97 0.48 0.06 0.25 -1 -1 0.48 0.0168398 0.0148329 85 61 64 32 60 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 6.78 vpr 64.77 MiB 0.02 7096 -1 -1 1 0.04 -1 -1 34028 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66320 32 32 405 318 1 192 92 17 17 289 -1 unnamed_device 25.8 MiB 0.60 757 10649 2685 6746 1218 64.8 MiB 0.08 0.00 3.0976 -113.249 -3.0976 3.0976 0.93 0.000241516 0.000189827 0.0145193 0.0118193 -1 -1 -1 -1 46 2065 27 6.95648e+06 405319 828058. 2865.25 2.79 0.103912 0.0882595 28066 200906 -1 1586 24 1814 2753 205756 46457 3.70132 3.70132 -124.198 -3.70132 0 0 1.01997e+06 3529.29 0.37 0.06 0.17 -1 -1 0.37 0.0178136 0.0155666 88 65 63 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 9.26 vpr 64.89 MiB 0.02 7104 -1 -1 1 0.04 -1 -1 34192 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66448 32 32 376 288 1 186 92 17 17 289 -1 unnamed_device 26.1 MiB 0.70 928 15410 5078 8249 2083 64.9 MiB 0.09 0.00 3.79319 -139.701 -3.79319 3.79319 0.88 0.000213977 0.000169198 0.0188611 0.0152911 -1 -1 -1 -1 40 2754 46 6.95648e+06 405319 706193. 2443.58 5.13 0.135831 0.112571 26914 176310 -1 2163 26 2152 3359 338665 68157 4.44696 4.44696 -155.621 -4.44696 0 0 926341. 3205.33 0.35 0.10 0.16 -1 -1 0.35 0.0224472 0.0198026 85 34 96 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 6.46 vpr 64.83 MiB 0.02 7148 -1 -1 1 0.04 -1 -1 34200 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66384 32 32 407 319 1 189 94 17 17 289 -1 unnamed_device 26.2 MiB 0.93 943 13300 4128 7027 2145 64.8 MiB 0.09 0.00 3.71121 -138.47 -3.71121 3.71121 0.86 0.000223809 0.000176276 0.0173522 0.0139768 -1 -1 -1 -1 38 2603 25 6.95648e+06 434271 678818. 2348.85 2.18 0.0736655 0.061108 26626 170182 -1 2217 22 2042 2904 244651 49913 4.10546 4.10546 -156.118 -4.10546 0 0 902133. 3121.57 0.34 0.07 0.15 -1 -1 0.34 0.0182399 0.0160496 88 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 6.80 vpr 65.05 MiB 0.02 7444 -1 -1 1 0.04 -1 -1 34108 -1 -1 25 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66608 31 32 449 367 1 185 88 17 17 289 -1 unnamed_device 26.3 MiB 1.33 822 11983 4768 6508 707 65.0 MiB 0.08 0.00 4.19045 -134.89 -4.19045 4.19045 0.88 0.000232981 0.000182747 0.0172167 0.0138456 -1 -1 -1 -1 44 3065 36 6.95648e+06 361892 787024. 2723.27 2.30 0.0813348 0.0666819 27778 195446 -1 2156 24 1620 2571 220150 47265 3.90802 3.90802 -141.741 -3.90802 0 0 997811. 3452.63 0.35 0.06 0.18 -1 -1 0.35 0.0174361 0.0151142 84 122 0 0 122 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 7.62 vpr 65.14 MiB 0.02 7332 -1 -1 1 0.04 -1 -1 34148 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66704 32 32 432 346 1 187 77 17 17 289 -1 unnamed_device 26.4 MiB 1.16 916 10346 4298 5834 214 65.1 MiB 0.07 0.00 3.78635 -132.849 -3.78635 3.78635 0.87 0.000239372 0.000188908 0.0176065 0.0142739 -1 -1 -1 -1 40 2838 43 6.95648e+06 188184 706193. 2443.58 3.23 0.109399 0.091322 26914 176310 -1 2395 25 2012 3475 302270 61431 4.05536 4.05536 -144.597 -4.05536 0 0 926341. 3205.33 0.35 0.08 0.15 -1 -1 0.35 0.0202057 0.0176138 78 94 32 32 94 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 6.19 vpr 64.55 MiB 0.02 7080 -1 -1 1 0.04 -1 -1 34064 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66104 32 32 312 255 1 160 87 17 17 289 -1 unnamed_device 25.8 MiB 0.19 593 10839 4452 5958 429 64.6 MiB 0.06 0.00 3.1395 -116.22 -3.1395 3.1395 0.98 0.000190664 0.000150679 0.0134183 0.0110167 -1 -1 -1 -1 52 1919 46 6.95648e+06 332941 926341. 3205.33 2.50 0.0704246 0.0588283 29218 227130 -1 1377 22 1306 2047 164073 39616 3.21397 3.21397 -117.571 -3.21397 0 0 1.14541e+06 3963.36 0.41 0.05 0.20 -1 -1 0.41 0.0135256 0.0119354 71 34 63 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 8.85 vpr 64.59 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 34028 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66136 32 32 370 314 1 158 74 17 17 289 -1 unnamed_device 25.9 MiB 1.10 610 8444 3495 4676 273 64.6 MiB 0.06 0.00 3.0405 -112.422 -3.0405 3.0405 0.87 0.000207265 0.000162308 0.015009 0.0121732 -1 -1 -1 -1 54 1619 33 6.95648e+06 144757 949917. 3286.91 4.54 0.113795 0.094251 29506 232905 -1 1400 20 1334 2002 142611 34362 2.91042 2.91042 -115.234 -2.91042 0 0 1.17392e+06 4061.99 0.42 0.05 0.21 -1 -1 0.42 0.0133302 0.0116432 63 94 0 0 94 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 9.84 vpr 65.23 MiB 0.03 7428 -1 -1 1 0.05 -1 -1 34136 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66800 32 32 469 351 1 223 94 17 17 289 -1 unnamed_device 26.5 MiB 0.64 1002 14152 3897 8059 2196 65.2 MiB 0.10 0.00 4.44024 -157.04 -4.44024 4.44024 0.90 0.000270991 0.000202877 0.0204007 0.0165046 -1 -1 -1 -1 54 2847 28 6.95648e+06 434271 949917. 3286.91 5.55 0.146423 0.120541 29506 232905 -1 2413 22 2492 3987 334924 69467 5.105 5.105 -170.327 -5.105 0 0 1.17392e+06 4061.99 0.45 0.08 0.23 -1 -1 0.45 0.0196065 0.0171244 103 65 96 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 9.20 vpr 64.82 MiB 0.03 7212 -1 -1 1 0.04 -1 -1 34092 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66372 32 32 368 284 1 186 88 17 17 289 -1 unnamed_device 26.0 MiB 0.99 717 11983 4638 6220 1125 64.8 MiB 0.07 0.00 3.1457 -117.079 -3.1457 3.1457 0.93 0.00021327 0.000167169 0.0157745 0.0128311 -1 -1 -1 -1 50 1871 22 6.95648e+06 347416 902133. 3121.57 4.77 0.109303 0.0898091 28642 213929 -1 1593 21 1525 2029 161990 37722 3.09382 3.09382 -119.83 -3.09382 0 0 1.08113e+06 3740.92 0.38 0.05 0.19 -1 -1 0.38 0.0144461 0.0127325 83 34 92 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 14.51 vpr 64.41 MiB 0.02 6868 -1 -1 1 0.05 -1 -1 33972 -1 -1 19 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65960 30 32 296 244 1 152 81 17 17 289 -1 unnamed_device 25.6 MiB 0.43 530 10406 4243 5603 560 64.4 MiB 0.06 0.00 3.0735 -106.794 -3.0735 3.0735 0.95 0.000177113 0.000140137 0.0130579 0.0106643 -1 -1 -1 -1 46 2070 48 6.95648e+06 275038 828058. 2865.25 10.66 0.138624 0.114224 28066 200906 -1 1518 22 1368 2030 165280 41198 3.38277 3.38277 -119.705 -3.38277 0 0 1.01997e+06 3529.29 0.38 0.06 0.18 -1 -1 0.38 0.0144493 0.0127054 65 34 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 21.64 vpr 65.59 MiB 0.03 7552 -1 -1 1 0.04 -1 -1 34576 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 67160 32 32 531 413 1 225 95 17 17 289 -1 unnamed_device 26.7 MiB 1.98 1126 15215 3732 10105 1378 65.6 MiB 0.11 0.00 4.49524 -160.999 -4.49524 4.49524 0.91 0.000277122 0.000218207 0.0227717 0.0183203 -1 -1 -1 -1 50 2881 24 6.95648e+06 448746 902133. 3121.57 16.05 0.189725 0.155869 28642 213929 -1 2578 31 3160 4685 568753 162228 4.90641 4.90641 -174.584 -4.90641 0 0 1.08113e+06 3740.92 0.40 0.14 0.20 -1 -1 0.40 0.0246224 0.021161 103 127 32 32 128 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 7.26 vpr 64.74 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 33988 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66296 32 32 376 288 1 187 92 17 17 289 -1 unnamed_device 25.9 MiB 1.19 804 14375 4842 7223 2310 64.7 MiB 0.09 0.00 3.73321 -136.441 -3.73321 3.73321 0.91 0.00023495 0.000181802 0.019628 0.0156462 -1 -1 -1 -1 38 2611 35 6.95648e+06 405319 678818. 2348.85 2.71 0.0860244 0.0711827 26626 170182 -1 2014 20 1844 2478 200323 42987 4.19176 4.19176 -151.15 -4.19176 0 0 902133. 3121.57 0.33 0.06 0.16 -1 -1 0.33 0.0158272 0.0139857 86 34 96 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 6.73 vpr 64.41 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 34048 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65956 32 32 283 225 1 158 88 17 17 289 -1 unnamed_device 25.6 MiB 0.34 657 12763 5246 7178 339 64.4 MiB 0.07 0.00 3.05815 -115.564 -3.05815 3.05815 0.95 0.000177746 0.00014024 0.0142729 0.0116152 -1 -1 -1 -1 44 2146 46 6.95648e+06 347416 787024. 2723.27 2.97 0.0770502 0.0643692 27778 195446 -1 1671 22 1455 2303 215548 49561 3.06182 3.06182 -121.432 -3.06182 0 0 997811. 3452.63 0.37 0.06 0.18 -1 -1 0.37 0.0130258 0.0114721 70 3 96 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 8.32 vpr 65.28 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 34212 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66844 32 32 438 320 1 225 95 17 17 289 -1 unnamed_device 26.6 MiB 0.59 924 14567 5117 6799 2651 65.3 MiB 0.09 0.00 4.52824 -160.177 -4.52824 4.52824 0.90 0.000258541 0.000203289 0.0203798 0.0163495 -1 -1 -1 -1 54 2956 48 6.95648e+06 448746 949917. 3286.91 4.27 0.143044 0.120937 29506 232905 -1 2123 25 2625 4340 365708 77292 4.93141 4.93141 -174.44 -4.93141 0 0 1.17392e+06 4061.99 0.43 0.09 0.22 -1 -1 0.43 0.0199232 0.0174305 105 34 128 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 6.16 vpr 64.40 MiB 0.02 6968 -1 -1 1 0.04 -1 -1 34060 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65944 32 32 283 225 1 156 74 17 17 289 -1 unnamed_device 25.7 MiB 0.46 618 10614 4475 5915 224 64.4 MiB 0.07 0.00 2.92185 -113.699 -2.92185 2.92185 0.88 0.00018251 0.000144346 0.0155734 0.0127086 -1 -1 -1 -1 42 2090 30 6.95648e+06 144757 744469. 2576.02 2.34 0.0745111 0.0622874 27202 183097 -1 1664 22 1482 2083 190322 42506 3.54902 3.54902 -129.428 -3.54902 0 0 949917. 3286.91 0.38 0.06 0.17 -1 -1 0.38 0.0138243 0.0121699 62 3 96 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 6.82 vpr 64.64 MiB 0.02 6896 -1 -1 1 0.04 -1 -1 33848 -1 -1 21 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66192 30 32 296 244 1 151 83 17 17 289 -1 unnamed_device 25.8 MiB 0.86 581 11423 4387 5607 1429 64.6 MiB 0.06 0.00 3.09676 -109.06 -3.09676 3.09676 0.94 0.00017616 0.000139195 0.0134055 0.0109111 -1 -1 -1 -1 38 2169 24 6.95648e+06 303989 678818. 2348.85 2.72 0.0680768 0.0566394 26626 170182 -1 1705 19 1262 1896 159278 35800 3.29047 3.29047 -118.286 -3.29047 0 0 902133. 3121.57 0.32 0.05 0.14 -1 -1 0.32 0.0117107 0.0103167 65 34 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 7.79 vpr 64.72 MiB 0.03 7360 -1 -1 1 0.05 -1 -1 33964 -1 -1 20 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66272 29 32 393 319 1 174 81 17 17 289 -1 unnamed_device 25.9 MiB 1.46 706 12856 4700 6071 2085 64.7 MiB 0.08 0.00 3.39446 -107.663 -3.39446 3.39446 0.92 0.000217516 0.000170667 0.0187291 0.0150647 -1 -1 -1 -1 48 2585 27 6.95648e+06 289514 865456. 2994.66 2.90 0.0815825 0.0670468 28354 207349 -1 1848 23 1855 2985 245230 53011 3.14017 3.14017 -111.992 -3.14017 0 0 1.05005e+06 3633.38 0.40 0.07 0.19 -1 -1 0.40 0.0165896 0.0144423 77 88 29 29 85 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 6.49 vpr 64.99 MiB 0.02 7244 -1 -1 1 0.04 -1 -1 34280 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66552 32 32 407 319 1 187 77 17 17 289 -1 unnamed_device 26.3 MiB 0.98 916 13443 5641 5955 1847 65.0 MiB 0.08 0.00 3.65689 -139.305 -3.65689 3.65689 0.94 0.000224687 0.000176073 0.0219294 0.0177426 -1 -1 -1 -1 38 2547 30 6.95648e+06 188184 678818. 2348.85 2.13 0.0926103 0.0763618 26626 170182 -1 2038 23 2099 2802 225317 45977 4.20396 4.20396 -156.519 -4.20396 0 0 902133. 3121.57 0.36 0.07 0.15 -1 -1 0.36 0.0187435 0.0165436 78 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 17.91 vpr 64.77 MiB 0.02 7260 -1 -1 1 0.04 -1 -1 34140 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66328 32 32 407 319 1 190 89 17 17 289 -1 unnamed_device 26.2 MiB 1.63 890 14345 5510 6931 1904 64.8 MiB 0.09 0.00 3.74419 -138.408 -3.74419 3.74419 0.94 0.000229795 0.00018157 0.020398 0.0166344 -1 -1 -1 -1 44 2825 32 6.95648e+06 361892 787024. 2723.27 12.85 0.162697 0.134687 27778 195446 -1 2253 23 2097 3396 341835 67034 3.97096 3.97096 -151.638 -3.97096 0 0 997811. 3452.63 0.36 0.08 0.18 -1 -1 0.36 0.0178915 0.0156493 85 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 7.27 vpr 64.61 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 34268 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66160 32 32 345 287 1 155 88 17 17 289 -1 unnamed_device 25.9 MiB 1.15 685 10813 4185 5763 865 64.6 MiB 0.07 0.00 3.05815 -117.015 -3.05815 3.05815 0.87 0.000211399 0.000168865 0.013871 0.0113358 -1 -1 -1 -1 38 2302 41 6.95648e+06 347416 678818. 2348.85 2.94 0.0828702 0.0689799 26626 170182 -1 1775 24 1664 2547 243914 50177 3.66012 3.66012 -130.469 -3.66012 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.0159306 0.0139314 69 65 32 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 6.60 vpr 64.61 MiB 0.02 7076 -1 -1 1 0.04 -1 -1 34000 -1 -1 10 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66156 31 32 353 302 1 147 73 17 17 289 -1 unnamed_device 25.9 MiB 1.60 614 10105 3298 5142 1665 64.6 MiB 0.06 0.00 3.30215 -110.502 -3.30215 3.30215 0.92 0.00020189 0.000157769 0.0159286 0.0129477 -1 -1 -1 -1 34 2377 38 6.95648e+06 144757 618332. 2139.56 1.84 0.0703885 0.0578344 25762 151098 -1 1803 22 1288 2089 189612 39986 3.38272 3.38272 -122.082 -3.38272 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0149488 0.0131026 59 90 0 0 89 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 6.21 vpr 64.81 MiB 0.02 7100 -1 -1 1 0.05 -1 -1 34124 -1 -1 22 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66364 30 32 374 297 1 178 84 17 17 289 -1 unnamed_device 26.0 MiB 1.04 894 12345 3722 6620 2003 64.8 MiB 0.08 0.00 3.13882 -115.049 -3.13882 3.13882 0.86 0.000221886 0.000175646 0.0178594 0.0145778 -1 -1 -1 -1 38 2426 44 6.95648e+06 318465 678818. 2348.85 1.92 0.090211 0.0746643 26626 170182 -1 2079 20 1592 2318 183339 38278 3.05087 3.05087 -119.658 -3.05087 0 0 902133. 3121.57 0.34 0.06 0.15 -1 -1 0.34 0.0154315 0.0136452 79 60 60 30 57 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 7.73 vpr 64.60 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34208 -1 -1 16 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66152 28 32 332 260 1 172 76 17 17 289 -1 unnamed_device 25.9 MiB 0.97 684 10156 4202 5354 600 64.6 MiB 0.06 0.00 4.24545 -126.653 -4.24545 4.24545 0.93 0.000192669 0.000151488 0.0149186 0.0121693 -1 -1 -1 -1 38 2845 34 6.95648e+06 231611 678818. 2348.85 3.43 0.084095 0.0699684 26626 170182 -1 1926 20 1595 2394 207734 45081 4.31207 4.31207 -140.039 -4.31207 0 0 902133. 3121.57 0.33 0.06 0.16 -1 -1 0.33 0.0138195 0.0122008 74 34 84 28 28 28 -fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 9.02 vpr 64.78 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 33712 -1 -1 12 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66332 30 32 325 273 1 151 74 17 17 289 -1 unnamed_device 25.8 MiB 0.86 566 9839 4104 5334 401 64.8 MiB 0.05 0.00 3.1757 -110.199 -3.1757 3.1757 0.86 0.000193069 0.000152319 0.0142794 0.0115806 -1 -1 -1 -1 50 1726 28 6.95648e+06 173708 902133. 3121.57 4.99 0.116906 0.0964559 28642 213929 -1 1227 21 1065 1471 107212 26572 2.95187 2.95187 -108.104 -2.95187 0 0 1.08113e+06 3740.92 0.41 0.04 0.18 -1 -1 0.41 0.0130154 0.0113477 62 63 30 30 60 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 5.90 vpr 64.85 MiB 0.02 7216 -1 -1 1 0.04 -1 -1 34128 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66408 32 32 361 308 1 152 74 17 17 289 -1 unnamed_device 26.1 MiB 1.30 777 7669 3175 4304 190 64.9 MiB 0.05 0.00 3.0765 -113.072 -3.0765 3.0765 0.86 0.000200006 0.000157345 0.0122941 0.00997865 -1 -1 -1 -1 34 2625 32 6.95648e+06 144757 618332. 2139.56 1.60 0.0617903 0.0510696 25762 151098 -1 2002 20 1217 1957 177673 36283 3.14797 3.14797 -123.964 -3.14797 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0124702 0.0108975 60 91 0 0 91 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 6.49 vpr 64.81 MiB 0.03 7040 -1 -1 1 0.04 -1 -1 34300 -1 -1 25 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66364 31 32 335 251 1 188 88 17 17 289 -1 unnamed_device 26.1 MiB 0.23 795 9448 3406 4746 1296 64.8 MiB 0.06 0.00 3.89245 -136.133 -3.89245 3.89245 0.96 0.000204126 0.000161555 0.0124641 0.0102658 -1 -1 -1 -1 50 2526 26 6.95648e+06 361892 902133. 3121.57 2.64 0.0798874 0.0673131 28642 213929 -1 2082 24 1953 2989 326472 72760 4.08232 4.08232 -148.35 -4.08232 0 0 1.08113e+06 3740.92 0.43 0.09 0.20 -1 -1 0.43 0.0177113 0.0155975 86 4 124 31 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 7.37 vpr 65.01 MiB 0.03 7208 -1 -1 1 0.04 -1 -1 34396 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66572 32 32 407 319 1 189 91 17 17 289 -1 unnamed_device 26.3 MiB 1.29 901 16819 7044 9402 373 65.0 MiB 0.10 0.00 3.78219 -139.905 -3.78219 3.78219 0.91 0.000240146 0.000190439 0.0226824 0.018375 -1 -1 -1 -1 46 2911 28 6.95648e+06 390843 828058. 2865.25 2.59 0.0903439 0.0749634 28066 200906 -1 2289 24 2138 3533 337475 66463 4.22456 4.22456 -150.248 -4.22456 0 0 1.01997e+06 3529.29 0.40 0.10 0.19 -1 -1 0.40 0.0227172 0.02014 86 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 22.24 vpr 64.97 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34052 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66532 32 32 407 319 1 187 90 17 17 289 -1 unnamed_device 26.2 MiB 1.89 831 9336 3198 4890 1248 65.0 MiB 0.07 0.00 3.70819 -135.715 -3.70819 3.70819 0.88 0.000228055 0.000179061 0.0158565 0.0133084 -1 -1 -1 -1 42 3156 48 6.95648e+06 376368 744469. 2576.02 17.06 0.172391 0.142946 27202 183097 -1 2435 22 1967 3152 360141 76398 4.12446 4.12446 -155.386 -4.12446 0 0 949917. 3286.91 0.36 0.09 0.16 -1 -1 0.36 0.0168665 0.0147847 85 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 10.43 vpr 64.92 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 34160 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66476 32 32 399 315 1 188 91 17 17 289 -1 unnamed_device 26.0 MiB 1.09 826 13351 4666 6922 1763 64.9 MiB 0.09 0.00 3.75545 -130.629 -3.75545 3.75545 0.88 0.000227836 0.000178905 0.0177597 0.0144029 -1 -1 -1 -1 50 2978 25 6.95648e+06 390843 902133. 3121.57 5.83 0.133327 0.1111 28642 213929 -1 2275 22 1667 2850 301809 68282 4.12266 4.12266 -146.263 -4.12266 0 0 1.08113e+06 3740.92 0.41 0.09 0.19 -1 -1 0.41 0.0189752 0.0167048 86 65 60 30 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 6.10 vpr 64.14 MiB 0.02 7104 -1 -1 1 0.05 -1 -1 34096 -1 -1 12 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65680 30 32 296 244 1 150 74 17 17 289 -1 unnamed_device 25.6 MiB 0.94 555 9684 4044 5292 348 64.1 MiB 0.06 0.00 3.0515 -108.878 -3.0515 3.0515 0.89 0.000182529 0.000143516 0.0147098 0.0119706 -1 -1 -1 -1 42 2073 33 6.95648e+06 173708 744469. 2576.02 1.82 0.0595694 0.0493629 27202 183097 -1 1596 22 1212 1778 167730 38655 3.47987 3.47987 -116.054 -3.47987 0 0 949917. 3286.91 0.38 0.05 0.16 -1 -1 0.38 0.013393 0.0117449 62 34 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 9.71 vpr 64.84 MiB 0.03 7216 -1 -1 1 0.04 -1 -1 34188 -1 -1 15 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66392 30 32 383 303 1 183 77 17 17 289 -1 unnamed_device 26.0 MiB 0.88 676 11487 4792 6044 651 64.8 MiB 0.07 0.00 3.81154 -129.829 -3.81154 3.81154 0.89 0.000223264 0.000175834 0.0195119 0.0157657 -1 -1 -1 -1 58 1823 20 6.95648e+06 217135 997811. 3452.63 5.10 0.11921 0.0974393 30370 251734 -1 1500 22 1666 2309 181538 42357 3.90202 3.90202 -135.638 -3.90202 0 0 1.25153e+06 4330.55 0.48 0.06 0.26 -1 -1 0.48 0.0181925 0.0160434 78 63 60 30 60 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 8.02 vpr 65.15 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 34408 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66712 32 32 469 381 1 190 95 17 17 289 -1 unnamed_device 26.3 MiB 1.45 807 14351 4061 7900 2390 65.1 MiB 0.10 0.00 3.71619 -135.355 -3.71619 3.71619 0.87 0.000242149 0.000189634 0.0197349 0.0156871 -1 -1 -1 -1 44 2736 32 6.95648e+06 448746 787024. 2723.27 3.26 0.0943559 0.0770163 27778 195446 -1 2048 24 1968 3027 269646 57971 4.36516 4.36516 -149.034 -4.36516 0 0 997811. 3452.63 0.38 0.08 0.17 -1 -1 0.38 0.0188403 0.016374 88 127 0 0 128 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 19.11 vpr 64.93 MiB 0.02 7240 -1 -1 1 0.03 -1 -1 34368 -1 -1 23 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66484 31 32 425 341 1 182 86 17 17 289 -1 unnamed_device 26.2 MiB 1.05 755 11426 4664 6319 443 64.9 MiB 0.07 0.00 3.965 -138.995 -3.965 3.965 0.95 0.000224938 0.000175226 0.016814 0.013629 -1 -1 -1 -1 40 2865 29 6.95648e+06 332941 706193. 2443.58 14.81 0.161344 0.133881 26914 176310 -1 2182 25 2062 3142 273104 59860 4.24982 4.24982 -156.52 -4.24982 0 0 926341. 3205.33 0.34 0.08 0.15 -1 -1 0.34 0.0179361 0.0155566 82 94 31 31 93 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 15.44 vpr 64.83 MiB 0.03 7408 -1 -1 1 0.05 -1 -1 34148 -1 -1 18 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66388 30 32 404 328 1 173 80 17 17 289 -1 unnamed_device 25.9 MiB 1.65 784 14872 4881 8007 1984 64.8 MiB 0.10 0.00 3.30586 -112.006 -3.30586 3.30586 0.98 0.000229518 0.000182241 0.0230142 0.0186668 -1 -1 -1 -1 38 2593 50 6.95648e+06 260562 678818. 2348.85 10.32 0.156399 0.12888 26626 170182 -1 2023 22 1656 2435 203578 43770 3.64817 3.64817 -128.587 -3.64817 0 0 902133. 3121.57 0.36 0.06 0.17 -1 -1 0.36 0.0173354 0.0151796 75 92 26 26 90 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 10.79 vpr 64.75 MiB 0.02 7180 -1 -1 1 0.04 -1 -1 33972 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66304 32 32 407 319 1 193 77 17 17 289 -1 unnamed_device 26.1 MiB 1.64 774 12628 4296 6544 1788 64.8 MiB 0.09 0.00 3.65989 -133.7 -3.65989 3.65989 0.93 0.000225501 0.000177352 0.0210304 0.017057 -1 -1 -1 -1 56 2268 28 6.95648e+06 188184 973134. 3367.25 5.52 0.133896 0.110156 29794 239141 -1 1965 23 2004 3295 323207 69714 4.00506 4.00506 -145.063 -4.00506 0 0 1.19926e+06 4149.71 0.47 0.09 0.22 -1 -1 0.47 0.0219458 0.0194073 81 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 6.46 vpr 65.05 MiB 0.02 7432 -1 -1 1 0.04 -1 -1 34136 -1 -1 22 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66608 29 32 387 316 1 171 83 17 17 289 -1 unnamed_device 26.3 MiB 1.16 660 10343 3670 4948 1725 65.0 MiB 0.06 0.00 3.14182 -102.041 -3.14182 3.14182 0.85 0.000216001 0.000169751 0.0148133 0.0119424 -1 -1 -1 -1 48 1872 25 6.95648e+06 318465 865456. 2994.66 2.11 0.0691322 0.056985 28354 207349 -1 1506 23 1650 2465 190161 46512 3.36557 3.36557 -109.184 -3.36557 0 0 1.05005e+06 3633.38 0.40 0.06 0.19 -1 -1 0.40 0.0163521 0.0141423 77 88 26 26 85 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 6.20 vpr 64.39 MiB 0.02 6988 -1 -1 1 0.04 -1 -1 33940 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65932 32 32 283 225 1 154 74 17 17 289 -1 unnamed_device 25.7 MiB 1.05 627 9219 3869 5158 192 64.4 MiB 0.05 0.00 2.93285 -114.246 -2.93285 2.93285 0.88 0.000173719 0.000136791 0.0125395 0.0102245 -1 -1 -1 -1 42 2181 39 6.95648e+06 144757 744469. 2576.02 1.93 0.0628374 0.0523296 27202 183097 -1 1534 24 1447 2206 231633 49492 3.04172 3.04172 -121.546 -3.04172 0 0 949917. 3286.91 0.35 0.06 0.16 -1 -1 0.35 0.0137872 0.0120645 61 3 96 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 9.51 vpr 64.75 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 34012 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66300 32 32 407 319 1 187 88 17 17 289 -1 unnamed_device 26.0 MiB 3.62 762 15688 5820 7154 2714 64.7 MiB 0.09 0.00 3.77419 -136.123 -3.77419 3.77419 0.86 0.000239755 0.000189177 0.0218381 0.017622 -1 -1 -1 -1 52 2327 38 6.95648e+06 347416 926341. 3205.33 2.48 0.0930417 0.07722 29218 227130 -1 1788 21 1732 2608 247461 55039 3.72336 3.72336 -138.906 -3.72336 0 0 1.14541e+06 3963.36 0.44 0.07 0.20 -1 -1 0.44 0.0175968 0.0154856 84 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 5.81 vpr 64.76 MiB 0.02 7240 -1 -1 1 0.04 -1 -1 34228 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66316 32 32 407 319 1 193 77 17 17 289 -1 unnamed_device 26.2 MiB 0.65 800 13117 5732 6986 399 64.8 MiB 0.08 0.00 3.79019 -142.199 -3.79019 3.79019 0.87 0.000233292 0.000183539 0.0215899 0.017362 -1 -1 -1 -1 42 2801 38 6.95648e+06 188184 744469. 2576.02 1.88 0.0841351 0.06932 27202 183097 -1 2134 21 2023 2771 236429 52132 4.58946 4.58946 -167.199 -4.58946 0 0 949917. 3286.91 0.41 0.07 0.16 -1 -1 0.41 0.0182148 0.0160546 81 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 6.41 vpr 64.47 MiB 0.02 7056 -1 -1 1 0.05 -1 -1 33944 -1 -1 11 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66020 32 32 315 267 1 152 75 17 17 289 -1 unnamed_device 25.6 MiB 1.12 588 11767 4876 6592 299 64.5 MiB 0.07 0.00 3.25495 -109.546 -3.25495 3.25495 0.87 0.000187309 0.000148735 0.016871 0.0136924 -1 -1 -1 -1 40 2328 35 6.95648e+06 159232 706193. 2443.58 2.03 0.0855717 0.0716824 26914 176310 -1 1700 23 1248 1726 157147 36665 3.13222 3.13222 -115.466 -3.13222 0 0 926341. 3205.33 0.34 0.05 0.17 -1 -1 0.34 0.012786 0.0111443 60 55 32 32 54 27 -fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 5.38 vpr 64.48 MiB 0.02 6892 -1 -1 1 0.04 -1 -1 33880 -1 -1 11 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66032 31 32 275 220 1 154 74 17 17 289 -1 unnamed_device 25.8 MiB 0.35 663 10304 4294 5762 248 64.5 MiB 0.06 0.00 3.1207 -115.753 -3.1207 3.1207 0.94 0.000170214 0.000134269 0.013715 0.011162 -1 -1 -1 -1 38 2095 29 6.95648e+06 159232 678818. 2348.85 1.81 0.0618087 0.0519735 26626 170182 -1 1724 22 1477 2074 178892 37673 3.22492 3.22492 -127.369 -3.22492 0 0 902133. 3121.57 0.33 0.05 0.15 -1 -1 0.33 0.0124578 0.0109539 63 4 93 31 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 7.56 vpr 64.83 MiB 0.02 7096 -1 -1 1 0.05 -1 -1 33868 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66384 32 32 381 303 1 180 83 17 17 289 -1 unnamed_device 26.0 MiB 1.36 777 14303 6009 7962 332 64.8 MiB 0.09 0.00 3.70334 -127.778 -3.70334 3.70334 0.90 0.000221945 0.0001748 0.0200148 0.0161275 -1 -1 -1 -1 38 2444 23 6.95648e+06 275038 678818. 2348.85 2.80 0.0939672 0.0789434 26626 170182 -1 2002 22 1714 2362 193236 41883 3.74206 3.74206 -136.331 -3.74206 0 0 902133. 3121.57 0.33 0.06 0.16 -1 -1 0.33 0.0181231 0.0159587 78 59 60 32 58 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 9.23 vpr 64.88 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 33696 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66432 32 32 406 330 1 182 82 17 17 289 -1 unnamed_device 26.0 MiB 0.91 731 8626 3469 4889 268 64.9 MiB 0.06 0.00 3.81155 -129.752 -3.81155 3.81155 0.93 0.000248911 0.000188435 0.013441 0.0109521 -1 -1 -1 -1 48 2266 39 6.95648e+06 260562 865456. 2994.66 5.02 0.122465 0.100661 28354 207349 -1 1829 24 1641 2329 190132 44178 3.85482 3.85482 -137.457 -3.85482 0 0 1.05005e+06 3633.38 0.40 0.06 0.19 -1 -1 0.40 0.0174174 0.0151428 78 88 28 28 88 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 17.26 vpr 65.27 MiB 0.03 7304 -1 -1 1 0.05 -1 -1 34000 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66832 32 32 399 285 1 218 91 17 17 289 -1 unnamed_device 26.5 MiB 0.52 1044 8863 1910 6662 291 65.3 MiB 0.08 0.00 4.51819 -157.939 -4.51819 4.51819 0.86 0.000319748 0.000264517 0.0139407 0.0115667 -1 -1 -1 -1 50 3254 46 6.95648e+06 390843 902133. 3121.57 13.19 0.16786 0.140261 28642 213929 -1 2601 23 2265 3520 330176 69586 5.00971 5.00971 -175.569 -5.00971 0 0 1.08113e+06 3740.92 0.44 0.10 0.19 -1 -1 0.44 0.0219849 0.0195489 100 3 156 32 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 6.52 vpr 64.76 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 34036 -1 -1 18 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66312 30 32 371 295 1 176 80 17 17 289 -1 unnamed_device 25.9 MiB 1.07 700 12980 4665 6454 1861 64.8 MiB 0.08 0.00 3.39626 -111.442 -3.39626 3.39626 0.89 0.00023294 0.0001891 0.0188671 0.0153717 -1 -1 -1 -1 42 2399 42 6.95648e+06 260562 744469. 2576.02 2.13 0.0894231 0.0747699 27202 183097 -1 1832 24 1779 2637 270751 76578 3.65117 3.65117 -128.334 -3.65117 0 0 949917. 3286.91 0.35 0.08 0.16 -1 -1 0.35 0.0165509 0.0144569 77 59 60 30 56 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 5.35 vpr 64.23 MiB 0.02 6984 -1 -1 1 0.03 -1 -1 34208 -1 -1 15 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65772 27 32 269 226 1 137 74 17 17 289 -1 unnamed_device 25.6 MiB 0.71 465 10459 4157 5359 943 64.2 MiB 0.05 0.00 3.15776 -95.8334 -3.15776 3.15776 0.87 0.000158263 0.000124441 0.012293 0.00989249 -1 -1 -1 -1 38 1517 32 6.95648e+06 217135 678818. 2348.85 1.63 0.0642212 0.0529898 26626 170182 -1 1145 24 1083 1361 116797 26385 2.95232 2.95232 -103.134 -2.95232 0 0 902133. 3121.57 0.33 0.04 0.15 -1 -1 0.33 0.0122704 0.0106515 57 34 54 27 27 27 -fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 7.98 vpr 65.41 MiB 0.03 7400 -1 -1 1 0.05 -1 -1 34336 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66976 32 32 493 378 1 222 94 17 17 289 -1 unnamed_device 26.7 MiB 0.76 967 13513 4601 6349 2563 65.4 MiB 0.09 0.00 4.037 -140.402 -4.037 4.037 0.90 0.000293894 0.000232593 0.0213245 0.0172793 -1 -1 -1 -1 54 3228 47 6.95648e+06 434271 949917. 3286.91 3.62 0.124946 0.104096 29506 232905 -1 2348 22 2355 4119 340687 74270 4.19956 4.19956 -151.003 -4.19956 0 0 1.17392e+06 4061.99 0.44 0.09 0.22 -1 -1 0.44 0.0201425 0.0176379 103 95 62 31 95 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 12.81 vpr 65.04 MiB 0.03 7464 -1 -1 1 0.04 -1 -1 34052 -1 -1 14 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66604 31 32 455 371 1 187 77 17 17 289 -1 unnamed_device 26.3 MiB 4.59 725 8716 3580 4721 415 65.0 MiB 0.06 0.00 4.38345 -145.342 -4.38345 4.38345 0.86 0.000232662 0.000182216 0.015017 0.0121551 -1 -1 -1 -1 48 2552 29 6.95648e+06 202660 865456. 2994.66 4.93 0.114341 0.093441 28354 207349 -1 2035 20 1717 2546 215311 49082 4.56616 4.56616 -158.056 -4.56616 0 0 1.05005e+06 3633.38 0.41 0.06 0.18 -1 -1 0.41 0.0162496 0.0142603 79 124 0 0 124 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 8.70 vpr 64.43 MiB 0.04 7024 -1 -1 1 0.03 -1 -1 33820 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65972 32 32 355 304 1 147 74 17 17 289 -1 unnamed_device 25.8 MiB 3.27 511 11389 4311 5427 1651 64.4 MiB 0.06 0.00 3.0346 -106.082 -3.0346 3.0346 0.91 0.000204073 0.000160716 0.0166817 0.0134103 -1 -1 -1 -1 44 1820 32 6.95648e+06 144757 787024. 2723.27 1.94 0.0704928 0.0576554 27778 195446 -1 1378 32 1449 2183 172466 42204 2.97582 2.97582 -113.957 -2.97582 0 0 997811. 3452.63 0.38 0.07 0.17 -1 -1 0.38 0.0192913 0.0165751 58 89 0 0 89 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 6.74 vpr 64.80 MiB 0.03 7192 -1 -1 1 0.04 -1 -1 34020 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66356 32 32 364 282 1 188 86 17 17 289 -1 unnamed_device 25.9 MiB 0.51 783 13316 4555 6721 2040 64.8 MiB 0.08 0.00 4.13126 -136.078 -4.13126 4.13126 0.87 0.000210752 0.000165589 0.0174281 0.0141272 -1 -1 -1 -1 44 2812 43 6.95648e+06 318465 787024. 2723.27 2.79 0.100177 0.0851224 27778 195446 -1 1993 22 1763 2594 234884 54553 4.01612 4.01612 -140.756 -4.01612 0 0 997811. 3452.63 0.39 0.07 0.18 -1 -1 0.39 0.016263 0.014295 83 34 90 30 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 6.39 vpr 65.05 MiB 0.03 7408 -1 -1 1 0.04 -1 -1 34212 -1 -1 23 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66608 31 32 443 336 1 210 86 17 17 289 -1 unnamed_device 26.2 MiB 0.95 989 12560 4640 6662 1258 65.0 MiB 0.09 0.00 4.078 -143.913 -4.078 4.078 0.96 0.000245233 0.000193202 0.019711 0.0160722 -1 -1 -1 -1 38 3186 38 6.95648e+06 332941 678818. 2348.85 2.17 0.0886507 0.0737925 26626 170182 -1 2432 22 2092 2923 240764 50827 4.35612 4.35612 -162.123 -4.35612 0 0 902133. 3121.57 0.35 0.07 0.15 -1 -1 0.35 0.0200045 0.0176863 95 64 87 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 7.06 vpr 64.93 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 33928 -1 -1 20 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66488 30 32 373 297 1 178 82 17 17 289 -1 unnamed_device 26.1 MiB 1.09 739 10762 4429 5782 551 64.9 MiB 0.07 0.00 3.27396 -108.751 -3.27396 3.27396 0.95 0.000211036 0.000166681 0.0158295 0.0129393 -1 -1 -1 -1 46 2460 24 6.95648e+06 289514 828058. 2865.25 2.49 0.0780724 0.0652527 28066 200906 -1 1865 25 1663 2687 229618 51502 3.36257 3.36257 -114.136 -3.36257 0 0 1.01997e+06 3529.29 0.38 0.07 0.18 -1 -1 0.38 0.0167459 0.0145725 78 61 58 30 58 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 9.50 vpr 64.92 MiB 0.03 7200 -1 -1 1 0.05 -1 -1 33848 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66480 32 32 407 319 1 193 98 17 17 289 -1 unnamed_device 25.9 MiB 0.56 907 15848 6161 8045 1642 64.9 MiB 0.09 0.00 3.79319 -139.401 -3.79319 3.79319 0.89 0.000237061 0.00018656 0.0199186 0.0161513 -1 -1 -1 -1 50 2301 21 6.95648e+06 492173 902133. 3121.57 5.47 0.139175 0.114251 28642 213929 -1 1966 20 1889 2731 216206 44339 3.82986 3.82986 -142.739 -3.82986 0 0 1.08113e+06 3740.92 0.41 0.07 0.19 -1 -1 0.41 0.0188862 0.0167965 91 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 6.02 vpr 64.90 MiB 0.03 7188 -1 -1 1 0.04 -1 -1 33808 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66460 32 32 405 318 1 192 95 17 17 289 -1 unnamed_device 26.2 MiB 0.59 796 15215 5678 7656 1881 64.9 MiB 0.09 0.00 3.0797 -116.569 -3.0797 3.0797 0.89 0.000225108 0.000176083 0.0186307 0.0149886 -1 -1 -1 -1 42 2465 28 6.95648e+06 448746 744469. 2576.02 1.83 0.0756408 0.0624406 27202 183097 -1 1944 23 1707 2406 228872 48500 2.98197 2.98197 -120.753 -2.98197 0 0 949917. 3286.91 0.38 0.07 0.16 -1 -1 0.38 0.0192163 0.0169333 90 65 63 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 13.03 vpr 64.61 MiB 0.02 6996 -1 -1 1 0.04 -1 -1 33824 -1 -1 13 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66160 29 32 287 238 1 136 74 17 17 289 -1 unnamed_device 25.8 MiB 4.25 413 8444 3429 4545 470 64.6 MiB 0.04 0.00 3.17976 -99.6274 -3.17976 3.17976 0.87 0.000170127 0.000133803 0.011219 0.00908545 -1 -1 -1 -1 42 1495 26 6.95648e+06 188184 744469. 2576.02 5.70 0.109053 0.0897164 27202 183097 -1 1176 24 1072 1285 89928 23616 3.00387 3.00387 -105.425 -3.00387 0 0 949917. 3286.91 0.35 0.04 0.16 -1 -1 0.35 0.0139487 0.0122001 56 34 58 29 29 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 6.51 vpr 64.68 MiB 0.02 7204 -1 -1 1 0.05 -1 -1 33812 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66228 32 32 334 290 1 148 74 17 17 289 -1 unnamed_device 25.8 MiB 0.83 584 9839 4132 5456 251 64.7 MiB 0.05 0.00 2.9814 -102.92 -2.9814 2.9814 0.96 0.00019317 0.00015208 0.0148828 0.0121344 -1 -1 -1 -1 44 1714 41 6.95648e+06 144757 787024. 2723.27 2.08 0.075068 0.0620392 27778 195446 -1 1301 20 1069 1375 127540 30062 2.86342 2.86342 -103.55 -2.86342 0 0 997811. 3452.63 0.44 0.05 0.21 -1 -1 0.44 0.0150028 0.0132993 58 82 0 0 82 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 17.52 vpr 64.85 MiB 0.03 7180 -1 -1 1 0.04 -1 -1 34124 -1 -1 28 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66404 31 32 365 281 1 188 91 17 17 289 -1 unnamed_device 26.0 MiB 0.46 740 12331 4240 6152 1939 64.8 MiB 0.07 0.00 4.034 -137.168 -4.034 4.034 0.97 0.000221861 0.00017553 0.0166071 0.0136187 -1 -1 -1 -1 50 2491 38 6.95648e+06 405319 902133. 3121.57 13.50 0.151769 0.126089 28642 213929 -1 1888 23 2039 2998 270691 60908 3.87686 3.87686 -143.544 -3.87686 0 0 1.08113e+06 3740.92 0.42 0.07 0.19 -1 -1 0.42 0.0163729 0.0142794 86 34 93 31 31 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 6.61 vpr 64.20 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 33884 -1 -1 14 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65740 29 32 297 254 1 144 75 17 17 289 -1 unnamed_device 25.7 MiB 1.23 591 12399 5279 6566 554 64.2 MiB 0.08 0.00 3.26295 -102.061 -3.26295 3.26295 0.93 0.000250933 0.000189948 0.0175493 0.014215 -1 -1 -1 -1 36 2054 38 6.95648e+06 202660 648988. 2245.63 2.06 0.0680597 0.0562175 26050 158493 -1 1467 19 1087 1494 102866 24191 2.92067 2.92067 -103.124 -2.92067 0 0 828058. 2865.25 0.33 0.04 0.14 -1 -1 0.33 0.0118012 0.0104176 59 56 29 29 52 26 -fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 8.86 vpr 64.46 MiB 0.02 6968 -1 -1 1 0.04 -1 -1 34036 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66008 32 32 314 256 1 154 74 17 17 289 -1 unnamed_device 25.6 MiB 1.30 698 10149 3910 5225 1014 64.5 MiB 0.06 0.00 3.05815 -118.306 -3.05815 3.05815 0.90 0.000201918 0.000161284 0.0151206 0.0123531 -1 -1 -1 -1 40 2047 29 6.95648e+06 144757 706193. 2443.58 4.31 0.0984338 0.0813051 26914 176310 -1 1712 22 1552 2132 221035 44123 3.20912 3.20912 -130.461 -3.20912 0 0 926341. 3205.33 0.35 0.07 0.16 -1 -1 0.35 0.0152114 0.0133454 61 34 64 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 8.59 vpr 64.68 MiB 0.03 7312 -1 -1 1 0.04 -1 -1 34048 -1 -1 24 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66232 31 32 387 307 1 181 87 17 17 289 -1 unnamed_device 25.9 MiB 1.07 746 14103 5624 7146 1333 64.7 MiB 0.09 0.00 3.238 -117.486 -3.238 3.238 0.93 0.000221803 0.000174577 0.0195382 0.0159103 -1 -1 -1 -1 40 2250 24 6.95648e+06 347416 706193. 2443.58 4.18 0.114716 0.0942168 26914 176310 -1 1847 21 1868 2477 207757 46529 3.35967 3.35967 -127.465 -3.35967 0 0 926341. 3205.33 0.36 0.10 0.16 -1 -1 0.36 0.026383 0.0232655 82 64 58 31 62 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 7.13 vpr 64.65 MiB 0.02 7004 -1 -1 1 0.04 -1 -1 33700 -1 -1 11 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66204 31 32 308 262 1 142 74 17 17 289 -1 unnamed_device 26.0 MiB 2.24 686 12474 3876 7111 1487 64.7 MiB 0.07 0.00 3.13575 -103.399 -3.13575 3.13575 0.87 0.000177189 0.000138948 0.0166928 0.0134323 -1 -1 -1 -1 34 2076 38 6.95648e+06 159232 618332. 2139.56 1.63 0.0663046 0.0543592 25762 151098 -1 1736 21 1111 1664 144444 31034 3.15417 3.15417 -115.942 -3.15417 0 0 787024. 2723.27 0.33 0.05 0.13 -1 -1 0.33 0.0125646 0.011034 56 55 31 31 53 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 16.68 vpr 64.75 MiB 0.02 7076 -1 -1 1 0.03 -1 -1 34220 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66300 32 32 383 307 1 176 83 17 17 289 -1 unnamed_device 26.0 MiB 1.63 722 12503 5194 6872 437 64.7 MiB 0.08 0.00 3.23686 -109.678 -3.23686 3.23686 0.91 0.00021469 0.000168702 0.0179795 0.0146042 -1 -1 -1 -1 46 2507 26 6.95648e+06 275038 828058. 2865.25 11.62 0.149146 0.123515 28066 200906 -1 1771 21 1311 1957 149607 34456 3.06662 3.06662 -115.056 -3.06662 0 0 1.01997e+06 3529.29 0.38 0.05 0.18 -1 -1 0.38 0.0153966 0.0134858 76 65 52 26 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 7.09 vpr 65.04 MiB 0.02 7392 -1 -1 1 0.04 -1 -1 33912 -1 -1 25 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66600 31 32 422 339 1 187 88 17 17 289 -1 unnamed_device 26.4 MiB 1.51 718 15298 5475 7567 2256 65.0 MiB 0.10 0.00 3.37721 -115.369 -3.37721 3.37721 0.91 0.000248075 0.00019366 0.0222294 0.0179742 -1 -1 -1 -1 42 2454 37 6.95648e+06 361892 744469. 2576.02 2.28 0.0951706 0.0783908 27202 183097 -1 1975 22 1999 2722 234333 51424 3.31733 3.31733 -129.625 -3.31733 0 0 949917. 3286.91 0.35 0.07 0.16 -1 -1 0.35 0.0170811 0.0149316 85 93 31 31 92 31 -fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 6.57 vpr 64.73 MiB 0.02 6860 -1 -1 1 0.05 -1 -1 33676 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66284 32 32 333 279 1 154 74 17 17 289 -1 unnamed_device 25.9 MiB 0.89 564 10149 3066 5426 1657 64.7 MiB 0.07 0.00 2.9023 -103.177 -2.9023 2.9023 0.90 0.000213441 0.000168893 0.0163202 0.0132916 -1 -1 -1 -1 42 2076 27 6.95648e+06 144757 744469. 2576.02 2.26 0.0797797 0.0665196 27202 183097 -1 1578 21 1250 1859 168775 37839 3.11212 3.11212 -112.379 -3.11212 0 0 949917. 3286.91 0.36 0.05 0.18 -1 -1 0.36 0.0143999 0.0126939 61 61 32 32 60 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 7.20 vpr 64.75 MiB 0.02 6948 -1 -1 1 0.04 -1 -1 33892 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66304 32 32 339 283 1 158 74 17 17 289 -1 unnamed_device 26.1 MiB 1.08 612 8289 3415 4647 227 64.8 MiB 0.05 0.00 3.0515 -113.508 -3.0515 3.0515 0.87 0.000190414 0.00014924 0.0128486 0.0104754 -1 -1 -1 -1 50 1756 29 6.95648e+06 144757 902133. 3121.57 2.67 0.0790903 0.066076 28642 213929 -1 1545 25 1500 2310 182818 43587 3.21392 3.21392 -123.294 -3.21392 0 0 1.08113e+06 3740.92 0.44 0.07 0.20 -1 -1 0.44 0.0182631 0.015963 63 63 32 32 62 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 7.48 vpr 65.04 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 34444 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66596 32 32 407 319 1 190 93 17 17 289 -1 unnamed_device 26.4 MiB 0.87 953 11433 2671 7909 853 65.0 MiB 0.08 0.00 3.79939 -142.687 -3.79939 3.79939 0.95 0.000245004 0.000186643 0.0162493 0.0131406 -1 -1 -1 -1 40 2518 29 6.95648e+06 419795 706193. 2443.58 3.19 0.096134 0.0806775 26914 176310 -1 2322 26 2210 3234 302908 68055 4.17756 4.17756 -157.903 -4.17756 0 0 926341. 3205.33 0.34 0.08 0.15 -1 -1 0.34 0.0179552 0.0155784 88 65 64 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 7.13 vpr 64.75 MiB 0.02 7316 -1 -1 1 0.04 -1 -1 33736 -1 -1 19 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66308 29 32 367 293 1 175 80 17 17 289 -1 unnamed_device 26.0 MiB 1.08 751 8336 2486 4818 1032 64.8 MiB 0.06 0.00 3.1658 -105.551 -3.1658 3.1658 0.94 0.000220737 0.000173858 0.0132219 0.0108729 -1 -1 -1 -1 38 2273 41 6.95648e+06 275038 678818. 2348.85 2.64 0.0842764 0.0700792 26626 170182 -1 1724 24 1515 2148 170944 40482 3.28747 3.28747 -116.09 -3.28747 0 0 902133. 3121.57 0.36 0.06 0.16 -1 -1 0.36 0.0186314 0.0163918 77 62 56 29 58 29 -fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 8.00 vpr 65.13 MiB 0.03 7224 -1 -1 1 0.04 -1 -1 34004 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66696 32 32 469 381 1 192 93 17 17 289 -1 unnamed_device 26.3 MiB 1.47 819 16473 6066 7302 3105 65.1 MiB 0.09 0.00 3.81039 -138.347 -3.81039 3.81039 0.93 0.000260637 0.000206957 0.0224718 0.0179151 -1 -1 -1 -1 54 2296 38 6.95648e+06 419795 949917. 3286.91 2.86 0.105012 0.0865294 29506 232905 -1 1872 24 2067 3118 252516 61667 4.04136 4.04136 -149.256 -4.04136 0 0 1.17392e+06 4061.99 0.47 0.08 0.24 -1 -1 0.47 0.0223094 0.0195709 89 127 0 0 128 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 9.03 vpr 64.53 MiB 0.02 6968 -1 -1 1 0.04 -1 -1 34100 -1 -1 11 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66080 31 32 259 212 1 143 74 17 17 289 -1 unnamed_device 25.8 MiB 1.29 577 9219 3376 4280 1563 64.5 MiB 0.05 0.00 3.0073 -101.365 -3.0073 3.0073 0.90 0.000184756 0.000136476 0.0127367 0.0104474 -1 -1 -1 -1 46 1633 20 6.95648e+06 159232 828058. 2865.25 4.39 0.0833155 0.068935 28066 200906 -1 1465 24 1351 2142 160288 37402 2.95362 2.95362 -109.8 -2.95362 0 0 1.01997e+06 3529.29 0.40 0.06 0.18 -1 -1 0.40 0.0143668 0.0126417 57 4 85 31 0 0 -fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 7.26 vpr 64.88 MiB 0.02 7328 -1 -1 1 0.04 -1 -1 34136 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66440 32 32 418 338 1 182 87 17 17 289 -1 unnamed_device 26.0 MiB 1.04 751 13335 4844 6817 1674 64.9 MiB 0.08 0.00 3.74945 -128.098 -3.74945 3.74945 0.86 0.000225074 0.000176138 0.0192665 0.0154518 -1 -1 -1 -1 52 2258 25 6.95648e+06 332941 926341. 3205.33 2.81 0.0848387 0.0697611 29218 227130 -1 1670 20 1547 2050 191458 44084 3.80366 3.80366 -136.165 -3.80366 0 0 1.14541e+06 3963.36 0.44 0.07 0.20 -1 -1 0.44 0.0206243 0.0183294 81 92 28 28 92 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 13.29 vpr 64.74 MiB 0.02 7040 -1 -1 1 0.04 -1 -1 33672 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66296 32 32 376 318 1 154 74 17 17 289 -1 unnamed_device 26.0 MiB 3.34 732 10149 4260 5733 156 64.7 MiB 0.06 0.00 2.97895 -115.858 -2.97895 2.97895 0.85 0.000202634 0.00015895 0.0156831 0.0126822 -1 -1 -1 -1 38 2140 50 6.95648e+06 144757 678818. 2348.85 6.72 0.131602 0.107826 26626 170182 -1 1736 21 1448 2001 193905 40098 3.66202 3.66202 -142.167 -3.66202 0 0 902133. 3121.57 0.32 0.06 0.15 -1 -1 0.32 0.0144774 0.0126478 61 96 0 0 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 9.12 vpr 64.81 MiB 0.02 7296 -1 -1 1 0.04 -1 -1 34156 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66368 32 32 401 316 1 188 88 17 17 289 -1 unnamed_device 25.9 MiB 1.09 784 11983 4223 5778 1982 64.8 MiB 0.07 0.00 3.13882 -116.487 -3.13882 3.13882 0.85 0.000230168 0.000186816 0.0155959 0.0126378 -1 -1 -1 -1 48 2133 27 6.95648e+06 347416 865456. 2994.66 4.68 0.11231 0.0922848 28354 207349 -1 1808 20 1412 2099 173202 38789 3.47987 3.47987 -125.608 -3.47987 0 0 1.05005e+06 3633.38 0.42 0.06 0.18 -1 -1 0.42 0.0164892 0.0145745 84 65 61 32 64 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 9.14 vpr 65.24 MiB 0.03 7376 -1 -1 1 0.05 -1 -1 34368 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66804 32 32 500 382 1 222 97 17 17 289 -1 unnamed_device 26.4 MiB 1.29 961 18301 6218 9454 2629 65.2 MiB 0.14 0.00 4.52824 -160.34 -4.52824 4.52824 0.90 0.000282344 0.000221166 0.0310152 0.0251819 -1 -1 -1 -1 44 3606 48 6.95648e+06 477698 787024. 2723.27 4.28 0.136446 0.113537 27778 195446 -1 2521 22 2606 4045 389217 80225 5.09591 5.09591 -178.791 -5.09591 0 0 997811. 3452.63 0.39 0.10 0.19 -1 -1 0.39 0.0206557 0.0181017 104 96 64 32 96 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 5.71 vpr 63.82 MiB 0.02 6840 -1 -1 1 0.03 -1 -1 33692 -1 -1 10 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65352 30 32 246 229 1 117 72 17 17 289 -1 unnamed_device 25.4 MiB 0.65 399 8267 2578 4387 1302 63.8 MiB 0.04 0.00 2.20646 -75.9306 -2.20646 2.20646 0.87 0.000142794 0.000111322 0.00944963 0.00756744 -1 -1 -1 -1 38 1211 24 6.95648e+06 144757 678818. 2348.85 2.09 0.0576629 0.047849 26626 170182 -1 863 19 636 793 63794 16426 2.30318 2.30318 -81.2983 -2.30318 0 0 902133. 3121.57 0.34 0.03 0.15 -1 -1 0.34 0.00907669 0.00791915 45 56 0 0 53 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 8.51 vpr 64.46 MiB 0.02 6928 -1 -1 1 0.04 -1 -1 33876 -1 -1 12 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66012 30 32 296 244 1 141 74 17 17 289 -1 unnamed_device 25.7 MiB 2.90 478 9684 3860 5006 818 64.5 MiB 0.05 0.00 3.27575 -100.35 -3.27575 3.27575 0.90 0.00017829 0.00013946 0.0134907 0.0109151 -1 -1 -1 -1 62 1209 21 6.95648e+06 173708 1.05005e+06 3633.38 2.11 0.0627843 0.0519999 30946 263737 -1 1049 16 765 1119 81635 19720 3.04462 3.04462 -100.76 -3.04462 0 0 1.30136e+06 4502.97 0.48 0.03 0.26 -1 -1 0.48 0.011093 0.00990901 58 34 60 30 30 30 -fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 6.35 vpr 64.64 MiB 0.02 6872 -1 -1 1 0.03 -1 -1 33828 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66192 32 32 314 256 1 161 74 17 17 289 -1 unnamed_device 25.8 MiB 0.30 594 9219 3126 4706 1387 64.6 MiB 0.06 0.00 2.93285 -111.664 -2.93285 2.93285 0.89 0.000193495 0.000152169 0.0140947 0.011479 -1 -1 -1 -1 48 2086 49 6.95648e+06 144757 865456. 2994.66 2.82 0.0914713 0.0769749 28354 207349 -1 1444 25 1555 2590 241051 52720 3.23742 3.23742 -116.56 -3.23742 0 0 1.05005e+06 3633.38 0.39 0.06 0.18 -1 -1 0.39 0.0145043 0.0126297 65 34 64 32 32 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 8.17 vpr 64.16 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 33944 -1 -1 15 25 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65696 25 32 251 214 1 132 72 17 17 289 -1 unnamed_device 25.6 MiB 0.67 415 9310 3978 4599 733 64.2 MiB 0.05 0.00 3.24096 -89.5658 -3.24096 3.24096 0.91 0.000150234 0.000118013 0.0118018 0.00963869 -1 -1 -1 -1 46 1386 24 6.95648e+06 217135 828058. 2865.25 4.24 0.0787125 0.0645544 28066 200906 -1 1024 22 988 1311 88790 22117 3.09482 3.09482 -97.6336 -3.09482 0 0 1.01997e+06 3529.29 0.37 0.03 0.17 -1 -1 0.37 0.0105159 0.00921796 56 34 50 25 25 25 -fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 6.60 vpr 65.09 MiB 0.02 7264 -1 -1 1 0.04 -1 -1 34424 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66648 32 32 432 346 1 185 77 17 17 289 -1 unnamed_device 26.3 MiB 1.29 759 9368 3878 5207 283 65.1 MiB 0.06 0.00 3.79735 -132.334 -3.79735 3.79735 0.86 0.000235684 0.000185663 0.0162069 0.0130574 -1 -1 -1 -1 42 2874 46 6.95648e+06 188184 744469. 2576.02 2.10 0.0851568 0.0699239 27202 183097 -1 2057 20 1787 3006 204311 46800 4.14156 4.14156 -147.02 -4.14156 0 0 949917. 3286.91 0.37 0.06 0.16 -1 -1 0.37 0.017202 0.0150038 77 94 32 32 94 32 -fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 9.47 vpr 65.02 MiB 0.03 7264 -1 -1 1 0.04 -1 -1 34256 -1 -1 29 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66584 31 32 421 339 1 185 92 17 17 289 -1 unnamed_device 26.3 MiB 0.97 724 14789 4750 6856 3183 65.0 MiB 0.08 0.00 3.1508 -112.895 -3.1508 3.1508 0.93 0.000241088 0.000189278 0.020069 0.0162234 -1 -1 -1 -1 50 2096 22 6.95648e+06 419795 902133. 3121.57 4.97 0.127874 0.104191 28642 213929 -1 1718 22 1670 2258 210252 53762 3.38277 3.38277 -123.061 -3.38277 0 0 1.08113e+06 3740.92 0.42 0.07 0.20 -1 -1 0.42 0.0183852 0.0160435 87 94 29 29 93 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 6.72 vpr 65.02 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 34412 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66576 32 32 438 350 1 287 86 17 17 289 -1 unnamed_device 26.0 MiB 0.91 1129 15584 6141 7449 1994 65.0 MiB 0.11 0.00 4.57544 -163.509 -4.57544 4.57544 0.90 0.000256551 0.000190975 0.0245724 0.0194269 -1 -1 -1 -1 46 3592 46 6.99608e+06 323745 828058. 2865.25 2.30 0.0953426 0.0786146 28066 200906 -1 2587 21 2350 2730 217587 46253 4.72831 4.72831 -167.527 -4.72831 0 0 1.01997e+06 3529.29 0.40 0.09 0.18 -1 -1 0.40 0.0239191 0.0214806 130 96 32 32 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 17.15 vpr 64.92 MiB 0.03 7400 -1 -1 1 0.04 -1 -1 34244 -1 -1 20 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66476 30 32 409 330 1 260 82 17 17 289 -1 unnamed_device 26.1 MiB 1.34 1071 14500 5786 6936 1778 64.9 MiB 0.09 0.00 4.41722 -148.996 -4.41722 4.41722 0.91 0.000219885 0.000173663 0.0210302 0.0170472 -1 -1 -1 -1 40 3344 43 6.99608e+06 294314 706193. 2443.58 12.29 0.160467 0.132561 26914 176310 -1 2810 22 2611 3549 326273 68335 4.77055 4.77055 -164.894 -4.77055 0 0 926341. 3205.33 0.41 0.10 0.17 -1 -1 0.41 0.0207406 0.0184143 118 91 30 30 89 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 6.39 vpr 64.78 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 34276 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66336 32 32 387 309 1 241 82 17 17 289 -1 unnamed_device 25.8 MiB 1.19 1033 13610 5703 7532 375 64.8 MiB 0.09 0.00 3.59279 -130.543 -3.59279 3.59279 0.92 0.000221978 0.000174937 0.020334 0.0165081 -1 -1 -1 -1 42 2896 26 6.99608e+06 264882 744469. 2576.02 1.83 0.0776657 0.0642318 27202 183097 -1 2439 27 2060 2469 283936 77557 3.90106 3.90106 -147.238 -3.90106 0 0 949917. 3286.91 0.37 0.08 0.16 -1 -1 0.37 0.0179849 0.015607 106 65 54 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 6.79 vpr 64.49 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 33816 -1 -1 18 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66040 29 32 343 267 1 201 79 17 17 289 -1 unnamed_device 25.8 MiB 0.92 736 8360 3365 4601 394 64.5 MiB 0.06 0.00 3.83534 -125.933 -3.83534 3.83534 0.93 0.000198805 0.000156982 0.0126025 0.0103601 -1 -1 -1 -1 46 2440 40 6.99608e+06 264882 828058. 2865.25 2.41 0.0784968 0.065211 28066 200906 -1 1699 23 1809 2706 201725 48097 3.69842 3.69842 -129.786 -3.69842 0 0 1.01997e+06 3529.29 0.38 0.07 0.18 -1 -1 0.38 0.0166733 0.0147321 89 34 87 29 29 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 7.03 vpr 64.68 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 34012 -1 -1 15 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66232 32 32 376 288 1 218 79 17 17 289 -1 unnamed_device 25.8 MiB 0.68 971 12416 5616 6402 398 64.7 MiB 0.08 0.00 4.27644 -155.98 -4.27644 4.27644 0.94 0.000225399 0.000177801 0.0199569 0.016223 -1 -1 -1 -1 58 3083 25 6.99608e+06 220735 997811. 3452.63 2.76 0.0867376 0.0720953 30370 251734 -1 2384 22 2407 3869 335073 75781 4.52455 4.52455 -169.743 -4.52455 0 0 1.25153e+06 4330.55 0.46 0.08 0.24 -1 -1 0.46 0.0166317 0.0145535 93 34 96 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 18.93 vpr 65.00 MiB 0.03 7284 -1 -1 1 0.03 -1 -1 33972 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66564 32 32 402 316 1 251 96 17 17 289 -1 unnamed_device 26.1 MiB 0.55 1303 16740 4427 11097 1216 65.0 MiB 0.12 0.00 3.60699 -130.903 -3.60699 3.60699 0.95 0.000265786 0.000212407 0.0217323 0.0171063 -1 -1 -1 -1 42 3499 42 6.99608e+06 470902 744469. 2576.02 14.94 0.173292 0.142652 27202 183097 -1 2821 23 2284 3422 302441 61498 3.47801 3.47801 -139.661 -3.47801 0 0 949917. 3286.91 0.35 0.08 0.16 -1 -1 0.35 0.0165442 0.0143677 119 64 63 32 63 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 6.38 vpr 64.36 MiB 0.02 7028 -1 -1 1 0.03 -1 -1 34448 -1 -1 15 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65904 27 32 269 226 1 158 74 17 17 289 -1 unnamed_device 25.5 MiB 1.08 620 8289 3348 4414 527 64.4 MiB 0.05 0.00 3.30124 -103.988 -3.30124 3.30124 0.92 0.000164832 0.000129364 0.011104 0.00910513 -1 -1 -1 -1 38 2206 37 6.99608e+06 220735 678818. 2348.85 2.05 0.0624406 0.0517512 26626 170182 -1 1627 20 1415 2004 178034 39532 3.42781 3.42781 -116.163 -3.42781 0 0 902133. 3121.57 0.33 0.05 0.16 -1 -1 0.33 0.0114146 0.0100981 68 34 54 27 27 27 -fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 6.64 vpr 64.32 MiB 0.02 7028 -1 -1 1 0.04 -1 -1 33776 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65864 31 32 317 242 1 178 80 17 17 289 -1 unnamed_device 25.5 MiB 0.61 683 10744 3839 5024 1881 64.3 MiB 0.06 0.00 2.89749 -100.387 -2.89749 2.89749 0.89 0.000208021 0.000167671 0.0147483 0.0120642 -1 -1 -1 -1 48 2190 43 6.99608e+06 250167 865456. 2994.66 2.66 0.0875022 0.0733762 28354 207349 -1 1656 40 1555 2279 153463 38129 2.99982 2.99982 -104.648 -2.99982 0 0 1.05005e+06 3633.38 0.39 0.06 0.18 -1 -1 0.39 0.0209512 0.0180261 77 4 115 31 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 8.03 vpr 64.57 MiB 0.03 7244 -1 -1 1 0.04 -1 -1 33928 -1 -1 15 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66120 31 32 338 292 1 223 78 17 17 289 -1 unnamed_device 25.5 MiB 2.00 947 14022 5302 5947 2773 64.6 MiB 0.08 0.00 3.20894 -116.628 -3.20894 3.20894 0.98 0.000185548 0.000145468 0.0180258 0.0144448 -1 -1 -1 -1 40 2806 38 6.99608e+06 220735 706193. 2443.58 2.65 0.0826562 0.0679059 26914 176310 -1 2185 21 1918 2321 201084 44015 3.61232 3.61232 -128.383 -3.61232 0 0 926341. 3205.33 0.36 0.06 0.16 -1 -1 0.36 0.0147471 0.0129955 97 85 0 0 84 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 5.98 vpr 64.37 MiB 0.02 6864 -1 -1 1 0.04 -1 -1 33940 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65916 32 32 314 256 1 187 77 17 17 289 -1 unnamed_device 25.5 MiB 0.88 683 10346 4298 5768 280 64.4 MiB 0.06 0.00 3.58749 -133.196 -3.58749 3.58749 0.88 0.000184517 0.000144827 0.0147298 0.0119218 -1 -1 -1 -1 44 2545 37 6.99608e+06 191304 787024. 2723.27 1.94 0.0650786 0.0540414 27778 195446 -1 1751 23 1642 2048 187978 40362 3.46386 3.46386 -137.45 -3.46386 0 0 997811. 3452.63 0.37 0.06 0.17 -1 -1 0.37 0.0134516 0.0117071 79 34 64 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 15.67 vpr 64.59 MiB 0.02 7160 -1 -1 1 0.04 -1 -1 33872 -1 -1 15 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66144 30 32 325 273 1 199 77 17 17 289 -1 unnamed_device 25.7 MiB 2.60 858 10835 4264 5113 1458 64.6 MiB 0.07 0.00 3.85932 -133.017 -3.85932 3.85932 0.89 0.000228664 0.00017075 0.015762 0.0128334 -1 -1 -1 -1 38 2629 24 6.99608e+06 220735 678818. 2348.85 9.82 0.117817 0.0978823 26626 170182 -1 2253 21 1903 2516 240687 49362 4.0616 4.0616 -149.942 -4.0616 0 0 902133. 3121.57 0.36 0.07 0.15 -1 -1 0.36 0.0156374 0.0138203 88 63 30 30 60 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 8.84 vpr 64.63 MiB 0.03 7028 -1 -1 1 0.04 -1 -1 34068 -1 -1 14 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66180 32 32 331 280 1 210 78 17 17 289 -1 unnamed_device 25.9 MiB 1.01 1076 12030 4001 6276 1753 64.6 MiB 0.07 0.00 3.0643 -120.829 -3.0643 3.0643 0.90 0.000187766 0.000146823 0.0165646 0.0134265 -1 -1 -1 -1 42 2511 22 6.99608e+06 206020 744469. 2576.02 4.50 0.10835 0.0891181 27202 183097 -1 2136 21 1429 1546 146785 29158 3.10717 3.10717 -124.077 -3.10717 0 0 949917. 3286.91 0.39 0.06 0.17 -1 -1 0.39 0.0150911 0.0133468 91 65 25 25 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 7.10 vpr 64.69 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 33788 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66240 32 32 386 305 1 233 81 17 17 289 -1 unnamed_device 26.0 MiB 1.28 895 11281 2962 6708 1611 64.7 MiB 0.08 0.00 3.59905 -128.853 -3.59905 3.59905 0.90 0.000217265 0.000171723 0.0168805 0.0137522 -1 -1 -1 -1 52 2384 30 6.99608e+06 250167 926341. 3205.33 2.29 0.0832343 0.0691071 29218 227130 -1 1808 22 1838 2530 221273 51876 3.56236 3.56236 -127.029 -3.56236 0 0 1.14541e+06 3963.36 0.44 0.10 0.21 -1 -1 0.44 0.0268683 0.0241377 102 58 64 32 57 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 6.97 vpr 64.93 MiB 0.02 7012 -1 -1 1 0.04 -1 -1 33996 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66488 32 32 407 319 1 254 83 17 17 289 -1 unnamed_device 26.1 MiB 1.01 1060 14303 4176 8339 1788 64.9 MiB 0.10 0.00 4.32484 -157.119 -4.32484 4.32484 0.86 0.000228273 0.000181066 0.021611 0.0176053 -1 -1 -1 -1 44 3344 49 6.99608e+06 279598 787024. 2723.27 2.53 0.115953 0.0977417 27778 195446 -1 2644 27 2823 3703 365004 96103 4.77951 4.77951 -170.822 -4.77951 0 0 997811. 3452.63 0.38 0.10 0.18 -1 -1 0.38 0.0198805 0.0173017 112 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 7.94 vpr 64.17 MiB 0.02 7092 -1 -1 1 0.03 -1 -1 33860 -1 -1 14 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 29 32 272 228 1 161 75 17 17 289 -1 unnamed_device 25.5 MiB 2.81 528 11293 4020 5114 2159 64.2 MiB 0.06 0.00 2.96115 -97.0174 -2.96115 2.96115 0.91 0.00016812 0.000132053 0.0140809 0.011337 -1 -1 -1 -1 44 1846 48 6.99608e+06 206020 787024. 2723.27 1.97 0.0617599 0.0510219 27778 195446 -1 1210 23 1155 1592 117420 29265 3.09402 3.09402 -101.629 -3.09402 0 0 997811. 3452.63 0.37 0.04 0.17 -1 -1 0.37 0.0119803 0.0104181 67 29 58 29 24 24 -fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 8.97 vpr 64.71 MiB 0.02 7236 -1 -1 1 0.04 -1 -1 33740 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66268 32 32 401 315 1 244 80 17 17 289 -1 unnamed_device 26.0 MiB 3.54 1210 15904 6463 7649 1792 64.7 MiB 0.11 0.00 3.55749 -134.258 -3.55749 3.55749 0.89 0.000276565 0.000198363 0.0251793 0.0202427 -1 -1 -1 -1 44 3316 27 6.99608e+06 235451 787024. 2723.27 1.99 0.0789806 0.0649081 27778 195446 -1 2713 24 2754 3921 308371 65001 3.77371 3.77371 -148.338 -3.77371 0 0 997811. 3452.63 0.39 0.08 0.18 -1 -1 0.39 0.0188446 0.0164977 107 63 64 32 62 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 7.83 vpr 63.92 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34004 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65452 32 32 383 303 1 230 81 17 17 289 -1 unnamed_device 24.9 MiB 1.56 1122 6731 1632 4419 680 63.9 MiB 0.05 0.00 3.32994 -132.194 -3.32994 3.32994 0.86 0.000216404 0.000171511 0.0112045 0.00928907 -1 -1 -1 -1 38 3078 24 6.99608e+06 250167 678818. 2348.85 3.01 0.0829187 0.0695957 26626 170182 -1 2529 22 2206 2773 235780 47709 3.37357 3.37357 -139.703 -3.37357 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.017632 0.0155809 99 57 64 32 56 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 6.31 vpr 64.55 MiB 0.02 6936 -1 -1 1 0.03 -1 -1 34124 -1 -1 14 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66096 32 32 339 284 1 218 78 17 17 289 -1 unnamed_device 25.8 MiB 0.98 951 13856 5691 6655 1510 64.5 MiB 0.09 0.00 3.13279 -121.703 -3.13279 3.13279 0.89 0.000192635 0.000151222 0.0185732 0.0149532 -1 -1 -1 -1 42 2770 34 6.99608e+06 206020 744469. 2576.02 2.06 0.0717668 0.0593217 27202 183097 -1 2294 22 1963 2449 242421 49435 2.89357 2.89357 -123.932 -2.89357 0 0 949917. 3286.91 0.34 0.07 0.16 -1 -1 0.34 0.0166457 0.0146168 91 65 29 29 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 8.94 vpr 64.03 MiB 0.02 6828 -1 -1 1 0.04 -1 -1 33932 -1 -1 11 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65568 30 32 226 208 1 138 73 17 17 289 -1 unnamed_device 25.5 MiB 3.67 516 9193 3770 5081 342 64.0 MiB 0.05 0.00 2.37536 -87.628 -2.37536 2.37536 0.90 0.000143022 0.00011231 0.0108855 0.00882625 -1 -1 -1 -1 38 1648 28 6.99608e+06 161872 678818. 2348.85 2.05 0.0527568 0.0435179 26626 170182 -1 1217 17 751 798 77910 17444 2.51033 2.51033 -88.5237 -2.51033 0 0 902133. 3121.57 0.33 0.04 0.15 -1 -1 0.33 0.0102322 0.0091404 56 34 24 24 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 12.84 vpr 64.84 MiB 0.02 7076 -1 -1 1 0.04 -1 -1 34176 -1 -1 15 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66392 31 32 335 280 1 209 78 17 17 289 -1 unnamed_device 25.8 MiB 3.39 1023 8046 2352 4947 747 64.8 MiB 0.05 0.00 3.80119 -135.035 -3.80119 3.80119 0.93 0.000196852 0.000155085 0.0117925 0.00964943 -1 -1 -1 -1 36 2779 37 6.99608e+06 220735 648988. 2245.63 6.08 0.126126 0.104714 26050 158493 -1 2361 35 2178 2743 703453 275626 3.70341 3.70341 -145.617 -3.70341 0 0 828058. 2865.25 0.31 0.18 0.14 -1 -1 0.31 0.0200274 0.0171948 91 64 31 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 17.02 vpr 64.86 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 33772 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66420 32 32 366 283 1 215 87 17 17 289 -1 unnamed_device 25.9 MiB 0.61 873 12759 5251 7214 294 64.9 MiB 0.09 0.00 4.03513 -142.757 -4.03513 4.03513 0.92 0.00022868 0.000182409 0.019295 0.0157573 -1 -1 -1 -1 42 3024 42 6.99608e+06 338461 744469. 2576.02 13.02 0.167627 0.140752 27202 183097 -1 2381 21 2303 3171 353724 88771 4.2429 4.2429 -160.748 -4.2429 0 0 949917. 3286.91 0.35 0.09 0.15 -1 -1 0.35 0.0164715 0.0145842 97 34 91 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 7.26 vpr 64.95 MiB 0.02 7336 -1 -1 1 0.04 -1 -1 34640 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66512 32 32 460 375 1 303 86 17 17 289 -1 unnamed_device 26.4 MiB 1.61 1281 15206 5059 7438 2709 65.0 MiB 0.10 0.00 4.01908 -142.049 -4.01908 4.01908 0.94 0.00024776 0.000195763 0.0238021 0.0193291 -1 -1 -1 -1 44 3544 44 6.99608e+06 323745 787024. 2723.27 2.19 0.0952422 0.0783053 27778 195446 -1 2668 21 2329 2642 220547 47314 4.23596 4.23596 -149.084 -4.23596 0 0 997811. 3452.63 0.37 0.07 0.17 -1 -1 0.37 0.0206637 0.0182305 138 124 0 0 125 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 9.79 vpr 63.85 MiB 0.02 6760 -1 -1 1 0.03 -1 -1 34244 -1 -1 15 26 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65384 26 32 198 186 1 125 73 17 17 289 -1 unnamed_device 25.2 MiB 1.43 402 7369 3107 3789 473 63.9 MiB 0.04 0.00 2.6716 -78.5602 -2.6716 2.6716 0.90 0.000124012 9.6894e-05 0.00776235 0.0063422 -1 -1 -1 -1 38 1306 36 6.99608e+06 220735 678818. 2348.85 5.25 0.0848133 0.0698763 26626 170182 -1 1045 19 808 960 79733 19945 2.39737 2.39737 -79.3385 -2.39737 0 0 902133. 3121.57 0.34 0.03 0.15 -1 -1 0.34 0.00823031 0.00722705 52 30 26 26 22 22 -fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 9.67 vpr 64.46 MiB 0.02 7036 -1 -1 1 0.05 -1 -1 33840 -1 -1 12 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66008 32 32 333 251 1 181 76 17 17 289 -1 unnamed_device 25.5 MiB 1.39 705 12076 4649 5860 1567 64.5 MiB 0.07 0.00 3.97238 -132.995 -3.97238 3.97238 0.86 0.000201558 0.000159801 0.017976 0.0146283 -1 -1 -1 -1 50 2384 26 6.99608e+06 176588 902133. 3121.57 4.92 0.113966 0.0944526 28642 213929 -1 1792 24 1691 2632 239714 84603 3.74246 3.74246 -137.322 -3.74246 0 0 1.08113e+06 3740.92 0.38 0.07 0.19 -1 -1 0.38 0.0150641 0.0131455 75 3 122 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 7.03 vpr 63.45 MiB 0.02 6800 -1 -1 1 0.03 -1 -1 34132 -1 -1 8 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64972 32 32 199 182 1 119 72 17 17 289 -1 unnamed_device 24.9 MiB 0.29 736 9906 3603 5031 1272 63.4 MiB 0.05 0.00 2.06111 -84.6894 -2.06111 2.06111 0.90 0.000131147 0.00010301 0.0106301 0.00864822 -1 -1 -1 -1 38 1557 18 6.99608e+06 117725 678818. 2348.85 3.69 0.060559 0.050037 26626 170182 -1 1417 21 744 947 87887 17619 1.88582 1.88582 -87.8319 -1.88582 0 0 902133. 3121.57 0.32 0.03 0.14 -1 -1 0.32 0.00869922 0.0075739 44 3 53 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 10.53 vpr 64.63 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 33924 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66184 32 32 376 288 1 218 81 17 17 289 -1 unnamed_device 25.8 MiB 1.32 835 12856 4936 6167 1753 64.6 MiB 0.08 0.00 3.83288 -138.607 -3.83288 3.83288 0.89 0.00021599 0.00016998 0.0192495 0.0156266 -1 -1 -1 -1 54 2823 49 6.99608e+06 250167 949917. 3286.91 5.84 0.127506 0.105907 29506 232905 -1 1943 24 2035 2954 239818 56718 4.18862 4.18862 -149.145 -4.18862 0 0 1.17392e+06 4061.99 0.41 0.07 0.21 -1 -1 0.41 0.0161238 0.0141157 95 34 96 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 7.76 vpr 64.61 MiB 0.02 7036 -1 -1 1 0.04 -1 -1 33968 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66164 32 32 337 253 1 188 92 17 17 289 -1 unnamed_device 25.6 MiB 0.31 928 12512 3016 8778 718 64.6 MiB 0.08 0.00 2.93295 -114.372 -2.93295 2.93295 0.92 0.000211595 0.000167888 0.0151586 0.012329 -1 -1 -1 -1 44 2265 23 6.99608e+06 412039 787024. 2723.27 4.08 0.108468 0.0899133 27778 195446 -1 2105 20 1560 2345 187774 39648 2.71792 2.71792 -115.385 -2.71792 0 0 997811. 3452.63 0.37 0.05 0.19 -1 -1 0.37 0.0137771 0.0120984 87 3 124 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 7.09 vpr 65.05 MiB 0.03 7016 -1 -1 1 0.04 -1 -1 34328 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66616 32 32 407 319 1 256 85 17 17 289 -1 unnamed_device 26.2 MiB 0.97 1355 13105 4686 6378 2041 65.1 MiB 0.09 0.00 3.84405 -146.55 -3.84405 3.84405 0.89 0.000227416 0.000179618 0.0189816 0.0153595 -1 -1 -1 -1 40 3494 25 6.99608e+06 309029 706193. 2443.58 2.76 0.107855 0.0914165 26914 176310 -1 3059 23 2600 3681 340365 67795 4.29672 4.29672 -165.989 -4.29672 0 0 926341. 3205.33 0.34 0.10 0.16 -1 -1 0.34 0.0221362 0.0195217 115 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 13.01 vpr 64.24 MiB 0.02 6888 -1 -1 1 0.04 -1 -1 33968 -1 -1 11 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65784 32 32 294 246 1 176 75 17 17 289 -1 unnamed_device 25.5 MiB 1.65 631 8765 3568 4905 292 64.2 MiB 0.05 0.00 3.0305 -106.826 -3.0305 3.0305 0.89 0.000192463 0.000148115 0.0120014 0.00981235 -1 -1 -1 -1 42 2244 39 6.99608e+06 161872 744469. 2576.02 8.07 0.126436 0.105088 27202 183097 -1 1624 24 1481 2017 179077 44099 3.16022 3.16022 -117.765 -3.16022 0 0 949917. 3286.91 0.37 0.06 0.17 -1 -1 0.37 0.0152501 0.0134407 72 34 54 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 16.33 vpr 64.17 MiB 0.02 7124 -1 -1 1 0.04 -1 -1 34072 -1 -1 13 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 30 32 296 244 1 173 75 17 17 289 -1 unnamed_device 25.4 MiB 10.28 650 7975 2404 4392 1179 64.2 MiB 0.05 0.00 3.55679 -117.332 -3.55679 3.55679 0.89 0.000181414 0.000142478 0.0109445 0.00885478 -1 -1 -1 -1 46 2020 32 6.99608e+06 191304 828058. 2865.25 2.88 0.0674836 0.0559287 28066 200906 -1 1500 22 1463 2145 149531 35969 3.32751 3.32751 -121.447 -3.32751 0 0 1.01997e+06 3529.29 0.39 0.05 0.17 -1 -1 0.39 0.0130107 0.0113621 73 34 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 7.89 vpr 64.45 MiB 0.02 7076 -1 -1 1 0.04 -1 -1 33796 -1 -1 15 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65992 28 32 278 232 1 163 75 17 17 289 -1 unnamed_device 25.7 MiB 2.45 739 7975 3247 4368 360 64.4 MiB 0.05 0.00 3.69125 -116.208 -3.69125 3.69125 0.90 0.000171269 0.000134456 0.0110214 0.00894509 -1 -1 -1 -1 36 2348 36 6.99608e+06 220735 648988. 2245.63 2.26 0.067431 0.0558534 26050 158493 -1 1879 19 1330 1991 197562 41720 3.82091 3.82091 -129.865 -3.82091 0 0 828058. 2865.25 0.33 0.06 0.14 -1 -1 0.33 0.0121021 0.0106758 72 34 56 28 28 28 -fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 13.89 vpr 63.90 MiB 0.02 6992 -1 -1 1 0.05 -1 -1 34088 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65432 32 32 283 225 1 160 74 17 17 289 -1 unnamed_device 25.5 MiB 0.25 696 7204 2957 4121 126 63.9 MiB 0.05 0.00 2.86245 -113.51 -2.86245 2.86245 0.87 0.000174738 0.000137769 0.0102505 0.00842193 -1 -1 -1 -1 38 2346 45 6.99608e+06 147157 678818. 2348.85 10.31 0.126771 0.106509 26626 170182 -1 1846 24 1755 2665 264789 60946 3.64612 3.64612 -132.85 -3.64612 0 0 902133. 3121.57 0.34 0.08 0.15 -1 -1 0.34 0.0160565 0.01414 64 3 96 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 8.36 vpr 64.23 MiB 0.02 7012 -1 -1 1 0.03 -1 -1 34244 -1 -1 15 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65768 31 32 303 249 1 185 78 17 17 289 -1 unnamed_device 25.4 MiB 0.85 755 9540 3925 5398 217 64.2 MiB 0.06 0.00 3.03145 -110.864 -3.03145 3.03145 0.87 0.000198195 0.000160054 0.0127329 0.0103744 -1 -1 -1 -1 40 2523 27 6.99608e+06 220735 706193. 2443.58 4.27 0.093284 0.0773987 26914 176310 -1 2055 21 1569 2175 195825 41460 3.20187 3.20187 -124.041 -3.20187 0 0 926341. 3205.33 0.35 0.07 0.15 -1 -1 0.35 0.015207 0.0133759 77 34 61 31 31 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 8.86 vpr 64.38 MiB 0.02 6976 -1 -1 1 0.05 -1 -1 34072 -1 -1 16 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65924 29 32 312 264 1 197 77 17 17 289 -1 unnamed_device 25.6 MiB 3.74 884 10835 4063 4821 1951 64.4 MiB 0.07 0.00 2.96441 -103.828 -2.96441 2.96441 0.87 0.000186289 0.000146998 0.014764 0.0119783 -1 -1 -1 -1 36 2555 23 6.99608e+06 235451 648988. 2245.63 1.88 0.0683152 0.0562131 26050 158493 -1 2091 20 1544 1894 174189 36379 3.00602 3.00602 -113.313 -3.00602 0 0 828058. 2865.25 0.33 0.05 0.15 -1 -1 0.33 0.0129121 0.0113548 86 61 29 29 57 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 10.36 vpr 65.03 MiB 0.03 7312 -1 -1 1 0.04 -1 -1 33976 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66592 32 32 423 310 1 243 84 17 17 289 -1 unnamed_device 26.1 MiB 1.26 1043 15273 6507 8280 486 65.0 MiB 0.11 0.00 3.92945 -143.749 -3.92945 3.92945 0.90 0.000260453 0.000207118 0.0242984 0.0196836 -1 -1 -1 -1 54 2852 24 6.99608e+06 294314 949917. 3286.91 5.48 0.136156 0.112953 29506 232905 -1 2312 20 2066 3110 245274 51049 3.94901 3.94901 -144.866 -3.94901 0 0 1.17392e+06 4061.99 0.45 0.07 0.22 -1 -1 0.45 0.0185153 0.0163252 106 29 128 32 27 27 -fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 10.07 vpr 65.06 MiB 0.02 7272 -1 -1 1 0.05 -1 -1 34240 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66620 32 32 403 317 1 252 82 17 17 289 -1 unnamed_device 26.2 MiB 0.98 1127 14500 5161 6829 2510 65.1 MiB 0.11 0.00 3.90969 -144.443 -3.90969 3.90969 0.97 0.00022537 0.000178072 0.0238396 0.0193545 -1 -1 -1 -1 46 3349 32 6.99608e+06 264882 828058. 2865.25 5.45 0.144589 0.119953 28066 200906 -1 2712 21 2653 3652 420598 86614 4.50691 4.50691 -161.912 -4.50691 0 0 1.01997e+06 3529.29 0.40 0.11 0.18 -1 -1 0.40 0.0200355 0.0178052 110 65 62 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 8.75 vpr 64.87 MiB 0.04 7184 -1 -1 1 0.05 -1 -1 34224 -1 -1 16 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66428 31 32 353 302 1 224 79 17 17 289 -1 unnamed_device 26.0 MiB 1.11 1061 8867 2259 5908 700 64.9 MiB 0.06 0.00 3.47679 -127.153 -3.47679 3.47679 0.94 0.000198827 0.000156777 0.0132116 0.0108281 -1 -1 -1 -1 40 2485 24 6.99608e+06 235451 706193. 2443.58 4.15 0.0987458 0.0811122 26914 176310 -1 2159 35 1875 1958 356327 152178 3.24576 3.24576 -128.28 -3.24576 0 0 926341. 3205.33 0.35 0.11 0.15 -1 -1 0.35 0.0193278 0.0166574 99 90 0 0 89 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 6.62 vpr 64.75 MiB 0.03 7340 -1 -1 1 0.04 -1 -1 34028 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66308 31 32 391 309 1 242 81 17 17 289 -1 unnamed_device 25.7 MiB 0.93 1183 15656 5841 7703 2112 64.8 MiB 0.10 0.00 3.61799 -134.688 -3.61799 3.61799 0.89 0.000243878 0.00019389 0.0244279 0.0198027 -1 -1 -1 -1 38 3186 45 6.99608e+06 264882 678818. 2348.85 2.38 0.108803 0.0906637 26626 170182 -1 2602 22 2070 2717 217416 44443 3.96456 3.96456 -147.834 -3.96456 0 0 902133. 3121.57 0.34 0.06 0.15 -1 -1 0.34 0.016686 0.0146295 106 64 60 30 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 7.13 vpr 64.73 MiB 0.03 7492 -1 -1 1 0.05 -1 -1 33892 -1 -1 23 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66288 31 32 455 371 1 302 86 17 17 289 -1 unnamed_device 26.2 MiB 1.23 1328 14072 5452 6377 2243 64.7 MiB 0.10 0.00 4.66638 -161.837 -4.66638 4.66638 1.00 0.00026324 0.000188344 0.0212729 0.0171409 -1 -1 -1 -1 46 3543 30 6.99608e+06 338461 828058. 2865.25 2.30 0.105781 0.0882015 28066 200906 -1 2828 22 2604 2998 246372 50453 4.5124 4.5124 -160.805 -4.5124 0 0 1.01997e+06 3529.29 0.38 0.07 0.18 -1 -1 0.38 0.018679 0.0164245 139 124 0 0 124 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 7.45 vpr 64.96 MiB 0.03 7256 -1 -1 1 0.04 -1 -1 33844 -1 -1 19 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66520 31 32 413 333 1 258 82 17 17 289 -1 unnamed_device 26.1 MiB 2.00 1336 12542 4792 5292 2458 65.0 MiB 0.09 0.00 4.63751 -159.484 -4.63751 4.63751 1.00 0.000235537 0.000186783 0.0194815 0.0157652 -1 -1 -1 -1 42 3461 26 6.99608e+06 279598 744469. 2576.02 1.98 0.0788019 0.0656015 27202 183097 -1 2660 24 2544 3518 276341 56932 4.72864 4.72864 -169.176 -4.72864 0 0 949917. 3286.91 0.38 0.09 0.17 -1 -1 0.38 0.0205658 0.0180924 117 90 31 31 89 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 8.90 vpr 64.93 MiB 0.03 7348 -1 -1 1 0.05 -1 -1 34364 -1 -1 20 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66488 31 32 391 309 1 241 83 17 17 289 -1 unnamed_device 26.0 MiB 2.87 1058 13763 5815 7487 461 64.9 MiB 0.10 0.00 3.62105 -130.075 -3.62105 3.62105 0.89 0.000230525 0.00018308 0.020619 0.0167743 -1 -1 -1 -1 44 2862 28 6.99608e+06 294314 787024. 2723.27 2.41 0.0877213 0.0728323 27778 195446 -1 2416 45 2982 4253 716827 286287 3.34176 3.34176 -130.053 -3.34176 0 0 997811. 3452.63 0.39 0.19 0.18 -1 -1 0.39 0.0279415 0.0240196 107 64 60 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 7.19 vpr 64.97 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 34124 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66532 32 32 407 319 1 252 81 17 17 289 -1 unnamed_device 26.2 MiB 1.14 1214 6556 2392 3648 516 65.0 MiB 0.06 0.00 3.81945 -147.993 -3.81945 3.81945 0.96 0.000279184 0.000211029 0.0125466 0.0103975 -1 -1 -1 -1 46 2989 29 6.99608e+06 250167 828058. 2865.25 2.37 0.0880295 0.0738868 28066 200906 -1 2519 22 2224 2868 243990 48257 3.93982 3.93982 -158.396 -3.93982 0 0 1.01997e+06 3529.29 0.39 0.07 0.18 -1 -1 0.39 0.0172134 0.0151412 110 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 11.70 vpr 65.39 MiB 0.03 7280 -1 -1 1 0.05 -1 -1 34328 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66964 32 32 496 380 1 313 86 17 17 289 -1 unnamed_device 26.3 MiB 2.47 1472 16529 5767 8472 2290 65.4 MiB 0.12 0.00 4.63877 -169.362 -4.63877 4.63877 0.96 0.000278682 0.000220297 0.0283944 0.0229322 -1 -1 -1 -1 54 3517 23 6.99608e+06 323745 949917. 3286.91 5.53 0.165254 0.13574 29506 232905 -1 2937 23 3244 4571 325998 67875 4.62714 4.62714 -172.282 -4.62714 0 0 1.17392e+06 4061.99 0.42 0.09 0.22 -1 -1 0.42 0.0208079 0.0182433 139 96 62 32 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 6.62 vpr 64.37 MiB 0.03 7048 -1 -1 1 0.04 -1 -1 34068 -1 -1 13 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65912 31 32 305 250 1 181 76 17 17 289 -1 unnamed_device 25.5 MiB 1.06 800 9996 3753 4176 2067 64.4 MiB 0.06 0.00 3.1395 -118.047 -3.1395 3.1395 1.01 0.000178076 0.000139493 0.0139596 0.0113465 -1 -1 -1 -1 36 2337 45 6.99608e+06 191304 648988. 2245.63 1.99 0.0814393 0.0686644 26050 158493 -1 1864 21 1436 1769 154836 32636 3.27027 3.27027 -127.244 -3.27027 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.0164549 0.0146028 75 34 62 31 31 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 24.57 vpr 64.99 MiB 0.04 7196 -1 -1 1 0.03 -1 -1 34036 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66552 31 32 395 311 1 243 81 17 17 289 -1 unnamed_device 26.3 MiB 0.94 1241 14606 4687 8344 1575 65.0 MiB 0.11 0.00 4.54014 -162.268 -4.54014 4.54014 1.11 0.000229253 0.000181223 0.0228013 0.0183964 -1 -1 -1 -1 42 3579 44 6.99608e+06 264882 744469. 2576.02 19.85 0.182388 0.152437 27202 183097 -1 2897 40 3258 3890 700493 284118 4.54181 4.54181 -172.073 -4.54181 0 0 949917. 3286.91 0.35 0.22 0.16 -1 -1 0.35 0.0312224 0.027283 106 64 62 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 7.21 vpr 64.75 MiB 0.04 7200 -1 -1 1 0.04 -1 -1 34136 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66300 32 32 397 313 1 246 84 17 17 289 -1 unnamed_device 25.9 MiB 1.54 1277 13077 4097 6940 2040 64.7 MiB 0.09 0.00 3.58873 -134.162 -3.58873 3.58873 0.99 0.000229633 0.000180938 0.0194183 0.0157603 -1 -1 -1 -1 42 3408 27 6.99608e+06 294314 744469. 2576.02 2.01 0.0800376 0.066476 27202 183097 -1 2874 20 1975 2784 237357 49435 3.78176 3.78176 -147.68 -3.78176 0 0 949917. 3286.91 0.36 0.08 0.17 -1 -1 0.36 0.0210986 0.0188864 108 63 62 32 62 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 7.17 vpr 64.48 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 33912 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66032 32 32 345 257 1 187 77 17 17 289 -1 unnamed_device 25.8 MiB 1.04 749 9368 3828 5102 438 64.5 MiB 0.06 0.00 3.60355 -132.937 -3.60355 3.60355 0.93 0.000213125 0.00016731 0.0149499 0.012205 -1 -1 -1 -1 56 2389 27 6.99608e+06 191304 973134. 3367.25 2.49 0.0828029 0.0693947 29794 239141 -1 1739 21 1825 3135 221688 52565 4.10836 4.10836 -144.078 -4.10836 0 0 1.19926e+06 4149.71 0.45 0.09 0.22 -1 -1 0.45 0.0220902 0.0198719 78 3 128 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 7.58 vpr 64.88 MiB 0.02 7200 -1 -1 1 0.05 -1 -1 35376 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66440 32 32 424 343 1 266 83 17 17 289 -1 unnamed_device 26.0 MiB 1.73 1055 13763 3328 9921 514 64.9 MiB 0.10 0.00 3.32994 -126.121 -3.32994 3.32994 1.05 0.000235757 0.000185923 0.0213179 0.0172115 -1 -1 -1 -1 46 2877 36 6.99608e+06 279598 828058. 2865.25 2.04 0.0885915 0.0733459 28066 200906 -1 2244 24 2156 2518 186202 40719 3.11491 3.11491 -128.244 -3.11491 0 0 1.01997e+06 3529.29 0.41 0.07 0.19 -1 -1 0.41 0.0191306 0.0167801 120 96 25 25 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 7.61 vpr 64.83 MiB 0.02 7248 -1 -1 1 0.04 -1 -1 33692 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66388 32 32 395 311 1 242 84 17 17 289 -1 unnamed_device 26.1 MiB 1.29 953 16005 6898 8576 531 64.8 MiB 0.11 0.00 3.64909 -133.489 -3.64909 3.64909 1.00 0.000334781 0.000283085 0.0242111 0.0195343 -1 -1 -1 -1 48 3076 37 6.99608e+06 294314 865456. 2994.66 2.60 0.105148 0.0874982 28354 207349 -1 2291 33 2583 3434 447897 160188 3.62646 3.62646 -138.981 -3.62646 0 0 1.05005e+06 3633.38 0.42 0.13 0.19 -1 -1 0.42 0.0237183 0.0205342 106 61 64 32 60 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 9.83 vpr 65.03 MiB 0.04 7248 -1 -1 1 0.04 -1 -1 34216 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66588 32 32 405 318 1 253 81 17 17 289 -1 unnamed_device 26.2 MiB 1.10 1127 14956 5538 7035 2383 65.0 MiB 0.10 0.00 3.33684 -126.514 -3.33684 3.33684 1.03 0.000235847 0.000186941 0.0236408 0.0192234 -1 -1 -1 -1 40 3452 40 6.99608e+06 250167 706193. 2443.58 4.86 0.153182 0.127495 26914 176310 -1 2837 26 2758 3628 354269 73452 3.75877 3.75877 -139.778 -3.75877 0 0 926341. 3205.33 0.36 0.09 0.17 -1 -1 0.36 0.0196926 0.0170935 108 65 63 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 18.02 vpr 64.62 MiB 0.03 7020 -1 -1 1 0.05 -1 -1 33924 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66176 32 32 376 288 1 218 80 17 17 289 -1 unnamed_device 25.7 MiB 1.24 787 12120 3530 7317 1273 64.6 MiB 0.08 0.00 3.81568 -137.815 -3.81568 3.81568 1.02 0.000226601 0.00018029 0.0185994 0.0151811 -1 -1 -1 -1 44 3160 36 6.99608e+06 235451 787024. 2723.27 13.05 0.163989 0.136796 27778 195446 -1 2023 22 1937 2849 264595 59131 4.52531 4.52531 -148.671 -4.52531 0 0 997811. 3452.63 0.40 0.08 0.18 -1 -1 0.40 0.0176812 0.0155631 94 34 96 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 8.05 vpr 64.92 MiB 0.03 7192 -1 -1 1 0.05 -1 -1 34292 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66476 32 32 407 319 1 251 82 17 17 289 -1 unnamed_device 26.1 MiB 1.20 1023 14500 5955 7564 981 64.9 MiB 0.09 0.00 3.85505 -141.818 -3.85505 3.85505 1.00 0.000229601 0.000180682 0.0220592 0.0178484 -1 -1 -1 -1 40 3265 27 6.99608e+06 264882 706193. 2443.58 2.84 0.106177 0.0890127 26914 176310 -1 2514 24 2412 2879 253270 55483 4.58462 4.58462 -163.366 -4.58462 0 0 926341. 3205.33 0.37 0.08 0.17 -1 -1 0.37 0.0186295 0.0162461 110 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 8.07 vpr 64.79 MiB 0.04 7428 -1 -1 1 0.06 -1 -1 34412 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66340 31 32 449 367 1 290 85 17 17 289 -1 unnamed_device 26.4 MiB 2.11 1393 14035 5565 6766 1704 64.8 MiB 0.10 0.00 4.08308 -143.875 -4.08308 4.08308 0.96 0.000255139 0.000191975 0.0220399 0.0175298 -1 -1 -1 -1 46 3396 24 6.99608e+06 323745 828058. 2865.25 2.11 0.0987222 0.0814817 28066 200906 -1 2897 21 2039 2368 186090 38982 4.05885 4.05885 -147.773 -4.05885 0 0 1.01997e+06 3529.29 0.42 0.07 0.20 -1 -1 0.42 0.0220963 0.0195136 132 122 0 0 122 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 7.29 vpr 64.72 MiB 0.04 7324 -1 -1 1 0.04 -1 -1 34048 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66272 32 32 432 346 1 281 85 17 17 289 -1 unnamed_device 26.1 MiB 1.10 1279 12175 4545 5316 2314 64.7 MiB 0.08 0.00 3.76705 -140.253 -3.76705 3.76705 0.92 0.000232797 0.0001833 0.0178283 0.0143996 -1 -1 -1 -1 44 3707 38 6.99608e+06 309029 787024. 2723.27 2.65 0.131839 0.112332 27778 195446 -1 2915 24 2947 4111 348146 71102 4.12742 4.12742 -151.891 -4.12742 0 0 997811. 3452.63 0.42 0.10 0.18 -1 -1 0.42 0.0218334 0.0190478 127 94 32 32 94 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 7.20 vpr 64.54 MiB 0.02 6884 -1 -1 1 0.05 -1 -1 33952 -1 -1 14 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66084 32 32 312 255 1 191 78 17 17 289 -1 unnamed_device 25.6 MiB 0.74 779 12528 5280 6990 258 64.5 MiB 0.08 0.00 3.03405 -116.466 -3.03405 3.03405 1.15 0.000197674 0.000157028 0.0186377 0.015155 -1 -1 -1 -1 44 2359 27 6.99608e+06 206020 787024. 2723.27 2.62 0.0875906 0.0739883 27778 195446 -1 1755 23 1485 1957 158851 33470 3.01252 3.01252 -121.962 -3.01252 0 0 997811. 3452.63 0.41 0.05 0.18 -1 -1 0.41 0.0141257 0.0123691 80 34 63 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 7.32 vpr 64.68 MiB 0.04 7220 -1 -1 1 0.04 -1 -1 33992 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66228 32 32 370 314 1 244 80 17 17 289 -1 unnamed_device 25.7 MiB 1.19 1095 11776 4100 5415 2261 64.7 MiB 0.07 0.00 3.80663 -140.003 -3.80663 3.80663 0.96 0.000203846 0.000160834 0.0172515 0.0140532 -1 -1 -1 -1 42 3128 43 6.99608e+06 235451 744469. 2576.02 2.53 0.0989852 0.0837145 27202 183097 -1 2484 19 2059 2397 232017 45617 3.9203 3.9203 -148.03 -3.9203 0 0 949917. 3286.91 0.37 0.07 0.17 -1 -1 0.37 0.0165975 0.0147069 108 94 0 0 94 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 10.94 vpr 65.27 MiB 0.03 7388 -1 -1 1 0.05 -1 -1 34244 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66840 32 32 469 351 1 285 84 17 17 289 -1 unnamed_device 26.4 MiB 1.19 1196 15273 6544 8338 391 65.3 MiB 0.16 0.00 4.58129 -163.981 -4.58129 4.58129 1.04 0.000450118 0.000363648 0.0352161 0.0283251 -1 -1 -1 -1 46 3841 33 6.99608e+06 294314 828058. 2865.25 5.54 0.170843 0.141224 28066 200906 -1 2853 31 3498 4903 577189 179118 5.07386 5.07386 -178.208 -5.07386 0 0 1.01997e+06 3529.29 0.46 0.15 0.19 -1 -1 0.46 0.0267517 0.0233328 126 65 96 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 10.40 vpr 64.66 MiB 0.03 7028 -1 -1 1 0.06 -1 -1 33660 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66208 32 32 368 284 1 217 80 17 17 289 -1 unnamed_device 25.8 MiB 0.95 1017 10916 2707 7442 767 64.7 MiB 0.08 0.00 3.32994 -129.578 -3.32994 3.32994 1.03 0.000220964 0.000174747 0.0171409 0.0140139 -1 -1 -1 -1 40 2983 47 6.99608e+06 235451 706193. 2443.58 5.65 0.167246 0.139392 26914 176310 -1 2449 20 2023 2659 257257 52586 3.29722 3.29722 -135.821 -3.29722 0 0 926341. 3205.33 0.36 0.08 0.16 -1 -1 0.36 0.0181497 0.0161526 93 34 92 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 7.62 vpr 64.62 MiB 0.04 7124 -1 -1 1 0.06 -1 -1 33716 -1 -1 24 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66168 30 32 296 244 1 177 86 17 17 289 -1 unnamed_device 25.7 MiB 0.91 690 11426 4009 5678 1739 64.6 MiB 0.07 0.00 3.75245 -122.292 -3.75245 3.75245 1.02 0.000183527 0.000144647 0.014204 0.011658 -1 -1 -1 -1 44 2216 43 6.99608e+06 353176 787024. 2723.27 3.00 0.0941435 0.0801606 27778 195446 -1 1714 19 1437 2042 164297 38772 3.45281 3.45281 -128.923 -3.45281 0 0 997811. 3452.63 0.40 0.05 0.18 -1 -1 0.40 0.0135054 0.0119898 80 34 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 9.48 vpr 65.23 MiB 0.03 7544 -1 -1 1 0.07 -1 -1 34640 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66792 32 32 531 413 1 346 88 17 17 289 -1 unnamed_device 26.6 MiB 1.51 1502 15883 5809 7749 2325 65.2 MiB 0.14 0.00 5.34997 -187.982 -5.34997 5.34997 0.99 0.000299338 0.000236609 0.0285225 0.0231611 -1 -1 -1 -1 46 5240 46 6.99608e+06 353176 828058. 2865.25 3.95 0.194017 0.167436 28066 200906 -1 3304 22 3545 4353 341537 73539 5.87874 5.87874 -206.503 -5.87874 0 0 1.01997e+06 3529.29 0.39 0.09 0.19 -1 -1 0.39 0.0217812 0.019123 159 127 32 32 128 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 8.05 vpr 65.00 MiB 0.04 7120 -1 -1 1 0.05 -1 -1 33988 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66560 32 32 376 288 1 217 80 17 17 289 -1 unnamed_device 26.0 MiB 0.83 968 15044 5925 7449 1670 65.0 MiB 0.10 0.00 4.12908 -153.541 -4.12908 4.12908 1.01 0.000239089 0.000191199 0.0228993 0.0186079 -1 -1 -1 -1 40 2900 41 6.99608e+06 235451 706193. 2443.58 3.34 0.119757 0.101351 26914 176310 -1 2525 25 2533 3381 324820 65897 4.40225 4.40225 -165.491 -4.40225 0 0 926341. 3205.33 0.37 0.08 0.26 -1 -1 0.37 0.0181901 0.0159901 92 34 96 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 9.33 vpr 64.30 MiB 0.03 6768 -1 -1 1 0.04 -1 -1 33912 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65848 32 32 283 225 1 158 88 17 17 289 -1 unnamed_device 25.6 MiB 0.34 689 12763 5259 7126 378 64.3 MiB 0.07 0.00 2.98775 -114.562 -2.98775 2.98775 1.06 0.000182842 0.000144177 0.0144186 0.0116779 -1 -1 -1 -1 46 1939 24 6.99608e+06 353176 828058. 2865.25 5.26 0.106654 0.0893777 28066 200906 -1 1527 21 1501 2262 149821 33894 3.01532 3.01532 -116.678 -3.01532 0 0 1.01997e+06 3529.29 0.40 0.05 0.18 -1 -1 0.40 0.0122811 0.0107309 70 3 96 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 22.35 vpr 65.39 MiB 0.03 7272 -1 -1 1 0.05 -1 -1 34388 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66960 32 32 438 320 1 256 82 17 17 289 -1 unnamed_device 26.4 MiB 1.16 1185 13432 5656 7470 306 65.4 MiB 0.10 0.00 4.53729 -162.267 -4.53729 4.53729 1.07 0.000263201 0.000209673 0.0242107 0.019831 -1 -1 -1 -1 50 3497 30 6.99608e+06 264882 902133. 3121.57 17.27 0.194278 0.162778 28642 213929 -1 2557 23 2809 4093 320106 67773 4.92476 4.92476 -175.108 -4.92476 0 0 1.08113e+06 3740.92 0.44 0.09 0.19 -1 -1 0.44 0.022 0.0195436 112 34 128 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 7.14 vpr 63.99 MiB 0.04 6820 -1 -1 1 0.04 -1 -1 33864 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65524 32 32 283 225 1 156 74 17 17 289 -1 unnamed_device 25.5 MiB 0.47 620 10614 4481 5893 240 64.0 MiB 0.06 0.00 2.85145 -112.009 -2.85145 2.85145 1.06 0.000181273 0.000142885 0.0154868 0.0125779 -1 -1 -1 -1 42 2108 45 6.99608e+06 147157 744469. 2576.02 2.94 0.0891027 0.0749152 27202 183097 -1 1654 19 1373 2070 162626 36514 3.07762 3.07762 -125.323 -3.07762 0 0 949917. 3286.91 0.38 0.06 0.18 -1 -1 0.38 0.0130798 0.0115632 62 3 96 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 7.48 vpr 64.45 MiB 0.02 7028 -1 -1 1 0.05 -1 -1 33880 -1 -1 15 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65996 30 32 296 244 1 179 77 17 17 289 -1 unnamed_device 25.6 MiB 1.01 727 9857 3779 5192 886 64.4 MiB 0.06 0.00 3.3422 -117.21 -3.3422 3.3422 0.96 0.000177242 0.000138734 0.013353 0.0109116 -1 -1 -1 -1 40 2425 29 6.99608e+06 220735 706193. 2443.58 2.89 0.0840692 0.0709715 26914 176310 -1 1916 20 1616 2197 197378 43075 3.21021 3.21021 -124.104 -3.21021 0 0 926341. 3205.33 0.38 0.06 0.16 -1 -1 0.38 0.0127436 0.0111738 74 34 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 11.04 vpr 65.20 MiB 0.04 7208 -1 -1 1 0.05 -1 -1 34068 -1 -1 20 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66768 29 32 393 319 1 247 81 17 17 289 -1 unnamed_device 26.1 MiB 2.57 1127 11631 4219 5566 1846 65.2 MiB 0.09 0.00 3.87283 -130.664 -3.87283 3.87283 0.90 0.000246335 0.000175722 0.0184929 0.0148151 -1 -1 -1 -1 46 2874 30 6.99608e+06 294314 828058. 2865.25 4.55 0.151904 0.126726 28066 200906 -1 2379 21 1999 2648 197756 41044 3.9173 3.9173 -141.564 -3.9173 0 0 1.01997e+06 3529.29 0.42 0.07 0.18 -1 -1 0.42 0.0187342 0.0165578 114 88 29 29 85 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 7.61 vpr 64.86 MiB 0.04 7120 -1 -1 1 0.06 -1 -1 34240 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66420 32 32 407 319 1 250 82 17 17 289 -1 unnamed_device 25.8 MiB 1.27 1132 14856 6162 7872 822 64.9 MiB 0.10 0.00 4.33864 -160.209 -4.33864 4.33864 1.06 0.000234916 0.000185416 0.0222936 0.0180356 -1 -1 -1 -1 44 3237 40 6.99608e+06 264882 787024. 2723.27 2.18 0.0937399 0.0782887 27778 195446 -1 2651 20 2390 3242 290394 59117 4.7844 4.7844 -176.438 -4.7844 0 0 997811. 3452.63 0.48 0.09 0.21 -1 -1 0.48 0.022246 0.0199491 110 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 10.73 vpr 65.09 MiB 0.02 7032 -1 -1 1 0.06 -1 -1 34324 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66648 32 32 407 319 1 249 82 17 17 289 -1 unnamed_device 26.3 MiB 1.27 1170 5244 1136 3811 297 65.1 MiB 0.05 0.00 4.32144 -158.986 -4.32144 4.32144 1.00 0.000230503 0.000183217 0.0103799 0.00872211 -1 -1 -1 -1 46 3311 42 6.99608e+06 264882 828058. 2865.25 5.78 0.140111 0.117171 28066 200906 -1 2631 25 2845 3941 372150 72492 4.81551 4.81551 -175.677 -4.81551 0 0 1.01997e+06 3529.29 0.42 0.11 0.18 -1 -1 0.42 0.0232085 0.0205383 110 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 7.76 vpr 64.56 MiB 0.04 7028 -1 -1 1 0.05 -1 -1 34096 -1 -1 15 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66112 32 32 345 287 1 212 79 17 17 289 -1 unnamed_device 25.8 MiB 1.02 794 12585 5277 6958 350 64.6 MiB 0.08 0.00 3.32994 -125.061 -3.32994 3.32994 1.05 0.000216538 0.000172623 0.017844 0.0144158 -1 -1 -1 -1 46 2580 34 6.99608e+06 220735 828058. 2865.25 2.69 0.0977507 0.0828222 28066 200906 -1 1910 25 1963 2196 189424 42588 3.22421 3.22421 -123.588 -3.22421 0 0 1.01997e+06 3529.29 0.46 0.09 0.26 -1 -1 0.46 0.0238289 0.0211561 92 65 32 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 7.57 vpr 64.79 MiB 0.02 7280 -1 -1 1 0.04 -1 -1 34228 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66340 31 32 353 302 1 230 80 17 17 289 -1 unnamed_device 25.9 MiB 1.58 937 11260 4683 6230 347 64.8 MiB 0.07 0.00 3.34114 -121.926 -3.34114 3.34114 0.91 0.000199188 0.000155598 0.0158521 0.0128391 -1 -1 -1 -1 44 3020 31 6.99608e+06 250167 787024. 2723.27 2.28 0.0767243 0.0639963 27778 195446 -1 2260 19 1699 2118 179077 39695 3.21751 3.21751 -125.645 -3.21751 0 0 997811. 3452.63 0.49 0.06 0.30 -1 -1 0.49 0.0160912 0.0142798 102 90 0 0 89 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 19.88 vpr 65.00 MiB 0.02 7120 -1 -1 1 0.04 -1 -1 33824 -1 -1 19 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66560 30 32 374 297 1 226 81 17 17 289 -1 unnamed_device 26.0 MiB 1.53 946 9881 4003 5314 564 65.0 MiB 0.06 0.00 3.33639 -114.321 -3.33639 3.33639 0.89 0.000210503 0.000166889 0.0147582 0.0120876 -1 -1 -1 -1 48 2959 39 6.99608e+06 279598 865456. 2994.66 14.83 0.18864 0.158178 28354 207349 -1 2265 25 2205 3183 242898 56730 3.40657 3.40657 -123.648 -3.40657 0 0 1.05005e+06 3633.38 0.39 0.09 0.21 -1 -1 0.39 0.0225046 0.019885 101 60 60 30 57 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 7.49 vpr 64.64 MiB 0.04 7060 -1 -1 1 0.05 -1 -1 33944 -1 -1 18 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66188 28 32 332 260 1 197 78 17 17 289 -1 unnamed_device 25.8 MiB 1.06 820 9872 4067 5268 537 64.6 MiB 0.07 0.00 3.77115 -122.922 -3.77115 3.77115 1.04 0.000201984 0.000159159 0.0156494 0.0128189 -1 -1 -1 -1 40 2570 25 6.99608e+06 264882 706193. 2443.58 2.64 0.0885064 0.0746524 26914 176310 -1 1935 23 1944 2877 208629 46351 4.11642 4.11642 -137.526 -4.11642 0 0 926341. 3205.33 0.38 0.07 0.17 -1 -1 0.38 0.0161609 0.014186 87 34 84 28 28 28 -fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 8.53 vpr 64.61 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 33752 -1 -1 15 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66156 30 32 325 273 1 204 77 17 17 289 -1 unnamed_device 25.8 MiB 1.97 887 12139 5086 6651 402 64.6 MiB 0.08 0.00 3.82453 -131.841 -3.82453 3.82453 1.01 0.000190891 0.000150526 0.0165867 0.0133726 -1 -1 -1 -1 38 3002 38 6.99608e+06 220735 678818. 2348.85 2.79 0.0817484 0.0683012 26626 170182 -1 2180 23 2088 2835 240005 53520 4.0456 4.0456 -144.414 -4.0456 0 0 902133. 3121.57 0.35 0.10 0.16 -1 -1 0.35 0.0265658 0.0238164 89 63 30 30 60 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 8.01 vpr 64.73 MiB 0.02 7076 -1 -1 1 0.04 -1 -1 33840 -1 -1 15 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66284 32 32 361 308 1 241 79 17 17 289 -1 unnamed_device 25.8 MiB 1.46 1045 13430 4937 5959 2534 64.7 MiB 0.09 0.00 3.53869 -131.536 -3.53869 3.53869 0.98 0.000226974 0.000181446 0.021796 0.0177445 -1 -1 -1 -1 42 3258 28 6.99608e+06 220735 744469. 2576.02 2.86 0.122763 0.104468 27202 183097 -1 2507 23 2060 2527 240062 48931 3.41506 3.41506 -131.615 -3.41506 0 0 949917. 3286.91 0.44 0.08 0.18 -1 -1 0.44 0.0197599 0.0175337 105 91 0 0 91 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 10.08 vpr 64.36 MiB 0.02 7012 -1 -1 1 0.06 -1 -1 33940 -1 -1 25 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65904 31 32 335 251 1 188 88 17 17 289 -1 unnamed_device 25.5 MiB 0.24 786 15688 6044 7337 2307 64.4 MiB 0.09 0.00 3.80415 -134.662 -3.80415 3.80415 0.94 0.000207018 0.000163483 0.0192247 0.0155336 -1 -1 -1 -1 50 2445 31 6.99608e+06 367892 902133. 3121.57 5.84 0.144802 0.121161 28642 213929 -1 1893 27 1933 3077 354526 108295 3.83602 3.83602 -140.433 -3.83602 0 0 1.08113e+06 3740.92 0.56 0.13 0.21 -1 -1 0.56 0.0254448 0.0226234 86 4 124 31 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 9.23 vpr 64.72 MiB 0.02 6964 -1 -1 1 0.04 -1 -1 34364 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66276 32 32 407 319 1 249 81 17 17 289 -1 unnamed_device 25.7 MiB 1.15 1115 10231 2817 7123 291 64.7 MiB 0.08 0.00 4.17744 -152.022 -4.17744 4.17744 0.98 0.000237474 0.000188475 0.0167349 0.0136416 -1 -1 -1 -1 40 3415 44 6.99608e+06 250167 706193. 2443.58 4.53 0.129967 0.110526 26914 176310 -1 2862 22 2433 3174 319335 66948 4.67185 4.67185 -173.93 -4.67185 0 0 926341. 3205.33 0.37 0.09 0.16 -1 -1 0.37 0.0183236 0.0160304 110 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 11.35 vpr 64.83 MiB 0.03 7272 -1 -1 1 0.05 -1 -1 34044 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66388 32 32 407 319 1 248 82 17 17 289 -1 unnamed_device 26.0 MiB 0.89 1023 12364 5104 6761 499 64.8 MiB 0.09 0.00 4.62599 -163.487 -4.62599 4.62599 0.96 0.00025509 0.000202524 0.0214635 0.0174224 -1 -1 -1 -1 56 2908 27 6.99608e+06 264882 973134. 3367.25 6.48 0.151693 0.126862 29794 239141 -1 2317 22 2227 3006 288568 62980 4.75244 4.75244 -174.769 -4.75244 0 0 1.19926e+06 4149.71 0.56 0.09 0.25 -1 -1 0.56 0.0195971 0.0172813 108 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 10.33 vpr 64.80 MiB 0.04 7296 -1 -1 1 0.05 -1 -1 34060 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66360 32 32 399 315 1 250 82 17 17 289 -1 unnamed_device 26.0 MiB 0.98 1222 13788 5033 6896 1859 64.8 MiB 0.10 0.00 3.88963 -144.009 -3.88963 3.88963 0.97 0.000237918 0.000188282 0.0224399 0.018179 -1 -1 -1 -1 48 3360 25 6.99608e+06 264882 865456. 2994.66 5.53 0.145925 0.121319 28354 207349 -1 2697 25 2410 3420 332373 94211 4.5327 4.5327 -160.035 -4.5327 0 0 1.05005e+06 3633.38 0.42 0.10 0.22 -1 -1 0.42 0.022398 0.0197546 107 65 60 30 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 7.36 vpr 64.47 MiB 0.04 6920 -1 -1 1 0.04 -1 -1 34004 -1 -1 13 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66020 30 32 296 244 1 179 75 17 17 289 -1 unnamed_device 25.7 MiB 1.04 692 12241 5462 6298 481 64.5 MiB 0.07 0.00 3.58339 -124.571 -3.58339 3.58339 0.96 0.000183567 0.000145119 0.016464 0.0133148 -1 -1 -1 -1 46 2629 41 6.99608e+06 191304 828058. 2865.25 2.93 0.0913011 0.0769988 28066 200906 -1 1796 22 1604 2143 175253 41225 3.70046 3.70046 -130.475 -3.70046 0 0 1.01997e+06 3529.29 0.39 0.06 0.18 -1 -1 0.39 0.0133216 0.0117077 76 34 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 12.51 vpr 64.85 MiB 0.03 7268 -1 -1 1 0.05 -1 -1 34044 -1 -1 18 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66404 30 32 383 303 1 237 80 17 17 289 -1 unnamed_device 26.1 MiB 2.48 1070 13152 5483 7188 481 64.8 MiB 0.09 0.00 4.67127 -156.182 -4.67127 4.67127 0.96 0.000220799 0.000174001 0.0198842 0.0161311 -1 -1 -1 -1 48 3187 28 6.99608e+06 264882 865456. 2994.66 6.17 0.160615 0.135791 28354 207349 -1 2699 22 2508 3656 360894 72560 4.52634 4.52634 -163.172 -4.52634 0 0 1.05005e+06 3633.38 0.49 0.09 0.21 -1 -1 0.49 0.0189266 0.0168442 105 63 60 30 60 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 19.85 vpr 64.96 MiB 0.02 7232 -1 -1 1 0.04 -1 -1 34680 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66524 32 32 469 381 1 309 86 17 17 289 -1 unnamed_device 26.4 MiB 1.10 1372 11615 4190 5568 1857 65.0 MiB 0.08 0.00 4.21664 -156.362 -4.21664 4.21664 0.94 0.000251187 0.000199215 0.0187836 0.0153504 -1 -1 -1 -1 40 3829 45 6.99608e+06 323745 706193. 2443.58 15.17 0.199108 0.16645 26914 176310 -1 2978 36 3494 3594 520134 155376 4.42125 4.42125 -171.567 -4.42125 0 0 926341. 3205.33 0.37 0.16 0.17 -1 -1 0.37 0.029087 0.0252145 139 127 0 0 128 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 9.10 vpr 65.30 MiB 0.04 7208 -1 -1 1 0.06 -1 -1 34368 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66864 31 32 425 341 1 275 85 17 17 289 -1 unnamed_device 26.2 MiB 1.84 1100 12733 5272 6860 601 65.3 MiB 0.08 0.00 4.42639 -152.998 -4.42639 4.42639 0.97 0.000238558 0.000187965 0.0196285 0.0159067 -1 -1 -1 -1 48 3368 46 6.99608e+06 323745 865456. 2994.66 3.26 0.131175 0.112289 28354 207349 -1 2413 23 2234 2691 265979 74364 4.47581 4.47581 -158.761 -4.47581 0 0 1.05005e+06 3633.38 0.45 0.09 0.21 -1 -1 0.45 0.022455 0.0198131 125 94 31 31 93 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 13.54 vpr 65.06 MiB 0.03 7396 -1 -1 1 0.04 -1 -1 34164 -1 -1 22 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66620 30 32 404 328 1 249 84 17 17 289 -1 unnamed_device 26.3 MiB 3.61 1072 15456 6553 7950 953 65.1 MiB 0.10 0.00 4.02117 -135.45 -4.02117 4.02117 0.91 0.00023127 0.000183021 0.0228896 0.0185834 -1 -1 -1 -1 56 3024 29 6.99608e+06 323745 973134. 3367.25 6.06 0.134823 0.111691 29794 239141 -1 2428 21 2511 3581 321365 69689 4.0536 4.0536 -145.26 -4.0536 0 0 1.19926e+06 4149.71 0.56 0.09 0.24 -1 -1 0.56 0.020629 0.0184456 114 92 26 26 90 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 8.50 vpr 65.12 MiB 0.03 7200 -1 -1 1 0.06 -1 -1 33956 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66680 32 32 407 319 1 252 83 17 17 289 -1 unnamed_device 26.2 MiB 1.18 985 15563 6705 8342 516 65.1 MiB 0.11 0.00 4.37079 -157.14 -4.37079 4.37079 0.96 0.000244224 0.000193967 0.0245303 0.0199559 -1 -1 -1 -1 46 3379 44 6.99608e+06 279598 828058. 2865.25 3.55 0.122301 0.102909 28066 200906 -1 2435 24 2773 3900 345445 73632 4.90351 4.90351 -180.423 -4.90351 0 0 1.01997e+06 3529.29 0.40 0.09 0.19 -1 -1 0.40 0.0193243 0.0170213 111 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 8.24 vpr 64.73 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 33952 -1 -1 20 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66288 29 32 387 316 1 240 81 17 17 289 -1 unnamed_device 26.1 MiB 2.31 968 10931 3850 4814 2267 64.7 MiB 0.08 0.00 3.60679 -119.01 -3.60679 3.60679 0.97 0.000233745 0.00018581 0.0180819 0.0147759 -1 -1 -1 -1 46 3055 27 6.99608e+06 294314 828058. 2865.25 2.27 0.0895012 0.0745851 28066 200906 -1 2292 20 2055 2667 218326 46540 3.59056 3.59056 -126.802 -3.59056 0 0 1.01997e+06 3529.29 0.38 0.07 0.19 -1 -1 0.38 0.0165413 0.0146575 112 88 26 26 85 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 9.96 vpr 63.88 MiB 0.04 6892 -1 -1 1 0.06 -1 -1 33880 -1 -1 10 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65408 32 32 283 225 1 156 74 17 17 289 -1 unnamed_device 25.2 MiB 1.22 619 8599 3526 4877 196 63.9 MiB 0.05 0.00 2.86245 -112.136 -2.86245 2.86245 0.96 0.000182437 0.00014335 0.012375 0.0101449 -1 -1 -1 -1 40 2078 27 6.99608e+06 147157 706193. 2443.58 4.83 0.115725 0.0969317 26914 176310 -1 1639 22 1506 2359 231758 49054 3.11062 3.11062 -125.259 -3.11062 0 0 926341. 3205.33 0.46 0.07 0.18 -1 -1 0.46 0.0146732 0.0129554 62 3 96 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 8.59 vpr 64.82 MiB 0.02 7268 -1 -1 1 0.04 -1 -1 34160 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66380 32 32 407 319 1 251 82 17 17 289 -1 unnamed_device 26.0 MiB 0.95 1109 9872 4023 5633 216 64.8 MiB 0.07 0.00 4.66383 -167.571 -4.66383 4.66383 0.97 0.000235673 0.00018617 0.0159889 0.0130979 -1 -1 -1 -1 46 3504 47 6.99608e+06 264882 828058. 2865.25 3.92 0.128271 0.109678 28066 200906 -1 2628 22 2754 3808 344513 69188 4.63814 4.63814 -172.468 -4.63814 0 0 1.01997e+06 3529.29 0.40 0.10 0.19 -1 -1 0.40 0.0215152 0.0190731 110 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 10.22 vpr 64.87 MiB 0.03 7232 -1 -1 1 0.06 -1 -1 34044 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66424 32 32 407 319 1 255 81 17 17 289 -1 unnamed_device 26.1 MiB 1.10 1186 7431 1655 4558 1218 64.9 MiB 0.06 0.00 4.63877 -165.425 -4.63877 4.63877 1.10 0.00023726 0.000187721 0.0137169 0.0113967 -1 -1 -1 -1 46 3334 28 6.99608e+06 250167 828058. 2865.25 5.14 0.132956 0.110887 28066 200906 -1 2782 21 2611 3587 314892 64123 4.99504 4.99504 -179.011 -4.99504 0 0 1.01997e+06 3529.29 0.41 0.10 0.19 -1 -1 0.41 0.0232657 0.0207143 111 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 11.38 vpr 64.29 MiB 0.02 7124 -1 -1 1 0.04 -1 -1 34128 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65836 32 32 315 267 1 202 77 17 17 289 -1 unnamed_device 25.4 MiB 2.59 767 11324 4614 6133 577 64.3 MiB 0.07 0.00 3.24452 -113.178 -3.24452 3.24452 1.01 0.000188863 0.000148397 0.0164059 0.013244 -1 -1 -1 -1 50 2087 33 6.99608e+06 191304 902133. 3121.57 5.23 0.111749 0.0922598 28642 213929 -1 1750 22 1520 1805 167254 40121 3.17116 3.17116 -116.372 -3.17116 0 0 1.08113e+06 3740.92 0.41 0.06 0.20 -1 -1 0.41 0.0137683 0.0121121 85 55 32 32 54 27 -fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 6.80 vpr 64.05 MiB 0.03 6948 -1 -1 1 0.04 -1 -1 33956 -1 -1 11 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65592 31 32 275 220 1 154 74 17 17 289 -1 unnamed_device 25.5 MiB 0.30 622 11544 4964 6246 334 64.1 MiB 0.07 0.00 3.0382 -112.755 -3.0382 3.0382 1.03 0.000174419 0.000137133 0.0157621 0.0127219 -1 -1 -1 -1 40 2024 37 6.99608e+06 161872 706193. 2443.58 2.77 0.0888468 0.0749456 26914 176310 -1 1644 22 1433 2045 157217 34989 3.23292 3.23292 -128.697 -3.23292 0 0 926341. 3205.33 0.42 0.06 0.16 -1 -1 0.42 0.0150335 0.0133108 63 4 93 31 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 8.81 vpr 64.78 MiB 0.03 7260 -1 -1 1 0.06 -1 -1 34160 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66336 32 32 381 303 1 235 81 17 17 289 -1 unnamed_device 25.8 MiB 1.40 1014 12331 5132 6916 283 64.8 MiB 0.08 0.00 4.03648 -138.253 -4.03648 4.03648 0.88 0.000224398 0.000177353 0.0191869 0.0156482 -1 -1 -1 -1 40 2880 45 6.99608e+06 250167 706193. 2443.58 3.76 0.139968 0.11982 26914 176310 -1 2392 30 2487 2923 340079 108923 4.15651 4.15651 -149.701 -4.15651 0 0 926341. 3205.33 0.39 0.12 0.18 -1 -1 0.39 0.0265341 0.0234523 102 59 60 32 58 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 7.31 vpr 65.18 MiB 0.02 7160 -1 -1 1 0.04 -1 -1 33828 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66744 32 32 406 330 1 255 83 17 17 289 -1 unnamed_device 26.2 MiB 1.77 1180 13043 5079 5257 2707 65.2 MiB 0.09 0.00 4.34257 -148.625 -4.34257 4.34257 0.86 0.000221687 0.000174414 0.019097 0.0154854 -1 -1 -1 -1 42 3269 36 6.99608e+06 279598 744469. 2576.02 2.11 0.0975261 0.0817157 27202 183097 -1 2582 20 1874 2216 192897 41882 4.88771 4.88771 -160.403 -4.88771 0 0 949917. 3286.91 0.39 0.07 0.17 -1 -1 0.39 0.0181596 0.0160694 115 88 28 28 88 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 7.28 vpr 64.71 MiB 0.03 7240 -1 -1 1 0.04 -1 -1 34140 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66264 32 32 399 285 1 218 91 17 17 289 -1 unnamed_device 25.8 MiB 0.42 955 8251 1749 5614 888 64.7 MiB 0.06 0.00 4.24944 -150.187 -4.24944 4.24944 1.03 0.000244666 0.000194229 0.0129375 0.0106986 -1 -1 -1 -1 46 3149 33 6.99608e+06 397324 828058. 2865.25 3.05 0.100502 0.0849455 28066 200906 -1 2373 24 2395 3692 289671 67042 4.96765 4.96765 -169.524 -4.96765 0 0 1.01997e+06 3529.29 0.41 0.08 0.20 -1 -1 0.41 0.0203249 0.0178788 100 3 156 32 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 7.75 vpr 64.79 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 34276 -1 -1 19 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66348 30 32 371 295 1 227 81 17 17 289 -1 unnamed_device 25.9 MiB 1.33 927 13731 4656 6820 2255 64.8 MiB 0.09 0.00 3.66815 -122.398 -3.66815 3.66815 0.99 0.000215167 0.000170522 0.0196807 0.0160048 -1 -1 -1 -1 40 3101 50 6.99608e+06 279598 706193. 2443.58 2.90 0.120925 0.102655 26914 176310 -1 2457 24 2089 2960 265283 57621 3.83386 3.83386 -135.408 -3.83386 0 0 926341. 3205.33 0.36 0.08 0.17 -1 -1 0.36 0.0185346 0.0162183 101 59 60 30 56 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 9.06 vpr 64.17 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 34440 -1 -1 15 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 27 32 269 226 1 154 74 17 17 289 -1 unnamed_device 25.3 MiB 1.31 541 9684 4030 4997 657 64.2 MiB 0.05 0.00 3.64725 -107.533 -3.64725 3.64725 0.91 0.000165875 0.000129778 0.0121723 0.00980494 -1 -1 -1 -1 40 1670 31 6.99608e+06 220735 706193. 2443.58 4.38 0.0908062 0.0747262 26914 176310 -1 1289 23 1327 1813 134241 33091 3.67361 3.67361 -118.461 -3.67361 0 0 926341. 3205.33 0.36 0.05 0.19 -1 -1 0.36 0.0133586 0.0116462 69 34 54 27 27 27 -fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 26.94 vpr 64.98 MiB 0.03 7416 -1 -1 1 0.04 -1 -1 34476 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66544 32 32 493 378 1 313 85 17 17 289 -1 unnamed_device 26.3 MiB 1.20 1463 16639 6243 8235 2161 65.0 MiB 0.14 0.00 4.50929 -160.164 -4.50929 4.50929 1.07 0.000273708 0.000215604 0.0287644 0.0231826 -1 -1 -1 -1 44 4986 37 6.99608e+06 309029 787024. 2723.27 21.77 0.249919 0.210953 27778 195446 -1 3673 29 3360 4747 544559 133406 4.87551 4.87551 -175.219 -4.87551 0 0 997811. 3452.63 0.38 0.16 0.17 -1 -1 0.38 0.0307852 0.0271678 141 95 62 31 95 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 9.91 vpr 64.87 MiB 0.03 7396 -1 -1 1 0.04 -1 -1 34068 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66424 31 32 455 371 1 302 85 17 17 289 -1 unnamed_device 26.3 MiB 4.00 1356 9013 2876 4534 1603 64.9 MiB 0.07 0.00 4.69386 -166.35 -4.69386 4.69386 0.92 0.000245998 0.000192785 0.014553 0.0117492 -1 -1 -1 -1 44 3467 39 6.99608e+06 323745 787024. 2723.27 2.45 0.0860792 0.0717321 27778 195446 -1 2885 23 2489 2843 281652 56290 4.61614 4.61614 -169.29 -4.61614 0 0 997811. 3452.63 0.42 0.10 0.18 -1 -1 0.42 0.0243005 0.0216445 138 124 0 0 124 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 7.94 vpr 65.16 MiB 0.02 7008 -1 -1 1 0.03 -1 -1 33960 -1 -1 15 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66724 32 32 355 304 1 233 79 17 17 289 -1 unnamed_device 26.2 MiB 2.21 1000 11233 4051 5131 2051 65.2 MiB 0.07 0.00 3.87353 -139.155 -3.87353 3.87353 1.07 0.00020629 0.000162597 0.0159494 0.0128761 -1 -1 -1 -1 42 3023 26 6.99608e+06 220735 744469. 2576.02 2.08 0.0761724 0.063116 27202 183097 -1 2389 26 1854 2214 239825 61842 3.8653 3.8653 -142.948 -3.8653 0 0 949917. 3286.91 0.38 0.09 0.18 -1 -1 0.38 0.0199554 0.0175196 102 89 0 0 89 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 15.78 vpr 64.51 MiB 0.02 7188 -1 -1 1 0.04 -1 -1 34152 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66056 32 32 364 282 1 217 80 17 17 289 -1 unnamed_device 25.7 MiB 0.83 1169 12636 4456 6200 1980 64.5 MiB 0.09 0.00 3.79315 -142.637 -3.79315 3.79315 0.94 0.00021798 0.000172042 0.0198969 0.0162891 -1 -1 -1 -1 38 3185 27 6.99608e+06 235451 678818. 2348.85 11.60 0.164354 0.138221 26626 170182 -1 2611 19 1807 2416 241303 47789 4.28592 4.28592 -158.196 -4.28592 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0151467 0.0134471 92 34 90 30 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 19.11 vpr 65.55 MiB 0.03 7344 -1 -1 1 0.04 -1 -1 34172 -1 -1 20 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 67124 31 32 443 336 1 261 83 17 17 289 -1 unnamed_device 26.4 MiB 1.97 1049 15023 6383 8122 518 65.6 MiB 0.11 0.00 3.84635 -136.528 -3.84635 3.84635 0.92 0.000253531 0.000201183 0.0243861 0.0196833 -1 -1 -1 -1 40 3609 38 6.99608e+06 294314 706193. 2443.58 13.60 0.226945 0.190607 26914 176310 -1 2749 23 2668 3522 344516 83684 4.70382 4.70382 -167.079 -4.70382 0 0 926341. 3205.33 0.42 0.10 0.16 -1 -1 0.42 0.0212536 0.018793 117 64 87 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 20.73 vpr 64.75 MiB 0.02 7312 -1 -1 1 0.03 -1 -1 33744 -1 -1 20 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66308 30 32 373 297 1 228 82 17 17 289 -1 unnamed_device 25.8 MiB 1.47 1036 13788 5638 6916 1234 64.8 MiB 0.10 0.00 3.57859 -121.08 -3.57859 3.57859 1.05 0.000242529 0.000193445 0.0227281 0.0187643 -1 -1 -1 -1 40 3220 46 6.99608e+06 294314 706193. 2443.58 15.63 0.23602 0.200737 26914 176310 -1 2664 21 1946 2767 246252 52247 3.68771 3.68771 -136.702 -3.68771 0 0 926341. 3205.33 0.36 0.07 0.21 -1 -1 0.36 0.0162226 0.0142183 101 61 58 30 58 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 9.68 vpr 64.95 MiB 0.02 7224 -1 -1 1 0.05 -1 -1 34280 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66508 32 32 407 319 1 252 81 17 17 289 -1 unnamed_device 26.1 MiB 0.86 1035 13906 5211 6648 2047 64.9 MiB 0.09 0.00 4.17744 -150.853 -4.17744 4.17744 0.89 0.000231471 0.000183738 0.0209912 0.017012 -1 -1 -1 -1 48 3495 34 6.99608e+06 250167 865456. 2994.66 5.27 0.145665 0.120931 28354 207349 -1 2296 22 2401 2962 245034 63837 4.17065 4.17065 -153.323 -4.17065 0 0 1.05005e+06 3633.38 0.46 0.08 0.20 -1 -1 0.46 0.0203685 0.0181272 107 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 6.88 vpr 64.89 MiB 0.02 7300 -1 -1 1 0.04 -1 -1 34252 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66448 32 32 405 318 1 253 82 17 17 289 -1 unnamed_device 26.1 MiB 0.94 1310 11830 3448 6949 1433 64.9 MiB 0.08 0.00 3.36804 -132.941 -3.36804 3.36804 0.89 0.000229406 0.000182268 0.0182354 0.0148944 -1 -1 -1 -1 44 3412 43 6.99608e+06 264882 787024. 2723.27 2.38 0.106741 0.0896777 27778 195446 -1 2788 22 2105 2730 260926 49964 3.47181 3.47181 -144.609 -3.47181 0 0 997811. 3452.63 0.39 0.08 0.19 -1 -1 0.39 0.0204793 0.0182106 108 65 63 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 6.90 vpr 64.14 MiB 0.02 6924 -1 -1 1 0.04 -1 -1 34096 -1 -1 14 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65680 29 32 287 238 1 172 75 17 17 289 -1 unnamed_device 25.4 MiB 2.15 717 7817 3163 4313 341 64.1 MiB 0.05 0.00 3.32814 -114.16 -3.32814 3.32814 0.88 0.000167508 0.000131155 0.0105103 0.00859435 -1 -1 -1 -1 34 2160 23 6.99608e+06 206020 618332. 2139.56 1.63 0.0642117 0.0535912 25762 151098 -1 1728 21 1640 2113 173515 37088 3.14682 3.14682 -119.514 -3.14682 0 0 787024. 2723.27 0.32 0.05 0.13 -1 -1 0.32 0.012514 0.0110174 73 34 58 29 29 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 7.19 vpr 64.72 MiB 0.02 7208 -1 -1 1 0.04 -1 -1 34092 -1 -1 14 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66276 32 32 334 290 1 207 78 17 17 289 -1 unnamed_device 26.0 MiB 1.69 775 9540 3855 5429 256 64.7 MiB 0.07 0.00 3.76953 -126.145 -3.76953 3.76953 0.88 0.000189591 0.000148754 0.014697 0.0119656 -1 -1 -1 -1 44 2461 26 6.99608e+06 206020 787024. 2723.27 2.23 0.0748659 0.0622708 27778 195446 -1 1799 20 1631 1895 157957 35924 3.50831 3.50831 -126.821 -3.50831 0 0 997811. 3452.63 0.38 0.05 0.17 -1 -1 0.38 0.0132756 0.0117147 92 82 0 0 82 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 17.09 vpr 64.69 MiB 0.04 7152 -1 -1 1 0.04 -1 -1 34104 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66240 31 32 365 281 1 217 80 17 17 289 -1 unnamed_device 25.8 MiB 0.79 1165 8336 2649 4367 1320 64.7 MiB 0.06 0.00 3.85324 -142.791 -3.85324 3.85324 0.87 0.000221735 0.000175784 0.0133748 0.0110189 -1 -1 -1 -1 38 3159 42 6.99608e+06 250167 678818. 2348.85 13.01 0.159159 0.133767 26626 170182 -1 2624 20 2076 2837 251199 49612 4.45022 4.45022 -165.853 -4.45022 0 0 902133. 3121.57 0.36 0.08 0.15 -1 -1 0.36 0.0190315 0.0169495 92 34 93 31 31 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 14.27 vpr 64.15 MiB 0.02 7012 -1 -1 1 0.04 -1 -1 34104 -1 -1 16 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65692 29 32 297 254 1 191 77 17 17 289 -1 unnamed_device 25.3 MiB 2.68 702 10020 4191 5344 485 64.2 MiB 0.06 0.00 3.21559 -105.308 -3.21559 3.21559 0.88 0.000173013 0.000136256 0.0127916 0.0104004 -1 -1 -1 -1 40 2468 27 6.99608e+06 235451 706193. 2443.58 8.31 0.128088 0.106657 26914 176310 -1 1804 20 1390 1585 144363 33230 3.41836 3.41836 -114.125 -3.41836 0 0 926341. 3205.33 0.34 0.05 0.17 -1 -1 0.34 0.013462 0.0119273 81 56 29 29 52 26 -fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 7.42 vpr 64.32 MiB 0.02 6880 -1 -1 1 0.03 -1 -1 34064 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65864 32 32 314 256 1 188 77 17 17 289 -1 unnamed_device 25.5 MiB 0.86 805 12628 5356 6957 315 64.3 MiB 0.08 0.00 3.56959 -132.322 -3.56959 3.56959 0.87 0.000182909 0.000143651 0.0179256 0.0145801 -1 -1 -1 -1 40 2423 42 6.99608e+06 191304 706193. 2443.58 3.32 0.0954545 0.0803613 26914 176310 -1 1979 23 1814 2286 214232 45833 3.48281 3.48281 -137.795 -3.48281 0 0 926341. 3205.33 0.34 0.06 0.16 -1 -1 0.34 0.0149147 0.013093 79 34 64 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 15.25 vpr 64.76 MiB 0.02 7192 -1 -1 1 0.04 -1 -1 34200 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66316 31 32 387 307 1 239 81 17 17 289 -1 unnamed_device 25.8 MiB 1.43 913 13381 5622 7065 694 64.8 MiB 0.08 0.00 4.07038 -142.271 -4.07038 4.07038 0.87 0.000218208 0.000172309 0.0192712 0.0155741 -1 -1 -1 -1 48 3262 43 6.99608e+06 264882 865456. 2994.66 10.40 0.171766 0.141245 28354 207349 -1 2192 31 2465 3410 288125 65566 3.9283 3.9283 -146.406 -3.9283 0 0 1.05005e+06 3633.38 0.40 0.10 0.20 -1 -1 0.40 0.0241849 0.0211874 105 64 58 31 62 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 7.90 vpr 64.50 MiB 0.02 7080 -1 -1 1 0.04 -1 -1 33856 -1 -1 13 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66048 31 32 308 262 1 193 76 17 17 289 -1 unnamed_device 25.8 MiB 2.96 793 12236 4782 5589 1865 64.5 MiB 0.07 0.00 3.3327 -113.287 -3.3327 3.3327 0.90 0.000178087 0.000139999 0.0160875 0.0130515 -1 -1 -1 -1 38 2465 32 6.99608e+06 191304 678818. 2348.85 1.69 0.0644487 0.0537627 26626 170182 -1 1977 18 1320 1644 161264 33411 2.94731 2.94731 -115.142 -2.94731 0 0 902133. 3121.57 0.34 0.05 0.15 -1 -1 0.34 0.011739 0.0103934 82 55 31 31 53 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 8.41 vpr 64.85 MiB 0.03 7200 -1 -1 1 0.04 -1 -1 33936 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66408 32 32 383 307 1 232 82 17 17 289 -1 unnamed_device 25.9 MiB 2.00 886 14678 6217 7844 617 64.9 MiB 0.09 0.00 3.48779 -124.494 -3.48779 3.48779 0.89 0.000217607 0.000171079 0.0207114 0.0167222 -1 -1 -1 -1 46 2866 50 6.99608e+06 264882 828058. 2865.25 2.95 0.0897904 0.0747424 28066 200906 -1 2025 22 1904 2668 230392 54572 3.62917 3.62917 -127.811 -3.62917 0 0 1.01997e+06 3529.29 0.37 0.07 0.18 -1 -1 0.37 0.0170777 0.0150426 103 65 52 26 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 18.61 vpr 64.94 MiB 0.03 7324 -1 -1 1 0.04 -1 -1 33964 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66500 31 32 422 339 1 272 85 17 17 289 -1 unnamed_device 26.0 MiB 1.06 1136 16081 5903 7938 2240 64.9 MiB 0.11 0.00 4.59961 -156.224 -4.59961 4.59961 0.89 0.000241972 0.000191264 0.0239972 0.019389 -1 -1 -1 -1 40 3831 28 6.99608e+06 323745 706193. 2443.58 13.87 0.167092 0.138803 26914 176310 -1 2922 21 2582 3520 400485 97017 4.47714 4.47714 -164.634 -4.47714 0 0 926341. 3205.33 0.42 0.13 0.17 -1 -1 0.42 0.0286068 0.0259163 123 93 31 31 92 31 -fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 9.91 vpr 64.80 MiB 0.02 6976 -1 -1 1 0.04 -1 -1 33728 -1 -1 15 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66356 32 32 333 279 1 208 79 17 17 289 -1 unnamed_device 25.7 MiB 2.14 1137 10050 3205 5445 1400 64.8 MiB 0.06 0.00 3.15669 -124.76 -3.15669 3.15669 0.89 0.000188702 0.000148142 0.0137292 0.0111474 -1 -1 -1 -1 40 2838 25 6.99608e+06 220735 706193. 2443.58 4.45 0.0979503 0.0807866 26914 176310 -1 2436 25 1965 2828 245570 49716 3.48182 3.48182 -129.118 -3.48182 0 0 926341. 3205.33 0.35 0.08 0.16 -1 -1 0.35 0.018267 0.0160601 88 61 32 32 60 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 14.87 vpr 64.82 MiB 0.02 6864 -1 -1 1 0.04 -1 -1 33876 -1 -1 14 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66380 32 32 339 283 1 212 78 17 17 289 -1 unnamed_device 26.0 MiB 0.93 820 13856 4964 6926 1966 64.8 MiB 0.08 0.00 3.30794 -122.442 -3.30794 3.30794 0.89 0.000199618 0.000157772 0.0199289 0.016202 -1 -1 -1 -1 40 2662 33 6.99608e+06 206020 706193. 2443.58 10.41 0.133558 0.110053 26914 176310 -1 1989 18 1621 1955 198541 43761 3.31622 3.31622 -126.167 -3.31622 0 0 926341. 3205.33 0.36 0.07 0.18 -1 -1 0.36 0.0179262 0.0161331 91 63 32 32 62 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 13.82 vpr 65.15 MiB 0.02 7200 -1 -1 1 0.05 -1 -1 34468 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66712 32 32 407 319 1 252 82 17 17 289 -1 unnamed_device 26.3 MiB 1.08 1239 11118 3581 5407 2130 65.1 MiB 0.08 0.00 3.83715 -144.315 -3.83715 3.83715 0.89 0.00024052 0.00019174 0.0195501 0.0160437 -1 -1 -1 -1 40 3054 44 6.99608e+06 264882 706193. 2443.58 9.37 0.164638 0.135906 26914 176310 -1 2607 23 2389 2887 211349 46103 4.51132 4.51132 -164.932 -4.51132 0 0 926341. 3205.33 0.37 0.07 0.16 -1 -1 0.37 0.0190344 0.0167079 110 65 64 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 8.59 vpr 64.79 MiB 0.02 7116 -1 -1 1 0.04 -1 -1 33976 -1 -1 21 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66340 29 32 367 293 1 222 82 17 17 289 -1 unnamed_device 26.0 MiB 1.96 912 9160 3705 5067 388 64.8 MiB 0.06 0.00 3.41124 -117.288 -3.41124 3.41124 0.94 0.000207571 0.00016423 0.0132526 0.0108472 -1 -1 -1 -1 38 3022 46 6.99608e+06 309029 678818. 2348.85 3.30 0.0824746 0.0680641 26626 170182 -1 2437 25 2136 2811 246062 52450 3.51187 3.51187 -127.239 -3.51187 0 0 902133. 3121.57 0.32 0.07 0.15 -1 -1 0.32 0.0176691 0.0154476 101 62 56 29 58 29 -fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 18.33 vpr 64.91 MiB 0.02 7344 -1 -1 1 0.04 -1 -1 34152 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66472 32 32 469 381 1 309 86 17 17 289 -1 unnamed_device 26.3 MiB 1.04 1399 13316 4006 7788 1522 64.9 MiB 0.10 0.00 4.54237 -164.626 -4.54237 4.54237 0.93 0.000263784 0.000211337 0.0210084 0.0169757 -1 -1 -1 -1 38 4223 34 6.99608e+06 323745 678818. 2348.85 13.87 0.178372 0.147636 26626 170182 -1 3280 22 3173 3785 325226 67549 5.42914 5.42914 -199.634 -5.42914 0 0 902133. 3121.57 0.34 0.09 0.16 -1 -1 0.34 0.0192619 0.0168461 140 127 0 0 128 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 12.15 vpr 64.08 MiB 0.02 7060 -1 -1 1 0.04 -1 -1 34040 -1 -1 11 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65616 31 32 259 212 1 144 74 17 17 289 -1 unnamed_device 25.4 MiB 1.10 514 10149 4253 5499 397 64.1 MiB 0.06 0.00 2.85721 -96.25 -2.85721 2.85721 0.92 0.000169366 0.000132508 0.0135978 0.0109497 -1 -1 -1 -1 42 2019 38 6.99608e+06 161872 744469. 2576.02 7.80 0.10162 0.0840259 27202 183097 -1 1401 21 1155 1731 140465 35692 2.76017 2.76017 -106.718 -2.76017 0 0 949917. 3286.91 0.36 0.05 0.16 -1 -1 0.36 0.0113194 0.00993003 58 4 85 31 0 0 -fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 7.50 vpr 64.75 MiB 0.03 7252 -1 -1 1 0.04 -1 -1 34044 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66308 32 32 418 338 1 263 83 17 17 289 -1 unnamed_device 26.0 MiB 2.07 1197 12863 4931 5522 2410 64.8 MiB 0.09 0.00 4.80843 -163.702 -4.80843 4.80843 0.90 0.000242203 0.000190038 0.0209426 0.0167501 -1 -1 -1 -1 42 3512 38 6.99608e+06 279598 744469. 2576.02 1.97 0.0803425 0.0658149 27202 183097 -1 2720 22 2711 3434 305955 65422 5.12789 5.12789 -176.653 -5.12789 0 0 949917. 3286.91 0.36 0.09 0.17 -1 -1 0.36 0.021356 0.0189501 119 92 28 28 92 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 6.39 vpr 64.77 MiB 0.02 7000 -1 -1 1 0.04 -1 -1 33912 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66324 32 32 376 318 1 253 80 17 17 289 -1 unnamed_device 25.8 MiB 1.02 1197 13324 4707 7364 1253 64.8 MiB 0.08 0.00 4.41342 -162.024 -4.41342 4.41342 0.85 0.000205341 0.000162143 0.0192056 0.0156212 -1 -1 -1 -1 42 3257 24 6.99608e+06 235451 744469. 2576.02 2.08 0.0761603 0.0634728 27202 183097 -1 2707 21 2903 3667 392593 76634 4.88015 4.88015 -168.693 -4.88015 0 0 949917. 3286.91 0.36 0.09 0.16 -1 -1 0.36 0.0153197 0.0134271 110 96 0 0 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 15.75 vpr 65.04 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 34168 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66600 32 32 401 316 1 247 83 17 17 289 -1 unnamed_device 26.2 MiB 1.01 1122 13403 4777 5917 2709 65.0 MiB 0.09 0.00 3.33684 -128.047 -3.33684 3.33684 0.87 0.000224408 0.000178231 0.0197189 0.0161144 -1 -1 -1 -1 40 3281 42 6.99608e+06 279598 706193. 2443.58 11.50 0.162397 0.134694 26914 176310 -1 2604 23 2214 2913 246629 50304 3.47452 3.47452 -138.906 -3.47452 0 0 926341. 3205.33 0.35 0.08 0.16 -1 -1 0.35 0.0187151 0.0164243 106 65 61 32 64 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 20.77 vpr 65.05 MiB 0.03 7364 -1 -1 1 0.05 -1 -1 34460 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66612 32 32 500 382 1 312 86 17 17 289 -1 unnamed_device 26.6 MiB 1.04 1505 15584 4795 8564 2225 65.1 MiB 0.11 0.00 4.92348 -178.984 -4.92348 4.92348 0.92 0.000276388 0.000218319 0.0264146 0.0213868 -1 -1 -1 -1 38 4442 31 6.99608e+06 323745 678818. 2348.85 16.26 0.201834 0.16708 26626 170182 -1 3422 24 3175 3657 345025 72516 5.482 5.482 -203.145 -5.482 0 0 902133. 3121.57 0.34 0.10 0.15 -1 -1 0.34 0.0244482 0.0215363 140 96 64 32 96 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 6.62 vpr 64.03 MiB 0.02 6952 -1 -1 1 0.04 -1 -1 33908 -1 -1 13 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65564 30 32 246 229 1 154 75 17 17 289 -1 unnamed_device 25.5 MiB 1.75 575 8449 3504 4687 258 64.0 MiB 0.04 0.00 2.79195 -95.9589 -2.79195 2.79195 0.86 0.000143418 0.000111871 0.00959037 0.00775525 -1 -1 -1 -1 38 1790 44 6.99608e+06 191304 678818. 2348.85 1.66 0.0578982 0.0475977 26626 170182 -1 1426 31 955 974 152747 55620 2.42362 2.42362 -91.7739 -2.42362 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.0134035 0.0115704 65 56 0 0 53 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 8.38 vpr 64.39 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 33908 -1 -1 14 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65936 30 32 296 244 1 166 76 17 17 289 -1 unnamed_device 25.4 MiB 3.77 812 8236 3162 3930 1144 64.4 MiB 0.05 0.00 3.41559 -120.975 -3.41559 3.41559 0.86 0.000177951 0.000139898 0.011423 0.0093334 -1 -1 -1 -1 34 2148 22 6.99608e+06 206020 618332. 2139.56 1.51 0.05215 0.0432396 25762 151098 -1 1872 23 1532 2278 211440 41433 3.55131 3.55131 -132.651 -3.55131 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0131749 0.011446 71 34 60 30 30 30 -fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 6.91 vpr 64.52 MiB 0.02 6872 -1 -1 1 0.04 -1 -1 33640 -1 -1 12 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66068 32 32 314 256 1 192 76 17 17 289 -1 unnamed_device 25.6 MiB 0.31 719 10316 3884 5163 1269 64.5 MiB 0.06 0.00 3.36114 -127.044 -3.36114 3.36114 0.86 0.000185027 0.000145588 0.0142753 0.0115933 -1 -1 -1 -1 54 2177 46 6.99608e+06 176588 949917. 3286.91 3.23 0.0910994 0.0752053 29506 232905 -1 1725 23 1890 2981 233315 52850 3.80991 3.80991 -138.909 -3.80991 0 0 1.17392e+06 4061.99 0.45 0.07 0.21 -1 -1 0.45 0.0160448 0.0141814 80 34 64 32 32 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 6.10 vpr 63.90 MiB 0.02 6944 -1 -1 1 0.04 -1 -1 34012 -1 -1 18 25 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65436 25 32 251 214 1 151 75 17 17 289 -1 unnamed_device 25.3 MiB 1.07 495 10819 4262 4978 1579 63.9 MiB 0.06 0.00 3.27465 -89.1389 -3.27465 3.27465 0.89 0.000165332 0.000131574 0.0139816 0.0113229 -1 -1 -1 -1 38 1636 25 6.99608e+06 264882 678818. 2348.85 1.82 0.0587239 0.0483801 26626 170182 -1 1301 17 961 1236 87175 20491 3.24827 3.24827 -100.814 -3.24827 0 0 902133. 3121.57 0.33 0.03 0.15 -1 -1 0.33 0.00870989 0.00769105 67 34 50 25 25 25 -fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 23.68 vpr 64.77 MiB 0.02 7140 -1 -1 1 0.04 -1 -1 34080 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66328 32 32 432 346 1 281 84 17 17 289 -1 unnamed_device 26.1 MiB 0.98 1340 16005 6763 8808 434 64.8 MiB 0.10 0.00 3.73195 -141.272 -3.73195 3.73195 0.91 0.000235118 0.000186656 0.023782 0.0193289 -1 -1 -1 -1 40 4271 41 6.99608e+06 294314 706193. 2443.58 19.09 0.180562 0.14999 26914 176310 -1 3499 20 2691 3818 377779 78007 4.53581 4.53581 -160.538 -4.53581 0 0 926341. 3205.33 0.36 0.11 0.18 -1 -1 0.36 0.0229867 0.0205733 127 94 32 32 94 32 -fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 17.40 vpr 64.95 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 34208 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66508 31 32 421 339 1 270 85 17 17 289 -1 unnamed_device 26.0 MiB 1.12 1059 13477 4799 6867 1811 64.9 MiB 0.10 0.00 4.24008 -145.447 -4.24008 4.24008 0.88 0.00023042 0.000181125 0.0200168 0.0161425 -1 -1 -1 -1 40 3467 27 6.99608e+06 323745 706193. 2443.58 12.95 0.1603 0.132249 26914 176310 -1 2738 22 2858 3837 346059 76288 4.19435 4.19435 -154.677 -4.19435 0 0 926341. 3205.33 0.35 0.09 0.16 -1 -1 0.35 0.0190466 0.0167694 121 94 29 29 93 31 -fixed_k6_frac_N8_22nm.xml mult_001.v common 8.81 vpr 64.29 MiB 0.02 7208 -1 -1 14 0.31 -1 -1 36548 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65832 32 32 280 312 1 209 83 17 17 289 -1 unnamed_device 25.7 MiB 2.73 1259 12503 3522 6847 2134 64.3 MiB 0.09 0.00 8.52371 -172.994 -8.52371 8.52371 0.86 0.000277466 0.000220476 0.0230423 0.0187073 -1 -1 -1 -1 38 3367 22 6.79088e+06 255968 678818. 2348.85 2.50 0.10433 0.0872048 25966 169698 -1 2720 19 1268 3428 190355 41725 7.30396 7.30396 -164.862 -7.30396 0 0 902133. 3121.57 0.32 0.06 0.15 -1 -1 0.32 0.0209095 0.0187992 134 186 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_002.v common 11.76 vpr 64.25 MiB 0.02 7040 -1 -1 14 0.36 -1 -1 36608 -1 -1 22 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65792 30 32 277 309 1 212 84 17 17 289 -1 unnamed_device 25.3 MiB 1.79 1185 7770 1967 4869 934 64.2 MiB 0.07 0.00 8.32669 -166.781 -8.32669 8.32669 0.89 0.000306673 0.000247241 0.0171587 0.0143281 -1 -1 -1 -1 32 3781 30 6.79088e+06 296384 586450. 2029.24 6.34 0.137685 0.115138 24814 144142 -1 3097 30 1861 5183 462513 146901 7.66518 7.66518 -166.718 -7.66518 0 0 744469. 2576.02 0.29 0.15 0.12 -1 -1 0.29 0.0337502 0.0300425 131 189 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_003.v common 20.57 vpr 64.33 MiB 0.02 7084 -1 -1 11 0.27 -1 -1 36512 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65872 32 32 274 306 1 201 84 17 17 289 -1 unnamed_device 25.5 MiB 2.36 1162 9234 2657 4676 1901 64.3 MiB 0.07 0.00 6.64553 -144.864 -6.64553 6.64553 0.87 0.000276593 0.000218911 0.0176544 0.0144289 -1 -1 -1 -1 40 3047 26 6.79088e+06 269440 706193. 2443.58 14.71 0.217782 0.185596 26254 175826 -1 2619 20 1243 3671 206740 48844 5.85345 5.85345 -139.232 -5.85345 0 0 926341. 3205.33 0.33 0.07 0.17 -1 -1 0.33 0.0204834 0.0183276 134 180 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_004.v common 12.56 vpr 64.39 MiB 0.02 7084 -1 -1 12 0.42 -1 -1 36716 -1 -1 22 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65932 29 32 269 301 1 190 83 17 17 289 -1 unnamed_device 25.6 MiB 1.72 1052 7823 1903 4660 1260 64.4 MiB 0.07 0.00 7.35214 -141.259 -7.35214 7.35214 0.93 0.000287541 0.000229087 0.01757 0.0143933 -1 -1 -1 -1 32 3358 40 6.79088e+06 296384 586450. 2029.24 7.11 0.158074 0.131645 24814 144142 -1 2743 20 1329 4157 235545 53946 6.80448 6.80448 -144.304 -6.80448 0 0 744469. 2576.02 0.30 0.08 0.12 -1 -1 0.30 0.026592 0.0239777 136 184 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_005.v common 8.43 vpr 64.57 MiB 0.02 6976 -1 -1 13 0.38 -1 -1 36692 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66124 32 32 317 349 1 254 88 17 17 289 -1 unnamed_device 25.6 MiB 2.69 1363 10813 2441 7327 1045 64.6 MiB 0.08 0.00 7.99767 -164.707 -7.99767 7.99767 0.92 0.000327939 0.000261738 0.0223352 0.01832 -1 -1 -1 -1 36 4267 23 6.79088e+06 323328 648988. 2245.63 2.06 0.098489 0.0825781 25390 158009 -1 3476 20 1939 5087 310004 69239 7.34721 7.34721 -163.333 -7.34721 0 0 828058. 2865.25 0.31 0.09 0.14 -1 -1 0.31 0.0251712 0.0224866 159 223 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_006.v common 14.87 vpr 64.32 MiB 0.02 6940 -1 -1 12 0.34 -1 -1 36260 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65864 32 32 299 331 1 227 88 17 17 289 -1 unnamed_device 25.5 MiB 3.19 1549 8083 2094 5260 729 64.3 MiB 0.07 0.00 7.66842 -163.175 -7.66842 7.66842 0.87 0.000315844 0.000254607 0.0170715 0.0141416 -1 -1 -1 -1 32 4523 45 6.79088e+06 323328 586450. 2029.24 8.06 0.169948 0.141799 24814 144142 -1 3618 21 1863 5453 441420 118208 6.88177 6.88177 -162.618 -6.88177 0 0 744469. 2576.02 0.32 0.12 0.13 -1 -1 0.32 0.0241043 0.0215702 150 205 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_007.v common 15.56 vpr 63.82 MiB 0.02 6852 -1 -1 12 0.22 -1 -1 36668 -1 -1 20 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65356 27 32 210 242 1 166 79 17 17 289 -1 unnamed_device 25.1 MiB 1.79 891 7008 1614 4986 408 63.8 MiB 0.05 0.00 6.92259 -128.472 -6.92259 6.92259 0.91 0.000228203 0.00018324 0.0127017 0.0105649 -1 -1 -1 -1 32 3180 49 6.79088e+06 269440 586450. 2029.24 10.42 0.12641 0.105771 24814 144142 -1 2494 19 1133 3022 208338 49931 5.95423 5.95423 -125.801 -5.95423 0 0 744469. 2576.02 0.30 0.06 0.13 -1 -1 0.30 0.0152489 0.013643 100 131 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_008.v common 8.35 vpr 64.26 MiB 0.02 7044 -1 -1 11 0.22 -1 -1 36560 -1 -1 16 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 31 32 264 296 1 191 79 17 17 289 -1 unnamed_device 25.5 MiB 1.78 1048 6501 1621 4615 265 64.3 MiB 0.06 0.00 6.73073 -140.451 -6.73073 6.73073 0.89 0.000287404 0.000231126 0.0147109 0.0120995 -1 -1 -1 -1 38 3117 43 6.79088e+06 215552 678818. 2348.85 3.23 0.105777 0.0889537 25966 169698 -1 2614 18 1278 3583 221292 47394 5.94304 5.94304 -136.278 -5.94304 0 0 902133. 3121.57 0.32 0.07 0.15 -1 -1 0.32 0.0188548 0.0168336 113 173 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_009.v common 8.98 vpr 64.11 MiB 0.02 6816 -1 -1 12 0.21 -1 -1 36244 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65648 31 32 234 266 1 191 81 17 17 289 -1 unnamed_device 25.3 MiB 3.51 1069 9881 3201 5129 1551 64.1 MiB 0.06 0.00 6.55603 -138.983 -6.55603 6.55603 0.86 0.000229131 0.000181296 0.0159258 0.0130021 -1 -1 -1 -1 38 2973 24 6.79088e+06 242496 678818. 2348.85 2.09 0.0857672 0.0720237 25966 169698 -1 2390 16 1075 2453 150732 33088 5.62523 5.62523 -133.585 -5.62523 0 0 902133. 3121.57 0.34 0.05 0.15 -1 -1 0.34 0.0158874 0.0143491 111 143 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_010.v common 7.59 vpr 63.98 MiB 0.02 6692 -1 -1 13 0.23 -1 -1 36324 -1 -1 15 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65512 32 32 253 285 1 179 79 17 17 289 -1 unnamed_device 25.1 MiB 1.63 1133 8022 2176 4612 1234 64.0 MiB 0.06 0.00 7.34973 -166.511 -7.34973 7.34973 0.87 0.00033351 0.000277657 0.0165082 0.0136234 -1 -1 -1 -1 34 3196 47 6.79088e+06 202080 618332. 2139.56 2.62 0.101305 0.0846733 25102 150614 -1 2569 15 1111 2845 176449 39397 6.25527 6.25527 -157.049 -6.25527 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0159108 0.0143776 106 159 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_011.v common 9.53 vpr 63.73 MiB 0.02 6924 -1 -1 12 0.22 -1 -1 36412 -1 -1 15 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65264 30 32 217 249 1 156 77 17 17 289 -1 unnamed_device 25.0 MiB 2.17 755 12465 4696 5677 2092 63.7 MiB 0.07 0.00 7.40292 -146.389 -7.40292 7.40292 0.88 0.000220092 0.000170954 0.019537 0.0157634 -1 -1 -1 -1 38 2408 21 6.79088e+06 202080 678818. 2348.85 4.00 0.0955967 0.0793293 25966 169698 -1 1598 16 821 2283 107523 26529 6.33367 6.33367 -134.685 -6.33367 0 0 902133. 3121.57 0.33 0.04 0.16 -1 -1 0.33 0.0140669 0.0126924 94 129 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_012.v common 7.49 vpr 63.75 MiB 0.02 6792 -1 -1 12 0.19 -1 -1 36156 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65284 32 32 227 259 1 169 80 17 17 289 -1 unnamed_device 25.1 MiB 1.90 1007 10744 3628 5580 1536 63.8 MiB 0.07 0.00 6.58409 -154.656 -6.58409 6.58409 0.86 0.000237643 0.00018018 0.0171779 0.0137257 -1 -1 -1 -1 40 2417 29 6.79088e+06 215552 706193. 2443.58 2.21 0.0817753 0.0679442 26254 175826 -1 2230 36 983 2614 399312 211523 5.9396 5.9396 -151.878 -5.9396 0 0 926341. 3205.33 0.33 0.13 0.15 -1 -1 0.33 0.0228242 0.0199214 92 133 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_013.v common 15.37 vpr 64.72 MiB 0.02 7052 -1 -1 13 0.35 -1 -1 37132 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66276 32 32 306 338 1 223 84 17 17 289 -1 unnamed_device 25.8 MiB 1.77 1319 6306 1320 4344 642 64.7 MiB 0.06 0.00 7.99515 -167.704 -7.99515 7.99515 0.87 0.000308388 0.00024535 0.0145837 0.0120873 -1 -1 -1 -1 32 3769 23 6.79088e+06 269440 586450. 2029.24 10.02 0.165492 0.139679 24814 144142 -1 3073 20 1384 3940 227044 50811 6.87756 6.87756 -162.023 -6.87756 0 0 744469. 2576.02 0.30 0.08 0.13 -1 -1 0.30 0.0275934 0.0248754 146 212 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_014.v common 7.91 vpr 64.34 MiB 0.02 7064 -1 -1 14 0.41 -1 -1 36640 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65888 32 32 302 334 1 225 85 17 17 289 -1 unnamed_device 25.7 MiB 2.26 1310 10315 2634 5767 1914 64.3 MiB 0.08 0.00 9.08665 -181.887 -9.08665 9.08665 0.88 0.00033501 0.000270968 0.0208509 0.0171076 -1 -1 -1 -1 36 3852 48 6.79088e+06 282912 648988. 2245.63 2.02 0.107675 0.0900359 25390 158009 -1 2936 20 1438 3641 222969 51082 8.05576 8.05576 -171.074 -8.05576 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0230429 0.0206313 149 208 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_015.v common 6.41 vpr 63.84 MiB 0.02 6956 -1 -1 11 0.21 -1 -1 35904 -1 -1 20 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65368 29 32 238 270 1 179 81 17 17 289 -1 unnamed_device 25.0 MiB 1.46 1021 12156 3295 7057 1804 63.8 MiB 0.07 0.00 7.03294 -137.697 -7.03294 7.03294 0.91 0.000231548 0.000183659 0.0192807 0.015656 -1 -1 -1 -1 28 3345 47 6.79088e+06 269440 531479. 1839.03 1.69 0.0764019 0.0640273 23950 126010 -1 2635 16 1222 2828 185001 41348 5.98983 5.98983 -136.135 -5.98983 0 0 648988. 2245.63 0.26 0.06 0.11 -1 -1 0.26 0.0171832 0.0155308 110 153 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_016.v common 9.16 vpr 64.11 MiB 0.02 7060 -1 -1 12 0.33 -1 -1 36488 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65648 32 32 306 338 1 235 84 17 17 289 -1 unnamed_device 25.4 MiB 2.69 1362 4476 730 3513 233 64.1 MiB 0.05 0.00 8.16506 -163.52 -8.16506 8.16506 0.90 0.000307543 0.000244806 0.0116718 0.00988952 -1 -1 -1 -1 44 3799 32 6.79088e+06 269440 787024. 2723.27 2.75 0.116612 0.0993042 27118 194962 -1 3230 18 1530 4561 262105 57658 6.98366 6.98366 -153.071 -6.98366 0 0 997811. 3452.63 0.38 0.10 0.17 -1 -1 0.38 0.0302129 0.0274342 144 212 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_017.v common 9.47 vpr 64.32 MiB 0.02 7060 -1 -1 13 0.34 -1 -1 36416 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65860 32 32 311 343 1 224 85 17 17 289 -1 unnamed_device 25.5 MiB 1.69 1298 6781 1433 4660 688 64.3 MiB 0.06 0.00 8.52276 -172.733 -8.52276 8.52276 0.87 0.000321149 0.00025607 0.0163742 0.0136447 -1 -1 -1 -1 40 3204 18 6.79088e+06 282912 706193. 2443.58 4.30 0.142028 0.118758 26254 175826 -1 2839 19 1322 3820 223012 50084 7.26465 7.26465 -162.714 -7.26465 0 0 926341. 3205.33 0.34 0.07 0.15 -1 -1 0.34 0.0218766 0.0195791 145 217 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_018.v common 8.06 vpr 63.65 MiB 0.02 6960 -1 -1 12 0.20 -1 -1 36420 -1 -1 15 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65180 32 32 230 262 1 175 79 17 17 289 -1 unnamed_device 25.1 MiB 2.66 1085 10050 2687 6415 948 63.7 MiB 0.07 0.00 6.98054 -167.591 -6.98054 6.98054 0.88 0.000239337 0.000189932 0.0173049 0.0141588 -1 -1 -1 -1 36 2939 33 6.79088e+06 202080 648988. 2245.63 2.08 0.0872254 0.0723196 25390 158009 -1 2331 14 862 2213 134975 30048 6.11878 6.11878 -156.755 -6.11878 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0142196 0.0128841 103 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_019.v common 11.54 vpr 63.51 MiB 0.02 6780 -1 -1 10 0.14 -1 -1 35928 -1 -1 13 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65032 30 32 176 208 1 137 75 17 17 289 -1 unnamed_device 24.7 MiB 2.79 617 10029 3756 5049 1224 63.5 MiB 0.05 0.00 4.90111 -115.952 -4.90111 4.90111 0.88 0.000165138 0.000129966 0.0125081 0.0100966 -1 -1 -1 -1 36 2206 35 6.79088e+06 175136 648988. 2245.63 5.57 0.093001 0.0772638 25390 158009 -1 1656 18 866 2001 146186 33972 4.63261 4.63261 -118.049 -4.63261 0 0 828058. 2865.25 0.32 0.05 0.15 -1 -1 0.32 0.0112336 0.010001 68 88 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_020.v common 7.82 vpr 64.01 MiB 0.02 6940 -1 -1 13 0.22 -1 -1 36544 -1 -1 16 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65548 31 32 226 258 1 169 79 17 17 289 -1 unnamed_device 25.3 MiB 2.46 894 8698 2042 6119 537 64.0 MiB 0.06 0.00 7.51507 -159.301 -7.51507 7.51507 0.88 0.000229733 0.000183022 0.0145349 0.011908 -1 -1 -1 -1 34 3032 38 6.79088e+06 215552 618332. 2139.56 2.00 0.0823089 0.0690232 25102 150614 -1 2136 17 1014 2451 128435 30901 6.45897 6.45897 -152.696 -6.45897 0 0 787024. 2723.27 0.33 0.05 0.14 -1 -1 0.33 0.0151303 0.0136222 98 135 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_021.v common 7.21 vpr 64.29 MiB 0.02 7016 -1 -1 13 0.36 -1 -1 36320 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65828 32 32 302 334 1 216 85 17 17 289 -1 unnamed_device 25.6 MiB 1.35 1191 6781 1467 4296 1018 64.3 MiB 0.06 0.00 7.58328 -158.293 -7.58328 7.58328 0.87 0.000295403 0.000237668 0.0148486 0.0124051 -1 -1 -1 -1 34 3841 36 6.79088e+06 282912 618332. 2139.56 2.39 0.0960744 0.0806877 25102 150614 -1 3016 21 1821 5196 295424 66742 6.73409 6.73409 -163.313 -6.73409 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0230636 0.0203969 142 208 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_022.v common 18.85 vpr 64.50 MiB 0.02 7132 -1 -1 13 0.36 -1 -1 36412 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66048 32 32 299 331 1 221 84 17 17 289 -1 unnamed_device 25.7 MiB 1.96 1314 6489 1394 4653 442 64.5 MiB 0.06 0.00 7.94947 -168.322 -7.94947 7.94947 0.88 0.000315729 0.0002542 0.0148264 0.0122904 -1 -1 -1 -1 38 3509 49 6.79088e+06 269440 678818. 2348.85 13.21 0.209952 0.175931 25966 169698 -1 2821 18 1328 3940 243259 60434 7.30922 7.30922 -164.085 -7.30922 0 0 902133. 3121.57 0.34 0.08 0.15 -1 -1 0.34 0.0226167 0.0204365 142 205 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_023.v common 6.60 vpr 62.89 MiB 0.02 6704 -1 -1 9 0.13 -1 -1 35904 -1 -1 16 26 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64400 26 32 149 181 1 119 74 17 17 289 -1 unnamed_device 24.6 MiB 2.48 668 5964 2208 3025 731 62.9 MiB 0.03 0.00 5.26474 -98.9706 -5.26474 5.26474 0.87 0.00014426 0.000110217 0.00738602 0.00605416 -1 -1 -1 -1 32 1815 21 6.79088e+06 215552 586450. 2029.24 0.99 0.0324009 0.0271696 24814 144142 -1 1568 13 580 1361 98198 21374 4.49504 4.49504 -96.6789 -4.49504 0 0 744469. 2576.02 0.29 0.03 0.14 -1 -1 0.29 0.00796574 0.00720541 65 73 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_024.v common 20.80 vpr 64.39 MiB 0.02 6960 -1 -1 13 0.38 -1 -1 36416 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65936 32 32 304 336 1 219 86 17 17 289 -1 unnamed_device 25.6 MiB 2.16 1268 8213 1986 5619 608 64.4 MiB 0.07 0.00 8.5143 -170.557 -8.5143 8.5143 0.87 0.000305838 0.000243035 0.0177435 0.0145206 -1 -1 -1 -1 32 4549 46 6.79088e+06 296384 586450. 2029.24 15.04 0.197954 0.166361 24814 144142 -1 3410 31 2177 6222 673370 249383 7.6798 7.6798 -171.245 -7.6798 0 0 744469. 2576.02 0.29 0.22 0.13 -1 -1 0.29 0.0412179 0.0367252 136 210 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_025.v common 7.14 vpr 63.42 MiB 0.02 6520 -1 -1 8 0.12 -1 -1 35700 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64940 32 32 155 187 1 127 82 17 17 289 -1 unnamed_device 24.7 MiB 2.91 670 7380 1620 5618 142 63.4 MiB 0.04 0.00 4.48934 -96.8721 -4.48934 4.48934 0.89 0.000149438 0.000117198 0.00792463 0.00649045 -1 -1 -1 -1 30 1888 22 6.79088e+06 242496 556674. 1926.21 1.04 0.035372 0.0299459 24526 138013 -1 1502 17 625 1326 78065 18560 3.93104 3.93104 -98.6496 -3.93104 0 0 706193. 2443.58 0.27 0.03 0.13 -1 -1 0.27 0.00927389 0.00830285 64 61 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_026.v common 10.26 vpr 64.12 MiB 0.02 7012 -1 -1 15 0.30 -1 -1 36460 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65660 32 32 253 285 1 201 81 17 17 289 -1 unnamed_device 25.4 MiB 2.58 1232 7781 1881 4665 1235 64.1 MiB 0.06 0.00 8.77517 -179.005 -8.77517 8.77517 0.87 0.000258128 0.000206023 0.0147236 0.0121726 -1 -1 -1 -1 40 3050 29 6.79088e+06 229024 706193. 2443.58 4.27 0.118369 0.0989755 26254 175826 -1 2683 15 1245 3530 192377 43371 7.55117 7.55117 -168.707 -7.55117 0 0 926341. 3205.33 0.34 0.06 0.15 -1 -1 0.34 0.0164123 0.0148321 119 159 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_027.v common 7.83 vpr 64.34 MiB 0.02 6892 -1 -1 12 0.32 -1 -1 36124 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65884 32 32 309 341 1 219 86 17 17 289 -1 unnamed_device 25.5 MiB 2.05 1237 8780 2137 5860 783 64.3 MiB 0.07 0.00 6.99167 -152.762 -6.99167 6.99167 0.90 0.000353227 0.000289206 0.0182539 0.0148979 -1 -1 -1 -1 36 3373 21 6.79088e+06 296384 648988. 2245.63 2.17 0.105208 0.0877954 25390 158009 -1 3046 40 1430 4472 567897 249139 6.04382 6.04382 -144.157 -6.04382 0 0 828058. 2865.25 0.32 0.17 0.14 -1 -1 0.32 0.0350617 0.0305487 145 215 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_028.v common 7.93 vpr 64.26 MiB 0.02 7104 -1 -1 13 0.34 -1 -1 36296 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 32 32 289 321 1 208 84 17 17 289 -1 unnamed_device 25.4 MiB 2.01 1199 7038 1664 4741 633 64.3 MiB 0.06 0.00 8.4695 -169.121 -8.4695 8.4695 0.85 0.000289683 0.000230906 0.0145752 0.0120229 -1 -1 -1 -1 36 3550 41 6.79088e+06 269440 648988. 2245.63 2.46 0.127804 0.109827 25390 158009 -1 2894 20 1343 3668 236221 52369 7.41 7.41 -162.68 -7.41 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0213877 0.0191377 136 195 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_029.v common 11.32 vpr 63.77 MiB 0.02 6660 -1 -1 12 0.21 -1 -1 36652 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65304 32 32 239 271 1 180 82 17 17 289 -1 unnamed_device 25.1 MiB 2.08 1028 10406 3334 5200 1872 63.8 MiB 0.07 0.00 6.75626 -149.177 -6.75626 6.75626 0.87 0.000236366 0.000187756 0.0169088 0.0138063 -1 -1 -1 -1 34 2975 19 6.79088e+06 242496 618332. 2139.56 5.94 0.127407 0.106928 25102 150614 -1 2459 16 1064 2815 173588 39408 5.94309 5.94309 -145.063 -5.94309 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0162966 0.0146537 106 145 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_030.v common 7.27 vpr 63.81 MiB 0.02 6784 -1 -1 11 0.19 -1 -1 36300 -1 -1 16 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65340 30 32 213 245 1 163 78 17 17 289 -1 unnamed_device 25.1 MiB 1.89 931 5722 1363 3955 404 63.8 MiB 0.04 0.00 6.65788 -139.347 -6.65788 6.65788 0.88 0.000209801 0.000165941 0.0101529 0.00841637 -1 -1 -1 -1 44 2277 29 6.79088e+06 215552 787024. 2723.27 2.03 0.0702999 0.0602904 27118 194962 -1 1996 18 836 1976 120174 26737 5.67329 5.67329 -131.246 -5.67329 0 0 997811. 3452.63 0.37 0.05 0.17 -1 -1 0.37 0.0155119 0.0139289 93 125 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_031.v common 18.10 vpr 63.91 MiB 0.02 6772 -1 -1 11 0.20 -1 -1 36744 -1 -1 18 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65448 28 32 221 253 1 176 78 17 17 289 -1 unnamed_device 25.2 MiB 1.19 902 8876 2223 6138 515 63.9 MiB 0.06 0.00 6.72053 -131.848 -6.72053 6.72053 0.85 0.00023275 0.000185585 0.0156037 0.0128402 -1 -1 -1 -1 36 3005 40 6.79088e+06 242496 648988. 2245.63 13.58 0.150214 0.12641 25390 158009 -1 2442 17 1136 2981 187464 42219 5.78973 5.78973 -126.306 -5.78973 0 0 828058. 2865.25 0.32 0.06 0.15 -1 -1 0.32 0.0173738 0.0157139 107 139 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_032.v common 8.59 vpr 64.05 MiB 0.02 6708 -1 -1 12 0.23 -1 -1 36308 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65584 32 32 273 305 1 213 82 17 17 289 -1 unnamed_device 25.3 MiB 2.49 1208 10050 2615 5357 2078 64.0 MiB 0.07 0.00 7.12845 -160.373 -7.12845 7.12845 0.90 0.000260297 0.000206252 0.0179924 0.0146601 -1 -1 -1 -1 36 4024 36 6.79088e+06 242496 648988. 2245.63 2.55 0.0963695 0.0802835 25390 158009 -1 2982 29 1490 3670 384829 137043 6.29984 6.29984 -158.077 -6.29984 0 0 828058. 2865.25 0.31 0.12 0.14 -1 -1 0.31 0.0264004 0.0234395 118 179 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_033.v common 6.94 vpr 63.73 MiB 0.02 6864 -1 -1 11 0.21 -1 -1 36436 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65256 31 32 238 270 1 180 81 17 17 289 -1 unnamed_device 25.1 MiB 1.96 915 11456 3671 5623 2162 63.7 MiB 0.07 0.00 6.45483 -141.873 -6.45483 6.45483 0.83 0.000252095 0.000201477 0.0195397 0.0159687 -1 -1 -1 -1 34 2889 22 6.79088e+06 242496 618332. 2139.56 1.74 0.0764864 0.0636155 25102 150614 -1 2100 15 1109 2839 144033 35695 5.56714 5.56714 -136.831 -5.56714 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.015533 0.0139895 107 147 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_034.v common 12.59 vpr 63.56 MiB 0.02 6904 -1 -1 10 0.18 -1 -1 36412 -1 -1 17 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65084 29 32 221 253 1 158 78 17 17 289 -1 unnamed_device 25.1 MiB 1.69 780 5224 1169 3841 214 63.6 MiB 0.04 0.00 6.56873 -131.467 -6.56873 6.56873 0.90 0.000229688 0.00018228 0.0106152 0.00884206 -1 -1 -1 -1 36 2182 19 6.79088e+06 229024 648988. 2245.63 7.60 0.116779 0.0978611 25390 158009 -1 1906 15 872 2551 162268 37415 5.74277 5.74277 -127.49 -5.74277 0 0 828058. 2865.25 0.32 0.05 0.14 -1 -1 0.32 0.0140188 0.0126165 102 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_035.v common 28.80 vpr 64.53 MiB 0.02 7208 -1 -1 13 0.41 -1 -1 36460 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66080 32 32 333 365 1 236 86 17 17 289 -1 unnamed_device 25.7 MiB 1.53 1527 9158 2380 5973 805 64.5 MiB 0.08 0.00 7.91413 -169.881 -7.91413 7.91413 0.89 0.000384037 0.000310574 0.022443 0.0184458 -1 -1 -1 -1 40 4049 34 6.79088e+06 296384 706193. 2443.58 23.60 0.241624 0.201674 26254 175826 -1 3376 17 1526 5025 290854 62800 6.88531 6.88531 -161.778 -6.88531 0 0 926341. 3205.33 0.34 0.08 0.15 -1 -1 0.34 0.0236884 0.0213646 162 239 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_036.v common 22.72 vpr 64.50 MiB 0.02 6900 -1 -1 13 0.39 -1 -1 36772 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66044 32 32 297 329 1 231 86 17 17 289 -1 unnamed_device 25.9 MiB 2.17 1407 5189 964 3994 231 64.5 MiB 0.05 0.00 7.75126 -170.514 -7.75126 7.75126 0.89 0.000331614 0.00025434 0.0131182 0.0109227 -1 -1 -1 -1 36 4220 25 6.79088e+06 296384 648988. 2245.63 16.79 0.18832 0.158376 25390 158009 -1 3295 32 1637 4718 469109 175653 6.56959 6.56959 -161.563 -6.56959 0 0 828058. 2865.25 0.32 0.15 0.14 -1 -1 0.32 0.0360825 0.0320742 152 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_037.v common 12.16 vpr 63.59 MiB 0.02 6784 -1 -1 12 0.18 -1 -1 36484 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65116 31 32 234 266 1 168 80 17 17 289 -1 unnamed_device 25.1 MiB 1.70 1010 12120 3866 6542 1712 63.6 MiB 0.08 0.00 7.15698 -154.751 -7.15698 7.15698 0.89 0.000243139 0.000194184 0.0210091 0.0171519 -1 -1 -1 -1 34 2897 30 6.79088e+06 229024 618332. 2139.56 7.10 0.135005 0.112578 25102 150614 -1 2394 33 1083 3002 373772 176672 6.11878 6.11878 -147.893 -6.11878 0 0 787024. 2723.27 0.30 0.11 0.13 -1 -1 0.30 0.0215378 0.0188438 101 143 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_038.v common 7.89 vpr 63.47 MiB 0.02 7124 -1 -1 12 0.32 -1 -1 36464 -1 -1 23 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64992 31 32 310 342 1 219 86 17 17 289 -1 unnamed_device 24.6 MiB 1.53 1328 10103 2679 5328 2096 63.5 MiB 0.08 0.00 7.74608 -158.655 -7.74608 7.74608 0.86 0.000303147 0.00024012 0.0202549 0.0165707 -1 -1 -1 -1 38 3982 35 6.79088e+06 309856 678818. 2348.85 2.72 0.108159 0.0909047 25966 169698 -1 2979 24 1681 5421 388271 112820 6.67037 6.67037 -150.571 -6.67037 0 0 902133. 3121.57 0.37 0.12 0.15 -1 -1 0.37 0.0299264 0.0266798 147 219 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_039.v common 6.66 vpr 64.30 MiB 0.02 6948 -1 -1 14 0.42 -1 -1 37068 -1 -1 21 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65844 31 32 284 316 1 221 84 17 17 289 -1 unnamed_device 25.6 MiB 1.15 1297 8685 2245 5606 834 64.3 MiB 0.07 0.00 8.14173 -172.752 -8.14173 8.14173 0.90 0.000290529 0.000230585 0.0181468 0.0149089 -1 -1 -1 -1 36 3720 49 6.79088e+06 282912 648988. 2245.63 1.94 0.104651 0.0882908 25390 158009 -1 3003 16 1380 3616 216647 49169 7.30933 7.30933 -165.167 -7.30933 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0208765 0.0189705 146 193 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_040.v common 8.08 vpr 64.20 MiB 0.02 7112 -1 -1 13 0.32 -1 -1 36936 -1 -1 21 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65740 31 32 271 303 1 212 84 17 17 289 -1 unnamed_device 25.3 MiB 2.46 1240 3927 707 3012 208 64.2 MiB 0.04 0.00 7.84876 -163.832 -7.84876 7.84876 0.87 0.000272143 0.000216917 0.00923214 0.00782803 -1 -1 -1 -1 34 3796 39 6.79088e+06 282912 618332. 2139.56 2.31 0.103697 0.0872416 25102 150614 -1 2888 21 1507 4032 231225 51401 7.21082 7.21082 -164.411 -7.21082 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0210337 0.0187229 123 180 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_041.v common 7.14 vpr 64.21 MiB 0.02 6944 -1 -1 12 0.32 -1 -1 36532 -1 -1 24 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65752 31 32 280 312 1 206 87 17 17 289 -1 unnamed_device 25.3 MiB 1.16 1307 8919 1981 5570 1368 64.2 MiB 0.07 0.00 7.76747 -159.708 -7.76747 7.76747 0.90 0.000288923 0.000227705 0.0168219 0.0138589 -1 -1 -1 -1 38 3449 45 6.79088e+06 323328 678818. 2348.85 2.44 0.101093 0.0848487 25966 169698 -1 2722 15 1207 3747 200375 43893 6.50936 6.50936 -150.647 -6.50936 0 0 902133. 3121.57 0.34 0.06 0.14 -1 -1 0.34 0.0196236 0.0178326 136 189 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_042.v common 8.82 vpr 64.12 MiB 0.02 7012 -1 -1 12 0.24 -1 -1 36412 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65664 32 32 264 296 1 188 80 17 17 289 -1 unnamed_device 25.2 MiB 1.25 1094 7992 2062 5514 416 64.1 MiB 0.06 0.00 7.00518 -141.287 -7.00518 7.00518 0.91 0.000256523 0.000203998 0.0152114 0.0124496 -1 -1 -1 -1 36 3178 27 6.79088e+06 215552 648988. 2245.63 4.07 0.124994 0.104431 25390 158009 -1 2550 22 1191 3311 194671 42735 6.16563 6.16563 -139.269 -6.16563 0 0 828058. 2865.25 0.32 0.06 0.14 -1 -1 0.32 0.0200176 0.0177618 113 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_043.v common 23.53 vpr 64.57 MiB 0.03 7304 -1 -1 14 0.56 -1 -1 36536 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66120 32 32 339 371 1 252 89 17 17 289 -1 unnamed_device 25.8 MiB 1.72 1495 9989 2553 6051 1385 64.6 MiB 0.08 0.00 8.47579 -179.629 -8.47579 8.47579 0.88 0.00034431 0.000274409 0.0216975 0.0179462 -1 -1 -1 -1 40 3758 18 6.79088e+06 336800 706193. 2443.58 17.95 0.226734 0.190001 26254 175826 -1 3424 17 1639 4844 279810 62053 7.63716 7.63716 -171.992 -7.63716 0 0 926341. 3205.33 0.34 0.08 0.15 -1 -1 0.34 0.0250954 0.022698 169 245 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_044.v common 7.59 vpr 64.15 MiB 0.02 6940 -1 -1 11 0.24 -1 -1 36020 -1 -1 19 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65688 31 32 246 278 1 185 82 17 17 289 -1 unnamed_device 25.1 MiB 2.34 985 6312 1275 4627 410 64.1 MiB 0.05 0.00 6.61647 -138.059 -6.61647 6.61647 0.90 0.00025079 0.000199892 0.0124017 0.0102441 -1 -1 -1 -1 36 2824 20 6.79088e+06 255968 648988. 2245.63 1.83 0.0833014 0.0700701 25390 158009 -1 2491 17 1266 3435 214833 47765 5.69776 5.69776 -135.012 -5.69776 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0175856 0.0157955 112 155 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_045.v common 13.10 vpr 64.07 MiB 0.02 7092 -1 -1 13 0.34 -1 -1 36684 -1 -1 21 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65612 31 32 268 300 1 191 84 17 17 289 -1 unnamed_device 25.2 MiB 1.55 1103 6672 1409 4884 379 64.1 MiB 0.05 0.00 7.76492 -151.455 -7.76492 7.76492 0.93 0.000308883 0.000248076 0.0138768 0.0114707 -1 -1 -1 -1 32 3359 27 6.79088e+06 282912 586450. 2029.24 8.04 0.150047 0.12611 24814 144142 -1 2622 15 1219 3583 213401 47801 6.83836 6.83836 -148.988 -6.83836 0 0 744469. 2576.02 0.29 0.06 0.12 -1 -1 0.29 0.0173653 0.0156909 133 177 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_046.v common 22.40 vpr 64.17 MiB 0.02 7124 -1 -1 12 0.32 -1 -1 36668 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 32 32 318 350 1 217 86 17 17 289 -1 unnamed_device 25.5 MiB 2.07 1395 9914 2739 6272 903 64.2 MiB 0.08 0.00 6.98394 -154.333 -6.98394 6.98394 0.94 0.000314893 0.000249583 0.0210216 0.0170161 -1 -1 -1 -1 40 3542 27 6.79088e+06 296384 706193. 2443.58 16.75 0.188497 0.157169 26254 175826 -1 3190 19 1561 5025 297786 63431 6.25173 6.25173 -151.727 -6.25173 0 0 926341. 3205.33 0.33 0.09 0.16 -1 -1 0.33 0.0258404 0.0231305 153 224 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_047.v common 7.44 vpr 64.11 MiB 0.02 6824 -1 -1 13 0.29 -1 -1 36576 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65644 32 32 273 305 1 202 82 17 17 289 -1 unnamed_device 25.3 MiB 1.32 1171 11652 3648 5835 2169 64.1 MiB 0.08 0.00 7.49071 -158.776 -7.49071 7.49071 0.91 0.000286907 0.000228268 0.0230425 0.0187492 -1 -1 -1 -1 36 3477 43 6.79088e+06 242496 648988. 2245.63 2.58 0.121287 0.101474 25390 158009 -1 2742 18 1430 4040 245807 54432 6.66688 6.66688 -151.628 -6.66688 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.0200941 0.0180174 127 179 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_048.v common 15.20 vpr 64.17 MiB 0.02 7176 -1 -1 13 0.28 -1 -1 36652 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 32 32 269 301 1 197 81 17 17 289 -1 unnamed_device 25.3 MiB 2.46 1211 10406 2769 5511 2126 64.2 MiB 0.07 0.00 7.74736 -164.869 -7.74736 7.74736 0.89 0.000302902 0.000246404 0.01968 0.0160475 -1 -1 -1 -1 30 3499 50 6.79088e+06 229024 556674. 1926.21 9.21 0.161336 0.134556 24526 138013 -1 2740 34 1363 4079 455158 184815 6.79562 6.79562 -162.186 -6.79562 0 0 706193. 2443.58 0.28 0.14 0.12 -1 -1 0.28 0.0274123 0.023797 117 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_049.v common 13.35 vpr 64.41 MiB 0.02 7172 -1 -1 12 0.34 -1 -1 36952 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65956 32 32 298 330 1 217 86 17 17 289 -1 unnamed_device 25.8 MiB 2.40 1253 10103 2754 6868 481 64.4 MiB 0.08 0.00 7.26657 -159.313 -7.26657 7.26657 0.88 0.000316976 0.000252951 0.0214291 0.0175945 -1 -1 -1 -1 34 3795 36 6.79088e+06 296384 618332. 2139.56 7.24 0.187798 0.157667 25102 150614 -1 3030 25 1509 4818 413691 135545 6.39287 6.39287 -156.446 -6.39287 0 0 787024. 2723.27 0.31 0.13 0.14 -1 -1 0.31 0.0293419 0.0262336 148 204 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_050.v common 10.53 vpr 64.27 MiB 0.02 7052 -1 -1 13 0.34 -1 -1 36524 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65808 32 32 299 331 1 227 84 17 17 289 -1 unnamed_device 25.5 MiB 2.33 1384 13626 3889 7379 2358 64.3 MiB 0.14 0.00 7.75522 -164.387 -7.75522 7.75522 0.87 0.000318017 0.000253336 0.0384668 0.031498 -1 -1 -1 -1 38 3626 49 6.79088e+06 269440 678818. 2348.85 4.66 0.188774 0.157015 25966 169698 -1 2980 16 1491 4007 207819 46956 6.63117 6.63117 -157.111 -6.63117 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.0219013 0.0197893 143 205 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_051.v common 8.22 vpr 63.95 MiB 0.02 7108 -1 -1 14 0.37 -1 -1 36684 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65484 32 32 259 291 1 197 81 17 17 289 -1 unnamed_device 25.3 MiB 2.29 1098 13031 4343 6238 2450 63.9 MiB 0.09 0.00 7.86406 -162.706 -7.86406 7.86406 0.91 0.000291534 0.00021553 0.0247579 0.0201658 -1 -1 -1 -1 36 3579 44 6.79088e+06 229024 648988. 2245.63 2.20 0.10157 0.0845509 25390 158009 -1 2782 17 1282 3700 252833 56138 7.04294 7.04294 -162.195 -7.04294 0 0 828058. 2865.25 0.33 0.07 0.15 -1 -1 0.33 0.0183872 0.0165505 121 165 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_052.v common 11.50 vpr 64.25 MiB 0.02 7120 -1 -1 13 0.34 -1 -1 36756 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65788 32 32 293 325 1 216 83 17 17 289 -1 unnamed_device 25.5 MiB 3.10 1258 11603 3424 7189 990 64.2 MiB 0.09 0.00 7.85103 -161.777 -7.85103 7.85103 0.87 0.000298837 0.000236999 0.0234135 0.0191642 -1 -1 -1 -1 38 3512 48 6.79088e+06 255968 678818. 2348.85 4.80 0.15307 0.128049 25966 169698 -1 2917 19 1461 3840 212567 47493 6.75658 6.75658 -157.662 -6.75658 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.021427 0.0192214 132 199 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_053.v common 8.67 vpr 64.26 MiB 0.02 7144 -1 -1 13 0.38 -1 -1 37348 -1 -1 24 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65800 31 32 311 343 1 237 87 17 17 289 -1 unnamed_device 25.5 MiB 1.43 1359 6039 1148 4687 204 64.3 MiB 0.06 0.00 8.38958 -177.102 -8.38958 8.38958 0.88 0.000311022 0.000247006 0.0138214 0.011499 -1 -1 -1 -1 40 3561 50 6.79088e+06 323328 706193. 2443.58 3.61 0.130918 0.10955 26254 175826 -1 2989 17 1504 4264 244810 55147 7.38651 7.38651 -164.727 -7.38651 0 0 926341. 3205.33 0.34 0.07 0.14 -1 -1 0.34 0.0220493 0.0198259 153 220 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_054.v common 7.93 vpr 64.45 MiB 0.02 7092 -1 -1 12 0.38 -1 -1 36356 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66000 32 32 324 356 1 234 87 17 17 289 -1 unnamed_device 25.6 MiB 1.72 1296 5655 1121 4085 449 64.5 MiB 0.05 0.00 7.82193 -168.395 -7.82193 7.82193 0.86 0.000318506 0.000253071 0.0129588 0.0107261 -1 -1 -1 -1 42 3729 40 6.79088e+06 309856 744469. 2576.02 2.63 0.115252 0.0976484 26542 182613 -1 2927 18 1420 4023 215515 49923 7.04206 7.04206 -163.588 -7.04206 0 0 949917. 3286.91 0.36 0.07 0.16 -1 -1 0.36 0.0227975 0.0205157 157 230 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_055.v common 7.16 vpr 63.71 MiB 0.02 6736 -1 -1 11 0.17 -1 -1 36168 -1 -1 12 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65240 32 32 216 248 1 163 76 17 17 289 -1 unnamed_device 24.9 MiB 1.88 1043 3916 875 2751 290 63.7 MiB 0.06 0.00 6.0933 -143.487 -6.0933 6.0933 0.89 0.000375186 0.000301933 0.0145984 0.0108334 -1 -1 -1 -1 38 2848 44 6.79088e+06 161664 678818. 2348.85 1.89 0.0751377 0.0617952 25966 169698 -1 2203 15 991 2635 147065 32965 5.34881 5.34881 -138.493 -5.34881 0 0 902133. 3121.57 0.33 0.05 0.15 -1 -1 0.33 0.0129236 0.0116404 89 122 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_056.v common 13.25 vpr 63.91 MiB 0.02 6920 -1 -1 13 0.24 -1 -1 36372 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65448 32 32 245 277 1 190 82 17 17 289 -1 unnamed_device 25.2 MiB 2.90 1095 12364 3770 6848 1746 63.9 MiB 0.08 0.00 7.93371 -169.518 -7.93371 7.93371 0.87 0.000259767 0.000209746 0.0207869 0.0170084 -1 -1 -1 -1 34 3258 23 6.79088e+06 242496 618332. 2139.56 6.99 0.145945 0.121644 25102 150614 -1 2628 16 1164 3001 179437 40724 6.78808 6.78808 -160.795 -6.78808 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0166567 0.0150567 113 151 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_057.v common 10.21 vpr 64.86 MiB 0.04 7132 -1 -1 14 0.54 -1 -1 37016 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66412 32 32 361 393 1 262 90 17 17 289 -1 unnamed_device 26.0 MiB 1.30 1580 9939 2278 6564 1097 64.9 MiB 0.09 0.00 8.73511 -184.809 -8.73511 8.73511 0.87 0.000492958 0.00040974 0.0231514 0.0188924 -1 -1 -1 -1 40 4136 33 6.79088e+06 350272 706193. 2443.58 5.11 0.225388 0.189664 26254 175826 -1 3767 19 2074 6040 360692 77318 7.71202 7.71202 -177.141 -7.71202 0 0 926341. 3205.33 0.35 0.10 0.16 -1 -1 0.35 0.0274367 0.0245196 180 267 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_058.v common 9.12 vpr 64.58 MiB 0.02 7020 -1 -1 13 0.41 -1 -1 36336 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66128 32 32 318 350 1 243 87 17 17 289 -1 unnamed_device 25.6 MiB 2.55 1387 12759 4109 6459 2191 64.6 MiB 0.10 0.00 8.53765 -182.928 -8.53765 8.53765 0.89 0.000334205 0.000266604 0.0265767 0.021601 -1 -1 -1 -1 50 3099 22 6.79088e+06 309856 902133. 3121.57 2.68 0.120044 0.100465 27982 213445 -1 2850 15 1323 3794 219330 47910 7.3431 7.3431 -167.816 -7.3431 0 0 1.08113e+06 3740.92 0.41 0.07 0.19 -1 -1 0.41 0.0237585 0.0216154 154 224 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_059.v common 8.99 vpr 63.76 MiB 0.02 6824 -1 -1 11 0.21 -1 -1 36580 -1 -1 16 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65288 30 32 223 255 1 163 78 17 17 289 -1 unnamed_device 25.2 MiB 0.90 950 4228 865 3108 255 63.8 MiB 0.04 0.00 6.81683 -144.823 -6.81683 6.81683 0.87 0.000227835 0.000181674 0.00873564 0.00729317 -1 -1 -1 -1 34 2634 47 6.79088e+06 215552 618332. 2139.56 4.86 0.134881 0.113274 25102 150614 -1 2137 15 876 2451 136388 31157 5.99343 5.99343 -141.319 -5.99343 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0136031 0.0122784 100 135 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_060.v common 7.90 vpr 64.55 MiB 0.02 7248 -1 -1 15 0.56 -1 -1 36680 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66100 32 32 335 367 1 245 86 17 17 289 -1 unnamed_device 25.6 MiB 1.29 1418 9347 2334 6216 797 64.6 MiB 0.08 0.00 9.383 -186.155 -9.383 9.383 0.90 0.000348458 0.000278249 0.0219356 0.0180817 -1 -1 -1 -1 44 3872 34 6.79088e+06 296384 787024. 2723.27 2.66 0.128361 0.107617 27118 194962 -1 3280 20 1689 5227 406693 124184 8.22796 8.22796 -178.75 -8.22796 0 0 997811. 3452.63 0.38 0.12 0.17 -1 -1 0.38 0.0269652 0.0240542 169 241 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_061.v common 9.27 vpr 64.34 MiB 0.03 7088 -1 -1 13 0.39 -1 -1 36828 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65884 32 32 301 333 1 220 85 17 17 289 -1 unnamed_device 25.6 MiB 1.26 1389 12175 3278 6674 2223 64.3 MiB 0.09 0.00 8.13964 -175.769 -8.13964 8.13964 0.88 0.000317005 0.000252755 0.0250509 0.0205482 -1 -1 -1 -1 40 3215 19 6.79088e+06 282912 706193. 2443.58 4.35 0.134458 0.111735 26254 175826 -1 3003 17 1371 3962 215669 49138 6.93221 6.93221 -163.236 -6.93221 0 0 926341. 3205.33 0.34 0.07 0.15 -1 -1 0.34 0.0221914 0.0200855 148 207 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_062.v common 6.52 vpr 63.67 MiB 0.02 6696 -1 -1 11 0.16 -1 -1 36248 -1 -1 14 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65200 32 32 238 270 1 173 78 17 17 289 -1 unnamed_device 25.2 MiB 1.57 1055 3564 650 2743 171 63.7 MiB 0.03 0.00 6.25754 -138.513 -6.25754 6.25754 0.88 0.000229114 0.0001781 0.00772179 0.00648799 -1 -1 -1 -1 32 2841 37 6.79088e+06 188608 586450. 2029.24 1.69 0.0714834 0.0600898 24814 144142 -1 2317 20 1069 2717 159536 36567 5.70008 5.70008 -138.79 -5.70008 0 0 744469. 2576.02 0.32 0.05 0.14 -1 -1 0.32 0.01659 0.0147994 94 144 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_063.v common 9.90 vpr 64.91 MiB 0.03 7164 -1 -1 12 0.39 -1 -1 36564 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66464 32 32 308 340 1 225 85 17 17 289 -1 unnamed_device 25.9 MiB 1.85 1368 11803 3261 7113 1429 64.9 MiB 0.09 0.00 7.45229 -159.724 -7.45229 7.45229 0.88 0.000311743 0.000248169 0.0242835 0.0196964 -1 -1 -1 -1 40 3269 23 6.79088e+06 282912 706193. 2443.58 4.34 0.145667 0.121129 26254 175826 -1 2880 17 1370 4253 231563 51818 6.66688 6.66688 -154.2 -6.66688 0 0 926341. 3205.33 0.35 0.07 0.16 -1 -1 0.35 0.0223783 0.0202384 150 214 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_064.v common 8.01 vpr 63.91 MiB 0.02 6716 -1 -1 12 0.26 -1 -1 36576 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 32 32 253 285 1 191 80 17 17 289 -1 unnamed_device 25.0 MiB 2.18 1080 10228 3462 4696 2070 63.9 MiB 0.09 0.00 7.21243 -157.214 -7.21243 7.21243 0.91 0.000420742 0.000330556 0.0241733 0.0195621 -1 -1 -1 -1 44 3012 31 6.79088e+06 215552 787024. 2723.27 2.19 0.0900679 0.0749255 27118 194962 -1 2404 16 1153 3185 186563 41264 6.36938 6.36938 -144.695 -6.36938 0 0 997811. 3452.63 0.37 0.06 0.18 -1 -1 0.37 0.0188647 0.0170461 115 159 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_065.v common 12.59 vpr 63.77 MiB 0.02 6828 -1 -1 12 0.23 -1 -1 36272 -1 -1 18 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65296 30 32 227 259 1 162 80 17 17 289 -1 unnamed_device 25.0 MiB 1.56 791 10056 4124 5675 257 63.8 MiB 0.07 0.00 7.72482 -150.805 -7.72482 7.72482 0.89 0.00023673 0.000187628 0.0176743 0.0144968 -1 -1 -1 -1 36 2337 30 6.79088e+06 242496 648988. 2245.63 7.65 0.147078 0.122942 25390 158009 -1 1812 23 894 2474 158438 48186 6.41556 6.41556 -138.443 -6.41556 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0175326 0.0155494 104 139 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_066.v common 15.47 vpr 64.28 MiB 0.02 7192 -1 -1 12 0.37 -1 -1 36536 -1 -1 23 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65824 29 32 292 324 1 204 84 17 17 289 -1 unnamed_device 25.3 MiB 1.58 1171 7770 1823 5388 559 64.3 MiB 0.06 0.00 7.44007 -144.714 -7.44007 7.44007 0.88 0.000306589 0.000237404 0.0174001 0.0141857 -1 -1 -1 -1 36 3128 41 6.79088e+06 309856 648988. 2245.63 10.27 0.187872 0.157502 25390 158009 -1 2837 19 1327 4134 243101 54458 6.38057 6.38057 -136.671 -6.38057 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.0227565 0.0203699 143 207 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_067.v common 7.93 vpr 64.46 MiB 0.02 6928 -1 -1 14 0.40 -1 -1 36552 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66008 32 32 316 348 1 251 87 17 17 289 -1 unnamed_device 25.6 MiB 2.22 1358 11991 3231 7336 1424 64.5 MiB 0.09 0.00 8.37027 -173.793 -8.37027 8.37027 0.90 0.000317465 0.000250465 0.0243403 0.0197908 -1 -1 -1 -1 42 3547 22 6.79088e+06 309856 744469. 2576.02 1.94 0.099698 0.0833626 26542 182613 -1 2983 34 1567 3988 480743 227270 7.59802 7.59802 -167.835 -7.59802 0 0 949917. 3286.91 0.35 0.15 0.16 -1 -1 0.35 0.0323789 0.0283489 156 222 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_068.v common 7.31 vpr 64.11 MiB 0.02 7124 -1 -1 12 0.30 -1 -1 36376 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65648 32 32 286 318 1 205 84 17 17 289 -1 unnamed_device 25.2 MiB 1.34 1181 13626 4881 7118 1627 64.1 MiB 0.09 0.00 7.33267 -159.572 -7.33267 7.33267 0.92 0.000285245 0.000225964 0.0259547 0.0211498 -1 -1 -1 -1 38 3369 43 6.79088e+06 269440 678818. 2348.85 2.43 0.129164 0.108287 25966 169698 -1 2617 20 1371 4202 228517 52071 6.29791 6.29791 -150.527 -6.29791 0 0 902133. 3121.57 0.33 0.07 0.15 -1 -1 0.33 0.021923 0.0196561 135 192 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_069.v common 6.54 vpr 64.07 MiB 0.02 6864 -1 -1 12 0.17 -1 -1 36224 -1 -1 14 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65612 32 32 221 253 1 164 78 17 17 289 -1 unnamed_device 25.1 MiB 1.74 1009 7880 2123 5261 496 64.1 MiB 0.06 0.00 7.26363 -149.543 -7.26363 7.26363 0.88 0.000219611 0.000175077 0.0140951 0.0115947 -1 -1 -1 -1 30 2543 27 6.79088e+06 188608 556674. 1926.21 1.44 0.057444 0.0484219 24526 138013 -1 2104 27 885 2401 307230 150179 6.29447 6.29447 -143.607 -6.29447 0 0 706193. 2443.58 0.27 0.10 0.12 -1 -1 0.27 0.0192985 0.0171162 94 127 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_070.v common 12.13 vpr 64.03 MiB 0.02 7012 -1 -1 12 0.29 -1 -1 36268 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65564 31 32 261 293 1 192 81 17 17 289 -1 unnamed_device 25.3 MiB 2.23 970 11981 3535 6635 1811 64.0 MiB 0.08 0.00 7.26019 -151.005 -7.26019 7.26019 0.89 0.000278578 0.000217203 0.0214551 0.0170961 -1 -1 -1 -1 34 3218 30 6.79088e+06 242496 618332. 2139.56 6.47 0.145629 0.120915 25102 150614 -1 2444 20 1283 3227 193365 44817 6.54158 6.54158 -150.869 -6.54158 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0203683 0.0182435 114 170 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_071.v common 8.24 vpr 64.16 MiB 0.02 7084 -1 -1 11 0.24 -1 -1 36424 -1 -1 21 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65704 30 32 277 309 1 201 83 17 17 289 -1 unnamed_device 25.4 MiB 2.61 1239 12863 3849 7065 1949 64.2 MiB 0.09 0.00 6.65488 -140.894 -6.65488 6.65488 0.87 0.000278724 0.000220239 0.023838 0.0193339 -1 -1 -1 -1 34 3678 21 6.79088e+06 282912 618332. 2139.56 2.18 0.0900054 0.0745985 25102 150614 -1 3034 21 1549 4854 326751 68879 6.16557 6.16557 -144.344 -6.16557 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0210797 0.0186765 129 189 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_072.v common 11.21 vpr 64.07 MiB 0.02 6940 -1 -1 11 0.25 -1 -1 36836 -1 -1 22 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65604 28 32 251 283 1 186 82 17 17 289 -1 unnamed_device 25.1 MiB 1.31 1136 10940 2806 6659 1475 64.1 MiB 0.07 0.00 6.63358 -127.166 -6.63358 6.63358 0.88 0.000266399 0.000213603 0.0197382 0.0162333 -1 -1 -1 -1 30 3283 39 6.79088e+06 296384 556674. 1926.21 6.57 0.146151 0.12236 24526 138013 -1 2631 18 1274 3827 202462 44900 5.66443 5.66443 -122.067 -5.66443 0 0 706193. 2443.58 0.28 0.07 0.12 -1 -1 0.28 0.019634 0.017629 124 169 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_073.v common 8.20 vpr 63.82 MiB 0.02 6824 -1 -1 13 0.25 -1 -1 36492 -1 -1 16 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65356 30 32 223 255 1 172 78 17 17 289 -1 unnamed_device 24.9 MiB 3.29 1046 11366 3047 7165 1154 63.8 MiB 0.07 0.00 7.34113 -146.72 -7.34113 7.34113 0.86 0.000222609 0.00017658 0.0183287 0.0149078 -1 -1 -1 -1 34 3067 32 6.79088e+06 215552 618332. 2139.56 1.59 0.0723596 0.0600937 25102 150614 -1 2321 15 934 2327 137143 31652 6.28328 6.28328 -139.265 -6.28328 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.014963 0.0135817 104 135 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_074.v common 13.74 vpr 63.89 MiB 0.02 6944 -1 -1 12 0.23 -1 -1 36208 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65424 32 32 269 301 1 195 82 17 17 289 -1 unnamed_device 25.2 MiB 2.59 1049 6312 1392 4796 124 63.9 MiB 0.05 0.00 7.21638 -157.877 -7.21638 7.21638 0.87 0.000278021 0.000210518 0.0128508 0.0105984 -1 -1 -1 -1 34 3608 49 6.79088e+06 242496 618332. 2139.56 7.75 0.1527 0.127282 25102 150614 -1 2692 18 1193 3186 195216 44227 6.53388 6.53388 -156.883 -6.53388 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.023041 0.0208361 123 175 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_075.v common 7.26 vpr 64.51 MiB 0.03 6944 -1 -1 13 0.32 -1 -1 36404 -1 -1 20 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66060 31 32 283 315 1 194 83 17 17 289 -1 unnamed_device 25.4 MiB 1.79 1163 8363 2308 5491 564 64.5 MiB 0.06 0.00 8.4499 -169.768 -8.4499 8.4499 0.89 0.000296363 0.000236067 0.0174402 0.0143838 -1 -1 -1 -1 36 2986 25 6.79088e+06 269440 648988. 2245.63 1.90 0.10064 0.0843844 25390 158009 -1 2584 17 1111 3170 187949 41178 7.3508 7.3508 -160.132 -7.3508 0 0 828058. 2865.25 0.35 0.06 0.14 -1 -1 0.35 0.0216358 0.0195723 136 192 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_076.v common 17.76 vpr 64.49 MiB 0.02 6972 -1 -1 14 0.35 -1 -1 36880 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66040 32 32 308 340 1 227 85 17 17 289 -1 unnamed_device 25.7 MiB 1.28 1318 10501 2502 6272 1727 64.5 MiB 0.08 0.00 8.44735 -181.143 -8.44735 8.44735 0.88 0.000329293 0.000262776 0.0227193 0.0187122 -1 -1 -1 -1 36 3760 49 6.79088e+06 282912 648988. 2245.63 12.71 0.198865 0.166541 25390 158009 -1 3024 31 1413 4096 437761 172247 7.22212 7.22212 -169.842 -7.22212 0 0 828058. 2865.25 0.34 0.14 0.14 -1 -1 0.34 0.0321003 0.0283346 149 214 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_077.v common 8.15 vpr 64.12 MiB 0.02 7076 -1 -1 14 0.32 -1 -1 36824 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65664 32 32 277 309 1 207 83 17 17 289 -1 unnamed_device 25.5 MiB 2.04 1302 10523 2982 6549 992 64.1 MiB 0.08 0.00 8.02237 -162.142 -8.02237 8.02237 0.91 0.0002804 0.0002227 0.0207057 0.0168546 -1 -1 -1 -1 36 3582 21 6.79088e+06 255968 648988. 2245.63 2.54 0.0996355 0.0829999 25390 158009 -1 3066 19 1371 4195 265838 56925 6.87761 6.87761 -152.311 -6.87761 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0208806 0.0187577 135 183 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_078.v common 8.16 vpr 64.14 MiB 0.02 6852 -1 -1 13 0.44 -1 -1 37168 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65680 32 32 288 320 1 209 83 17 17 289 -1 unnamed_device 25.5 MiB 2.43 1274 7823 1878 5189 756 64.1 MiB 0.06 0.00 8.3493 -170.918 -8.3493 8.3493 0.88 0.000299662 0.000237226 0.0164295 0.0134623 -1 -1 -1 -1 42 3226 19 6.79088e+06 255968 744469. 2576.02 2.00 0.0830524 0.0696674 26542 182613 -1 2695 17 1172 3218 191832 42367 7.39 7.39 -162.386 -7.39 0 0 949917. 3286.91 0.36 0.06 0.16 -1 -1 0.36 0.0209324 0.0188752 138 194 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_079.v common 8.86 vpr 63.56 MiB 0.02 6952 -1 -1 13 0.23 -1 -1 36364 -1 -1 16 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65088 30 32 230 262 1 176 78 17 17 289 -1 unnamed_device 25.0 MiB 1.71 995 8544 2304 5272 968 63.6 MiB 0.06 0.00 7.65272 -159.245 -7.65272 7.65272 0.88 0.000229502 0.000181348 0.0149404 0.0122585 -1 -1 -1 -1 36 2550 18 6.79088e+06 215552 648988. 2245.63 3.81 0.103086 0.0863914 25390 158009 -1 2169 15 965 2365 131366 30429 6.59662 6.59662 -147.791 -6.59662 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0172208 0.0156732 105 142 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_080.v common 8.47 vpr 64.21 MiB 0.03 7056 -1 -1 13 0.56 -1 -1 36404 -1 -1 21 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65756 30 32 294 326 1 222 83 17 17 289 -1 unnamed_device 25.5 MiB 1.58 1201 9803 2770 5401 1632 64.2 MiB 0.07 0.00 8.65832 -171.391 -8.65832 8.65832 0.87 0.000304043 0.000242594 0.0207822 0.0170666 -1 -1 -1 -1 36 3714 50 6.79088e+06 282912 648988. 2245.63 3.16 0.136307 0.11465 25390 158009 -1 2765 20 1531 3937 219350 51038 7.59016 7.59016 -164.006 -7.59016 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.0227297 0.0203489 143 206 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_081.v common 19.30 vpr 64.07 MiB 0.02 7268 -1 -1 14 0.36 -1 -1 36248 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65608 32 32 276 308 1 207 82 17 17 289 -1 unnamed_device 25.2 MiB 2.18 1269 7914 1787 4968 1159 64.1 MiB 0.06 0.00 8.34378 -178.011 -8.34378 8.34378 0.88 0.000285784 0.000227942 0.0163809 0.0135352 -1 -1 -1 -1 34 3628 19 6.79088e+06 242496 618332. 2139.56 13.61 0.178854 0.150348 25102 150614 -1 2976 19 1326 3882 250950 54087 7.33961 7.33961 -173.505 -7.33961 0 0 787024. 2723.27 0.28 0.07 0.13 -1 -1 0.28 0.0190691 0.017063 132 182 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_082.v common 10.24 vpr 64.18 MiB 0.02 6992 -1 -1 12 0.31 -1 -1 36508 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65720 31 32 293 325 1 214 85 17 17 289 -1 unnamed_device 25.5 MiB 1.64 1315 6595 1620 4420 555 64.2 MiB 0.06 0.00 7.74227 -160.778 -7.74227 7.74227 0.89 0.000301145 0.000240952 0.0145415 0.0120414 -1 -1 -1 -1 42 3080 32 6.79088e+06 296384 744469. 2576.02 5.02 0.159539 0.133716 26542 182613 -1 2753 16 1232 3452 201558 44738 6.58771 6.58771 -149.781 -6.58771 0 0 949917. 3286.91 0.35 0.06 0.17 -1 -1 0.35 0.0192603 0.0173801 142 202 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_083.v common 16.21 vpr 63.98 MiB 0.02 7136 -1 -1 13 0.30 -1 -1 36420 -1 -1 20 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65512 30 32 273 305 1 203 82 17 17 289 -1 unnamed_device 25.4 MiB 2.11 1233 11118 2888 6638 1592 64.0 MiB 0.08 0.00 8.11861 -149.987 -8.11861 8.11861 0.88 0.000278829 0.000222432 0.0204569 0.0167034 -1 -1 -1 -1 34 3576 47 6.79088e+06 269440 618332. 2139.56 10.58 0.18373 0.15388 25102 150614 -1 2954 30 1355 3846 387845 136733 7.06981 7.06981 -145.007 -7.06981 0 0 787024. 2723.27 0.30 0.11 0.13 -1 -1 0.30 0.0251311 0.0221052 125 185 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_084.v common 7.51 vpr 64.38 MiB 0.03 6996 -1 -1 14 0.45 -1 -1 36660 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65920 32 32 310 342 1 235 85 17 17 289 -1 unnamed_device 25.6 MiB 1.59 1469 4735 854 3655 226 64.4 MiB 0.05 0.00 8.74175 -178.419 -8.74175 8.74175 0.87 0.00031667 0.000253105 0.0121444 0.0102153 -1 -1 -1 -1 42 3964 25 6.79088e+06 282912 744469. 2576.02 2.19 0.0963768 0.0811164 26542 182613 -1 3397 21 1619 4546 303206 64903 7.59027 7.59027 -170.401 -7.59027 0 0 949917. 3286.91 0.34 0.10 0.15 -1 -1 0.34 0.0277397 0.0245033 154 216 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_085.v common 7.07 vpr 63.95 MiB 0.02 6964 -1 -1 11 0.35 -1 -1 36428 -1 -1 22 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65480 29 32 259 291 1 193 83 17 17 289 -1 unnamed_device 25.1 MiB 1.51 1177 7283 1838 4377 1068 63.9 MiB 0.06 0.00 7.07557 -138.934 -7.07557 7.07557 0.93 0.000266937 0.000211615 0.0145066 0.0119189 -1 -1 -1 -1 36 3105 32 6.79088e+06 296384 648988. 2245.63 1.99 0.0870445 0.0737363 25390 158009 -1 2769 19 1344 4037 240367 53294 6.01343 6.01343 -131.583 -6.01343 0 0 828058. 2865.25 0.30 0.10 0.15 -1 -1 0.30 0.0258996 0.0231523 129 174 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_086.v common 9.29 vpr 64.00 MiB 0.02 6720 -1 -1 13 0.21 -1 -1 36368 -1 -1 14 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65540 32 32 225 257 1 182 78 17 17 289 -1 unnamed_device 25.1 MiB 3.37 911 7050 1390 5582 78 64.0 MiB 0.06 0.00 6.59519 -151.675 -6.59519 6.59519 0.87 0.000234119 0.00018582 0.0134236 0.011009 -1 -1 -1 -1 36 3182 32 6.79088e+06 188608 648988. 2245.63 2.56 0.0847805 0.0708283 25390 158009 -1 2418 17 1235 2925 203075 49488 6.07604 6.07604 -154.574 -6.07604 0 0 828058. 2865.25 0.33 0.06 0.15 -1 -1 0.33 0.0157046 0.0141047 99 131 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_087.v common 7.84 vpr 64.17 MiB 0.02 6972 -1 -1 14 0.27 -1 -1 36628 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 32 32 273 305 1 205 84 17 17 289 -1 unnamed_device 25.6 MiB 2.32 1241 6306 1411 4529 366 64.2 MiB 0.06 0.00 8.60394 -175.189 -8.60394 8.60394 0.88 0.000278709 0.000217239 0.0161748 0.0133773 -1 -1 -1 -1 38 3382 24 6.79088e+06 269440 678818. 2348.85 2.07 0.0958932 0.0814685 25966 169698 -1 2748 20 1311 3722 206989 45145 7.67637 7.67637 -167.595 -7.67637 0 0 902133. 3121.57 0.33 0.06 0.15 -1 -1 0.33 0.0198727 0.0177334 131 179 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_088.v common 10.64 vpr 64.78 MiB 0.02 7092 -1 -1 15 0.50 -1 -1 36620 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66332 32 32 322 354 1 240 87 17 17 289 -1 unnamed_device 25.9 MiB 2.34 1368 6807 1531 4801 475 64.8 MiB 0.06 0.00 8.72233 -187.92 -8.72233 8.72233 0.89 0.00035421 0.000284227 0.0160322 0.0133512 -1 -1 -1 -1 40 3624 19 6.79088e+06 309856 706193. 2443.58 4.50 0.146829 0.122659 26254 175826 -1 3205 19 1600 4335 246874 54934 7.71557 7.71557 -180.108 -7.71557 0 0 926341. 3205.33 0.35 0.08 0.16 -1 -1 0.35 0.0249969 0.0224447 156 228 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_089.v common 7.07 vpr 63.80 MiB 0.02 6892 -1 -1 11 0.21 -1 -1 35924 -1 -1 13 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65332 32 32 218 250 1 159 77 17 17 289 -1 unnamed_device 24.9 MiB 1.92 834 11324 4496 5941 887 63.8 MiB 0.07 0.00 6.16894 -130.904 -6.16894 6.16894 0.87 0.000210025 0.000165507 0.0174527 0.0141005 -1 -1 -1 -1 34 2649 25 6.79088e+06 175136 618332. 2139.56 1.89 0.0764866 0.0636114 25102 150614 -1 2117 18 986 2489 157064 36633 5.60285 5.60285 -128.056 -5.60285 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0150536 0.013401 90 124 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_090.v common 9.06 vpr 64.01 MiB 0.03 6784 -1 -1 12 0.25 -1 -1 36104 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65548 31 32 244 276 1 189 80 17 17 289 -1 unnamed_device 25.2 MiB 1.75 1138 11948 3630 6263 2055 64.0 MiB 0.07 0.00 7.22906 -153.908 -7.22906 7.22906 0.90 0.000242386 0.000191395 0.0205426 0.016695 -1 -1 -1 -1 44 2688 20 6.79088e+06 229024 787024. 2723.27 3.68 0.114799 0.0952564 27118 194962 -1 2381 16 1066 2784 154664 35480 6.21607 6.21607 -145.269 -6.21607 0 0 997811. 3452.63 0.38 0.06 0.17 -1 -1 0.38 0.0179855 0.0162505 113 153 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_091.v common 9.25 vpr 64.33 MiB 0.02 6912 -1 -1 12 0.37 -1 -1 36560 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65876 32 32 301 333 1 212 84 17 17 289 -1 unnamed_device 25.6 MiB 1.44 1283 9234 2131 6180 923 64.3 MiB 0.08 0.00 7.83424 -161.221 -7.83424 7.83424 0.87 0.000327366 0.000255667 0.0202182 0.0165557 -1 -1 -1 -1 40 2997 28 6.79088e+06 269440 706193. 2443.58 4.19 0.156838 0.130207 26254 175826 -1 2851 19 1285 3577 211283 47491 6.50161 6.50161 -150.722 -6.50161 0 0 926341. 3205.33 0.34 0.07 0.16 -1 -1 0.34 0.0224861 0.020179 145 207 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_092.v common 9.82 vpr 64.22 MiB 0.02 7112 -1 -1 12 0.30 -1 -1 36688 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65764 32 32 278 310 1 205 84 17 17 289 -1 unnamed_device 25.3 MiB 1.87 1296 12162 3251 6670 2241 64.2 MiB 0.09 0.00 7.48872 -156.2 -7.48872 7.48872 0.90 0.00029696 0.000239721 0.0239759 0.0196622 -1 -1 -1 -1 44 3083 21 6.79088e+06 269440 787024. 2723.27 4.24 0.141511 0.118298 27118 194962 -1 2729 17 1137 3563 203856 44929 6.66611 6.66611 -153.631 -6.66611 0 0 997811. 3452.63 0.37 0.07 0.17 -1 -1 0.37 0.0210857 0.0190826 132 184 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_093.v common 23.45 vpr 64.60 MiB 0.02 7168 -1 -1 14 0.57 -1 -1 36700 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66148 32 32 333 365 1 245 87 17 17 289 -1 unnamed_device 25.8 MiB 1.69 1405 6231 1275 4694 262 64.6 MiB 0.06 0.00 8.86727 -181.315 -8.86727 8.86727 0.88 0.00037667 0.000306626 0.0158748 0.0132701 -1 -1 -1 -1 38 4281 48 6.79088e+06 309856 678818. 2348.85 17.96 0.232781 0.195083 25966 169698 -1 3310 19 1752 5246 271822 61432 7.84441 7.84441 -174.355 -7.84441 0 0 902133. 3121.57 0.34 0.08 0.14 -1 -1 0.34 0.0258137 0.0230102 171 239 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_094.v common 9.99 vpr 64.13 MiB 0.02 7128 -1 -1 11 0.30 -1 -1 36540 -1 -1 21 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65668 30 32 261 293 1 193 83 17 17 289 -1 unnamed_device 25.3 MiB 1.89 1067 10883 3235 5860 1788 64.1 MiB 0.08 0.00 6.95332 -140.654 -6.95332 6.95332 0.99 0.000275581 0.00021909 0.0203409 0.0166471 -1 -1 -1 -1 40 2641 29 6.79088e+06 282912 706193. 2443.58 4.45 0.135375 0.113218 26254 175826 -1 2498 16 1212 3638 216309 47261 6.07953 6.07953 -136.016 -6.07953 0 0 926341. 3205.33 0.35 0.06 0.16 -1 -1 0.35 0.0185445 0.0167825 126 173 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_095.v common 5.70 vpr 63.66 MiB 0.02 6864 -1 -1 11 0.21 -1 -1 36152 -1 -1 19 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65192 27 32 217 249 1 155 78 17 17 289 -1 unnamed_device 25.0 MiB 1.33 867 6054 1411 4045 598 63.7 MiB 0.04 0.00 6.65261 -123.186 -6.65261 6.65261 0.87 0.000218178 0.000172971 0.0104522 0.00858902 -1 -1 -1 -1 30 2198 21 6.79088e+06 255968 556674. 1926.21 1.12 0.0543749 0.0462571 24526 138013 -1 1871 17 837 2389 118691 28308 5.69587 5.69587 -119.491 -5.69587 0 0 706193. 2443.58 0.27 0.04 0.12 -1 -1 0.27 0.0154042 0.0138764 101 138 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_096.v common 16.75 vpr 64.79 MiB 0.03 7236 -1 -1 13 0.53 -1 -1 36120 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66340 32 32 373 405 1 272 94 17 17 289 -1 unnamed_device 25.8 MiB 1.99 1561 9892 2394 6307 1191 64.8 MiB 0.09 0.00 8.32454 -165.585 -8.32454 8.32454 0.85 0.000407157 0.000318706 0.022763 0.0185151 -1 -1 -1 -1 36 5073 43 6.79088e+06 404160 648988. 2245.63 11.07 0.247231 0.20765 25390 158009 -1 4001 21 2388 7364 442615 95843 7.38995 7.38995 -162.585 -7.38995 0 0 828058. 2865.25 0.31 0.11 0.14 -1 -1 0.31 0.0295708 0.0263392 192 279 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_097.v common 15.28 vpr 63.95 MiB 0.02 7092 -1 -1 14 0.34 -1 -1 36760 -1 -1 20 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65480 31 32 269 301 1 199 83 17 17 289 -1 unnamed_device 25.2 MiB 1.49 1127 9803 2812 4976 2015 63.9 MiB 0.07 0.00 8.44959 -165.997 -8.44959 8.44959 0.86 0.000271953 0.000216231 0.0183123 0.0150601 -1 -1 -1 -1 34 3288 29 6.79088e+06 269440 618332. 2139.56 10.24 0.178175 0.14884 25102 150614 -1 2695 18 1285 3271 196185 44737 7.36316 7.36316 -162.863 -7.36316 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0198023 0.0178806 128 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_098.v common 8.14 vpr 64.05 MiB 0.02 7008 -1 -1 12 0.19 -1 -1 36172 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65588 32 32 228 260 1 187 81 17 17 289 -1 unnamed_device 25.3 MiB 2.04 998 12156 3597 6327 2232 64.1 MiB 0.08 0.00 7.48986 -163.034 -7.48986 7.48986 0.90 0.000240415 0.000190501 0.0199457 0.0163141 -1 -1 -1 -1 40 2863 24 6.79088e+06 229024 706193. 2443.58 2.60 0.0890649 0.0745074 26254 175826 -1 2549 17 1210 3160 202215 45704 6.45897 6.45897 -156.46 -6.45897 0 0 926341. 3205.33 0.33 0.06 0.18 -1 -1 0.33 0.0162979 0.0147182 108 134 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_099.v common 8.48 vpr 64.13 MiB 0.02 7076 -1 -1 13 0.38 -1 -1 36556 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65668 32 32 265 297 1 194 85 17 17 289 -1 unnamed_device 25.3 MiB 2.66 1114 13291 3899 6878 2514 64.1 MiB 0.09 0.00 7.73375 -156.711 -7.73375 7.73375 0.88 0.000274744 0.000219094 0.022987 0.0186685 -1 -1 -1 -1 36 3839 32 6.79088e+06 282912 648988. 2245.63 2.25 0.0980093 0.0821978 25390 158009 -1 2762 18 1323 3752 230814 51537 6.50587 6.50587 -149.125 -6.50587 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0184364 0.016486 127 171 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_100.v common 27.07 vpr 64.33 MiB 0.03 7104 -1 -1 13 0.38 -1 -1 37372 -1 -1 25 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65876 31 32 325 357 1 247 88 17 17 289 -1 unnamed_device 25.5 MiB 2.73 1417 14128 4699 7199 2230 64.3 MiB 0.10 0.00 7.42813 -162.414 -7.42813 7.42813 0.86 0.000340687 0.000265006 0.0285341 0.0229383 -1 -1 -1 -1 40 3929 47 6.79088e+06 336800 706193. 2443.58 20.71 0.270306 0.226696 26254 175826 -1 3360 22 1730 4779 291259 63855 6.35814 6.35814 -153.885 -6.35814 0 0 926341. 3205.33 0.34 0.09 0.15 -1 -1 0.34 0.0291815 0.0262253 160 234 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_101.v common 19.97 vpr 64.33 MiB 0.02 7144 -1 -1 11 0.29 -1 -1 36064 -1 -1 24 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65872 30 32 287 319 1 200 86 17 17 289 -1 unnamed_device 25.4 MiB 1.75 1324 10481 2347 6750 1384 64.3 MiB 0.07 0.00 6.90633 -138.839 -6.90633 6.90633 0.87 0.000285865 0.000226532 0.0197866 0.0161143 -1 -1 -1 -1 36 3589 48 6.79088e+06 323328 648988. 2245.63 14.67 0.195143 0.162832 25390 158009 -1 3188 20 1579 5209 368284 76847 6.03263 6.03263 -134.236 -6.03263 0 0 828058. 2865.25 0.31 0.09 0.14 -1 -1 0.31 0.0227929 0.0203172 141 199 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_102.v common 7.78 vpr 64.46 MiB 0.02 6920 -1 -1 15 0.41 -1 -1 36784 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66012 32 32 297 329 1 220 84 17 17 289 -1 unnamed_device 25.7 MiB 1.90 1295 4842 930 3801 111 64.5 MiB 0.05 0.00 9.14479 -188.086 -9.14479 9.14479 0.89 0.000297107 0.000235438 0.0122805 0.0102452 -1 -1 -1 -1 36 3859 48 6.79088e+06 269440 648988. 2245.63 2.26 0.107873 0.0910096 25390 158009 -1 3068 16 1363 3910 237289 53051 8.1923 8.1923 -179.919 -8.1923 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.0207516 0.018736 141 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_103.v common 20.13 vpr 64.75 MiB 0.02 7068 -1 -1 13 0.39 -1 -1 36424 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66304 32 32 311 343 1 229 86 17 17 289 -1 unnamed_device 25.8 MiB 2.04 1415 13127 3697 7096 2334 64.8 MiB 0.10 0.00 8.52282 -182.05 -8.52282 8.52282 0.90 0.000331373 0.000263747 0.0278224 0.0227513 -1 -1 -1 -1 38 3951 46 6.79088e+06 296384 678818. 2348.85 14.38 0.228564 0.19162 25966 169698 -1 3117 17 1542 4792 262169 57497 7.2647 7.2647 -169.516 -7.2647 0 0 902133. 3121.57 0.36 0.07 0.15 -1 -1 0.36 0.0216808 0.0195211 152 217 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_104.v common 9.47 vpr 63.93 MiB 0.02 6956 -1 -1 12 0.24 -1 -1 35936 -1 -1 18 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65464 29 32 236 268 1 182 79 17 17 289 -1 unnamed_device 25.3 MiB 2.40 1021 11571 3236 6470 1865 63.9 MiB 0.07 0.00 7.64302 -156.881 -7.64302 7.64302 0.91 0.000230241 0.000182807 0.0186163 0.0151541 -1 -1 -1 -1 36 2658 19 6.79088e+06 242496 648988. 2245.63 3.70 0.102885 0.0855416 25390 158009 -1 2232 14 1048 2357 133533 31223 6.54856 6.54856 -147.842 -6.54856 0 0 828058. 2865.25 0.33 0.04 0.14 -1 -1 0.33 0.0141852 0.0128027 109 151 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_105.v common 7.60 vpr 63.60 MiB 0.02 6912 -1 -1 11 0.20 -1 -1 36244 -1 -1 15 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65128 32 32 231 263 1 178 79 17 17 289 -1 unnamed_device 25.0 MiB 2.12 1023 6332 1518 4556 258 63.6 MiB 0.05 0.00 6.49713 -143.113 -6.49713 6.49713 1.07 0.000232172 0.000184285 0.0115617 0.00961829 -1 -1 -1 -1 38 2992 28 6.79088e+06 202080 678818. 2348.85 1.93 0.0676111 0.05665 25966 169698 -1 2513 15 1109 2826 167365 36638 5.74277 5.74277 -145.519 -5.74277 0 0 902133. 3121.57 0.33 0.05 0.17 -1 -1 0.33 0.0139112 0.0125395 99 137 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_106.v common 7.79 vpr 64.39 MiB 0.02 7064 -1 -1 13 0.38 -1 -1 36888 -1 -1 21 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65936 31 32 294 326 1 211 84 17 17 289 -1 unnamed_device 25.7 MiB 1.33 1272 10515 2952 6532 1031 64.4 MiB 0.09 0.00 7.82626 -160.34 -7.82626 7.82626 0.92 0.000317927 0.000253375 0.025828 0.0211253 -1 -1 -1 -1 38 3390 44 6.79088e+06 282912 678818. 2348.85 2.82 0.129084 0.107557 25966 169698 -1 2700 30 1721 5629 516698 198644 7.04627 7.04627 -153.27 -7.04627 0 0 902133. 3121.57 0.32 0.14 0.15 -1 -1 0.32 0.0278954 0.0244798 143 203 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_107.v common 13.13 vpr 63.69 MiB 0.02 6960 -1 -1 10 0.21 -1 -1 35924 -1 -1 18 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65220 29 32 221 253 1 164 79 17 17 289 -1 unnamed_device 25.2 MiB 1.32 776 7346 1622 5412 312 63.7 MiB 0.05 0.00 6.08278 -120.96 -6.08278 6.08278 0.87 0.00023737 0.000191467 0.0126384 0.0104607 -1 -1 -1 -1 32 2582 30 6.79088e+06 242496 586450. 2029.24 8.58 0.128511 0.107991 24814 144142 -1 2021 18 1009 2682 155269 36726 5.48868 5.48868 -119.483 -5.48868 0 0 744469. 2576.02 0.29 0.05 0.13 -1 -1 0.29 0.0150967 0.0133607 98 136 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_108.v common 9.46 vpr 63.67 MiB 0.02 6884 -1 -1 14 0.23 -1 -1 36488 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65196 32 32 240 272 1 189 81 17 17 289 -1 unnamed_device 25.2 MiB 3.96 1029 11806 4319 6167 1320 63.7 MiB 0.08 0.00 7.85187 -165.875 -7.85187 7.85187 0.85 0.000246774 0.000195567 0.0193316 0.0156972 -1 -1 -1 -1 36 3231 39 6.79088e+06 229024 648988. 2245.63 2.21 0.0981315 0.0818628 25390 158009 -1 2501 19 1192 3087 193360 44205 7.08558 7.08558 -165.062 -7.08558 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.0197787 0.017816 109 146 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_109.v common 8.97 vpr 64.25 MiB 0.02 6988 -1 -1 12 0.39 -1 -1 36824 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65788 31 32 292 324 1 206 85 17 17 289 -1 unnamed_device 25.5 MiB 1.39 1254 14965 4982 7682 2301 64.2 MiB 0.10 0.00 7.5794 -159.485 -7.5794 7.5794 0.83 0.000294324 0.000233549 0.028107 0.0227013 -1 -1 -1 -1 38 3166 19 6.79088e+06 296384 678818. 2348.85 3.99 0.141318 0.116174 25966 169698 -1 2665 16 1266 3777 192146 43824 6.49812 6.49812 -148.788 -6.49812 0 0 902133. 3121.57 0.33 0.06 0.13 -1 -1 0.33 0.0202535 0.0183192 143 201 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_110.v common 7.92 vpr 63.70 MiB 0.02 6964 -1 -1 12 0.18 -1 -1 35940 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65232 31 32 229 261 1 179 81 17 17 289 -1 unnamed_device 25.1 MiB 2.78 927 11106 4587 6333 186 63.7 MiB 0.07 0.00 6.75629 -144.04 -6.75629 6.75629 0.89 0.00027566 0.000226218 0.0177906 0.0143979 -1 -1 -1 -1 42 2249 24 6.79088e+06 242496 744469. 2576.02 1.77 0.0763836 0.0638225 26542 182613 -1 1914 17 966 2199 115639 28568 6.06485 6.06485 -135.904 -6.06485 0 0 949917. 3286.91 0.41 0.04 0.16 -1 -1 0.41 0.0148395 0.0133561 102 138 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_111.v common 10.26 vpr 64.16 MiB 0.03 7156 -1 -1 12 0.24 -1 -1 36616 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65700 32 32 282 314 1 199 82 17 17 289 -1 unnamed_device 25.3 MiB 1.89 1110 8982 1987 6597 398 64.2 MiB 0.06 0.00 6.96264 -150.328 -6.96264 6.96264 0.87 0.000275979 0.000218862 0.0174687 0.0143016 -1 -1 -1 -1 46 2944 26 6.79088e+06 242496 828058. 2865.25 4.74 0.136304 0.113217 27406 200422 -1 2475 26 1165 3667 379621 149087 6.07958 6.07958 -143.184 -6.07958 0 0 1.01997e+06 3529.29 0.39 0.12 0.18 -1 -1 0.39 0.0255673 0.0226863 123 188 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_112.v common 10.17 vpr 63.93 MiB 0.02 7096 -1 -1 13 0.34 -1 -1 37104 -1 -1 20 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65464 31 32 269 301 1 204 83 17 17 289 -1 unnamed_device 25.1 MiB 2.41 1229 11063 3115 5831 2117 63.9 MiB 0.08 0.00 7.65152 -163.899 -7.65152 7.65152 0.88 0.000286644 0.000227378 0.0211838 0.0172618 -1 -1 -1 -1 44 2977 17 6.79088e+06 269440 787024. 2723.27 4.12 0.130753 0.108992 27118 194962 -1 2737 16 1222 3403 190256 42930 6.38062 6.38062 -151.422 -6.38062 0 0 997811. 3452.63 0.40 0.06 0.17 -1 -1 0.40 0.0185678 0.0167611 133 178 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_113.v common 15.35 vpr 63.66 MiB 0.02 6896 -1 -1 11 0.20 -1 -1 36300 -1 -1 15 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65188 32 32 237 269 1 189 79 17 17 289 -1 unnamed_device 25.1 MiB 1.61 1023 7684 1959 5380 345 63.7 MiB 0.06 0.00 7.18607 -145.746 -7.18607 7.18607 0.89 0.000239805 0.000191303 0.0147776 0.0120799 -1 -1 -1 -1 36 2960 27 6.79088e+06 202080 648988. 2245.63 10.27 0.14877 0.12439 25390 158009 -1 2625 28 1244 3189 307474 110390 6.12997 6.12997 -144.713 -6.12997 0 0 828058. 2865.25 0.33 0.10 0.15 -1 -1 0.33 0.0215253 0.0189099 106 143 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_114.v common 9.70 vpr 63.77 MiB 0.02 6936 -1 -1 13 0.25 -1 -1 36528 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65304 32 32 259 291 1 189 81 17 17 289 -1 unnamed_device 25.2 MiB 2.27 987 11981 4970 6906 105 63.8 MiB 0.08 0.00 7.69321 -163.299 -7.69321 7.69321 0.89 0.000255104 0.000201846 0.0212925 0.0173378 -1 -1 -1 -1 42 2573 15 6.79088e+06 229024 744469. 2576.02 3.84 0.128204 0.107493 26542 182613 -1 2247 18 1103 2923 164769 39129 6.78027 6.78027 -153.507 -6.78027 0 0 949917. 3286.91 0.36 0.05 0.18 -1 -1 0.36 0.0180983 0.0162729 116 165 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_115.v common 13.08 vpr 64.29 MiB 0.04 7172 -1 -1 13 0.33 -1 -1 36708 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65828 32 32 277 309 1 206 82 17 17 289 -1 unnamed_device 25.4 MiB 1.58 1213 7558 1688 5341 529 64.3 MiB 0.06 0.00 7.72057 -163.412 -7.72057 7.72057 0.95 0.000292224 0.000233448 0.0163011 0.013517 -1 -1 -1 -1 36 3651 36 6.79088e+06 242496 648988. 2245.63 7.87 0.164707 0.138822 25390 158009 -1 3028 19 1685 4527 264966 59200 7.16813 7.16813 -163.507 -7.16813 0 0 828058. 2865.25 0.34 0.09 0.14 -1 -1 0.34 0.0244677 0.0219564 130 183 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_116.v common 16.80 vpr 63.81 MiB 0.02 7116 -1 -1 11 0.24 -1 -1 36376 -1 -1 20 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65344 29 32 245 277 1 177 81 17 17 289 -1 unnamed_device 24.9 MiB 1.99 1035 10931 3004 6059 1868 63.8 MiB 0.07 0.00 6.92473 -133.923 -6.92473 6.92473 0.85 0.00024675 0.000196746 0.0181836 0.0147866 -1 -1 -1 -1 34 2930 48 6.79088e+06 269440 618332. 2139.56 11.61 0.173233 0.144914 25102 150614 -1 2379 16 992 2756 166209 36748 5.89932 5.89932 -128.688 -5.89932 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0160759 0.0144141 114 160 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_117.v common 9.59 vpr 64.48 MiB 0.03 7180 -1 -1 14 0.39 -1 -1 37108 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66032 32 32 316 348 1 233 85 17 17 289 -1 unnamed_device 25.6 MiB 1.60 1325 15151 4537 8677 1937 64.5 MiB 0.11 0.00 9.1052 -188.078 -9.1052 9.1052 0.85 0.000327516 0.000259467 0.0310629 0.0250656 -1 -1 -1 -1 38 3629 32 6.79088e+06 282912 678818. 2348.85 4.35 0.175447 0.145524 25966 169698 -1 3132 21 1713 4610 242960 54540 7.81291 7.81291 -176.319 -7.81291 0 0 902133. 3121.57 0.34 0.08 0.15 -1 -1 0.34 0.0264028 0.0234708 157 222 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_118.v common 10.46 vpr 63.87 MiB 0.03 6852 -1 -1 12 0.20 -1 -1 36212 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65400 31 32 230 262 1 187 81 17 17 289 -1 unnamed_device 25.2 MiB 5.24 992 10931 4042 5653 1236 63.9 MiB 0.07 0.00 6.67023 -140.221 -6.67023 6.67023 0.87 0.000240095 0.000190066 0.0176205 0.0142436 -1 -1 -1 -1 38 2823 50 6.79088e+06 242496 678818. 2348.85 1.93 0.0941195 0.0778901 25966 169698 -1 2246 16 1034 2371 137760 30842 5.95423 5.95423 -133.712 -5.95423 0 0 902133. 3121.57 0.32 0.05 0.15 -1 -1 0.32 0.015258 0.0137571 107 139 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_119.v common 18.26 vpr 64.28 MiB 0.03 6988 -1 -1 13 0.39 -1 -1 36952 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65820 32 32 282 314 1 205 82 17 17 289 -1 unnamed_device 25.7 MiB 2.34 1211 8804 1975 6271 558 64.3 MiB 0.07 0.00 7.80836 -158.945 -7.80836 7.80836 0.86 0.000286454 0.000227162 0.0180226 0.0146919 -1 -1 -1 -1 36 3480 47 6.79088e+06 242496 648988. 2245.63 12.33 0.187069 0.156381 25390 158009 -1 2894 20 1349 3948 244922 54537 7.07777 7.07777 -158.532 -7.07777 0 0 828058. 2865.25 0.31 0.09 0.13 -1 -1 0.31 0.0267034 0.0242162 131 188 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_120.v common 9.78 vpr 63.74 MiB 0.02 6936 -1 -1 13 0.23 -1 -1 36332 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65268 32 32 235 267 1 182 81 17 17 289 -1 unnamed_device 25.1 MiB 2.34 871 7606 1961 4633 1012 63.7 MiB 0.05 0.00 7.30487 -159.471 -7.30487 7.30487 0.89 0.000231664 0.000184198 0.0129143 0.0105877 -1 -1 -1 -1 40 2368 19 6.79088e+06 229024 706193. 2443.58 4.02 0.0945273 0.0789334 26254 175826 -1 2094 18 1064 2679 138826 34515 6.59202 6.59202 -158.811 -6.59202 0 0 926341. 3205.33 0.34 0.05 0.15 -1 -1 0.34 0.0162981 0.0146397 104 141 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_121.v common 14.59 vpr 63.97 MiB 0.02 7172 -1 -1 12 0.37 -1 -1 36532 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65508 32 32 265 297 1 190 83 17 17 289 -1 unnamed_device 25.2 MiB 3.16 1117 10343 2798 5496 2049 64.0 MiB 0.07 0.00 7.24652 -157.134 -7.24652 7.24652 0.88 0.000279405 0.000223085 0.0191115 0.0156467 -1 -1 -1 -1 34 3383 47 6.79088e+06 255968 618332. 2139.56 7.85 0.165389 0.138419 25102 150614 -1 2776 24 1240 3560 378730 128012 6.12222 6.12222 -150.599 -6.12222 0 0 787024. 2723.27 0.29 0.10 0.13 -1 -1 0.29 0.0218674 0.0193349 123 171 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_122.v common 9.02 vpr 64.97 MiB 0.03 7228 -1 -1 15 0.60 -1 -1 36352 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66532 32 32 344 376 1 257 88 17 17 289 -1 unnamed_device 26.0 MiB 2.88 1655 6328 1359 4323 646 65.0 MiB 0.06 0.00 9.54241 -197.152 -9.54241 9.54241 0.86 0.000371868 0.000288426 0.0166399 0.0137905 -1 -1 -1 -1 42 4220 33 6.79088e+06 323328 744469. 2576.02 2.18 0.113297 0.0962442 26542 182613 -1 3712 17 1841 5483 337149 71968 8.3568 8.3568 -186.593 -8.3568 0 0 949917. 3286.91 0.37 0.09 0.16 -1 -1 0.37 0.026501 0.0238736 176 250 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_123.v common 8.28 vpr 63.35 MiB 0.02 6668 -1 -1 10 0.12 -1 -1 35756 -1 -1 11 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64872 30 32 173 205 1 128 73 17 17 289 -1 unnamed_device 24.7 MiB 1.88 622 9801 4088 5460 253 63.4 MiB 0.05 0.00 5.09125 -114.065 -5.09125 5.09125 0.86 0.000162562 0.000127557 0.0130654 0.0106134 -1 -1 -1 -1 30 1981 32 6.79088e+06 148192 556674. 1926.21 3.41 0.0733574 0.061129 24526 138013 -1 1468 12 642 1426 76948 18373 4.64375 4.64375 -110.116 -4.64375 0 0 706193. 2443.58 0.28 0.03 0.12 -1 -1 0.28 0.00899586 0.00817039 63 85 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_124.v common 9.15 vpr 63.50 MiB 0.02 6964 -1 -1 13 0.22 -1 -1 36240 -1 -1 17 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65028 30 32 229 261 1 178 79 17 17 289 -1 unnamed_device 25.1 MiB 1.83 935 11402 3156 7279 967 63.5 MiB 0.07 0.00 7.38119 -155.519 -7.38119 7.38119 0.85 0.00023031 0.000183484 0.0181708 0.0146653 -1 -1 -1 -1 38 2368 22 6.79088e+06 229024 678818. 2348.85 4.03 0.115191 0.0960665 25966 169698 -1 1911 18 1066 2594 120012 29353 6.19723 6.19723 -141.514 -6.19723 0 0 902133. 3121.57 0.34 0.05 0.17 -1 -1 0.34 0.0174518 0.0157495 105 141 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_125.v common 10.40 vpr 64.20 MiB 0.02 6880 -1 -1 12 0.25 -1 -1 36580 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65744 32 32 261 293 1 202 81 17 17 289 -1 unnamed_device 25.4 MiB 2.49 1157 11456 3936 5585 1935 64.2 MiB 0.08 0.00 7.34961 -160.47 -7.34961 7.34961 0.88 0.000256486 0.000201601 0.0201281 0.0162585 -1 -1 -1 -1 40 3201 25 6.79088e+06 229024 706193. 2443.58 4.42 0.123606 0.102552 26254 175826 -1 2722 19 1455 3808 228132 51913 6.29447 6.29447 -151.228 -6.29447 0 0 926341. 3205.33 0.34 0.07 0.16 -1 -1 0.34 0.0183439 0.0164277 115 167 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_126.v common 7.58 vpr 63.24 MiB 0.02 6940 -1 -1 9 0.16 -1 -1 36332 -1 -1 20 25 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64760 25 32 184 216 1 139 77 17 17 289 -1 unnamed_device 24.7 MiB 1.33 733 10672 3175 6267 1230 63.2 MiB 0.06 0.00 5.43484 -102.938 -5.43484 5.43484 0.95 0.000186931 0.000148601 0.0146088 0.0118823 -1 -1 -1 -1 30 2140 26 6.79088e+06 269440 556674. 1926.21 3.07 0.0799797 0.06653 24526 138013 -1 1723 18 782 1952 101227 23758 4.79254 4.79254 -102.191 -4.79254 0 0 706193. 2443.58 0.29 0.04 0.12 -1 -1 0.29 0.0125758 0.0112728 87 111 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_127.v common 9.48 vpr 64.29 MiB 0.02 7120 -1 -1 12 0.32 -1 -1 36596 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65828 32 32 302 334 1 235 85 17 17 289 -1 unnamed_device 25.6 MiB 2.60 1367 10873 3115 6058 1700 64.3 MiB 0.08 0.00 7.83392 -167.412 -7.83392 7.83392 0.92 0.000293309 0.000232569 0.0217727 0.0176482 -1 -1 -1 -1 44 3613 38 6.79088e+06 282912 787024. 2723.27 3.17 0.117483 0.0980378 27118 194962 -1 3072 30 1552 4180 498418 215381 6.79572 6.79572 -159.744 -6.79572 0 0 997811. 3452.63 0.38 0.15 0.17 -1 -1 0.38 0.0285438 0.0250913 144 208 -1 -1 -1 -1 -fixed_k6_frac_N8_22nm.xml mult_128.v common 7.05 vpr 64.38 MiB 0.03 7096 -1 -1 14 0.40 -1 -1 36592 -1 -1 23 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65924 31 32 295 327 1 216 86 17 17 289 -1 unnamed_device 25.6 MiB 1.28 1276 6701 1514 4692 495 64.4 MiB 0.07 0.00 9.054 -184.189 -9.054 9.054 0.89 0.00037147 0.000283442 0.0162304 0.0134758 -1 -1 -1 -1 36 3765 22 6.79088e+06 309856 648988. 2245.63 2.13 0.106707 0.0897258 25390 158009 -1 3029 17 1388 3994 239981 54341 7.94165 7.94165 -175.137 -7.94165 0 0 828058. 2865.25 0.32 0.07 0.14 -1 -1 0.32 0.0205383 0.0184687 151 204 -1 -1 -1 -1 -fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 6.46 vpr 64.88 MiB 0.02 7248 -1 -1 1 0.04 -1 -1 34360 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66436 32 32 438 350 1 202 101 17 17 289 -1 unnamed_device 26.0 MiB 1.84 896 11381 2877 7599 905 64.9 MiB 0.10 0.00 4.28691 -143.363 -4.28691 4.28691 0.96 0.000250878 0.000200411 0.0150621 0.0121694 -1 -1 -1 -1 30 2726 26 6.87369e+06 517032 556674. 1926.21 1.32 0.0661368 0.054885 25186 138497 -1 1965 20 1586 2596 135571 34843 3.8594 3.8594 -142.45 -3.8594 0 0 706193. 2443.58 0.31 0.05 0.12 -1 -1 0.31 0.0148762 0.0128944 155 96 32 32 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 8.69 vpr 64.35 MiB 0.02 7212 -1 -1 1 0.04 -1 -1 34292 -1 -1 23 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65892 30 32 409 330 1 192 85 17 17 289 -1 unnamed_device 25.6 MiB 4.62 885 13477 4295 6291 2891 64.3 MiB 0.10 0.00 4.22285 -135.326 -4.22285 4.22285 0.84 0.000218708 0.000172176 0.0178708 0.0143315 -1 -1 -1 -1 32 2609 23 6.87369e+06 321398 586450. 2029.24 1.03 0.0550687 0.0451202 25474 144626 -1 2007 22 1697 2862 191256 46265 4.077 4.077 -139.587 -4.077 0 0 744469. 2576.02 0.29 0.06 0.12 -1 -1 0.29 0.014333 0.0122687 141 91 30 30 89 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 7.49 vpr 64.34 MiB 0.03 7068 -1 -1 1 0.04 -1 -1 34176 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65888 32 32 387 309 1 191 100 17 17 289 -1 unnamed_device 25.7 MiB 2.70 948 18428 5133 10477 2818 64.3 MiB 0.13 0.00 3.72926 -129.095 -3.72926 3.72926 1.07 0.000217639 0.000170653 0.0198849 0.0156659 -1 -1 -1 -1 32 2634 23 6.87369e+06 503058 586450. 2029.24 1.44 0.0668081 0.0545706 25474 144626 -1 2058 20 1372 2114 155407 36069 3.3865 3.3865 -128.935 -3.3865 0 0 744469. 2576.02 0.30 0.05 0.13 -1 -1 0.30 0.0129472 0.0111479 145 65 54 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 6.77 vpr 64.50 MiB 0.03 7132 -1 -1 1 0.04 -1 -1 34000 -1 -1 23 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66048 29 32 343 267 1 184 84 17 17 289 -1 unnamed_device 25.7 MiB 2.03 926 15090 5282 7268 2540 64.5 MiB 0.11 0.00 4.1666 -130.173 -4.1666 4.1666 0.87 0.000215283 0.000172103 0.0184427 0.0149083 -1 -1 -1 -1 34 2372 21 6.87369e+06 321398 618332. 2139.56 1.52 0.0738263 0.0606816 25762 151098 -1 1872 23 1632 2904 180878 43717 3.7121 3.7121 -135.221 -3.7121 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0144767 0.012512 136 34 87 29 29 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 7.97 vpr 64.44 MiB 0.02 7084 -1 -1 1 0.04 -1 -1 33904 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65984 32 32 376 288 1 202 85 17 17 289 -1 unnamed_device 25.7 MiB 3.13 1030 14965 5016 7973 1976 64.4 MiB 0.12 0.00 4.2175 -149.17 -4.2175 4.2175 0.89 0.000216251 0.000170522 0.020131 0.0162468 -1 -1 -1 -1 34 2776 23 6.87369e+06 293451 618332. 2139.56 1.59 0.0797921 0.065399 25762 151098 -1 2320 22 1996 3715 271009 62030 3.7421 3.7421 -148.287 -3.7421 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0148778 0.0128513 147 34 96 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 6.13 vpr 64.72 MiB 0.02 7160 -1 -1 1 0.04 -1 -1 34060 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66272 32 32 402 316 1 200 103 17 17 289 -1 unnamed_device 25.6 MiB 1.79 1073 20588 6520 11266 2802 64.7 MiB 0.15 0.00 3.56907 -125.93 -3.56907 3.56907 0.86 0.000266383 0.000199597 0.022526 0.0178405 -1 -1 -1 -1 28 2606 21 6.87369e+06 544980 531479. 1839.03 1.17 0.0669108 0.0554456 24610 126494 -1 2286 19 1552 2562 176871 41701 3.22956 3.22956 -125.941 -3.22956 0 0 648988. 2245.63 0.25 0.05 0.11 -1 -1 0.25 0.0129181 0.0111896 154 64 63 32 63 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 6.69 vpr 64.23 MiB 0.02 7160 -1 -1 1 0.03 -1 -1 34400 -1 -1 20 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65768 27 32 269 226 1 146 79 17 17 289 -1 unnamed_device 25.4 MiB 2.77 742 12754 4384 6452 1918 64.2 MiB 0.08 0.00 3.56305 -106.515 -3.56305 3.56305 0.91 0.000166959 0.000131963 0.014263 0.0114735 -1 -1 -1 -1 26 1931 23 6.87369e+06 279477 503264. 1741.40 0.84 0.0418199 0.0343445 24322 120374 -1 1682 19 1203 1964 141451 33100 3.04626 3.04626 -110.831 -3.04626 0 0 618332. 2139.56 0.25 0.04 0.11 -1 -1 0.25 0.00968331 0.00838081 102 34 54 27 27 27 -fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 5.86 vpr 64.43 MiB 0.02 7140 -1 -1 1 0.03 -1 -1 33608 -1 -1 35 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65972 31 32 317 242 1 187 98 17 17 289 -1 unnamed_device 25.5 MiB 1.39 991 14273 4115 7548 2610 64.4 MiB 0.11 0.00 3.50391 -113.72 -3.50391 3.50391 0.86 0.000203984 0.000162508 0.0157228 0.0125822 -1 -1 -1 -1 34 2356 19 6.87369e+06 489084 618332. 2139.56 1.38 0.0668977 0.0551262 25762 151098 -1 1957 17 1091 1774 101597 26065 2.88196 2.88196 -111.761 -2.88196 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.0110512 0.0096781 141 4 115 31 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 7.69 vpr 64.41 MiB 0.02 7208 -1 -1 1 0.03 -1 -1 34108 -1 -1 16 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65952 31 32 338 292 1 154 79 17 17 289 -1 unnamed_device 25.6 MiB 3.69 771 7177 1785 4818 574 64.4 MiB 0.05 0.00 3.10152 -107.288 -3.10152 3.10152 0.86 0.000189145 0.000148899 0.00951618 0.00765622 -1 -1 -1 -1 32 1996 19 6.87369e+06 223581 586450. 2029.24 0.91 0.040033 0.0330369 25474 144626 -1 1668 19 935 1514 108545 25396 2.80496 2.80496 -113.383 -2.80496 0 0 744469. 2576.02 0.39 0.05 0.13 -1 -1 0.39 0.0166637 0.014665 103 85 0 0 84 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 10.04 vpr 64.08 MiB 0.02 6988 -1 -1 1 0.04 -1 -1 34100 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65620 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 25.4 MiB 5.24 713 9196 2055 5947 1194 64.1 MiB 0.06 0.00 3.55695 -123.921 -3.55695 3.55695 0.85 0.000191026 0.000151492 0.0115671 0.00933384 -1 -1 -1 -1 34 2207 22 6.87369e+06 223581 618332. 2139.56 1.61 0.063279 0.05216 25762 151098 -1 1688 19 1318 2120 134152 33731 3.02616 3.02616 -121.806 -3.02616 0 0 787024. 2723.27 0.37 0.05 0.13 -1 -1 0.37 0.0113773 0.00989123 114 34 64 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 8.48 vpr 64.37 MiB 0.02 6996 -1 -1 1 0.04 -1 -1 33704 -1 -1 18 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65916 30 32 325 273 1 160 80 17 17 289 -1 unnamed_device 25.5 MiB 4.33 789 13496 3921 8098 1477 64.4 MiB 0.09 0.00 3.56085 -119.59 -3.56085 3.56085 0.86 0.00023791 0.00019608 0.0168337 0.0134475 -1 -1 -1 -1 32 1976 23 6.87369e+06 251529 586450. 2029.24 0.98 0.0524151 0.0433143 25474 144626 -1 1618 19 1150 1778 115622 27614 2.87586 2.87586 -117.724 -2.87586 0 0 744469. 2576.02 0.36 0.04 0.16 -1 -1 0.36 0.0115302 0.0100066 109 63 30 30 60 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 6.45 vpr 64.08 MiB 0.02 7124 -1 -1 1 0.04 -1 -1 33872 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65620 32 32 331 280 1 161 96 17 17 289 -1 unnamed_device 25.4 MiB 2.30 835 11484 3090 7822 572 64.1 MiB 0.08 0.00 3.48301 -117.758 -3.48301 3.48301 0.87 0.000192354 0.000148892 0.011883 0.00937307 -1 -1 -1 -1 28 2344 18 6.87369e+06 447163 531479. 1839.03 1.12 0.0418482 0.0342678 24610 126494 -1 2084 16 1073 1798 146971 33318 3.14356 3.14356 -126.841 -3.14356 0 0 648988. 2245.63 0.24 0.04 0.11 -1 -1 0.24 0.00981297 0.00852682 116 65 25 25 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 10.66 vpr 64.51 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 33968 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66060 32 32 386 305 1 195 99 17 17 289 -1 unnamed_device 25.6 MiB 5.97 957 17427 5091 10321 2015 64.5 MiB 0.13 0.00 3.63579 -124.841 -3.63579 3.63579 0.85 0.000229092 0.000177871 0.0192808 0.0153295 -1 -1 -1 -1 34 2485 23 6.87369e+06 489084 618332. 2139.56 1.48 0.0794162 0.0649104 25762 151098 -1 2073 20 1683 3047 190939 46820 3.13256 3.13256 -124.864 -3.13256 0 0 787024. 2723.27 0.30 0.06 0.16 -1 -1 0.30 0.0133599 0.0115453 147 58 64 32 57 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 7.57 vpr 64.93 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 34304 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66492 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 26.0 MiB 3.29 1042 21016 6526 11897 2593 64.9 MiB 0.15 0.00 4.38115 -150.928 -4.38115 4.38115 0.86 0.000227112 0.000179216 0.0237155 0.0190029 -1 -1 -1 -1 32 2736 23 6.87369e+06 517032 586450. 2029.24 1.01 0.066845 0.0550706 25474 144626 -1 2264 21 1845 2926 190994 45489 3.7891 3.7891 -147.966 -3.7891 0 0 744469. 2576.02 0.29 0.06 0.13 -1 -1 0.29 0.0148779 0.0128865 155 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 6.90 vpr 64.20 MiB 0.02 6924 -1 -1 1 0.03 -1 -1 34212 -1 -1 19 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65744 29 32 272 228 1 148 80 17 17 289 -1 unnamed_device 25.4 MiB 2.95 801 11776 3453 6762 1561 64.2 MiB 0.07 0.00 3.43775 -109.554 -3.43775 3.43775 0.89 0.000162292 0.000127804 0.0127527 0.0102608 -1 -1 -1 -1 32 1877 23 6.87369e+06 265503 586450. 2029.24 0.93 0.0418293 0.03461 25474 144626 -1 1647 23 1064 1825 119258 27812 2.99626 2.99626 -112.835 -2.99626 0 0 744469. 2576.02 0.29 0.04 0.13 -1 -1 0.29 0.012181 0.0105405 102 29 58 29 24 24 -fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 9.77 vpr 64.37 MiB 0.02 7148 -1 -1 1 0.05 -1 -1 33800 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65916 32 32 401 315 1 200 85 17 17 289 -1 unnamed_device 25.6 MiB 4.31 1029 14221 5946 7079 1196 64.4 MiB 0.10 0.00 3.65105 -129.633 -3.65105 3.65105 0.87 0.000224714 0.000177642 0.0207869 0.0167666 -1 -1 -1 -1 36 3065 50 6.87369e+06 293451 648988. 2245.63 2.19 0.0958683 0.0786595 26050 158493 -1 2297 19 1926 3226 237152 58676 3.45816 3.45816 -139.806 -3.45816 0 0 828058. 2865.25 0.33 0.07 0.16 -1 -1 0.33 0.0159029 0.0139562 145 63 64 32 62 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 10.02 vpr 64.67 MiB 0.02 7264 -1 -1 1 0.04 -1 -1 34080 -1 -1 38 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66224 32 32 383 303 1 193 102 17 17 289 -1 unnamed_device 26.0 MiB 5.90 1063 17238 4632 10836 1770 64.7 MiB 0.13 0.00 3.56385 -127.172 -3.56385 3.56385 0.87 0.000274951 0.000225835 0.0191873 0.0151481 -1 -1 -1 -1 28 2521 23 6.87369e+06 531006 531479. 1839.03 1.08 0.0644738 0.0529383 24610 126494 -1 2086 23 1637 2445 168479 38269 2.88516 2.88516 -121.774 -2.88516 0 0 648988. 2245.63 0.27 0.06 0.11 -1 -1 0.27 0.0148605 0.0127835 148 57 64 32 56 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 7.43 vpr 64.42 MiB 0.02 7108 -1 -1 1 0.05 -1 -1 33740 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65968 32 32 339 284 1 165 93 17 17 289 -1 unnamed_device 25.6 MiB 3.40 827 13113 3535 8341 1237 64.4 MiB 0.09 0.00 2.94421 -107.149 -2.94421 2.94421 0.86 0.000192684 0.000151673 0.0135848 0.0107864 -1 -1 -1 -1 30 2065 20 6.87369e+06 405241 556674. 1926.21 0.91 0.0465304 0.0383181 25186 138497 -1 1683 18 1104 1763 100596 24142 1.98452 1.98452 -96.2727 -1.98452 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0112034 0.00973339 117 65 29 29 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 5.40 vpr 63.50 MiB 0.02 7008 -1 -1 1 0.03 -1 -1 33484 -1 -1 14 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65028 30 32 226 208 1 119 76 17 17 289 -1 unnamed_device 24.9 MiB 1.16 546 8876 3650 4795 431 63.5 MiB 0.04 0.00 2.76391 -90.9223 -2.76391 2.76391 0.85 0.000149517 0.000118454 0.0093884 0.00756149 -1 -1 -1 -1 34 1389 34 6.87369e+06 195634 618332. 2139.56 1.32 0.0494588 0.040521 25762 151098 -1 1237 18 775 1126 94003 22707 2.16742 2.16742 -85.9513 -2.16742 0 0 787024. 2723.27 0.30 0.03 0.13 -1 -1 0.30 0.00798634 0.00686954 73 34 24 24 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 6.13 vpr 64.34 MiB 0.02 7244 -1 -1 1 0.03 -1 -1 33992 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65880 31 32 335 280 1 165 80 17 17 289 -1 unnamed_device 25.6 MiB 2.18 892 12636 4354 6390 1892 64.3 MiB 0.08 0.00 4.18343 -130.726 -4.18343 4.18343 0.87 0.000196463 0.000154778 0.0160234 0.0128767 -1 -1 -1 -1 32 2082 27 6.87369e+06 237555 586450. 2029.24 0.93 0.0505984 0.0416833 25474 144626 -1 1718 17 933 1398 100253 22385 3.1469 3.1469 -122.768 -3.1469 0 0 744469. 2576.02 0.29 0.04 0.12 -1 -1 0.29 0.0114175 0.00998097 113 64 31 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 6.38 vpr 64.48 MiB 0.02 7224 -1 -1 1 0.04 -1 -1 33824 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66028 32 32 366 283 1 197 100 17 17 289 -1 unnamed_device 25.7 MiB 1.51 890 19124 5701 10377 3046 64.5 MiB 0.13 0.00 4.28899 -141.244 -4.28899 4.28899 0.91 0.000223628 0.000177339 0.0199246 0.0159925 -1 -1 -1 -1 34 2347 23 6.87369e+06 503058 618332. 2139.56 1.47 0.0808609 0.0668636 25762 151098 -1 1949 22 1570 2350 160865 38847 3.9097 3.9097 -138.51 -3.9097 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0143175 0.0123756 150 34 91 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 8.58 vpr 64.92 MiB 0.02 7316 -1 -1 1 0.04 -1 -1 34392 -1 -1 40 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66480 32 32 460 375 1 199 104 17 17 289 -1 unnamed_device 25.8 MiB 4.07 954 19380 5897 10246 3237 64.9 MiB 0.14 0.00 3.83561 -129.445 -3.83561 3.83561 0.86 0.000243237 0.000192058 0.021942 0.0173263 -1 -1 -1 -1 28 3372 45 6.87369e+06 558954 531479. 1839.03 1.41 0.0794654 0.0647061 24610 126494 -1 2386 26 1719 2678 233407 60163 3.7594 3.7594 -137.654 -3.7594 0 0 648988. 2245.63 0.26 0.07 0.11 -1 -1 0.26 0.0177527 0.0150749 154 124 0 0 125 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 6.65 vpr 63.64 MiB 0.02 6968 -1 -1 1 0.03 -1 -1 33912 -1 -1 16 26 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65172 26 32 198 186 1 109 74 17 17 289 -1 unnamed_device 25.0 MiB 2.80 576 9219 3764 4871 584 63.6 MiB 0.05 0.00 2.74191 -79.4562 -2.74191 2.74191 0.89 0.000123673 9.669e-05 0.00855841 0.00686896 -1 -1 -1 -1 26 1399 19 6.87369e+06 223581 503264. 1741.40 0.88 0.0286588 0.0236002 24322 120374 -1 1243 20 678 1081 88443 20378 2.04682 2.04682 -76.8655 -2.04682 0 0 618332. 2139.56 0.25 0.03 0.10 -1 -1 0.25 0.00796185 0.00685602 69 30 26 26 22 22 -fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 8.72 vpr 64.22 MiB 0.02 6992 -1 -1 1 0.04 -1 -1 33904 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65764 32 32 333 251 1 196 85 17 17 289 -1 unnamed_device 25.5 MiB 2.18 1044 9943 2672 6704 567 64.2 MiB 0.09 0.00 4.1666 -141.968 -4.1666 4.1666 0.93 0.000200568 0.000159748 0.0129357 0.0105142 -1 -1 -1 -1 28 3040 27 6.87369e+06 293451 531479. 1839.03 3.37 0.0933719 0.0769533 24610 126494 -1 2422 24 2035 3432 351989 111696 4.4029 4.4029 -160.094 -4.4029 0 0 648988. 2245.63 0.28 0.09 0.11 -1 -1 0.28 0.0145064 0.0124384 141 3 122 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 4.66 vpr 63.40 MiB 0.02 6924 -1 -1 1 0.03 -1 -1 33868 -1 -1 12 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64924 32 32 199 182 1 122 76 17 17 289 -1 unnamed_device 24.9 MiB 0.83 510 12556 3038 8879 639 63.4 MiB 0.06 0.00 2.37678 -85.3657 -2.37678 2.37678 0.88 0.000136248 0.000106942 0.0120162 0.00963927 -1 -1 -1 -1 28 1482 24 6.87369e+06 167686 531479. 1839.03 0.90 0.0369893 0.0307217 24610 126494 -1 1247 16 695 882 86511 28050 1.88382 1.88382 -87.2057 -1.88382 0 0 648988. 2245.63 0.27 0.03 0.11 -1 -1 0.27 0.00729461 0.00639758 71 3 53 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 5.52 vpr 64.89 MiB 0.02 7196 -1 -1 1 0.04 -1 -1 34288 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66448 32 32 376 288 1 202 100 17 17 289 -1 unnamed_device 26.0 MiB 1.21 1077 18660 4798 12102 1760 64.9 MiB 0.13 0.00 4.29809 -149.349 -4.29809 4.29809 0.89 0.000215605 0.000168808 0.0198175 0.0159125 -1 -1 -1 -1 32 2995 31 6.87369e+06 503058 586450. 2029.24 1.04 0.0622672 0.0514239 25474 144626 -1 2431 21 1840 2718 195257 46178 3.9299 3.9299 -152.07 -3.9299 0 0 744469. 2576.02 0.29 0.06 0.13 -1 -1 0.29 0.013973 0.0121396 155 34 96 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 5.72 vpr 64.41 MiB 0.02 7124 -1 -1 1 0.04 -1 -1 34208 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65956 32 32 337 253 1 198 100 17 17 289 -1 unnamed_device 25.7 MiB 1.45 1035 13556 3460 8646 1450 64.4 MiB 0.10 0.00 3.55285 -121.924 -3.55285 3.55285 0.87 0.000192928 0.000153396 0.0134855 0.010933 -1 -1 -1 -1 32 2531 22 6.87369e+06 503058 586450. 2029.24 0.96 0.0498147 0.041526 25474 144626 -1 2066 19 1343 2147 124767 29885 2.91496 2.91496 -117.378 -2.91496 0 0 744469. 2576.02 0.31 0.05 0.14 -1 -1 0.31 0.0134612 0.0117218 151 3 124 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 5.99 vpr 64.74 MiB 0.03 7248 -1 -1 1 0.04 -1 -1 34100 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66292 32 32 407 319 1 202 103 17 17 289 -1 unnamed_device 25.8 MiB 1.58 1071 14081 3700 9458 923 64.7 MiB 0.11 0.00 4.2699 -147.959 -4.2699 4.2699 0.89 0.000225162 0.00018045 0.0160923 0.0130197 -1 -1 -1 -1 30 2752 24 6.87369e+06 544980 556674. 1926.21 1.06 0.0600773 0.05 25186 138497 -1 2227 21 1759 3020 182508 42543 3.6448 3.6448 -142.825 -3.6448 0 0 706193. 2443.58 0.30 0.07 0.14 -1 -1 0.30 0.0163451 0.0140909 156 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 7.91 vpr 64.12 MiB 0.02 6964 -1 -1 1 0.04 -1 -1 33980 -1 -1 15 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65656 32 32 294 246 1 157 79 17 17 289 -1 unnamed_device 25.2 MiB 1.88 741 7346 1842 5043 461 64.1 MiB 0.05 0.00 3.07332 -108.287 -3.07332 3.07332 0.86 0.000193864 0.000153757 0.00925545 0.00749099 -1 -1 -1 -1 34 1957 21 6.87369e+06 209608 618332. 2139.56 2.99 0.0708302 0.0583818 25762 151098 -1 1678 17 971 1531 101792 24428 3.09956 3.09956 -115.424 -3.09956 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.00989987 0.00865751 104 34 54 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 5.87 vpr 64.01 MiB 0.02 7096 -1 -1 1 0.03 -1 -1 33832 -1 -1 18 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65548 30 32 296 244 1 160 80 17 17 289 -1 unnamed_device 25.1 MiB 1.74 868 11260 4122 5381 1757 64.0 MiB 0.07 0.00 3.54295 -119.425 -3.54295 3.54295 0.88 0.000178976 0.000140231 0.0138381 0.011169 -1 -1 -1 -1 32 2068 28 6.87369e+06 251529 586450. 2029.24 0.98 0.049291 0.040988 25474 144626 -1 1652 18 1121 1708 114351 26694 3.07126 3.07126 -119.046 -3.07126 0 0 744469. 2576.02 0.30 0.04 0.12 -1 -1 0.30 0.0109671 0.00960293 109 34 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 7.28 vpr 63.79 MiB 0.02 7016 -1 -1 1 0.03 -1 -1 33800 -1 -1 19 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65320 28 32 278 232 1 150 79 17 17 289 -1 unnamed_device 25.2 MiB 1.94 741 13092 5164 5931 1997 63.8 MiB 0.09 0.00 3.48175 -108.061 -3.48175 3.48175 0.88 0.000173668 0.000135376 0.015132 0.0120887 -1 -1 -1 -1 30 1998 21 6.87369e+06 265503 556674. 1926.21 2.24 0.0679161 0.0556931 25186 138497 -1 1545 23 1160 2046 118072 28035 2.87996 2.87996 -105.441 -2.87996 0 0 706193. 2443.58 0.30 0.05 0.12 -1 -1 0.30 0.0117507 0.0101572 104 34 56 28 28 28 -fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 7.01 vpr 63.96 MiB 0.02 6932 -1 -1 1 0.04 -1 -1 33812 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65496 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 25.3 MiB 2.21 858 14700 5473 6996 2231 64.0 MiB 0.10 0.00 3.58201 -129.055 -3.58201 3.58201 0.88 0.000181051 0.000143226 0.0175132 0.0141469 -1 -1 -1 -1 34 2238 20 6.87369e+06 223581 618332. 2139.56 1.53 0.0660874 0.0545536 25762 151098 -1 1914 20 1374 2369 181416 40548 2.87266 2.87266 -122.88 -2.87266 0 0 787024. 2723.27 0.32 0.06 0.13 -1 -1 0.32 0.0125902 0.0110992 114 3 96 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 5.20 vpr 64.21 MiB 0.02 6988 -1 -1 1 0.03 -1 -1 34244 -1 -1 32 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65752 31 32 303 249 1 163 95 17 17 289 -1 unnamed_device 25.4 MiB 1.18 909 12839 3118 8295 1426 64.2 MiB 0.09 0.00 3.52165 -121.124 -3.52165 3.52165 0.93 0.00017997 0.000142234 0.0129054 0.0102669 -1 -1 -1 -1 32 2082 23 6.87369e+06 447163 586450. 2029.24 0.95 0.045036 0.0372549 25474 144626 -1 1803 20 1192 1982 122985 29555 2.84266 2.84266 -116.309 -2.84266 0 0 744469. 2576.02 0.29 0.04 0.12 -1 -1 0.29 0.0105759 0.00919008 119 34 61 31 31 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 7.85 vpr 64.37 MiB 0.02 7084 -1 -1 1 0.03 -1 -1 33916 -1 -1 32 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65916 29 32 312 264 1 155 93 17 17 289 -1 unnamed_device 25.5 MiB 3.55 732 15003 3853 9045 2105 64.4 MiB 0.17 0.00 2.93321 -94.3568 -2.93321 2.93321 0.96 0.000324223 0.000257247 0.0255734 0.0205972 -1 -1 -1 -1 26 1966 32 6.87369e+06 447163 503264. 1741.40 1.08 0.0615187 0.0506146 24322 120374 -1 1700 22 1351 2349 170177 40274 2.16582 2.16582 -92.3895 -2.16582 0 0 618332. 2139.56 0.25 0.05 0.10 -1 -1 0.25 0.0116599 0.00999994 113 61 29 29 57 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 10.15 vpr 64.92 MiB 0.03 7404 -1 -1 1 0.04 -1 -1 34024 -1 -1 44 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66480 32 32 423 310 1 231 108 17 17 289 -1 unnamed_device 26.0 MiB 5.16 1323 20411 5678 12347 2386 64.9 MiB 0.20 0.00 4.2699 -148.689 -4.2699 4.2699 0.88 0.000292913 0.00023715 0.0238158 0.0189241 -1 -1 -1 -1 28 3978 41 6.87369e+06 614849 531479. 1839.03 1.71 0.0769898 0.0632912 24610 126494 -1 3018 24 2455 4319 348482 82441 4.099 4.099 -158.528 -4.099 0 0 648988. 2245.63 0.26 0.09 0.11 -1 -1 0.26 0.0175572 0.0151506 184 29 128 32 27 27 -fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 8.19 vpr 64.75 MiB 0.02 7064 -1 -1 1 0.04 -1 -1 34124 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66304 32 32 403 317 1 200 103 17 17 289 -1 unnamed_device 26.0 MiB 3.98 1053 18419 4965 10740 2714 64.8 MiB 0.13 0.00 3.69025 -132.499 -3.69025 3.69025 0.87 0.000236148 0.000186453 0.0200595 0.0159935 -1 -1 -1 -1 32 2477 24 6.87369e+06 544980 586450. 2029.24 0.98 0.0615048 0.0505014 25474 144626 -1 2015 22 1749 2539 155694 36025 2.98996 2.98996 -128.336 -2.98996 0 0 744469. 2576.02 0.32 0.05 0.14 -1 -1 0.32 0.0156752 0.0135608 154 65 62 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 8.92 vpr 64.12 MiB 0.02 7092 -1 -1 1 0.03 -1 -1 34300 -1 -1 31 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65664 31 32 353 302 1 160 94 17 17 289 -1 unnamed_device 25.4 MiB 4.43 873 17134 5030 9795 2309 64.1 MiB 0.11 0.00 3.56907 -120.638 -3.56907 3.56907 0.86 0.000208751 0.000167565 0.0178059 0.0141942 -1 -1 -1 -1 34 1968 31 6.87369e+06 433189 618332. 2139.56 1.46 0.0751909 0.0614802 25762 151098 -1 1642 20 1013 1710 106102 25640 2.78966 2.78966 -109.584 -2.78966 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0119099 0.0102246 116 90 0 0 89 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 7.98 vpr 64.72 MiB 0.02 7152 -1 -1 1 0.04 -1 -1 34248 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66276 31 32 391 309 1 195 85 17 17 289 -1 unnamed_device 25.7 MiB 3.43 1012 8455 2161 5478 816 64.7 MiB 0.08 0.00 3.59121 -120.623 -3.59121 3.59121 0.87 0.000221711 0.000175621 0.0124583 0.0101323 -1 -1 -1 -1 34 2665 22 6.87369e+06 307425 618332. 2139.56 1.45 0.073006 0.0600924 25762 151098 -1 2200 20 1503 2496 170936 40225 3.22206 3.22206 -122.776 -3.22206 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0144357 0.012504 141 64 60 30 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 11.77 vpr 64.83 MiB 0.02 7456 -1 -1 1 0.05 -1 -1 34288 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66384 31 32 455 371 1 198 85 17 17 289 -1 unnamed_device 25.9 MiB 6.98 1069 16825 6934 7974 1917 64.8 MiB 0.13 0.00 4.97069 -151.821 -4.97069 4.97069 0.91 0.000251373 0.000200487 0.0246349 0.0196365 -1 -1 -1 -1 34 2661 22 6.87369e+06 307425 618332. 2139.56 1.55 0.089471 0.0723646 25762 151098 -1 2217 20 1282 2144 172853 37792 4.33895 4.33895 -149.653 -4.33895 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.015019 0.0129591 145 124 0 0 124 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 7.90 vpr 64.73 MiB 0.02 7276 -1 -1 1 0.03 -1 -1 33964 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66284 31 32 413 333 1 195 85 17 17 289 -1 unnamed_device 25.9 MiB 3.32 992 12547 3655 8033 859 64.7 MiB 0.10 0.00 4.75154 -140.363 -4.75154 4.75154 0.85 0.000226935 0.000179726 0.0175222 0.0141676 -1 -1 -1 -1 34 2399 24 6.87369e+06 307425 618332. 2139.56 1.45 0.0784315 0.0641265 25762 151098 -1 2030 23 1376 2273 154202 36372 3.7093 3.7093 -138.372 -3.7093 0 0 787024. 2723.27 0.32 0.05 0.13 -1 -1 0.32 0.0166457 0.014439 141 90 31 31 89 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 8.02 vpr 64.48 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34040 -1 -1 36 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66028 31 32 391 309 1 195 99 17 17 289 -1 unnamed_device 25.7 MiB 3.37 1065 19023 5641 10657 2725 64.5 MiB 0.14 0.00 3.57405 -123.706 -3.57405 3.57405 0.90 0.000242943 0.000183485 0.0209234 0.0164715 -1 -1 -1 -1 34 2347 23 6.87369e+06 503058 618332. 2139.56 1.46 0.0807165 0.0656769 25762 151098 -1 1963 20 1564 2747 169520 40321 2.77566 2.77566 -115.861 -2.77566 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0136101 0.0117076 148 64 60 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 6.77 vpr 64.87 MiB 0.03 7196 -1 -1 1 0.04 -1 -1 34300 -1 -1 38 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66424 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 25.8 MiB 2.45 1155 19618 5472 12434 1712 64.9 MiB 0.15 0.00 4.1996 -146.035 -4.1996 4.1996 0.87 0.000223865 0.000176571 0.0213021 0.0169841 -1 -1 -1 -1 30 2958 23 6.87369e+06 531006 556674. 1926.21 1.06 0.0591899 0.048507 25186 138497 -1 2309 19 1570 2428 163554 36586 3.9064 3.9064 -153.734 -3.9064 0 0 706193. 2443.58 0.30 0.05 0.12 -1 -1 0.30 0.013815 0.01203 156 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 8.61 vpr 65.12 MiB 0.03 7296 -1 -1 1 0.04 -1 -1 34172 -1 -1 42 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66680 32 32 496 380 1 234 106 17 17 289 -1 unnamed_device 26.1 MiB 4.22 1304 13356 3354 8810 1192 65.1 MiB 0.15 0.00 4.3641 -151.21 -4.3641 4.3641 0.89 0.000320934 0.000261183 0.0176739 0.0142054 -1 -1 -1 -1 32 3355 32 6.87369e+06 586901 586450. 2029.24 1.11 0.0695234 0.057181 25474 144626 -1 2637 22 2060 3418 206931 50181 3.703 3.703 -145.911 -3.703 0 0 744469. 2576.02 0.29 0.07 0.13 -1 -1 0.29 0.0186708 0.016014 186 96 62 32 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 6.97 vpr 64.25 MiB 0.02 6968 -1 -1 1 0.03 -1 -1 34048 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65792 31 32 305 250 1 164 80 17 17 289 -1 unnamed_device 25.5 MiB 2.93 912 12636 4358 6898 1380 64.2 MiB 0.09 0.00 3.51475 -123.276 -3.51475 3.51475 0.87 0.000192337 0.000152504 0.0158869 0.0128361 -1 -1 -1 -1 32 2294 32 6.87369e+06 237555 586450. 2029.24 1.01 0.0519973 0.0429053 25474 144626 -1 1829 18 1287 2028 149284 33356 2.95226 2.95226 -122.572 -2.95226 0 0 744469. 2576.02 0.30 0.05 0.13 -1 -1 0.30 0.0113619 0.00992154 112 34 62 31 31 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 8.37 vpr 64.41 MiB 0.02 7248 -1 -1 1 0.04 -1 -1 33960 -1 -1 37 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65952 31 32 395 311 1 198 100 17 17 289 -1 unnamed_device 25.6 MiB 3.63 1030 19820 5893 11323 2604 64.4 MiB 0.14 0.00 4.2065 -140.971 -4.2065 4.2065 0.87 0.000223268 0.00017553 0.0211129 0.0168354 -1 -1 -1 -1 26 3189 26 6.87369e+06 517032 503264. 1741.40 1.54 0.0663559 0.0547636 24322 120374 -1 2632 22 2007 3403 298501 66384 4.3999 4.3999 -154.677 -4.3999 0 0 618332. 2139.56 0.25 0.08 0.12 -1 -1 0.25 0.0148922 0.0128568 152 64 62 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 6.73 vpr 64.57 MiB 0.02 7180 -1 -1 1 0.04 -1 -1 34144 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66124 32 32 397 313 1 198 99 17 17 289 -1 unnamed_device 25.8 MiB 2.66 1125 15147 4465 9540 1142 64.6 MiB 0.12 0.00 3.50375 -124.908 -3.50375 3.50375 0.87 0.000224984 0.000177759 0.017141 0.013773 -1 -1 -1 -1 30 2615 20 6.87369e+06 489084 556674. 1926.21 0.96 0.0533442 0.0438888 25186 138497 -1 2047 22 1534 2693 140187 33757 2.78966 2.78966 -116.866 -2.78966 0 0 706193. 2443.58 0.27 0.05 0.12 -1 -1 0.27 0.0145921 0.0125912 150 63 62 32 62 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 7.32 vpr 64.48 MiB 0.02 7024 -1 -1 1 0.03 -1 -1 34096 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66032 32 32 345 257 1 202 85 17 17 289 -1 unnamed_device 25.5 MiB 2.52 962 16825 4488 11549 788 64.5 MiB 0.13 0.00 4.1996 -145.34 -4.1996 4.1996 0.88 0.000206785 0.000163548 0.021257 0.0171171 -1 -1 -1 -1 32 3332 24 6.87369e+06 293451 586450. 2029.24 1.60 0.0694849 0.0574817 25474 144626 -1 2278 21 1867 3241 210798 52491 3.89741 3.89741 -156.479 -3.89741 0 0 744469. 2576.02 0.32 0.06 0.13 -1 -1 0.32 0.0139255 0.0121673 147 3 128 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 9.63 vpr 64.85 MiB 0.03 7312 -1 -1 1 0.04 -1 -1 35468 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66404 32 32 424 343 1 195 100 17 17 289 -1 unnamed_device 25.8 MiB 4.91 1065 20980 6969 11401 2610 64.8 MiB 0.18 0.00 3.58505 -126.902 -3.58505 3.58505 0.89 0.000227802 0.000178254 0.0291819 0.0233857 -1 -1 -1 -1 34 2319 20 6.87369e+06 503058 618332. 2139.56 1.42 0.0913997 0.0746768 25762 151098 -1 1916 20 1426 2342 132874 32480 2.79866 2.79866 -117.947 -2.79866 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0150225 0.0129967 148 96 25 25 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 11.97 vpr 64.82 MiB 0.02 7068 -1 -1 1 0.04 -1 -1 34028 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66380 32 32 395 311 1 198 103 17 17 289 -1 unnamed_device 25.9 MiB 4.81 1034 16009 4261 10158 1590 64.8 MiB 0.12 0.00 3.64005 -127.969 -3.64005 3.64005 0.87 0.000230782 0.000181626 0.0172985 0.013769 -1 -1 -1 -1 26 2873 26 6.87369e+06 544980 503264. 1741.40 4.05 0.106063 0.087048 24322 120374 -1 2419 22 1434 2696 208434 53636 3.36286 3.36286 -137.445 -3.36286 0 0 618332. 2139.56 0.25 0.06 0.11 -1 -1 0.25 0.0150222 0.012938 152 61 64 32 60 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 8.08 vpr 64.76 MiB 0.03 7024 -1 -1 1 0.04 -1 -1 33844 -1 -1 40 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66312 32 32 405 318 1 201 104 17 17 289 -1 unnamed_device 25.7 MiB 3.91 1111 18648 5077 11300 2271 64.8 MiB 0.13 0.00 3.58025 -127.27 -3.58025 3.58025 0.87 0.00024956 0.000190258 0.0211257 0.0167492 -1 -1 -1 -1 32 2692 32 6.87369e+06 558954 586450. 2029.24 1.00 0.0640685 0.0524316 25474 144626 -1 2279 22 1867 3067 208044 47662 2.94926 2.94926 -122.566 -2.94926 0 0 744469. 2576.02 0.28 0.06 0.13 -1 -1 0.28 0.014392 0.0124349 156 65 63 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 6.11 vpr 64.57 MiB 0.02 7228 -1 -1 1 0.03 -1 -1 33876 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66116 32 32 376 288 1 202 103 17 17 289 -1 unnamed_device 25.7 MiB 1.42 958 13599 3600 8151 1848 64.6 MiB 0.08 0.00 4.3249 -147.82 -4.3249 4.3249 0.86 0.000216082 0.000170272 0.0142184 0.0113278 -1 -1 -1 -1 32 2911 36 6.87369e+06 544980 586450. 2029.24 1.63 0.0726237 0.060214 25474 144626 -1 2230 22 1793 2893 184546 46762 4.02571 4.02571 -159.108 -4.02571 0 0 744469. 2576.02 0.30 0.06 0.13 -1 -1 0.30 0.0155482 0.0134674 156 34 96 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 10.15 vpr 64.68 MiB 0.02 7180 -1 -1 1 0.05 -1 -1 34132 -1 -1 41 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66236 32 32 407 319 1 202 105 17 17 289 -1 unnamed_device 25.8 MiB 3.72 1138 19865 5157 12797 1911 64.7 MiB 0.15 0.00 4.20633 -143.385 -4.20633 4.20633 0.86 0.000249249 0.000201686 0.0226384 0.0181355 -1 -1 -1 -1 30 2568 24 6.87369e+06 572927 556674. 1926.21 3.16 0.106058 0.0864942 25186 138497 -1 2323 25 1941 3133 245373 54886 3.8924 3.8924 -154.334 -3.8924 0 0 706193. 2443.58 0.27 0.07 0.12 -1 -1 0.27 0.015799 0.0135051 157 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 9.40 vpr 65.14 MiB 0.03 7500 -1 -1 1 0.04 -1 -1 34216 -1 -1 37 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66700 31 32 449 367 1 195 100 17 17 289 -1 unnamed_device 26.0 MiB 5.09 910 8684 1839 6215 630 65.1 MiB 0.08 0.00 4.22589 -134.122 -4.22589 4.22589 0.88 0.000237887 0.00018619 0.0113147 0.00901135 -1 -1 -1 -1 30 2752 25 6.87369e+06 517032 556674. 1926.21 1.16 0.0547158 0.0450792 25186 138497 -1 2053 20 1379 2449 129700 33281 3.8074 3.8074 -136.498 -3.8074 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.0140976 0.0121024 150 122 0 0 122 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 9.21 vpr 65.26 MiB 0.02 7100 -1 -1 1 0.05 -1 -1 33872 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66824 32 32 432 346 1 200 85 17 17 289 -1 unnamed_device 26.2 MiB 4.45 1073 15709 4453 9637 1619 65.3 MiB 0.12 0.00 4.13359 -143.434 -4.13359 4.13359 0.87 0.00023319 0.000183164 0.0217007 0.0173423 -1 -1 -1 -1 34 2807 23 6.87369e+06 293451 618332. 2139.56 1.56 0.086419 0.0705133 25762 151098 -1 2360 24 1910 3554 247932 57437 3.8237 3.8237 -146.285 -3.8237 0 0 787024. 2723.27 0.31 0.08 0.13 -1 -1 0.31 0.0197587 0.0170843 145 94 32 32 94 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 5.63 vpr 64.17 MiB 0.02 6792 -1 -1 1 0.03 -1 -1 34084 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65712 32 32 312 255 1 167 96 17 17 289 -1 unnamed_device 25.4 MiB 1.56 917 13236 3861 8362 1013 64.2 MiB 0.09 0.00 3.51475 -125.811 -3.51475 3.51475 0.88 0.000181736 0.000143806 0.012953 0.0103995 -1 -1 -1 -1 32 2257 23 6.87369e+06 447163 586450. 2029.24 0.93 0.0455365 0.0379513 25474 144626 -1 1881 20 1274 2049 133017 31213 2.83166 2.83166 -120.34 -2.83166 0 0 744469. 2576.02 0.30 0.04 0.13 -1 -1 0.30 0.0110052 0.00952089 121 34 63 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 8.10 vpr 64.43 MiB 0.02 7132 -1 -1 1 0.04 -1 -1 33908 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65976 32 32 370 314 1 166 80 17 17 289 -1 unnamed_device 25.6 MiB 4.07 946 12980 4388 6886 1706 64.4 MiB 0.09 0.00 3.54295 -128.782 -3.54295 3.54295 0.84 0.000209561 0.000164315 0.0181846 0.0146037 -1 -1 -1 -1 32 2368 24 6.87369e+06 223581 586450. 2029.24 0.95 0.0535829 0.043854 25474 144626 -1 2031 19 1252 1976 143153 32520 2.80696 2.80696 -122.073 -2.80696 0 0 744469. 2576.02 0.30 0.05 0.13 -1 -1 0.30 0.0127733 0.0111229 112 94 0 0 94 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 9.47 vpr 65.16 MiB 0.03 7328 -1 -1 1 0.04 -1 -1 34140 -1 -1 44 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66724 32 32 469 351 1 236 108 17 17 289 -1 unnamed_device 25.9 MiB 2.85 1417 17327 4454 11447 1426 65.2 MiB 0.15 0.00 4.99284 -170.997 -4.99284 4.99284 0.85 0.000258779 0.000204777 0.0200466 0.0160881 -1 -1 -1 -1 30 3591 25 6.87369e+06 614849 556674. 1926.21 3.42 0.12118 0.100026 25186 138497 -1 2850 21 2202 3809 257801 56093 4.31865 4.31865 -166.494 -4.31865 0 0 706193. 2443.58 0.27 0.07 0.12 -1 -1 0.27 0.017747 0.0153865 189 65 96 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 7.67 vpr 64.46 MiB 0.02 7028 -1 -1 1 0.03 -1 -1 34020 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66004 32 32 368 284 1 198 99 17 17 289 -1 unnamed_device 25.6 MiB 3.65 1065 15831 3840 10210 1781 64.5 MiB 0.12 0.00 3.60769 -128.222 -3.60769 3.60769 0.86 0.000212982 0.000167841 0.0167801 0.0133855 -1 -1 -1 -1 30 2367 19 6.87369e+06 489084 556674. 1926.21 0.94 0.0532495 0.0440794 25186 138497 -1 1991 18 1315 2128 103318 26163 3.01816 3.01816 -127.54 -3.01816 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0126446 0.0110209 150 34 92 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 5.08 vpr 64.19 MiB 0.02 7056 -1 -1 1 0.04 -1 -1 33584 -1 -1 31 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65732 30 32 296 244 1 160 93 17 17 289 -1 unnamed_device 25.4 MiB 0.99 821 15633 5296 7678 2659 64.2 MiB 0.10 0.00 3.50375 -115.855 -3.50375 3.50375 0.91 0.00018557 0.000141552 0.0167091 0.0132206 -1 -1 -1 -1 28 2090 26 6.87369e+06 433189 531479. 1839.03 0.96 0.0501889 0.0413844 24610 126494 -1 1734 21 1391 2154 156467 37350 2.87096 2.87096 -113.424 -2.87096 0 0 648988. 2245.63 0.25 0.05 0.11 -1 -1 0.25 0.011016 0.0094998 116 34 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 12.36 vpr 65.21 MiB 0.03 7520 -1 -1 1 0.05 -1 -1 34612 -1 -1 47 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66776 32 32 531 413 1 236 111 17 17 289 -1 unnamed_device 26.0 MiB 7.53 1186 22455 6542 12947 2966 65.2 MiB 0.17 0.00 4.91264 -166.988 -4.91264 4.91264 0.88 0.000276336 0.000216753 0.0258362 0.0204281 -1 -1 -1 -1 32 3184 26 6.87369e+06 656770 586450. 2029.24 1.54 0.0895023 0.0729292 25474 144626 -1 2560 23 2468 3915 286338 64771 4.53925 4.53925 -169.688 -4.53925 0 0 744469. 2576.02 0.29 0.09 0.13 -1 -1 0.29 0.0208988 0.0179415 190 127 32 32 128 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 8.61 vpr 64.41 MiB 0.03 7120 -1 -1 1 0.04 -1 -1 33816 -1 -1 40 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65960 32 32 376 288 1 202 104 17 17 289 -1 unnamed_device 25.7 MiB 4.05 985 19868 5842 10383 3643 64.4 MiB 0.13 0.00 4.23469 -143.901 -4.23469 4.23469 0.85 0.000219555 0.000173924 0.0200945 0.016068 -1 -1 -1 -1 32 2585 23 6.87369e+06 558954 586450. 2029.24 1.38 0.0667899 0.0548068 25474 144626 -1 2018 21 1851 2802 189571 44889 3.7171 3.7171 -142.071 -3.7171 0 0 744469. 2576.02 0.29 0.06 0.13 -1 -1 0.29 0.0152623 0.0132759 156 34 96 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 5.41 vpr 64.16 MiB 0.02 6800 -1 -1 1 0.04 -1 -1 34024 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65704 32 32 283 225 1 168 97 17 17 289 -1 unnamed_device 25.4 MiB 1.26 863 11641 2973 7602 1066 64.2 MiB 0.10 0.00 3.61805 -128.853 -3.61805 3.61805 0.90 0.000187003 0.0001482 0.0135007 0.0109314 -1 -1 -1 -1 30 2313 20 6.87369e+06 461137 556674. 1926.21 1.01 0.0427199 0.0355415 25186 138497 -1 1833 21 1352 2272 138158 32614 2.73936 2.73936 -119.013 -2.73936 0 0 706193. 2443.58 0.28 0.05 0.12 -1 -1 0.28 0.0125044 0.0109395 123 3 96 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 8.37 vpr 64.73 MiB 0.03 7228 -1 -1 1 0.04 -1 -1 34248 -1 -1 45 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66280 32 32 438 320 1 236 109 17 17 289 -1 unnamed_device 25.9 MiB 3.62 1252 21169 6025 12385 2759 64.7 MiB 0.16 0.00 4.96574 -169.764 -4.96574 4.96574 0.87 0.000243473 0.000192614 0.0230227 0.018398 -1 -1 -1 -1 28 3620 50 6.87369e+06 628823 531479. 1839.03 1.54 0.0793803 0.0653056 24610 126494 -1 3048 25 2913 4956 399831 91071 5.08775 5.08775 -182.431 -5.08775 0 0 648988. 2245.63 0.26 0.10 0.11 -1 -1 0.26 0.0174784 0.0149605 189 34 128 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 6.75 vpr 64.02 MiB 0.02 6832 -1 -1 1 0.03 -1 -1 33824 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65556 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 25.4 MiB 2.16 800 11776 2730 8638 408 64.0 MiB 0.08 0.00 3.52575 -126.142 -3.52575 3.52575 0.89 0.000177093 0.000139936 0.0143855 0.0116211 -1 -1 -1 -1 34 2021 20 6.87369e+06 223581 618332. 2139.56 1.44 0.0637202 0.0526917 25762 151098 -1 1822 18 1181 1994 143309 33422 3.19656 3.19656 -130.066 -3.19656 0 0 787024. 2723.27 0.31 0.04 0.13 -1 -1 0.31 0.0105987 0.00925226 114 3 96 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 6.99 vpr 64.29 MiB 0.02 6940 -1 -1 1 0.04 -1 -1 33712 -1 -1 33 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65832 30 32 296 244 1 162 95 17 17 289 -1 unnamed_device 25.4 MiB 2.99 726 10247 2605 6820 822 64.3 MiB 0.08 0.00 3.56001 -114.521 -3.56001 3.56001 0.89 0.000182159 0.00014383 0.0105834 0.00858064 -1 -1 -1 -1 28 2106 26 6.87369e+06 461137 531479. 1839.03 0.94 0.0414826 0.0343243 24610 126494 -1 1823 20 1407 2354 162786 39404 3.03826 3.03826 -118.387 -3.03826 0 0 648988. 2245.63 0.25 0.05 0.11 -1 -1 0.25 0.0106467 0.00919247 118 34 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 9.30 vpr 64.50 MiB 0.02 7328 -1 -1 1 0.04 -1 -1 33912 -1 -1 35 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66044 29 32 393 319 1 185 96 17 17 289 -1 unnamed_device 25.7 MiB 4.32 838 14550 4269 7291 2990 64.5 MiB 0.11 0.00 3.60705 -111.262 -3.60705 3.60705 0.87 0.000214083 0.000168255 0.0164088 0.0130692 -1 -1 -1 -1 28 2897 35 6.87369e+06 489084 531479. 1839.03 1.83 0.0596328 0.0487637 24610 126494 -1 2141 22 1727 3053 249344 59559 3.57246 3.57246 -123.368 -3.57246 0 0 648988. 2245.63 0.27 0.07 0.11 -1 -1 0.27 0.0143817 0.0123507 141 88 29 29 85 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 7.49 vpr 64.60 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 34020 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66148 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 25.6 MiB 3.28 855 9385 2020 5887 1478 64.6 MiB 0.06 0.00 4.2388 -145.988 -4.2388 4.2388 0.87 0.000261918 0.000213723 0.0135543 0.0109883 -1 -1 -1 -1 32 2841 23 6.87369e+06 293451 586450. 2029.24 1.06 0.054852 0.0454186 25474 144626 -1 2073 22 1934 2974 207112 52024 3.9064 3.9064 -153.049 -3.9064 0 0 744469. 2576.02 0.31 0.07 0.13 -1 -1 0.31 0.0171929 0.0149603 147 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 9.99 vpr 64.74 MiB 0.03 7348 -1 -1 1 0.04 -1 -1 33872 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66292 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 26.1 MiB 5.67 1101 18901 5415 11502 1984 64.7 MiB 0.15 0.00 4.27375 -150.325 -4.27375 4.27375 0.88 0.000235951 0.000186396 0.0215484 0.0171093 -1 -1 -1 -1 30 2727 28 6.87369e+06 517032 556674. 1926.21 1.19 0.0641632 0.0524517 25186 138497 -1 2370 21 1881 3186 205028 46397 3.7811 3.7811 -148.809 -3.7811 0 0 706193. 2443.58 0.29 0.06 0.12 -1 -1 0.29 0.0140968 0.0121766 155 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 8.51 vpr 64.43 MiB 0.02 7068 -1 -1 1 0.03 -1 -1 34096 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65980 32 32 345 287 1 168 97 17 17 289 -1 unnamed_device 25.5 MiB 3.96 834 17191 6478 8946 1767 64.4 MiB 0.11 0.00 3.58505 -126.386 -3.58505 3.58505 0.86 0.000201303 0.000157424 0.0181856 0.0147162 -1 -1 -1 -1 34 2089 22 6.87369e+06 461137 618332. 2139.56 1.44 0.070595 0.0579194 25762 151098 -1 1735 17 1235 1956 122081 30864 3.04926 3.04926 -122.245 -3.04926 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0105222 0.00916378 123 65 32 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 9.85 vpr 64.05 MiB 0.03 7152 -1 -1 1 0.04 -1 -1 34184 -1 -1 18 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65592 31 32 353 302 1 160 81 17 17 289 -1 unnamed_device 25.2 MiB 5.31 853 13381 4420 7135 1826 64.1 MiB 0.09 0.00 3.47075 -119.995 -3.47075 3.47075 0.88 0.000192776 0.000150994 0.0163016 0.0129391 -1 -1 -1 -1 34 2045 22 6.87369e+06 251529 618332. 2139.56 1.43 0.0697653 0.0568018 25762 151098 -1 1733 21 1033 1932 133986 30887 2.70966 2.70966 -113.156 -2.70966 0 0 787024. 2723.27 0.32 0.05 0.13 -1 -1 0.32 0.01318 0.0113487 108 90 0 0 89 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 7.93 vpr 64.44 MiB 0.02 7232 -1 -1 1 0.03 -1 -1 33896 -1 -1 34 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65984 30 32 374 297 1 189 96 17 17 289 -1 unnamed_device 25.5 MiB 3.79 920 16740 4557 9743 2440 64.4 MiB 0.12 0.00 3.58505 -116.136 -3.58505 3.58505 0.86 0.00021017 0.000166193 0.0182965 0.0146992 -1 -1 -1 -1 32 2347 21 6.87369e+06 475111 586450. 2029.24 0.94 0.0539122 0.0443896 25474 144626 -1 1769 18 1083 1806 99206 26451 2.79566 2.79566 -109.834 -2.79566 0 0 744469. 2576.02 0.30 0.04 0.18 -1 -1 0.30 0.0135531 0.0119196 143 60 60 30 57 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 7.99 vpr 64.32 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 33960 -1 -1 35 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65860 28 32 332 260 1 180 95 17 17 289 -1 unnamed_device 25.4 MiB 2.04 910 12623 3390 8288 945 64.3 MiB 0.09 0.00 4.19891 -126.139 -4.19891 4.19891 0.86 0.000207099 0.000169791 0.0140662 0.0114999 -1 -1 -1 -1 28 2341 25 6.87369e+06 489084 531479. 1839.03 2.84 0.0843971 0.0696707 24610 126494 -1 2006 18 1450 2389 167831 39763 3.7341 3.7341 -130.294 -3.7341 0 0 648988. 2245.63 0.26 0.06 0.11 -1 -1 0.26 0.0149856 0.0131992 139 34 84 28 28 28 -fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 9.82 vpr 63.48 MiB 0.02 7148 -1 -1 1 0.04 -1 -1 33752 -1 -1 18 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65004 30 32 325 273 1 161 80 17 17 289 -1 unnamed_device 24.8 MiB 3.92 881 11432 3949 5585 1898 63.5 MiB 0.08 0.00 3.58339 -121.207 -3.58339 3.58339 0.85 0.000184195 0.00014378 0.0139917 0.0112228 -1 -1 -1 -1 32 2180 23 6.87369e+06 251529 586450. 2029.24 2.78 0.0957252 0.0783898 25474 144626 -1 1763 25 1569 2711 169242 40100 2.97596 2.97596 -118.862 -2.97596 0 0 744469. 2576.02 0.31 0.06 0.13 -1 -1 0.31 0.0138946 0.0119286 110 63 30 30 60 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 8.98 vpr 63.23 MiB 0.02 7088 -1 -1 1 0.04 -1 -1 33984 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64744 32 32 361 308 1 163 81 17 17 289 -1 unnamed_device 24.5 MiB 4.46 903 14256 4854 7252 2150 63.2 MiB 0.09 0.00 3.47695 -121.703 -3.47695 3.47695 0.86 0.00019743 0.000154081 0.0179973 0.0142319 -1 -1 -1 -1 34 2124 20 6.87369e+06 237555 618332. 2139.56 1.40 0.0726181 0.0589693 25762 151098 -1 1744 19 997 1707 117507 26772 2.75466 2.75466 -113.579 -2.75466 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.0133642 0.0115452 110 91 0 0 91 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 9.29 vpr 64.41 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 33980 -1 -1 37 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65952 31 32 335 251 1 197 100 17 17 289 -1 unnamed_device 25.4 MiB 1.49 962 16804 5065 8760 2979 64.4 MiB 0.13 0.00 4.2699 -140.915 -4.2699 4.2699 0.89 0.000201967 0.000159278 0.0167657 0.0135018 -1 -1 -1 -1 28 3281 39 6.87369e+06 517032 531479. 1839.03 4.64 0.105846 0.088943 24610 126494 -1 2379 22 2012 3237 253918 60479 4.132 4.132 -154.013 -4.132 0 0 648988. 2245.63 0.27 0.07 0.11 -1 -1 0.27 0.0140896 0.012221 151 4 124 31 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 9.43 vpr 64.70 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 34244 -1 -1 38 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66256 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 25.9 MiB 5.24 1088 19380 5928 11114 2338 64.7 MiB 0.14 0.00 4.1996 -147.862 -4.1996 4.1996 0.88 0.000223639 0.000176418 0.0204094 0.0160962 -1 -1 -1 -1 28 3091 23 6.87369e+06 531006 531479. 1839.03 1.07 0.0592282 0.0484217 24610 126494 -1 2677 25 2370 4236 342464 77824 4.0067 4.0067 -158.015 -4.0067 0 0 648988. 2245.63 0.25 0.09 0.11 -1 -1 0.25 0.0161349 0.013831 156 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 10.00 vpr 64.45 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 33812 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66000 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 25.6 MiB 5.40 1134 16551 4231 10536 1784 64.5 MiB 0.12 0.00 4.30289 -150.348 -4.30289 4.30289 0.89 0.000229578 0.000181774 0.0182622 0.0145787 -1 -1 -1 -1 28 3192 26 6.87369e+06 517032 531479. 1839.03 1.42 0.0644396 0.0534141 24610 126494 -1 2656 23 2201 3810 301598 68422 3.9657 3.9657 -155.991 -3.9657 0 0 648988. 2245.63 0.27 0.08 0.13 -1 -1 0.27 0.0156505 0.0135011 155 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 8.08 vpr 64.92 MiB 0.03 7060 -1 -1 1 0.04 -1 -1 34028 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66480 32 32 399 315 1 198 103 17 17 289 -1 unnamed_device 26.1 MiB 3.95 1120 16732 4960 9705 2067 64.9 MiB 0.13 0.00 4.18791 -143.549 -4.18791 4.18791 0.86 0.000226144 0.000177789 0.0176148 0.0140408 -1 -1 -1 -1 32 2929 24 6.87369e+06 544980 586450. 2029.24 0.99 0.0593215 0.048804 25474 144626 -1 2332 23 1600 2746 174868 42500 3.5868 3.5868 -140.215 -3.5868 0 0 744469. 2576.02 0.29 0.06 0.13 -1 -1 0.29 0.0160521 0.0138038 152 65 60 30 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 7.41 vpr 64.09 MiB 0.03 6836 -1 -1 1 0.04 -1 -1 33796 -1 -1 19 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65624 30 32 296 244 1 160 81 17 17 289 -1 unnamed_device 25.4 MiB 3.35 762 13731 3861 8062 1808 64.1 MiB 0.09 0.00 3.50375 -116.253 -3.50375 3.50375 0.88 0.000176066 0.000139445 0.0153151 0.0123162 -1 -1 -1 -1 32 2089 19 6.87369e+06 265503 586450. 2029.24 0.93 0.0443482 0.0366502 25474 144626 -1 1765 18 1126 1851 117797 28473 3.05726 3.05726 -120.765 -3.05726 0 0 744469. 2576.02 0.29 0.04 0.13 -1 -1 0.29 0.0101903 0.00883635 110 34 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 9.56 vpr 64.76 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 34020 -1 -1 23 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66312 30 32 383 303 1 192 85 17 17 289 -1 unnamed_device 25.8 MiB 4.82 973 15709 4818 9015 1876 64.8 MiB 0.12 0.00 4.25789 -139.697 -4.25789 4.25789 0.86 0.000216265 0.000171209 0.0225395 0.0181097 -1 -1 -1 -1 34 2310 23 6.87369e+06 321398 618332. 2139.56 1.57 0.0931115 0.0767025 25762 151098 -1 1941 22 1646 2659 205712 45022 3.7296 3.7296 -137.115 -3.7296 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0142961 0.0124046 140 63 60 30 60 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 10.87 vpr 64.92 MiB 0.02 7340 -1 -1 1 0.04 -1 -1 34308 -1 -1 43 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66476 32 32 469 381 1 202 107 17 17 289 -1 unnamed_device 25.9 MiB 6.63 1154 14022 3627 9188 1207 64.9 MiB 0.12 0.00 4.3139 -148.916 -4.3139 4.3139 0.87 0.000253112 0.000198324 0.0163515 0.013091 -1 -1 -1 -1 32 2801 25 6.87369e+06 600875 586450. 2029.24 1.04 0.0586492 0.0480019 25474 144626 -1 2360 23 2018 3392 236256 55951 3.6638 3.6638 -146.402 -3.6638 0 0 744469. 2576.02 0.30 0.07 0.13 -1 -1 0.30 0.0170194 0.0146293 158 127 0 0 128 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 6.01 vpr 64.64 MiB 0.03 7476 -1 -1 1 0.05 -1 -1 34052 -1 -1 33 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66196 31 32 425 341 1 197 96 17 17 289 -1 unnamed_device 25.8 MiB 1.64 1028 18273 6109 9512 2652 64.6 MiB 0.13 0.00 4.21733 -142.23 -4.21733 4.21733 0.88 0.000227686 0.000179646 0.0216097 0.0172527 -1 -1 -1 -1 28 2890 23 6.87369e+06 461137 531479. 1839.03 1.19 0.0624524 0.0513722 24610 126494 -1 2321 22 1957 3302 238714 55033 3.8224 3.8224 -148.426 -3.8224 0 0 648988. 2245.63 0.25 0.07 0.10 -1 -1 0.25 0.0150431 0.0129092 149 94 31 31 93 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 7.76 vpr 64.51 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 33952 -1 -1 32 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66060 30 32 404 328 1 188 94 17 17 289 -1 unnamed_device 25.7 MiB 2.99 935 16921 4888 8942 3091 64.5 MiB 0.15 0.00 3.55591 -115.746 -3.55591 3.55591 0.97 0.000226366 0.00017879 0.0243287 0.0196111 -1 -1 -1 -1 32 2417 27 6.87369e+06 447163 586450. 2029.24 1.45 0.0757108 0.062121 25474 144626 -1 1907 21 1729 2823 182050 44816 2.83496 2.83496 -110.923 -2.83496 0 0 744469. 2576.02 0.29 0.05 0.12 -1 -1 0.29 0.0138646 0.0119459 141 92 26 26 90 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 11.37 vpr 64.75 MiB 0.03 7200 -1 -1 1 0.04 -1 -1 34252 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66304 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 25.9 MiB 6.65 1090 14593 4195 9072 1326 64.8 MiB 0.11 0.00 4.1996 -148.343 -4.1996 4.1996 0.86 0.000224438 0.000176307 0.0197113 0.0157725 -1 -1 -1 -1 34 3084 23 6.87369e+06 293451 618332. 2139.56 1.56 0.0818211 0.0667397 25762 151098 -1 2595 20 1742 3039 241240 53823 3.8814 3.8814 -153.241 -3.8814 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0146073 0.0126903 147 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 6.90 vpr 64.59 MiB 0.02 7220 -1 -1 1 0.04 -1 -1 34084 -1 -1 36 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66140 29 32 387 316 1 181 97 17 17 289 -1 unnamed_device 25.9 MiB 2.97 933 12751 3451 8328 972 64.6 MiB 0.10 0.00 3.59125 -113.631 -3.59125 3.59125 0.89 0.000236031 0.000177176 0.0152882 0.0121243 -1 -1 -1 -1 26 2377 23 6.87369e+06 503058 503264. 1741.40 0.88 0.0516615 0.0422992 24322 120374 -1 2134 20 1510 2530 183395 43145 3.18876 3.18876 -116.844 -3.18876 0 0 618332. 2139.56 0.25 0.06 0.10 -1 -1 0.25 0.0143508 0.0123322 138 88 26 26 85 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 5.98 vpr 64.30 MiB 0.02 6840 -1 -1 1 0.03 -1 -1 34012 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65840 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 25.3 MiB 1.45 860 12292 3298 7764 1230 64.3 MiB 0.09 0.00 3.53195 -127.337 -3.53195 3.53195 0.87 0.0001792 0.000141239 0.015654 0.0126405 -1 -1 -1 -1 34 2207 25 6.87369e+06 223581 618332. 2139.56 1.44 0.0656018 0.0542633 25762 151098 -1 1899 22 1466 2255 171693 38988 3.00726 3.00726 -125.897 -3.00726 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0117582 0.0101941 114 3 96 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 10.97 vpr 64.73 MiB 0.02 7108 -1 -1 1 0.04 -1 -1 34112 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66288 32 32 407 319 1 202 101 17 17 289 -1 unnamed_device 25.7 MiB 6.45 1090 19841 5460 12561 1820 64.7 MiB 0.14 0.00 4.3249 -149.687 -4.3249 4.3249 0.84 0.000240772 0.000192809 0.0225884 0.0182896 -1 -1 -1 -1 32 2703 27 6.87369e+06 517032 586450. 2029.24 1.45 0.0789665 0.0649789 25474 144626 -1 2284 22 1882 2999 211673 50417 3.9877 3.9877 -151.644 -3.9877 0 0 744469. 2576.02 0.28 0.07 0.13 -1 -1 0.28 0.015744 0.0136407 155 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 10.69 vpr 64.35 MiB 0.02 7116 -1 -1 1 0.04 -1 -1 34168 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65892 32 32 407 319 1 202 85 17 17 289 -1 unnamed_device 25.5 MiB 5.86 1089 15151 6141 8127 883 64.3 MiB 0.12 0.00 4.2388 -152.365 -4.2388 4.2388 0.87 0.000245556 0.00019339 0.0217641 0.0175302 -1 -1 -1 -1 34 2813 25 6.87369e+06 293451 618332. 2139.56 1.56 0.0870329 0.0714122 25762 151098 -1 2284 21 1936 3204 224975 50146 3.8064 3.8064 -151.466 -3.8064 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.014551 0.0125512 147 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 9.35 vpr 64.21 MiB 0.02 6920 -1 -1 1 0.04 -1 -1 34128 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65748 32 32 315 267 1 158 94 17 17 289 -1 unnamed_device 25.5 MiB 4.92 885 16708 5068 9384 2256 64.2 MiB 0.11 0.00 3.50501 -121.174 -3.50501 3.50501 0.85 0.000184065 0.000144548 0.0160822 0.0127345 -1 -1 -1 -1 34 2029 23 6.87369e+06 419215 618332. 2139.56 1.37 0.0644009 0.0524191 25762 151098 -1 1746 23 992 1732 125709 28812 2.77566 2.77566 -111.155 -2.77566 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0131774 0.0113568 112 55 32 32 54 27 -fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 5.62 vpr 63.98 MiB 0.02 7000 -1 -1 1 0.03 -1 -1 33912 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65520 31 32 275 220 1 164 80 17 17 289 -1 unnamed_device 25.1 MiB 1.76 726 9368 2169 6075 1124 64.0 MiB 0.07 0.00 3.51475 -119.248 -3.51475 3.51475 0.86 0.000170294 0.000134453 0.0111485 0.00900768 -1 -1 -1 -1 30 2026 22 6.87369e+06 237555 556674. 1926.21 0.92 0.0413506 0.0343634 25186 138497 -1 1719 20 1275 2089 119933 27997 3.12156 3.12156 -122.852 -3.12156 0 0 706193. 2443.58 0.27 0.04 0.12 -1 -1 0.27 0.0106788 0.00925365 112 4 93 31 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 7.90 vpr 64.38 MiB 0.03 7092 -1 -1 1 0.05 -1 -1 33812 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65928 32 32 381 303 1 194 99 17 17 289 -1 unnamed_device 25.8 MiB 3.96 1023 18795 5443 10816 2536 64.4 MiB 0.13 0.00 4.29699 -143.771 -4.29699 4.29699 0.86 0.000220889 0.000174404 0.0203901 0.0162145 -1 -1 -1 -1 28 2673 24 6.87369e+06 489084 531479. 1839.03 0.89 0.056804 0.0465101 24610 126494 -1 2327 22 1745 2688 197334 45132 3.8174 3.8174 -143.385 -3.8174 0 0 648988. 2245.63 0.25 0.06 0.11 -1 -1 0.25 0.0138575 0.0119199 144 59 60 32 58 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 5.81 vpr 64.68 MiB 0.03 7268 -1 -1 1 0.04 -1 -1 33724 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66232 32 32 406 330 1 191 97 17 17 289 -1 unnamed_device 25.8 MiB 1.64 1098 17191 5138 9984 2069 64.7 MiB 0.13 0.00 4.21185 -141.335 -4.21185 4.21185 0.89 0.000231804 0.000182374 0.0201894 0.0161278 -1 -1 -1 -1 28 2820 35 6.87369e+06 461137 531479. 1839.03 1.00 0.06356 0.0520982 24610 126494 -1 2284 19 1554 2569 195862 45421 3.87196 3.87196 -142.071 -3.87196 0 0 648988. 2245.63 0.26 0.06 0.11 -1 -1 0.26 0.0133986 0.0115599 142 88 28 28 88 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 6.69 vpr 64.90 MiB 0.02 7312 -1 -1 1 0.04 -1 -1 34000 -1 -1 41 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66460 32 32 399 285 1 232 105 17 17 289 -1 unnamed_device 25.6 MiB 1.58 1421 17642 5571 10308 1763 64.9 MiB 0.16 0.00 4.86064 -164.755 -4.86064 4.86064 0.87 0.000263008 0.000213785 0.0204632 0.0165214 -1 -1 -1 -1 28 3916 26 6.87369e+06 572927 531479. 1839.03 1.92 0.0684584 0.0573019 24610 126494 -1 3082 22 2321 3773 334328 70756 4.99175 4.99175 -173.828 -4.99175 0 0 648988. 2245.63 0.25 0.08 0.11 -1 -1 0.25 0.0163948 0.0142184 183 3 156 32 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 7.72 vpr 64.30 MiB 0.02 7160 -1 -1 1 0.04 -1 -1 34256 -1 -1 32 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65844 30 32 371 295 1 188 94 17 17 289 -1 unnamed_device 25.7 MiB 3.49 1005 13939 3832 8899 1208 64.3 MiB 0.11 0.00 3.60295 -120.353 -3.60295 3.60295 0.85 0.000225151 0.000178276 0.0169537 0.0137336 -1 -1 -1 -1 32 2275 22 6.87369e+06 447163 586450. 2029.24 0.95 0.0541161 0.0445457 25474 144626 -1 1885 19 1478 2436 130317 32257 2.83966 2.83966 -114.808 -2.83966 0 0 744469. 2576.02 0.29 0.05 0.13 -1 -1 0.29 0.0135855 0.0118748 141 59 60 30 56 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 5.31 vpr 64.10 MiB 0.02 7080 -1 -1 1 0.03 -1 -1 34176 -1 -1 20 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65640 27 32 269 226 1 145 79 17 17 289 -1 unnamed_device 25.3 MiB 1.22 681 12247 4957 6112 1178 64.1 MiB 0.08 0.00 3.57611 -105.524 -3.57611 3.57611 0.94 0.000156987 0.000123439 0.013713 0.0111065 -1 -1 -1 -1 32 1634 25 6.87369e+06 279477 586450. 2029.24 0.92 0.0424118 0.0351215 25474 144626 -1 1385 16 925 1340 92892 20753 2.79566 2.79566 -100.941 -2.79566 0 0 744469. 2576.02 0.29 0.03 0.13 -1 -1 0.29 0.00883396 0.00771813 102 34 54 27 27 27 -fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 8.73 vpr 65.28 MiB 0.03 7392 -1 -1 1 0.04 -1 -1 34368 -1 -1 42 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66844 32 32 493 378 1 233 106 17 17 289 -1 unnamed_device 26.1 MiB 3.57 1290 11856 2585 8478 793 65.3 MiB 0.11 0.00 4.1886 -144.956 -4.1886 4.1886 0.86 0.000268207 0.000205585 0.0152085 0.0120794 -1 -1 -1 -1 28 4033 24 6.87369e+06 586901 531479. 1839.03 1.96 0.0645837 0.0531432 24610 126494 -1 3163 24 2618 4658 407819 90506 4.079 4.079 -154.833 -4.079 0 0 648988. 2245.63 0.25 0.11 0.11 -1 -1 0.25 0.0201237 0.0171043 184 95 62 31 95 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 9.77 vpr 64.76 MiB 0.03 7464 -1 -1 1 0.04 -1 -1 34044 -1 -1 23 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66312 31 32 455 371 1 198 86 17 17 289 -1 unnamed_device 25.8 MiB 5.15 1008 15584 4068 9789 1727 64.8 MiB 0.10 0.00 4.97514 -152.566 -4.97514 4.97514 0.85 0.000234792 0.00018425 0.0214986 0.0171765 -1 -1 -1 -1 34 2579 26 6.87369e+06 321398 618332. 2139.56 1.52 0.086698 0.070586 25762 151098 -1 2167 20 1458 2343 156980 38505 4.17485 4.17485 -151.262 -4.17485 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0154544 0.0133447 144 124 0 0 124 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 9.26 vpr 64.09 MiB 0.02 7120 -1 -1 1 0.04 -1 -1 33840 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65632 32 32 355 304 1 161 80 17 17 289 -1 unnamed_device 25.4 MiB 4.75 845 12120 3376 6849 1895 64.1 MiB 0.08 0.00 3.7386 -118.219 -3.7386 3.7386 0.86 0.000192105 0.000150525 0.0152552 0.0122041 -1 -1 -1 -1 34 2212 22 6.87369e+06 223581 618332. 2139.56 1.40 0.0694663 0.0568494 25762 151098 -1 1876 20 1037 1679 130427 29709 2.73466 2.73466 -113.627 -2.73466 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0132368 0.0114156 107 89 0 0 89 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 5.91 vpr 64.53 MiB 0.03 7028 -1 -1 1 0.04 -1 -1 34000 -1 -1 34 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66076 32 32 364 282 1 196 98 17 17 289 -1 unnamed_device 25.7 MiB 1.55 1081 19448 5671 11859 1918 64.5 MiB 0.14 0.00 4.20169 -143.405 -4.20169 4.20169 0.88 0.000210604 0.000166561 0.0207051 0.0165495 -1 -1 -1 -1 32 2738 26 6.87369e+06 475111 586450. 2029.24 1.03 0.0607626 0.0500666 25474 144626 -1 2232 18 1350 2018 140770 33457 4.102 4.102 -145.747 -4.102 0 0 744469. 2576.02 0.31 0.06 0.13 -1 -1 0.31 0.0151876 0.0134373 147 34 90 30 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 7.56 vpr 65.18 MiB 0.03 7164 -1 -1 1 0.04 -1 -1 34240 -1 -1 40 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66744 31 32 443 336 1 224 103 17 17 289 -1 unnamed_device 26.2 MiB 2.60 1009 19865 5999 9806 4060 65.2 MiB 0.15 0.00 4.27909 -139.575 -4.27909 4.27909 0.89 0.000252308 0.000191336 0.02376 0.0188415 -1 -1 -1 -1 36 2611 23 6.87369e+06 558954 648988. 2245.63 1.60 0.092528 0.0756503 26050 158493 -1 2055 20 1853 2883 181445 47067 3.7451 3.7451 -136.707 -3.7451 0 0 828058. 2865.25 0.31 0.06 0.15 -1 -1 0.31 0.0152857 0.0132366 176 64 87 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 6.72 vpr 64.38 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 34148 -1 -1 36 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65928 30 32 373 297 1 188 98 17 17 289 -1 unnamed_device 25.6 MiB 2.55 1081 17873 5123 10262 2488 64.4 MiB 0.13 0.00 3.55039 -117.277 -3.55039 3.55039 0.89 0.000195991 0.00015467 0.0190544 0.015292 -1 -1 -1 -1 32 2575 24 6.87369e+06 503058 586450. 2029.24 0.98 0.0565994 0.046632 25474 144626 -1 2141 19 1363 2491 155566 37319 2.82066 2.82066 -113.096 -2.82066 0 0 744469. 2576.02 0.29 0.05 0.13 -1 -1 0.29 0.0122038 0.010555 144 61 58 30 58 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 8.08 vpr 64.77 MiB 0.02 7228 -1 -1 1 0.03 -1 -1 34156 -1 -1 46 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66324 32 32 407 319 1 202 110 17 17 289 -1 unnamed_device 25.7 MiB 3.51 1127 20887 5685 13197 2005 64.8 MiB 0.15 0.00 4.26133 -148.87 -4.26133 4.26133 0.85 0.000237702 0.000187783 0.0205024 0.0162343 -1 -1 -1 -1 26 3142 28 6.87369e+06 642796 503264. 1741.40 1.46 0.0615364 0.0502704 24322 120374 -1 2453 21 2015 3438 256283 60778 4.0257 4.0257 -157.003 -4.0257 0 0 618332. 2139.56 0.25 0.07 0.10 -1 -1 0.25 0.0143424 0.0123455 160 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 8.18 vpr 64.76 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 34176 -1 -1 42 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66316 32 32 405 318 1 201 106 17 17 289 -1 unnamed_device 25.7 MiB 3.95 1124 19356 5960 11281 2115 64.8 MiB 0.14 0.00 3.52575 -126.289 -3.52575 3.52575 0.95 0.000231539 0.000181695 0.0207286 0.0164649 -1 -1 -1 -1 28 2720 22 6.87369e+06 586901 531479. 1839.03 0.99 0.0592066 0.0484379 24610 126494 -1 2281 23 1865 2999 197983 46445 2.85366 2.85366 -124.307 -2.85366 0 0 648988. 2245.63 0.27 0.06 0.12 -1 -1 0.27 0.0147338 0.0126694 157 65 63 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 6.55 vpr 63.94 MiB 0.02 7028 -1 -1 1 0.03 -1 -1 33844 -1 -1 19 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65472 29 32 287 238 1 155 80 17 17 289 -1 unnamed_device 25.3 MiB 2.18 779 12808 4806 6279 1723 63.9 MiB 0.08 0.00 3.53195 -113.473 -3.53195 3.53195 0.88 0.00018718 0.000142107 0.0152547 0.0123725 -1 -1 -1 -1 34 1729 22 6.87369e+06 265503 618332. 2139.56 1.35 0.0606198 0.0498247 25762 151098 -1 1532 17 1079 1511 104778 23244 2.99616 2.99616 -114.192 -2.99616 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.00966826 0.00843156 107 34 58 29 29 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 7.78 vpr 64.10 MiB 0.04 7068 -1 -1 1 0.03 -1 -1 33904 -1 -1 15 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65640 32 32 334 290 1 154 79 17 17 289 -1 unnamed_device 25.4 MiB 3.34 831 13092 4612 6447 2033 64.1 MiB 0.08 0.00 3.7386 -116.045 -3.7386 3.7386 0.87 0.000183653 0.0001437 0.0161531 0.0128571 -1 -1 -1 -1 34 1981 19 6.87369e+06 209608 618332. 2139.56 1.36 0.0639777 0.0520625 25762 151098 -1 1734 18 961 1429 108243 23610 2.77096 2.77096 -111.679 -2.77096 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.010599 0.00915162 101 82 0 0 82 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 7.30 vpr 64.63 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 34024 -1 -1 39 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66180 31 32 365 281 1 197 102 17 17 289 -1 unnamed_device 25.7 MiB 2.30 1129 19618 5686 11712 2220 64.6 MiB 0.16 0.00 4.1955 -141.345 -4.1955 4.1955 0.89 0.000219606 0.00017348 0.0206175 0.0165582 -1 -1 -1 -1 26 3057 24 6.87369e+06 544980 503264. 1741.40 1.82 0.0670987 0.0559839 24322 120374 -1 2634 18 1808 2912 248656 53210 4.1163 4.1163 -154.166 -4.1163 0 0 618332. 2139.56 0.25 0.07 0.11 -1 -1 0.25 0.0127215 0.0110174 152 34 93 31 31 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 8.47 vpr 64.23 MiB 0.02 6992 -1 -1 1 0.03 -1 -1 34116 -1 -1 32 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65776 29 32 297 254 1 148 93 17 17 289 -1 unnamed_device 25.4 MiB 4.42 802 17103 5488 9192 2423 64.2 MiB 0.11 0.00 3.47765 -107.239 -3.47765 3.47765 0.90 0.000180526 0.000136055 0.0173989 0.0137344 -1 -1 -1 -1 26 2026 24 6.87369e+06 447163 503264. 1741.40 1.00 0.0488987 0.0398636 24322 120374 -1 1779 22 1213 1981 147579 35592 2.93826 2.93826 -108.304 -2.93826 0 0 618332. 2139.56 0.26 0.05 0.11 -1 -1 0.26 0.0110398 0.00941627 108 56 29 29 52 26 -fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 9.54 vpr 64.14 MiB 0.02 6972 -1 -1 1 0.03 -1 -1 34148 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65684 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 25.4 MiB 4.82 751 9884 2209 6799 876 64.1 MiB 0.07 0.00 3.54365 -123.521 -3.54365 3.54365 0.88 0.000199945 0.00015956 0.0126742 0.0102434 -1 -1 -1 -1 34 2329 25 6.87369e+06 223581 618332. 2139.56 1.54 0.0700718 0.0578442 25762 151098 -1 1833 20 1450 2403 162142 41252 3.33286 3.33286 -129.55 -3.33286 0 0 787024. 2723.27 0.32 0.05 0.15 -1 -1 0.32 0.0131642 0.0115269 114 34 64 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 7.99 vpr 64.91 MiB 0.02 7356 -1 -1 1 0.04 -1 -1 34352 -1 -1 35 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66468 31 32 387 307 1 193 98 17 17 289 -1 unnamed_device 25.9 MiB 3.38 1001 17198 4714 10441 2043 64.9 MiB 0.12 0.00 3.61625 -124.149 -3.61625 3.61625 0.85 0.000228934 0.000171638 0.0188985 0.0149469 -1 -1 -1 -1 34 2205 21 6.87369e+06 489084 618332. 2139.56 1.44 0.0819044 0.0669205 25762 151098 -1 1954 22 1734 2764 173962 40942 2.92916 2.92916 -118.791 -2.92916 0 0 787024. 2723.27 0.30 0.06 0.15 -1 -1 0.30 0.0146286 0.0126114 146 64 58 31 62 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 7.91 vpr 64.11 MiB 0.03 7084 -1 -1 1 0.04 -1 -1 34008 -1 -1 16 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65648 31 32 308 262 1 154 79 17 17 289 -1 unnamed_device 25.3 MiB 4.03 705 11402 3952 5571 1879 64.1 MiB 0.07 0.00 3.12958 -106.498 -3.12958 3.12958 0.85 0.000176076 0.000138904 0.0133504 0.0106724 -1 -1 -1 -1 30 1783 22 6.87369e+06 223581 556674. 1926.21 0.86 0.0424598 0.0348303 25186 138497 -1 1461 20 839 1414 82562 20213 2.61736 2.61736 -108.233 -2.61736 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.012421 0.0108331 103 55 31 31 53 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 7.70 vpr 64.36 MiB 0.02 7200 -1 -1 1 0.04 -1 -1 34212 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65908 32 32 383 307 1 190 101 17 17 289 -1 unnamed_device 25.6 MiB 3.60 1015 17256 4774 9685 2797 64.4 MiB 0.12 0.00 3.53695 -121.382 -3.53695 3.53695 0.85 0.000220342 0.000174081 0.018877 0.0152057 -1 -1 -1 -1 30 2407 22 6.87369e+06 517032 556674. 1926.21 0.95 0.0597464 0.0494751 25186 138497 -1 1961 17 1055 1700 93911 22381 2.76766 2.76766 -113.117 -2.76766 0 0 706193. 2443.58 0.29 0.04 0.13 -1 -1 0.29 0.0125476 0.0109791 143 65 52 26 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 8.54 vpr 64.74 MiB 0.02 7192 -1 -1 1 0.04 -1 -1 33996 -1 -1 39 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66292 31 32 422 339 1 196 102 17 17 289 -1 unnamed_device 25.8 MiB 4.49 920 10574 2369 7004 1201 64.7 MiB 0.09 0.00 3.59921 -120.128 -3.59921 3.59921 0.86 0.000246634 0.000195019 0.0123499 0.00988075 -1 -1 -1 -1 32 2388 33 6.87369e+06 544980 586450. 2029.24 1.01 0.0587072 0.048265 25474 144626 -1 1742 20 1510 2303 123990 30366 2.81766 2.81766 -115.082 -2.81766 0 0 744469. 2576.02 0.30 0.05 0.13 -1 -1 0.30 0.0160905 0.0140002 151 93 31 31 92 31 -fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 8.34 vpr 64.36 MiB 0.02 6932 -1 -1 1 0.04 -1 -1 33836 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65900 32 32 333 279 1 164 81 17 17 289 -1 unnamed_device 25.5 MiB 3.77 840 13206 3885 7374 1947 64.4 MiB 0.09 0.00 3.10562 -111.258 -3.10562 3.10562 0.89 0.000220926 0.000178759 0.0166457 0.0134444 -1 -1 -1 -1 34 2214 19 6.87369e+06 237555 618332. 2139.56 1.40 0.0692284 0.0569255 25762 151098 -1 1783 21 1165 1884 132818 31374 2.87726 2.87726 -114.346 -2.87726 0 0 787024. 2723.27 0.32 0.04 0.13 -1 -1 0.32 0.0120807 0.0104145 110 61 32 32 60 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 8.26 vpr 64.53 MiB 0.02 7048 -1 -1 1 0.03 -1 -1 33844 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66080 32 32 339 283 1 166 80 17 17 289 -1 unnamed_device 25.6 MiB 4.20 885 10744 2853 7291 600 64.5 MiB 0.08 0.00 3.52165 -124.831 -3.52165 3.52165 0.89 0.000192461 0.000151372 0.0143825 0.0115919 -1 -1 -1 -1 32 2324 23 6.87369e+06 223581 586450. 2029.24 0.98 0.0508054 0.0421806 25474 144626 -1 1985 22 1341 2306 170125 38936 3.03826 3.03826 -124.192 -3.03826 0 0 744469. 2576.02 0.30 0.06 0.12 -1 -1 0.30 0.0154408 0.013459 112 63 32 32 62 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 7.99 vpr 64.84 MiB 0.02 6996 -1 -1 1 0.04 -1 -1 34212 -1 -1 40 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66400 32 32 407 319 1 202 104 17 17 289 -1 unnamed_device 25.8 MiB 3.82 1034 13768 3498 9086 1184 64.8 MiB 0.11 0.00 4.29009 -146.616 -4.29009 4.29009 0.87 0.000232456 0.000183808 0.0160899 0.0128884 -1 -1 -1 -1 32 2668 24 6.87369e+06 558954 586450. 2029.24 0.99 0.0545159 0.0447664 25474 144626 -1 2213 24 2089 3270 208299 50527 3.7203 3.7203 -144.786 -3.7203 0 0 744469. 2576.02 0.32 0.07 0.12 -1 -1 0.32 0.0163404 0.0139712 157 65 64 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 7.38 vpr 64.42 MiB 0.03 7048 -1 -1 1 0.04 -1 -1 34004 -1 -1 34 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65968 29 32 367 293 1 185 95 17 17 289 -1 unnamed_device 25.8 MiB 3.13 889 11111 2716 7727 668 64.4 MiB 0.09 0.00 3.59107 -113.02 -3.59107 3.59107 0.88 0.000211895 0.00016766 0.0132555 0.010698 -1 -1 -1 -1 26 2656 37 6.87369e+06 475111 503264. 1741.40 1.09 0.0552023 0.0454833 24322 120374 -1 2090 20 1284 2072 149179 36191 3.19656 3.19656 -117.334 -3.19656 0 0 618332. 2139.56 0.25 0.05 0.11 -1 -1 0.25 0.0138575 0.0120455 140 62 56 29 58 29 -fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 11.24 vpr 65.00 MiB 0.02 7224 -1 -1 1 0.04 -1 -1 34296 -1 -1 40 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66556 32 32 469 381 1 202 104 17 17 289 -1 unnamed_device 26.0 MiB 6.62 962 19136 5921 10212 3003 65.0 MiB 0.14 0.00 4.29009 -146.603 -4.29009 4.29009 0.85 0.000245332 0.00019162 0.0212052 0.0167366 -1 -1 -1 -1 32 2999 24 6.87369e+06 558954 586450. 2029.24 1.51 0.0775636 0.0632562 25474 144626 -1 2262 23 1937 3272 235717 54831 4.1683 4.1683 -154.424 -4.1683 0 0 744469. 2576.02 0.29 0.07 0.13 -1 -1 0.29 0.0159424 0.0135695 157 127 0 0 128 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 5.28 vpr 64.05 MiB 0.02 7000 -1 -1 1 0.04 -1 -1 33908 -1 -1 16 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65584 31 32 259 212 1 155 79 17 17 289 -1 unnamed_device 25.2 MiB 1.42 806 7008 1536 4934 538 64.0 MiB 0.05 0.00 3.09052 -107.057 -3.09052 3.09052 0.87 0.000179438 0.000143467 0.009082 0.00745289 -1 -1 -1 -1 30 1972 22 6.87369e+06 223581 556674. 1926.21 0.92 0.0364231 0.0303697 25186 138497 -1 1568 19 817 1376 83363 19407 2.68766 2.68766 -109.355 -2.68766 0 0 706193. 2443.58 0.29 0.03 0.12 -1 -1 0.29 0.00890419 0.00776869 104 4 85 31 0 0 -fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 6.80 vpr 64.42 MiB 0.02 7176 -1 -1 1 0.04 -1 -1 33948 -1 -1 37 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65964 32 32 418 338 1 194 101 17 17 289 -1 unnamed_device 25.5 MiB 2.27 980 17961 5545 9859 2557 64.4 MiB 0.13 0.00 4.28709 -142.511 -4.28709 4.28709 0.86 0.000234881 0.000184997 0.0202386 0.0161602 -1 -1 -1 -1 34 2224 22 6.87369e+06 517032 618332. 2139.56 1.46 0.0842825 0.068848 25762 151098 -1 1870 22 1465 2223 131927 31674 3.606 3.606 -133.315 -3.606 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0149753 0.0128466 147 92 28 28 92 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 10.08 vpr 64.34 MiB 0.02 7016 -1 -1 1 0.04 -1 -1 33820 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65888 32 32 376 318 1 168 80 17 17 289 -1 unnamed_device 25.6 MiB 5.63 956 12808 4156 7121 1531 64.3 MiB 0.08 0.00 3.59615 -131.562 -3.59615 3.59615 0.86 0.000208762 0.000165088 0.0171792 0.0137879 -1 -1 -1 -1 34 2170 21 6.87369e+06 223581 618332. 2139.56 1.39 0.0719092 0.058862 25762 151098 -1 1878 20 1365 2002 131922 30480 2.89296 2.89296 -127.518 -2.89296 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0135418 0.0116847 114 96 0 0 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 7.85 vpr 64.75 MiB 0.02 7208 -1 -1 1 0.03 -1 -1 34344 -1 -1 39 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66300 32 32 401 316 1 199 103 17 17 289 -1 unnamed_device 25.8 MiB 3.69 1032 13358 3327 9257 774 64.7 MiB 0.11 0.00 3.59107 -126.232 -3.59107 3.59107 0.93 0.000231876 0.000184803 0.0161581 0.0130011 -1 -1 -1 -1 28 2606 40 6.87369e+06 544980 531479. 1839.03 1.04 0.0630534 0.0519722 24610 126494 -1 2145 22 1592 2496 172728 40799 2.96496 2.96496 -125.494 -2.96496 0 0 648988. 2245.63 0.25 0.06 0.11 -1 -1 0.25 0.0157376 0.0135099 153 65 61 32 64 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 10.19 vpr 65.26 MiB 0.03 7496 -1 -1 1 0.04 -1 -1 34484 -1 -1 47 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66828 32 32 500 382 1 236 111 17 17 289 -1 unnamed_device 26.2 MiB 5.89 1138 13943 3305 9918 720 65.3 MiB 0.12 0.00 4.94796 -166.013 -4.94796 4.94796 0.87 0.00027505 0.000217181 0.0169989 0.0135318 -1 -1 -1 -1 32 3372 24 6.87369e+06 656770 586450. 2029.24 1.11 0.0626 0.0514616 25474 144626 -1 2487 21 2310 3938 297465 67827 4.41925 4.41925 -164.459 -4.41925 0 0 744469. 2576.02 0.29 0.08 0.13 -1 -1 0.29 0.0175176 0.0151703 190 96 64 32 96 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 8.60 vpr 63.59 MiB 0.02 6832 -1 -1 1 0.04 -1 -1 33636 -1 -1 14 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65120 30 32 246 229 1 118 76 17 17 289 -1 unnamed_device 24.8 MiB 2.81 614 9036 2336 6266 434 63.6 MiB 0.05 0.00 2.80201 -90.6781 -2.80201 2.80201 0.97 0.000150553 0.000117523 0.0101122 0.00812368 -1 -1 -1 -1 28 1580 24 6.87369e+06 195634 531479. 1839.03 2.66 0.0589011 0.0480663 24610 126494 -1 1362 19 724 1046 86101 20031 2.08612 2.08612 -89.1475 -2.08612 0 0 648988. 2245.63 0.27 0.04 0.11 -1 -1 0.27 0.00933499 0.00809441 72 56 0 0 53 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 5.41 vpr 64.20 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 34136 -1 -1 18 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65744 30 32 296 244 1 158 80 17 17 289 -1 unnamed_device 25.3 MiB 1.32 761 12120 5037 6663 420 64.2 MiB 0.08 0.00 3.55905 -117.266 -3.55905 3.55905 0.87 0.00017626 0.000139469 0.0142 0.0114757 -1 -1 -1 -1 32 1841 36 6.87369e+06 251529 586450. 2029.24 0.94 0.0492163 0.0407116 25474 144626 -1 1498 22 1417 2088 130027 30932 3.00236 3.00236 -116.529 -3.00236 0 0 744469. 2576.02 0.30 0.05 0.14 -1 -1 0.30 0.0119945 0.0103626 109 34 60 30 30 30 -fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 6.84 vpr 64.06 MiB 0.02 6868 -1 -1 1 0.04 -1 -1 33816 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65596 32 32 314 256 1 168 80 17 17 289 -1 unnamed_device 25.3 MiB 2.23 871 12464 4691 5640 2133 64.1 MiB 0.09 0.00 3.52575 -126.323 -3.52575 3.52575 0.88 0.000181793 0.00014277 0.0149279 0.0119755 -1 -1 -1 -1 34 2467 23 6.87369e+06 223581 618332. 2139.56 1.51 0.0664137 0.0542897 25762 151098 -1 2034 23 1589 2886 214305 49458 3.10756 3.10756 -127.016 -3.10756 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0136632 0.0118894 114 34 64 32 32 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 4.77 vpr 63.91 MiB 0.02 7044 -1 -1 1 0.04 -1 -1 34032 -1 -1 37 25 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65448 25 32 251 214 1 139 94 17 17 289 -1 unnamed_device 25.1 MiB 0.99 742 15004 4316 8330 2358 63.9 MiB 0.08 0.00 3.44875 -93.4787 -3.44875 3.44875 0.87 0.000149765 0.000117719 0.0118425 0.00943396 -1 -1 -1 -1 30 1607 21 6.87369e+06 517032 556674. 1926.21 0.85 0.0360165 0.0294995 25186 138497 -1 1382 20 912 1515 78641 19457 2.68766 2.68766 -92.7847 -2.68766 0 0 706193. 2443.58 0.28 0.03 0.12 -1 -1 0.28 0.00899613 0.00776739 105 34 50 25 25 25 -fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 8.96 vpr 64.81 MiB 0.03 7336 -1 -1 1 0.04 -1 -1 34356 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66364 32 32 432 346 1 200 85 17 17 289 -1 unnamed_device 25.8 MiB 4.28 1048 13105 3502 8476 1127 64.8 MiB 0.11 0.00 4.14459 -143.334 -4.14459 4.14459 0.85 0.000243199 0.000192394 0.0193098 0.0155283 -1 -1 -1 -1 34 2660 23 6.87369e+06 293451 618332. 2139.56 1.51 0.0838972 0.0684686 25762 151098 -1 2187 22 1784 3336 219287 51630 3.9207 3.9207 -147.911 -3.9207 0 0 787024. 2723.27 0.32 0.06 0.14 -1 -1 0.32 0.01586 0.0136606 145 94 32 32 94 32 -fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 8.15 vpr 64.91 MiB 0.02 7332 -1 -1 1 0.04 -1 -1 34068 -1 -1 40 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66472 31 32 421 339 1 195 103 17 17 289 -1 unnamed_device 25.7 MiB 3.54 970 12635 3084 8691 860 64.9 MiB 0.10 0.00 3.61805 -122.805 -3.61805 3.61805 0.92 0.000243539 0.000192893 0.0145155 0.0114371 -1 -1 -1 -1 34 2294 21 6.87369e+06 558954 618332. 2139.56 1.44 0.0759609 0.0618983 25762 151098 -1 1898 21 1673 2662 152834 38172 2.85066 2.85066 -119.203 -2.85066 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0146186 0.0125448 151 94 29 29 93 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 7.63 vpr 64.77 MiB 0.02 7348 -1 -1 1 0.04 -1 -1 34300 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66328 32 32 438 350 1 295 93 17 17 289 -1 unnamed_device 25.8 MiB 2.77 1423 18363 6491 9531 2341 64.8 MiB 0.14 0.00 5.12584 -175.071 -5.12584 5.12584 0.87 0.000249435 0.000199383 0.0245205 0.0198698 -1 -1 -1 -1 34 3583 24 6.89349e+06 408721 618332. 2139.56 1.58 0.0901244 0.0736782 25762 151098 -1 2911 20 2145 2556 173727 39252 4.66075 4.66075 -171.782 -4.66075 0 0 787024. 2723.27 0.30 0.06 0.14 -1 -1 0.30 0.0158441 0.0137975 192 96 32 32 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 9.48 vpr 64.69 MiB 0.02 7312 -1 -1 1 0.04 -1 -1 34432 -1 -1 29 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66240 30 32 409 330 1 261 91 17 17 289 -1 unnamed_device 25.7 MiB 2.35 1164 10291 2498 6711 1082 64.7 MiB 0.09 0.00 5.38747 -162.07 -5.38747 5.38747 0.86 0.000227334 0.000180122 0.0131237 0.0105631 -1 -1 -1 -1 40 2667 31 6.89349e+06 408721 706193. 2443.58 3.96 0.119625 0.0977978 26914 176310 -1 2519 20 1905 2592 174568 39251 4.17608 4.17608 -148.323 -4.17608 0 0 926341. 3205.33 0.35 0.06 0.17 -1 -1 0.35 0.0148175 0.012744 177 91 30 30 89 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 7.53 vpr 64.68 MiB 0.02 6992 -1 -1 1 0.04 -1 -1 34292 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66236 32 32 387 309 1 253 89 17 17 289 -1 unnamed_device 25.7 MiB 2.79 1242 15533 4163 9385 1985 64.7 MiB 0.12 0.00 4.07746 -141.47 -4.07746 4.07746 0.87 0.00021432 0.000169523 0.0193953 0.0155903 -1 -1 -1 -1 34 2931 41 6.89349e+06 352346 618332. 2139.56 1.52 0.0896612 0.0737059 25762 151098 -1 2313 21 1385 1697 120804 26775 3.6673 3.6673 -138.504 -3.6673 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0165608 0.0144027 167 65 54 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 7.65 vpr 64.16 MiB 0.02 7120 -1 -1 1 0.04 -1 -1 34056 -1 -1 25 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65700 29 32 343 267 1 209 86 17 17 289 -1 unnamed_device 25.5 MiB 3.00 970 11615 3398 7414 803 64.2 MiB 0.09 0.00 4.55805 -138.177 -4.55805 4.55805 0.89 0.000199864 0.000158805 0.0142296 0.011488 -1 -1 -1 -1 34 2386 21 6.89349e+06 352346 618332. 2139.56 1.52 0.0689632 0.0566047 25762 151098 -1 1840 21 1400 2185 125879 30266 3.66206 3.66206 -131.432 -3.66206 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0131637 0.0114584 148 34 87 29 29 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 7.94 vpr 64.04 MiB 0.02 7028 -1 -1 1 0.04 -1 -1 34008 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65576 32 32 376 288 1 233 88 17 17 289 -1 unnamed_device 25.2 MiB 3.14 1348 11398 3143 6769 1486 64.0 MiB 0.11 0.00 5.03124 -172.569 -5.03124 5.03124 0.91 0.000226563 0.000180161 0.0156192 0.0126565 -1 -1 -1 -1 34 3306 24 6.89349e+06 338252 618332. 2139.56 1.60 0.0647179 0.0533209 25762 151098 -1 2810 22 2202 3807 239316 54864 4.41639 4.41639 -164.458 -4.41639 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0141029 0.0121534 163 34 96 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 7.81 vpr 64.62 MiB 0.02 7204 -1 -1 1 0.05 -1 -1 34104 -1 -1 41 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66172 32 32 402 316 1 257 105 17 17 289 -1 unnamed_device 25.6 MiB 2.89 1504 20359 5925 11930 2504 64.6 MiB 0.17 0.00 4.44565 -148.692 -4.44565 4.44565 0.91 0.000253833 0.000203267 0.0220154 0.0175179 -1 -1 -1 -1 34 3391 30 6.89349e+06 577847 618332. 2139.56 1.52 0.0882629 0.0721149 25762 151098 -1 2877 20 1847 2992 187597 43044 3.3856 3.3856 -133.706 -3.3856 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.0178617 0.0156671 179 64 63 32 63 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 5.77 vpr 64.34 MiB 0.02 6868 -1 -1 1 0.03 -1 -1 34024 -1 -1 21 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65880 27 32 269 226 1 164 80 17 17 289 -1 unnamed_device 25.4 MiB 1.90 736 14528 4306 9196 1026 64.3 MiB 0.09 0.00 3.83226 -109.478 -3.83226 3.83226 0.85 0.000168821 0.000132794 0.0152965 0.0122355 -1 -1 -1 -1 30 2004 33 6.89349e+06 295971 556674. 1926.21 0.96 0.047321 0.0388631 25186 138497 -1 1617 20 1157 1681 96912 23589 3.00146 3.00146 -107.805 -3.00146 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0102131 0.00884307 112 34 54 27 27 27 -fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 5.75 vpr 64.38 MiB 0.02 7072 -1 -1 1 0.04 -1 -1 33712 -1 -1 35 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65928 31 32 317 242 1 187 98 17 17 289 -1 unnamed_device 25.5 MiB 1.15 921 14273 4376 7304 2593 64.4 MiB 0.10 0.00 3.53335 -112.444 -3.53335 3.53335 0.88 0.000229553 0.000175331 0.0144201 0.0115803 -1 -1 -1 -1 34 2367 23 6.89349e+06 493284 618332. 2139.56 1.50 0.0688129 0.0556378 25762 151098 -1 1894 20 1192 2064 146857 38040 2.68161 2.68161 -106.176 -2.68161 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.011462 0.00985936 141 4 115 31 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 7.02 vpr 64.14 MiB 0.02 7080 -1 -1 1 0.04 -1 -1 33992 -1 -1 21 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65676 31 32 338 292 1 226 84 17 17 289 -1 unnamed_device 25.5 MiB 2.26 958 7221 1428 5393 400 64.1 MiB 0.06 0.00 3.63689 -118.334 -3.63689 3.63689 0.89 0.000187104 0.000147314 0.00981488 0.00801332 -1 -1 -1 -1 34 2630 31 6.89349e+06 295971 618332. 2139.56 1.57 0.0713604 0.0588309 25762 151098 -1 1968 20 1487 1805 126653 30887 3.03746 3.03746 -117.43 -3.03746 0 0 787024. 2723.27 0.34 0.05 0.14 -1 -1 0.34 0.0126551 0.010964 141 85 0 0 84 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 6.88 vpr 64.14 MiB 0.02 7052 -1 -1 1 0.04 -1 -1 33928 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65684 32 32 314 256 1 193 83 17 17 289 -1 unnamed_device 25.3 MiB 2.30 907 7463 1702 5402 359 64.1 MiB 0.06 0.00 3.77475 -131.313 -3.77475 3.77475 0.87 0.000187845 0.000148058 0.00948798 0.00770994 -1 -1 -1 -1 34 2297 23 6.89349e+06 267783 618332. 2139.56 1.42 0.0600409 0.049642 25762 151098 -1 1909 21 1467 1948 141700 32745 3.08271 3.08271 -126.754 -3.08271 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0120613 0.0104708 127 34 64 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 7.30 vpr 64.23 MiB 0.02 7080 -1 -1 1 0.03 -1 -1 33848 -1 -1 21 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65768 30 32 325 273 1 203 83 17 17 289 -1 unnamed_device 25.4 MiB 2.73 945 6923 1685 4939 299 64.2 MiB 0.06 0.00 4.3573 -138.042 -4.3573 4.3573 0.86 0.000201196 0.000161795 0.00938774 0.00768343 -1 -1 -1 -1 34 2441 23 6.89349e+06 295971 618332. 2139.56 1.50 0.062094 0.051219 25762 151098 -1 1980 20 1489 2020 136754 31717 3.8569 3.8569 -140.602 -3.8569 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0125292 0.0108714 135 63 30 30 60 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 7.36 vpr 64.39 MiB 0.02 6996 -1 -1 1 0.03 -1 -1 33972 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65936 32 32 331 280 1 215 84 17 17 289 -1 unnamed_device 25.5 MiB 2.55 879 15822 5947 6978 2897 64.4 MiB 0.10 0.00 3.8521 -121.987 -3.8521 3.8521 0.89 0.000196412 0.000155369 0.0188877 0.0151892 -1 -1 -1 -1 34 2631 49 6.89349e+06 281877 618332. 2139.56 1.63 0.0749337 0.0615648 25762 151098 -1 1815 17 1150 1285 88992 22042 3.20001 3.20001 -113.739 -3.20001 0 0 787024. 2723.27 0.32 0.04 0.13 -1 -1 0.32 0.0121447 0.0107 135 65 25 25 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 6.95 vpr 64.32 MiB 0.03 7300 -1 -1 1 0.04 -1 -1 33588 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65864 32 32 386 305 1 240 89 17 17 289 -1 unnamed_device 25.5 MiB 1.78 1132 13751 4297 6849 2605 64.3 MiB 0.10 0.00 4.33609 -144.609 -4.33609 4.33609 0.87 0.000219266 0.000173491 0.0180367 0.0145949 -1 -1 -1 -1 38 3022 49 6.89349e+06 352346 678818. 2348.85 1.99 0.084906 0.0705301 26626 170182 -1 2307 20 1679 2333 216757 46516 3.3494 3.3494 -134.484 -3.3494 0 0 902133. 3121.57 0.32 0.06 0.15 -1 -1 0.32 0.0138253 0.0119761 161 58 64 32 57 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 9.94 vpr 64.29 MiB 0.03 7092 -1 -1 1 0.04 -1 -1 34116 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65832 32 32 407 319 1 264 92 17 17 289 -1 unnamed_device 25.5 MiB 2.66 1321 17480 4867 10322 2291 64.3 MiB 0.14 0.00 5.11569 -169.051 -5.11569 5.11569 0.88 0.000229908 0.000182894 0.021986 0.0177497 -1 -1 -1 -1 36 2974 23 6.89349e+06 394628 648988. 2245.63 3.87 0.120708 0.099194 26050 158493 -1 2638 23 2149 2863 209779 47700 4.51645 4.51645 -166.711 -4.51645 0 0 828058. 2865.25 0.33 0.07 0.14 -1 -1 0.33 0.0168298 0.0145773 175 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 6.37 vpr 63.79 MiB 0.02 7044 -1 -1 1 0.03 -1 -1 34380 -1 -1 21 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65320 29 32 272 228 1 171 82 17 17 289 -1 unnamed_device 25.0 MiB 1.99 818 11296 2810 7489 997 63.8 MiB 0.07 0.00 3.60615 -110.973 -3.60615 3.60615 0.85 0.000173609 0.00013809 0.0125468 0.0101818 -1 -1 -1 -1 34 2017 22 6.89349e+06 295971 618332. 2139.56 1.38 0.0570265 0.0468866 25762 151098 -1 1663 20 989 1364 97286 23647 2.72886 2.72886 -102.576 -2.72886 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0104027 0.00905202 112 29 58 29 24 24 -fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 8.72 vpr 64.54 MiB 0.03 7092 -1 -1 1 0.04 -1 -1 33716 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66088 32 32 401 315 1 256 89 17 17 289 -1 unnamed_device 25.6 MiB 3.62 1331 17315 6030 8650 2635 64.5 MiB 0.15 0.00 4.31019 -149.022 -4.31019 4.31019 0.89 0.00023482 0.000178374 0.0227421 0.0181475 -1 -1 -1 -1 34 3522 49 6.89349e+06 352346 618332. 2139.56 1.86 0.104709 0.0861628 25762 151098 -1 2874 21 2391 3714 261089 57949 3.5988 3.5988 -146.281 -3.5988 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0170649 0.0149366 174 63 64 32 62 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 6.42 vpr 64.59 MiB 0.03 7204 -1 -1 1 0.04 -1 -1 34032 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66144 32 32 383 303 1 236 89 17 17 289 -1 unnamed_device 25.8 MiB 1.69 1195 12959 3577 7748 1634 64.6 MiB 0.11 0.00 3.72345 -132.536 -3.72345 3.72345 0.91 0.000241694 0.000193082 0.0186371 0.0150399 -1 -1 -1 -1 34 2729 22 6.89349e+06 352346 618332. 2139.56 1.49 0.0838167 0.0690827 25762 151098 -1 2362 21 1803 2277 162810 37088 2.94846 2.94846 -122.186 -2.94846 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0142159 0.0123128 160 57 64 32 56 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 7.96 vpr 64.31 MiB 0.02 7200 -1 -1 1 0.03 -1 -1 33964 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65852 32 32 339 284 1 224 86 17 17 289 -1 unnamed_device 25.2 MiB 3.05 1016 15395 4898 7558 2939 64.3 MiB 0.11 0.00 3.65519 -124.98 -3.65519 3.65519 0.86 0.000192715 0.000152064 0.0184574 0.0148463 -1 -1 -1 -1 36 2782 44 6.89349e+06 310065 648988. 2245.63 1.63 0.0820992 0.0670851 26050 158493 -1 2121 19 1558 2105 156226 35670 2.90126 2.90126 -114.71 -2.90126 0 0 828058. 2865.25 0.31 0.05 0.14 -1 -1 0.31 0.0108495 0.00934372 139 65 29 29 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 5.89 vpr 63.40 MiB 0.02 6784 -1 -1 1 0.03 -1 -1 33944 -1 -1 15 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64920 30 32 226 208 1 143 77 17 17 289 -1 unnamed_device 24.7 MiB 1.57 571 8227 1989 5670 568 63.4 MiB 0.05 0.00 3.06366 -95.2969 -3.06366 3.06366 0.86 0.000144913 0.000113014 0.00924786 0.00743868 -1 -1 -1 -1 34 1517 17 6.89349e+06 211408 618332. 2139.56 1.37 0.046054 0.0377814 25762 151098 -1 1196 19 676 799 60452 14854 2.27687 2.27687 -85.0225 -2.27687 0 0 787024. 2723.27 0.30 0.03 0.13 -1 -1 0.30 0.00854809 0.00741476 85 34 24 24 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 7.12 vpr 64.25 MiB 0.02 7264 -1 -1 1 0.03 -1 -1 33740 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65796 31 32 335 280 1 217 85 17 17 289 -1 unnamed_device 25.3 MiB 2.45 1128 13663 4696 6887 2080 64.3 MiB 0.10 0.00 4.28999 -147.715 -4.28999 4.28999 0.91 0.000192506 0.000151655 0.0158885 0.0127497 -1 -1 -1 -1 34 2537 21 6.89349e+06 310065 618332. 2139.56 1.43 0.0684575 0.0560274 25762 151098 -1 2132 22 1387 1842 122955 28752 3.56075 3.56075 -139.207 -3.56075 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0129941 0.011256 141 64 31 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 6.50 vpr 64.57 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 34004 -1 -1 40 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66120 32 32 366 283 1 228 104 17 17 289 -1 unnamed_device 25.5 MiB 1.78 1108 20112 5949 11325 2838 64.6 MiB 0.14 0.00 4.59713 -153.571 -4.59713 4.59713 0.87 0.000245143 0.000189816 0.0226261 0.0183274 -1 -1 -1 -1 34 2575 28 6.89349e+06 563754 618332. 2139.56 1.57 0.0890687 0.0738532 25762 151098 -1 2268 21 1835 2622 191037 42561 3.98144 3.98144 -148.486 -3.98144 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0134447 0.011626 166 34 91 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 7.38 vpr 64.93 MiB 0.03 7284 -1 -1 1 0.04 -1 -1 34232 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66488 32 32 460 375 1 309 95 17 17 289 -1 unnamed_device 25.8 MiB 2.58 1494 19967 6137 11054 2776 64.9 MiB 0.16 0.00 4.36459 -147.604 -4.36459 4.36459 0.89 0.000258961 0.000207763 0.0260931 0.0209489 -1 -1 -1 -1 34 3577 26 6.89349e+06 436909 618332. 2139.56 1.49 0.083905 0.0682136 25762 151098 -1 2909 22 2143 2476 189385 42261 4.05586 4.05586 -145.497 -4.05586 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.0170016 0.0147193 201 124 0 0 125 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 5.79 vpr 63.54 MiB 0.02 6800 -1 -1 1 0.03 -1 -1 34416 -1 -1 18 26 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65064 26 32 198 186 1 129 76 17 17 289 -1 unnamed_device 24.8 MiB 1.82 673 10476 3641 5320 1515 63.5 MiB 0.05 0.00 2.84541 -82.0128 -2.84541 2.84541 0.89 0.000126167 9.8846e-05 0.00974562 0.00783064 -1 -1 -1 -1 30 1519 18 6.89349e+06 253689 556674. 1926.21 0.86 0.0313581 0.0259883 25186 138497 -1 1300 14 458 589 49111 10218 1.98531 1.98531 -76.0034 -1.98531 0 0 706193. 2443.58 0.28 0.02 0.12 -1 -1 0.28 0.00659166 0.00582623 77 30 26 26 22 22 -fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 6.38 vpr 64.24 MiB 0.02 7172 -1 -1 1 0.04 -1 -1 33888 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65780 32 32 333 251 1 196 85 17 17 289 -1 unnamed_device 25.4 MiB 1.77 999 9757 2585 6607 565 64.2 MiB 0.08 0.00 4.12784 -138.698 -4.12784 4.12784 0.91 0.000278145 0.000218498 0.0129663 0.0105452 -1 -1 -1 -1 30 2932 31 6.89349e+06 295971 556674. 1926.21 1.38 0.0539685 0.0450128 25186 138497 -1 2151 21 1342 2407 149577 35042 3.75825 3.75825 -145.955 -3.75825 0 0 706193. 2443.58 0.28 0.05 0.13 -1 -1 0.28 0.0132114 0.0115035 141 3 122 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 5.07 vpr 63.43 MiB 0.02 6792 -1 -1 1 0.03 -1 -1 34024 -1 -1 12 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64948 32 32 199 182 1 122 76 17 17 289 -1 unnamed_device 25.0 MiB 0.64 505 8236 1869 5918 449 63.4 MiB 0.05 0.00 2.24722 -82.618 -2.24722 2.24722 0.89 0.000138794 0.000109252 0.0091896 0.00743349 -1 -1 -1 -1 34 1324 20 6.89349e+06 169126 618332. 2139.56 1.38 0.0478992 0.039687 25762 151098 -1 1173 18 652 961 62150 16149 1.82346 1.82346 -83.193 -1.82346 0 0 787024. 2723.27 0.30 0.03 0.13 -1 -1 0.30 0.0076404 0.00664647 71 3 53 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 6.55 vpr 64.32 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 33932 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65868 32 32 376 288 1 233 89 17 17 289 -1 unnamed_device 25.4 MiB 1.86 1083 14147 4068 9141 938 64.3 MiB 0.12 0.00 4.6633 -160.25 -4.6633 4.6633 0.93 0.000221054 0.000175332 0.0191431 0.0157052 -1 -1 -1 -1 34 2623 21 6.89349e+06 352346 618332. 2139.56 1.46 0.0787488 0.0651629 25762 151098 -1 2102 21 1536 2172 139035 34103 3.81736 3.81736 -151.239 -3.81736 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0142003 0.0123584 161 34 96 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 5.30 vpr 64.18 MiB 0.02 7096 -1 -1 1 0.03 -1 -1 34076 -1 -1 36 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65720 32 32 337 253 1 198 100 17 17 289 -1 unnamed_device 25.3 MiB 1.20 894 11004 2536 7514 954 64.2 MiB 0.09 0.00 3.4709 -118.467 -3.4709 3.4709 0.90 0.000225459 0.000168064 0.0123841 0.00995613 -1 -1 -1 -1 28 2616 30 6.89349e+06 507378 531479. 1839.03 1.07 0.0549895 0.0458306 24610 126494 -1 2228 19 1439 2286 137446 35405 2.97511 2.97511 -123.298 -2.97511 0 0 648988. 2245.63 0.26 0.05 0.11 -1 -1 0.26 0.013172 0.0113822 151 3 124 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 7.89 vpr 64.52 MiB 0.03 7072 -1 -1 1 0.04 -1 -1 34060 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66072 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 25.7 MiB 2.99 1339 13155 3540 7510 2105 64.5 MiB 0.12 0.00 4.61325 -160.256 -4.61325 4.61325 0.91 0.000253812 0.000203535 0.0186902 0.0150957 -1 -1 -1 -1 34 3313 21 6.89349e+06 366440 618332. 2139.56 1.54 0.0809702 0.0664084 25762 151098 -1 2604 20 1886 2713 181745 40761 3.90496 3.90496 -153.691 -3.90496 0 0 787024. 2723.27 0.33 0.06 0.13 -1 -1 0.33 0.0159507 0.0139984 174 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 7.73 vpr 63.94 MiB 0.02 7068 -1 -1 1 0.04 -1 -1 33968 -1 -1 17 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65476 32 32 294 246 1 188 81 17 17 289 -1 unnamed_device 25.0 MiB 2.85 861 14256 5425 7077 1754 63.9 MiB 0.09 0.00 3.57625 -122.891 -3.57625 3.57625 0.89 0.000179294 0.000142013 0.0163916 0.0132264 -1 -1 -1 -1 34 2414 34 6.89349e+06 239595 618332. 2139.56 1.71 0.0720952 0.0592134 25762 151098 -1 1972 19 1414 1996 155358 35031 2.91696 2.91696 -122.17 -2.91696 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.010578 0.00919664 118 34 54 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 7.27 vpr 64.18 MiB 0.02 7016 -1 -1 1 0.04 -1 -1 33992 -1 -1 19 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65724 30 32 296 244 1 182 81 17 17 289 -1 unnamed_device 25.3 MiB 2.51 1065 12331 4521 6770 1040 64.2 MiB 0.09 0.00 4.27029 -139.787 -4.27029 4.27029 0.88 0.000175798 0.000138692 0.0141214 0.0113788 -1 -1 -1 -1 34 2567 30 6.89349e+06 267783 618332. 2139.56 1.52 0.0651352 0.0533779 25762 151098 -1 2190 20 1502 2346 187172 39298 3.49985 3.49985 -138.154 -3.49985 0 0 787024. 2723.27 0.31 0.05 0.15 -1 -1 0.31 0.0113483 0.00986716 121 34 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 7.14 vpr 63.97 MiB 0.02 7052 -1 -1 1 0.03 -1 -1 33904 -1 -1 21 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65504 28 32 278 232 1 173 81 17 17 289 -1 unnamed_device 25.1 MiB 3.05 765 11106 3048 7362 696 64.0 MiB 0.08 0.00 4.28325 -126.024 -4.28325 4.28325 0.87 0.000200348 0.000161865 0.0125073 0.0101188 -1 -1 -1 -1 30 2277 23 6.89349e+06 295971 556674. 1926.21 1.03 0.0423152 0.0349773 25186 138497 -1 1697 22 1124 1846 107453 26074 3.55595 3.55595 -122.845 -3.55595 0 0 706193. 2443.58 0.28 0.04 0.13 -1 -1 0.28 0.011035 0.00953695 115 34 56 28 28 28 -fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 6.39 vpr 64.10 MiB 0.02 7016 -1 -1 1 0.03 -1 -1 33820 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65636 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 25.2 MiB 1.79 856 14700 5411 7261 2028 64.1 MiB 0.10 0.00 3.66161 -130.921 -3.66161 3.66161 0.87 0.000177824 0.000140661 0.0168182 0.0135649 -1 -1 -1 -1 34 2177 21 6.89349e+06 225501 618332. 2139.56 1.52 0.0675572 0.0561074 25762 151098 -1 1897 21 1382 2387 171811 37083 2.92106 2.92106 -127.327 -2.92106 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0116462 0.010097 114 3 96 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 6.93 vpr 64.16 MiB 0.02 7136 -1 -1 1 0.04 -1 -1 34188 -1 -1 19 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65696 31 32 303 249 1 191 82 17 17 289 -1 unnamed_device 25.4 MiB 2.19 976 14322 4714 7465 2143 64.2 MiB 0.10 0.00 3.81397 -130.472 -3.81397 3.81397 0.89 0.000185833 0.000146275 0.0165877 0.0133433 -1 -1 -1 -1 34 2278 30 6.89349e+06 267783 618332. 2139.56 1.47 0.0699933 0.0576751 25762 151098 -1 1938 21 1186 1746 114542 27145 2.89006 2.89006 -118.505 -2.89006 0 0 787024. 2723.27 0.30 0.04 0.14 -1 -1 0.30 0.0117794 0.0102476 121 34 61 31 31 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 7.02 vpr 64.14 MiB 0.02 7108 -1 -1 1 0.03 -1 -1 33872 -1 -1 23 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65676 29 32 312 264 1 201 84 17 17 289 -1 unnamed_device 25.4 MiB 2.44 1053 14724 4750 7690 2284 64.1 MiB 0.10 0.00 3.61135 -116.611 -3.61135 3.61135 0.88 0.00018125 0.000142689 0.0168134 0.0135136 -1 -1 -1 -1 34 2295 20 6.89349e+06 324158 618332. 2139.56 1.38 0.0644406 0.0526764 25762 151098 -1 2003 23 1331 1727 112624 25983 2.88556 2.88556 -108.962 -2.88556 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.0123919 0.0106635 130 61 29 29 57 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 7.66 vpr 64.67 MiB 0.03 7340 -1 -1 1 0.04 -1 -1 34068 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66224 32 32 423 310 1 254 91 17 17 289 -1 unnamed_device 25.6 MiB 2.54 1309 18247 5425 10282 2540 64.7 MiB 0.16 0.00 4.62495 -157.212 -4.62495 4.62495 0.87 0.000248194 0.000197 0.0254443 0.0206875 -1 -1 -1 -1 34 3343 23 6.89349e+06 380534 618332. 2139.56 1.76 0.0946507 0.0781771 25762 151098 -1 2792 22 2096 3470 279173 58813 3.87266 3.87266 -152.209 -3.87266 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0179346 0.0155053 184 29 128 32 27 27 -fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 7.99 vpr 64.74 MiB 0.02 7148 -1 -1 1 0.03 -1 -1 34056 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66292 32 32 403 317 1 260 89 17 17 289 -1 unnamed_device 25.8 MiB 3.16 1141 14147 3989 7288 2870 64.7 MiB 0.11 0.00 4.18754 -143.488 -4.18754 4.18754 0.92 0.000229642 0.000180724 0.0186158 0.0149997 -1 -1 -1 -1 34 3482 25 6.89349e+06 352346 618332. 2139.56 1.58 0.0750444 0.0615257 25762 151098 -1 2727 22 2555 3575 269354 60960 3.87465 3.87465 -149.282 -3.87465 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0152284 0.0131567 173 65 62 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 6.55 vpr 64.38 MiB 0.02 7184 -1 -1 1 0.04 -1 -1 34380 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65920 31 32 353 302 1 229 85 17 17 289 -1 unnamed_device 25.4 MiB 1.90 1094 14965 4631 8028 2306 64.4 MiB 0.11 0.00 3.69435 -123.755 -3.69435 3.69435 0.91 0.00020389 0.000159454 0.0185084 0.0149033 -1 -1 -1 -1 34 2399 20 6.89349e+06 310065 618332. 2139.56 1.40 0.0718334 0.0589707 25762 151098 -1 2062 21 1282 1331 96405 22139 3.01705 3.01705 -116.878 -3.01705 0 0 787024. 2723.27 0.30 0.04 0.15 -1 -1 0.30 0.0126387 0.0109434 143 90 0 0 89 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 8.13 vpr 64.39 MiB 0.02 7192 -1 -1 1 0.03 -1 -1 34180 -1 -1 26 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65936 31 32 391 309 1 246 89 17 17 289 -1 unnamed_device 25.5 MiB 3.41 1248 13157 3309 8741 1107 64.4 MiB 0.11 0.00 4.45339 -147.016 -4.45339 4.45339 0.89 0.000221631 0.000175109 0.0167085 0.0134795 -1 -1 -1 -1 34 3100 24 6.89349e+06 366440 618332. 2139.56 1.58 0.0825087 0.0681385 25762 151098 -1 2555 19 1713 2442 149720 36465 3.8506 3.8506 -145.517 -3.8506 0 0 787024. 2723.27 0.32 0.05 0.13 -1 -1 0.32 0.0134436 0.0116491 170 64 60 30 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 8.35 vpr 64.84 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 34396 -1 -1 31 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66396 31 32 455 371 1 307 94 17 17 289 -1 unnamed_device 25.8 MiB 3.03 1502 16069 5112 8143 2814 64.8 MiB 0.13 0.00 5.11799 -165.069 -5.11799 5.11799 0.88 0.000247879 0.000195267 0.0216887 0.017533 -1 -1 -1 -1 34 4206 30 6.89349e+06 436909 618332. 2139.56 1.95 0.0851159 0.0696363 25762 151098 -1 2726 22 2164 2416 187464 43029 4.43845 4.43845 -158.088 -4.43845 0 0 787024. 2723.27 0.37 0.08 0.14 -1 -1 0.37 0.0211301 0.0185874 201 124 0 0 124 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 12.11 vpr 64.53 MiB 0.02 7476 -1 -1 1 0.04 -1 -1 34172 -1 -1 28 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66076 31 32 413 333 1 269 91 17 17 289 -1 unnamed_device 25.6 MiB 3.62 1430 16615 4445 10446 1724 64.5 MiB 0.14 0.00 5.49016 -175.123 -5.49016 5.49016 0.88 0.00022731 0.000178948 0.0209645 0.0168323 -1 -1 -1 -1 34 3605 42 6.89349e+06 394628 618332. 2139.56 5.25 0.143535 0.11688 25762 151098 -1 2725 21 2043 2783 193880 46103 5.00104 5.00104 -179.106 -5.00104 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.015971 0.013883 181 90 31 31 89 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 7.68 vpr 64.41 MiB 0.02 7180 -1 -1 1 0.04 -1 -1 34088 -1 -1 27 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65952 31 32 391 309 1 249 90 17 17 289 -1 unnamed_device 25.7 MiB 2.86 1345 14763 4031 8768 1964 64.4 MiB 0.12 0.00 3.73835 -129.663 -3.73835 3.73835 0.90 0.000218584 0.000172944 0.0184562 0.0148508 -1 -1 -1 -1 38 2814 21 6.89349e+06 380534 678818. 2348.85 1.55 0.0645464 0.0529791 26626 170182 -1 2613 20 1971 2785 205552 43378 3.08756 3.08756 -123.763 -3.08756 0 0 902133. 3121.57 0.32 0.06 0.14 -1 -1 0.32 0.0143077 0.0123979 168 64 60 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 9.74 vpr 64.58 MiB 0.02 7028 -1 -1 1 0.04 -1 -1 34260 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66132 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 25.6 MiB 2.97 1277 12127 3340 7626 1161 64.6 MiB 0.11 0.00 4.64285 -160.85 -4.64285 4.64285 0.86 0.000233468 0.000185419 0.0159837 0.0129818 -1 -1 -1 -1 42 2680 26 6.89349e+06 380534 744469. 2576.02 3.40 0.107135 0.08832 27202 183097 -1 2387 23 1789 2426 174547 39309 3.74226 3.74226 -149.887 -3.74226 0 0 949917. 3286.91 0.35 0.06 0.18 -1 -1 0.35 0.0161774 0.0140444 178 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 9.74 vpr 65.06 MiB 0.03 7408 -1 -1 1 0.04 -1 -1 34296 -1 -1 31 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66624 32 32 496 380 1 321 95 17 17 289 -1 unnamed_device 26.0 MiB 3.53 1586 15215 4372 9081 1762 65.1 MiB 0.17 0.00 5.09938 -172.571 -5.09938 5.09938 0.86 0.000280085 0.000222639 0.0231495 0.0185896 -1 -1 -1 -1 34 4678 26 6.89349e+06 436909 618332. 2139.56 2.87 0.110645 0.0916277 25762 151098 -1 3580 25 3664 5361 461227 98192 4.53385 4.53385 -170.767 -4.53385 0 0 787024. 2723.27 0.32 0.11 0.13 -1 -1 0.32 0.0199797 0.0170991 220 96 62 32 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 6.70 vpr 63.93 MiB 0.02 7120 -1 -1 1 0.03 -1 -1 33952 -1 -1 20 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65468 31 32 305 250 1 192 83 17 17 289 -1 unnamed_device 25.3 MiB 2.28 830 6023 1193 4490 340 63.9 MiB 0.05 0.00 3.9423 -130.606 -3.9423 3.9423 0.85 0.000179976 0.000142606 0.00753976 0.00617054 -1 -1 -1 -1 34 2043 21 6.89349e+06 281877 618332. 2139.56 1.42 0.0581704 0.0481874 25762 151098 -1 1687 19 1205 1637 104435 25567 2.96021 2.96021 -118.758 -2.96021 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0108032 0.00942018 127 34 62 31 31 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 7.76 vpr 64.64 MiB 0.03 7208 -1 -1 1 0.05 -1 -1 34020 -1 -1 27 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66188 31 32 395 311 1 251 90 17 17 289 -1 unnamed_device 25.7 MiB 2.67 1300 17376 7374 9051 951 64.6 MiB 0.14 0.00 5.04154 -162.723 -5.04154 5.04154 0.86 0.000221877 0.000175193 0.0218348 0.0173714 -1 -1 -1 -1 36 2979 31 6.89349e+06 380534 648988. 2245.63 1.78 0.0870993 0.0711526 26050 158493 -1 2355 30 1784 2116 262650 99109 4.01225 4.01225 -148.019 -4.01225 0 0 828058. 2865.25 0.33 0.09 0.14 -1 -1 0.33 0.0192233 0.0164586 168 64 62 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 9.03 vpr 64.33 MiB 0.02 7324 -1 -1 1 0.04 -1 -1 34284 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65876 32 32 397 313 1 254 91 17 17 289 -1 unnamed_device 25.4 MiB 3.28 1335 15391 6333 8071 987 64.3 MiB 0.12 0.00 4.35365 -146.749 -4.35365 4.35365 0.87 0.000221356 0.000174535 0.019041 0.0152035 -1 -1 -1 -1 36 3404 41 6.89349e+06 380534 648988. 2245.63 2.55 0.103187 0.0850863 26050 158493 -1 2670 21 1698 2699 224309 49839 3.5952 3.5952 -139.818 -3.5952 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.0151364 0.0131436 172 63 62 32 62 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 6.98 vpr 64.19 MiB 0.02 7128 -1 -1 1 0.04 -1 -1 33984 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65732 32 32 345 257 1 202 85 17 17 289 -1 unnamed_device 25.3 MiB 2.14 954 14593 3799 10158 636 64.2 MiB 0.11 0.00 4.3344 -147.889 -4.3344 4.3344 0.90 0.000208893 0.000165271 0.0181503 0.0144733 -1 -1 -1 -1 34 2879 27 6.89349e+06 295971 618332. 2139.56 1.65 0.0767847 0.0630041 25762 151098 -1 2150 21 1703 2993 185550 44829 3.93041 3.93041 -155.766 -3.93041 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0129997 0.0112486 147 3 128 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 7.20 vpr 64.77 MiB 0.02 7144 -1 -1 1 0.04 -1 -1 35348 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66324 32 32 424 343 1 279 92 17 17 289 -1 unnamed_device 25.7 MiB 2.53 1256 18722 6037 9946 2739 64.8 MiB 0.14 0.00 4.28929 -144.626 -4.28929 4.28929 0.87 0.000236379 0.000186303 0.0236777 0.0189259 -1 -1 -1 -1 34 3110 23 6.89349e+06 394628 618332. 2139.56 1.42 0.0732182 0.0599722 25762 151098 -1 2659 20 1783 2122 143790 34717 3.2992 3.2992 -130.444 -3.2992 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0143469 0.0124184 185 96 25 25 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 8.26 vpr 64.65 MiB 0.02 7304 -1 -1 1 0.04 -1 -1 33872 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66204 32 32 395 311 1 255 91 17 17 289 -1 unnamed_device 25.6 MiB 3.32 1250 17635 5254 9154 3227 64.7 MiB 0.14 0.00 4.34019 -149.388 -4.34019 4.34019 0.87 0.000230359 0.000182516 0.0239712 0.0194352 -1 -1 -1 -1 40 2411 23 6.89349e+06 380534 706193. 2443.58 1.67 0.0842808 0.0693023 26914 176310 -1 2158 22 1650 2569 175161 40973 3.4967 3.4967 -138.861 -3.4967 0 0 926341. 3205.33 0.34 0.06 0.15 -1 -1 0.34 0.0158415 0.0137735 169 61 64 32 60 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 7.84 vpr 64.36 MiB 0.02 7292 -1 -1 1 0.04 -1 -1 34028 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65908 32 32 405 318 1 260 91 17 17 289 -1 unnamed_device 25.6 MiB 2.97 1385 9679 2314 6454 911 64.4 MiB 0.09 0.00 3.76725 -134.837 -3.76725 3.76725 0.90 0.000242177 0.000194219 0.014171 0.0114451 -1 -1 -1 -1 34 3376 33 6.89349e+06 380534 618332. 2139.56 1.59 0.0822229 0.0674468 25762 151098 -1 2773 21 2282 3181 261725 57878 3.14556 3.14556 -131.413 -3.14556 0 0 787024. 2723.27 0.30 0.07 0.14 -1 -1 0.30 0.015311 0.0133038 175 65 63 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 7.12 vpr 64.52 MiB 0.02 7140 -1 -1 1 0.04 -1 -1 34028 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66064 32 32 376 288 1 233 88 17 17 289 -1 unnamed_device 25.7 MiB 2.18 1185 16468 4573 9508 2387 64.5 MiB 0.13 0.00 4.67735 -160.896 -4.67735 4.67735 0.92 0.000220557 0.000174901 0.0229829 0.0186045 -1 -1 -1 -1 34 2727 46 6.89349e+06 338252 618332. 2139.56 1.72 0.0927144 0.0763745 25762 151098 -1 2211 21 1732 2631 169646 38755 3.85956 3.85956 -152.058 -3.85956 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0142201 0.0123632 161 34 96 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 6.94 vpr 64.45 MiB 0.02 7184 -1 -1 1 0.04 -1 -1 34068 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66000 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 25.5 MiB 2.12 1202 9883 2469 6353 1061 64.5 MiB 0.09 0.00 4.59905 -158.426 -4.59905 4.59905 0.95 0.000228573 0.000180882 0.0135481 0.0110318 -1 -1 -1 -1 34 3218 36 6.89349e+06 380534 618332. 2139.56 1.58 0.0822309 0.0677586 25762 151098 -1 2600 21 1964 2490 168034 39151 4.14126 4.14126 -157.19 -4.14126 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0142432 0.012321 177 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 7.48 vpr 64.80 MiB 0.03 7496 -1 -1 1 0.05 -1 -1 34240 -1 -1 31 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66352 31 32 449 367 1 300 94 17 17 289 -1 unnamed_device 25.9 MiB 2.63 1470 18625 5645 10509 2471 64.8 MiB 0.15 0.00 5.04279 -156.823 -5.04279 5.04279 0.87 0.00024531 0.000193161 0.0235858 0.0188101 -1 -1 -1 -1 34 3368 47 6.89349e+06 436909 618332. 2139.56 1.54 0.101234 0.0823807 25762 151098 -1 2690 20 1877 2226 151581 35363 3.93725 3.93725 -140.668 -3.93725 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.015561 0.0135352 195 122 0 0 122 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 8.42 vpr 64.53 MiB 0.04 7264 -1 -1 1 0.04 -1 -1 34152 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66076 32 32 432 346 1 287 91 17 17 289 -1 unnamed_device 25.7 MiB 3.64 1477 9271 2383 5997 891 64.5 MiB 0.09 0.00 4.63225 -158.944 -4.63225 4.63225 0.89 0.000249436 0.000200627 0.0133427 0.0108658 -1 -1 -1 -1 34 3600 35 6.89349e+06 380534 618332. 2139.56 1.65 0.0826916 0.0675099 25762 151098 -1 2976 22 2460 3565 232523 53347 4.18666 4.18666 -156.524 -4.18666 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0156711 0.0134989 190 94 32 32 94 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 6.98 vpr 64.24 MiB 0.02 7044 -1 -1 1 0.03 -1 -1 34028 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65784 32 32 312 255 1 198 85 17 17 289 -1 unnamed_device 25.5 MiB 2.33 1085 16081 5241 8837 2003 64.2 MiB 0.11 0.00 3.72665 -133.239 -3.72665 3.72665 0.95 0.000182645 0.000144144 0.0184772 0.0149305 -1 -1 -1 -1 34 2298 20 6.89349e+06 295971 618332. 2139.56 1.42 0.0701424 0.0579687 25762 151098 -1 1930 20 1100 1539 96496 22706 2.78396 2.78396 -121.529 -2.78396 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0122763 0.0106445 127 34 63 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 6.96 vpr 64.34 MiB 0.03 7300 -1 -1 1 0.03 -1 -1 33924 -1 -1 22 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65880 32 32 370 314 1 249 86 17 17 289 -1 unnamed_device 25.5 MiB 2.35 1274 11237 2756 7210 1271 64.3 MiB 0.09 0.00 4.24529 -146.219 -4.24529 4.24529 0.89 0.000215346 0.0001696 0.0148513 0.0119348 -1 -1 -1 -1 34 2869 23 6.89349e+06 310065 618332. 2139.56 1.48 0.0718506 0.0587467 25762 151098 -1 2371 21 1720 2016 140956 31737 3.34729 3.34729 -133.573 -3.34729 0 0 787024. 2723.27 0.31 0.05 0.14 -1 -1 0.31 0.0155839 0.013605 153 94 0 0 94 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 7.87 vpr 64.94 MiB 0.03 7324 -1 -1 1 0.04 -1 -1 34248 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66496 32 32 469 351 1 298 94 17 17 289 -1 unnamed_device 26.0 MiB 2.62 1533 17347 6035 9228 2084 64.9 MiB 0.17 0.00 5.35299 -181.211 -5.35299 5.35299 0.87 0.000272235 0.000217844 0.0231363 0.0184943 -1 -1 -1 -1 34 4502 38 6.89349e+06 422815 618332. 2139.56 2.02 0.107752 0.0883394 25762 151098 -1 3437 23 2749 3828 266628 60288 5.4611 5.4611 -183.396 -5.4611 0 0 787024. 2723.27 0.30 0.08 0.13 -1 -1 0.30 0.0176625 0.0151936 209 65 96 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 7.60 vpr 64.30 MiB 0.03 7120 -1 -1 1 0.05 -1 -1 34116 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65848 32 32 368 284 1 225 87 17 17 289 -1 unnamed_device 25.6 MiB 2.78 1129 14295 3942 8428 1925 64.3 MiB 0.11 0.00 3.82 -132.539 -3.82 3.82 0.87 0.000217502 0.000171424 0.0189517 0.015431 -1 -1 -1 -1 34 2777 23 6.89349e+06 324158 618332. 2139.56 1.50 0.0784205 0.0645308 25762 151098 -1 2373 20 1760 2549 192545 43785 3.25986 3.25986 -131.177 -3.25986 0 0 787024. 2723.27 0.33 0.06 0.14 -1 -1 0.33 0.0139088 0.0120842 156 34 92 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 6.51 vpr 64.22 MiB 0.02 7032 -1 -1 1 0.03 -1 -1 33492 -1 -1 32 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65760 30 32 296 244 1 183 94 17 17 289 -1 unnamed_device 25.5 MiB 1.81 909 12874 3346 8814 714 64.2 MiB 0.10 0.00 4.39149 -134.806 -4.39149 4.39149 0.90 0.000193211 0.000155614 0.0128267 0.0102564 -1 -1 -1 -1 34 2073 29 6.89349e+06 451003 618332. 2139.56 1.44 0.0678501 0.0560711 25762 151098 -1 1724 33 1133 2118 122348 30527 3.4919 3.4919 -123.72 -3.4919 0 0 787024. 2723.27 0.31 0.05 0.15 -1 -1 0.31 0.0157596 0.0134868 129 34 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 8.76 vpr 64.72 MiB 0.03 7504 -1 -1 1 0.04 -1 -1 34756 -1 -1 35 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66272 32 32 531 413 1 356 99 17 17 289 -1 unnamed_device 26.2 MiB 3.49 1761 16059 4299 10191 1569 64.7 MiB 0.16 0.00 5.69513 -191.49 -5.69513 5.69513 0.87 0.000279632 0.00022121 0.0224133 0.0180343 -1 -1 -1 -1 34 4694 42 6.89349e+06 493284 618332. 2139.56 1.96 0.118267 0.0952516 25762 151098 -1 3557 24 3030 3748 248653 57738 5.88634 5.88634 -199.608 -5.88634 0 0 787024. 2723.27 0.30 0.08 0.14 -1 -1 0.30 0.0202608 0.0175083 239 127 32 32 128 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 6.72 vpr 64.30 MiB 0.04 7008 -1 -1 1 0.04 -1 -1 33852 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65840 32 32 376 288 1 225 87 17 17 289 -1 unnamed_device 25.6 MiB 1.93 1115 15639 5251 8363 2025 64.3 MiB 0.12 0.00 4.42849 -155.095 -4.42849 4.42849 0.85 0.000215637 0.000170175 0.0217897 0.0178725 -1 -1 -1 -1 34 2654 22 6.89349e+06 324158 618332. 2139.56 1.59 0.0820565 0.0676723 25762 151098 -1 2229 21 2030 2833 205546 44612 3.9257 3.9257 -150.955 -3.9257 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0138645 0.0120155 159 34 96 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 5.21 vpr 63.88 MiB 0.02 6912 -1 -1 1 0.03 -1 -1 33888 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65408 32 32 283 225 1 168 97 17 17 289 -1 unnamed_device 25.0 MiB 1.07 847 11641 2851 7878 912 63.9 MiB 0.09 0.00 3.73565 -131.22 -3.73565 3.73565 0.86 0.000189941 0.000152871 0.0120587 0.00996329 -1 -1 -1 -1 30 2193 26 6.89349e+06 465097 556674. 1926.21 0.99 0.0443662 0.03713 25186 138497 -1 1797 20 1182 1929 119769 26931 2.71766 2.71766 -118.342 -2.71766 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0102161 0.00883703 123 3 96 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 7.59 vpr 64.62 MiB 0.03 7340 -1 -1 1 0.04 -1 -1 34216 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66168 32 32 438 320 1 267 93 17 17 289 -1 unnamed_device 25.8 MiB 2.57 1317 12693 3634 7764 1295 64.6 MiB 0.14 0.00 5.35589 -179.726 -5.35589 5.35589 0.88 0.000454407 0.000367681 0.0194107 0.0157166 -1 -1 -1 -1 34 3591 24 6.89349e+06 408721 618332. 2139.56 1.85 0.0877279 0.0738079 25762 151098 -1 2859 21 2268 3422 271711 57558 5.0129 5.0129 -182.172 -5.0129 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0158933 0.0137577 194 34 128 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 6.60 vpr 63.68 MiB 0.02 6756 -1 -1 1 0.04 -1 -1 34024 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65208 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 24.8 MiB 1.88 687 12292 3131 7794 1367 63.7 MiB 0.06 0.00 3.61335 -125.578 -3.61335 3.61335 0.88 0.000175503 0.000138872 0.0145035 0.0117207 -1 -1 -1 -1 34 2177 24 6.89349e+06 225501 618332. 2139.56 1.47 0.0541994 0.0447997 25762 151098 -1 1755 22 1446 2356 148959 36393 3.19356 3.19356 -128.014 -3.19356 0 0 787024. 2723.27 0.29 0.05 0.15 -1 -1 0.29 0.011186 0.00972619 114 3 96 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 7.99 vpr 63.98 MiB 0.02 6988 -1 -1 1 0.04 -1 -1 33656 -1 -1 19 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65520 30 32 296 244 1 185 81 17 17 289 -1 unnamed_device 25.1 MiB 1.73 800 11281 2617 7555 1109 64.0 MiB 0.07 0.00 3.71935 -120.327 -3.71935 3.71935 0.85 0.000176525 0.0001399 0.0133433 0.0108522 -1 -1 -1 -1 30 2483 27 6.89349e+06 267783 556674. 1926.21 3.25 0.0801423 0.0663292 25186 138497 -1 1849 21 1137 1597 111336 26410 3.24586 3.24586 -122.682 -3.24586 0 0 706193. 2443.58 0.29 0.04 0.12 -1 -1 0.29 0.0113748 0.00988175 121 34 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 7.68 vpr 64.34 MiB 0.03 7300 -1 -1 1 0.04 -1 -1 33904 -1 -1 31 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65888 29 32 393 319 1 253 92 17 17 289 -1 unnamed_device 25.6 MiB 2.87 1254 13547 3444 8490 1613 64.3 MiB 0.11 0.00 4.15454 -130.214 -4.15454 4.15454 0.88 0.000215373 0.000169986 0.0161889 0.0129665 -1 -1 -1 -1 34 2758 30 6.89349e+06 436909 618332. 2139.56 1.54 0.0831314 0.0679175 25762 151098 -1 2315 21 1562 2098 129136 31266 3.3337 3.3337 -123.404 -3.3337 0 0 787024. 2723.27 0.31 0.05 0.21 -1 -1 0.31 0.0147733 0.0128548 171 88 29 29 85 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 7.76 vpr 64.43 MiB 0.02 7204 -1 -1 1 0.04 -1 -1 34352 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65980 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 25.7 MiB 2.84 1076 9537 2232 6898 407 64.4 MiB 0.09 0.00 5.13146 -169.785 -5.13146 5.13146 0.92 0.000233775 0.000184712 0.0137168 0.0112032 -1 -1 -1 -1 36 2866 24 6.89349e+06 366440 648988. 2245.63 1.60 0.0777439 0.0640061 26050 158493 -1 2386 20 2051 2879 185349 46334 4.80625 4.80625 -172.407 -4.80625 0 0 828058. 2865.25 0.28 0.08 0.13 -1 -1 0.28 0.0193581 0.0167085 178 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 7.70 vpr 64.67 MiB 0.02 7276 -1 -1 1 0.04 -1 -1 34288 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66224 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 25.8 MiB 2.73 1296 18180 5634 10019 2527 64.7 MiB 0.16 0.00 5.12859 -176.097 -5.12859 5.12859 0.89 0.000233389 0.000183835 0.0256185 0.020544 -1 -1 -1 -1 34 3271 41 6.89349e+06 366440 618332. 2139.56 1.73 0.10188 0.0832847 25762 151098 -1 2651 20 2097 2962 209828 46344 4.55565 4.55565 -170.285 -4.55565 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0131963 0.0114355 175 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 6.81 vpr 64.29 MiB 0.02 6948 -1 -1 1 0.05 -1 -1 34200 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65836 32 32 345 287 1 218 85 17 17 289 -1 unnamed_device 25.4 MiB 2.04 1015 9757 2248 6823 686 64.3 MiB 0.08 0.00 4.30029 -148.521 -4.30029 4.30029 1.02 0.000204407 0.000161515 0.0123346 0.00996158 -1 -1 -1 -1 34 2600 24 6.89349e+06 295971 618332. 2139.56 1.48 0.0555802 0.0456976 25762 151098 -1 2113 20 1302 1501 99947 23860 3.4435 3.4435 -138.749 -3.4435 0 0 787024. 2723.27 0.29 0.05 0.12 -1 -1 0.29 0.0138575 0.0120704 141 65 32 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 7.25 vpr 64.11 MiB 0.02 7080 -1 -1 1 0.04 -1 -1 34176 -1 -1 22 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65644 31 32 353 302 1 231 85 17 17 289 -1 unnamed_device 25.4 MiB 2.46 1096 5665 1172 4284 209 64.1 MiB 0.05 0.00 4.25519 -136.378 -4.25519 4.25519 0.91 0.000211756 0.000169638 0.00838123 0.00689299 -1 -1 -1 -1 34 2737 31 6.89349e+06 310065 618332. 2139.56 1.54 0.0664536 0.054567 25762 151098 -1 2242 19 1525 1932 136518 30945 3.1771 3.1771 -123.092 -3.1771 0 0 787024. 2723.27 0.30 0.05 0.15 -1 -1 0.30 0.0138429 0.0120341 146 90 0 0 89 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 7.75 vpr 64.73 MiB 0.02 7016 -1 -1 1 0.03 -1 -1 34196 -1 -1 29 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66284 30 32 374 297 1 236 91 17 17 289 -1 unnamed_device 25.8 MiB 3.13 1163 18043 6463 9066 2514 64.7 MiB 0.14 0.00 3.98631 -131.256 -3.98631 3.98631 0.88 0.000250005 0.000205081 0.0229943 0.0187722 -1 -1 -1 -1 34 2732 22 6.89349e+06 408721 618332. 2139.56 1.42 0.0663094 0.0545567 25762 151098 -1 2363 20 1559 2252 149677 34178 3.20791 3.20791 -126.604 -3.20791 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0132465 0.011472 164 60 60 30 57 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 6.13 vpr 64.26 MiB 0.02 7140 -1 -1 1 0.03 -1 -1 33960 -1 -1 26 28 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65800 28 32 332 260 1 203 86 17 17 289 -1 unnamed_device 25.4 MiB 1.66 901 12749 3235 8752 762 64.3 MiB 0.09 0.00 4.55505 -133.271 -4.55505 4.55505 0.86 0.000199149 0.000157934 0.0151126 0.012169 -1 -1 -1 -1 34 2318 22 6.89349e+06 366440 618332. 2139.56 1.47 0.0680902 0.0559822 25762 151098 -1 2033 20 1397 2183 139997 33489 4.23356 4.23356 -139.568 -4.23356 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0121736 0.010588 144 34 84 28 28 28 -fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 7.69 vpr 64.06 MiB 0.02 7028 -1 -1 1 0.03 -1 -1 33820 -1 -1 21 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65600 30 32 325 273 1 208 83 17 17 289 -1 unnamed_device 25.3 MiB 2.89 1085 9443 2576 6116 751 64.1 MiB 0.08 0.00 4.29542 -138.034 -4.29542 4.29542 0.89 0.000192509 0.000152393 0.0140168 0.011573 -1 -1 -1 -1 34 2534 22 6.89349e+06 295971 618332. 2139.56 1.62 0.0702204 0.0585167 25762 151098 -1 2093 21 1603 2223 150023 34075 3.93124 3.93124 -143.231 -3.93124 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0127927 0.0109702 136 63 30 30 60 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 7.08 vpr 64.14 MiB 0.02 7052 -1 -1 1 0.03 -1 -1 34092 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65676 32 32 361 308 1 245 85 17 17 289 -1 unnamed_device 25.4 MiB 2.30 1214 10129 2604 6568 957 64.1 MiB 0.08 0.00 3.7829 -130.041 -3.7829 3.7829 0.88 0.000208081 0.000165304 0.0129306 0.0104278 -1 -1 -1 -1 34 2866 31 6.89349e+06 295971 618332. 2139.56 1.58 0.0783035 0.0643916 25762 151098 -1 2378 21 1678 1968 128620 30439 3.45671 3.45671 -128.556 -3.45671 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0173976 0.0149128 150 91 0 0 91 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 5.73 vpr 64.12 MiB 0.02 7072 -1 -1 1 0.03 -1 -1 34024 -1 -1 37 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65660 31 32 335 251 1 197 100 17 17 289 -1 unnamed_device 25.2 MiB 1.22 1028 15180 4436 8407 2337 64.1 MiB 0.11 0.00 4.35993 -144.85 -4.35993 4.35993 0.85 0.000203182 0.000161462 0.0157033 0.0127465 -1 -1 -1 -1 28 3098 35 6.89349e+06 521472 531479. 1839.03 1.50 0.0561586 0.0466347 24610 126494 -1 2461 18 1613 2635 204124 44950 3.9772 3.9772 -144.88 -3.9772 0 0 648988. 2245.63 0.26 0.06 0.11 -1 -1 0.26 0.0116879 0.0101752 151 4 124 31 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 6.93 vpr 64.42 MiB 0.03 7340 -1 -1 1 0.04 -1 -1 33976 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65964 32 32 407 319 1 257 90 17 17 289 -1 unnamed_device 25.8 MiB 2.03 1305 12351 3315 7817 1219 64.4 MiB 0.11 0.00 4.94724 -169.098 -4.94724 4.94724 0.88 0.000252765 0.000205707 0.0172164 0.014082 -1 -1 -1 -1 34 3262 22 6.89349e+06 366440 618332. 2139.56 1.55 0.0822546 0.0680375 25762 151098 -1 2611 21 1751 2308 146055 35180 4.16855 4.16855 -162.825 -4.16855 0 0 787024. 2723.27 0.31 0.05 0.15 -1 -1 0.31 0.01491 0.012963 173 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 7.62 vpr 65.01 MiB 0.02 7032 -1 -1 1 0.04 -1 -1 33804 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66572 32 32 407 319 1 256 90 17 17 289 -1 unnamed_device 25.9 MiB 2.68 1432 15366 4335 9387 1644 65.0 MiB 0.12 0.00 5.00868 -172.431 -5.00868 5.00868 0.87 0.000230215 0.000182005 0.0202818 0.0160034 -1 -1 -1 -1 34 3499 27 6.89349e+06 366440 618332. 2139.56 1.59 0.0889691 0.0728337 25762 151098 -1 2770 23 2359 3438 249072 54855 4.68889 4.68889 -173.463 -4.68889 0 0 787024. 2723.27 0.32 0.08 0.13 -1 -1 0.32 0.0173928 0.015125 171 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 8.87 vpr 64.57 MiB 0.02 7100 -1 -1 1 0.04 -1 -1 33784 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66116 32 32 399 315 1 257 91 17 17 289 -1 unnamed_device 25.6 MiB 3.58 1315 8455 1941 6044 470 64.6 MiB 0.09 0.00 4.23794 -144.857 -4.23794 4.23794 0.86 0.000224177 0.000177206 0.0114137 0.00929943 -1 -1 -1 -1 34 3637 36 6.89349e+06 380534 618332. 2139.56 1.98 0.0912346 0.0760014 25762 151098 -1 2790 21 1926 2825 231240 49884 3.5973 3.5973 -139.05 -3.5973 0 0 787024. 2723.27 0.34 0.11 0.15 -1 -1 0.34 0.0222297 0.0195034 172 65 60 30 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 7.21 vpr 64.01 MiB 0.02 6936 -1 -1 1 0.04 -1 -1 33976 -1 -1 19 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65548 30 32 296 244 1 185 81 17 17 289 -1 unnamed_device 25.3 MiB 2.57 822 13556 3700 7881 1975 64.0 MiB 0.09 0.00 3.95826 -124.717 -3.95826 3.95826 0.86 0.000186562 0.000146081 0.0164603 0.0132792 -1 -1 -1 -1 34 2220 18 6.89349e+06 267783 618332. 2139.56 1.48 0.0642993 0.0528953 25762 151098 -1 1954 24 1199 1681 122124 27814 3.3245 3.3245 -122.903 -3.3245 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0131887 0.0113763 122 34 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 7.75 vpr 64.29 MiB 0.04 7348 -1 -1 1 0.04 -1 -1 34072 -1 -1 26 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65832 30 32 383 303 1 241 88 17 17 289 -1 unnamed_device 25.6 MiB 3.08 1213 9253 2556 5823 874 64.3 MiB 0.08 0.00 4.87058 -156.054 -4.87058 4.87058 0.90 0.000225682 0.000178215 0.012984 0.0105211 -1 -1 -1 -1 34 2901 25 6.89349e+06 366440 618332. 2139.56 1.52 0.0747282 0.0612989 25762 151098 -1 2473 24 2022 2801 202010 44956 4.51539 4.51539 -160.735 -4.51539 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.015647 0.0135134 165 63 60 30 60 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 6.92 vpr 64.82 MiB 0.02 7400 -1 -1 1 0.04 -1 -1 34440 -1 -1 30 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66376 32 32 469 381 1 316 94 17 17 289 -1 unnamed_device 25.9 MiB 2.23 1479 11170 3037 7343 790 64.8 MiB 0.10 0.00 4.57601 -155.886 -4.57601 4.57601 0.85 0.000244405 0.000193191 0.01475 0.0118436 -1 -1 -1 -1 34 3725 30 6.89349e+06 422815 618332. 2139.56 1.62 0.0861405 0.0704943 25762 151098 -1 2948 20 1919 1954 151033 34567 4.12485 4.12485 -160.769 -4.12485 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0149385 0.0128824 204 127 0 0 128 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 7.39 vpr 64.74 MiB 0.03 7220 -1 -1 1 0.03 -1 -1 34048 -1 -1 29 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66292 31 32 425 341 1 280 92 17 17 289 -1 unnamed_device 25.8 MiB 2.62 1357 18515 5570 10360 2585 64.7 MiB 0.15 0.00 5.04454 -166.93 -5.04454 5.04454 0.89 0.000249111 0.000200254 0.0244433 0.0198461 -1 -1 -1 -1 34 3312 26 6.89349e+06 408721 618332. 2139.56 1.51 0.0891805 0.0731376 25762 151098 -1 2606 18 1853 2368 143705 34753 4.71205 4.71205 -167.201 -4.71205 0 0 787024. 2723.27 0.32 0.06 0.13 -1 -1 0.32 0.0151801 0.0132998 186 94 31 31 93 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 8.11 vpr 64.65 MiB 0.03 7376 -1 -1 1 0.05 -1 -1 33968 -1 -1 28 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66204 30 32 404 328 1 261 90 17 17 289 -1 unnamed_device 25.8 MiB 3.25 1272 11145 3099 7385 661 64.7 MiB 0.10 0.00 4.33029 -138.243 -4.33029 4.33029 0.87 0.000261253 0.000214626 0.0150357 0.0123044 -1 -1 -1 -1 34 3155 40 6.89349e+06 394628 618332. 2139.56 1.61 0.0858626 0.0701483 25762 151098 -1 2503 22 2017 2900 193918 46933 3.78094 3.78094 -137.103 -3.78094 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0149902 0.012965 175 92 26 26 90 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 7.93 vpr 64.39 MiB 0.02 7088 -1 -1 1 0.03 -1 -1 34316 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65936 32 32 407 319 1 264 90 17 17 289 -1 unnamed_device 25.5 MiB 2.93 1381 17577 5400 9797 2380 64.4 MiB 0.15 0.00 5.10907 -172.718 -5.10907 5.10907 0.89 0.000278374 0.000227814 0.0226901 0.0182244 -1 -1 -1 -1 34 3492 23 6.89349e+06 366440 618332. 2139.56 1.78 0.0934945 0.0775943 25762 151098 -1 2788 20 2119 3010 209008 45498 4.43325 4.43325 -167.168 -4.43325 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0167258 0.0145877 177 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 8.04 vpr 64.26 MiB 0.02 7372 -1 -1 1 0.04 -1 -1 33920 -1 -1 30 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65800 29 32 387 316 1 251 91 17 17 289 -1 unnamed_device 25.6 MiB 3.34 1289 17431 5289 9749 2393 64.3 MiB 0.13 0.00 4.47765 -137.075 -4.47765 4.47765 0.86 0.000211644 0.000167397 0.0205212 0.0164853 -1 -1 -1 -1 34 2899 29 6.89349e+06 422815 618332. 2139.56 1.47 0.0793412 0.0646242 25762 151098 -1 2542 19 1652 2288 151389 34203 3.3997 3.3997 -122.825 -3.3997 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0144247 0.012619 170 88 26 26 85 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 5.86 vpr 63.96 MiB 0.02 7020 -1 -1 1 0.04 -1 -1 34084 -1 -1 16 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65500 32 32 283 225 1 168 80 17 17 289 -1 unnamed_device 25.1 MiB 1.15 883 14872 4496 9235 1141 64.0 MiB 0.10 0.00 3.60415 -130.285 -3.60415 3.60415 0.87 0.000176887 0.0001395 0.0170921 0.013716 -1 -1 -1 -1 34 2230 16 6.89349e+06 225501 618332. 2139.56 1.45 0.0642934 0.0532747 25762 151098 -1 1855 22 1459 2378 164456 38120 2.94306 2.94306 -125.536 -2.94306 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0115922 0.0100101 114 3 96 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 7.31 vpr 64.71 MiB 0.03 6996 -1 -1 1 0.04 -1 -1 34136 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66260 32 32 407 319 1 259 91 17 17 289 -1 unnamed_device 25.7 MiB 2.50 1311 16411 5462 8005 2944 64.7 MiB 0.13 0.00 5.31517 -177.727 -5.31517 5.31517 0.87 0.000226449 0.000178662 0.0207961 0.0167166 -1 -1 -1 -1 34 3498 29 6.89349e+06 380534 618332. 2139.56 1.61 0.0734835 0.0601953 25762 151098 -1 2799 22 2220 2981 226406 49468 4.54039 4.54039 -173.632 -4.54039 0 0 787024. 2723.27 0.30 0.07 0.13 -1 -1 0.30 0.0161219 0.0138988 174 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 8.48 vpr 64.57 MiB 0.02 7264 -1 -1 1 0.04 -1 -1 34312 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66120 32 32 407 319 1 263 89 17 17 289 -1 unnamed_device 25.6 MiB 3.49 1301 10979 2667 7606 706 64.6 MiB 0.10 0.00 5.01095 -168.936 -5.01095 5.01095 0.85 0.000227429 0.000179629 0.0146209 0.0117804 -1 -1 -1 -1 34 3552 34 6.89349e+06 352346 618332. 2139.56 1.88 0.0836397 0.0685595 25762 151098 -1 3042 22 2576 3597 318250 66553 4.58275 4.58275 -175.19 -4.58275 0 0 787024. 2723.27 0.31 0.08 0.13 -1 -1 0.31 0.0166746 0.0144651 176 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 7.10 vpr 63.96 MiB 0.02 7028 -1 -1 1 0.04 -1 -1 33988 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65500 32 32 315 267 1 204 83 17 17 289 -1 unnamed_device 25.3 MiB 2.45 973 13043 3975 6991 2077 64.0 MiB 0.09 0.00 3.58222 -118.01 -3.58222 3.58222 0.91 0.000198564 0.000159296 0.0158194 0.0128316 -1 -1 -1 -1 34 2254 22 6.89349e+06 267783 618332. 2139.56 1.42 0.0655543 0.0538515 25762 151098 -1 1955 17 983 1182 92504 20820 2.8425 2.8425 -111.896 -2.8425 0 0 787024. 2723.27 0.30 0.04 0.13 -1 -1 0.30 0.0111677 0.0097981 128 55 32 32 54 27 -fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 5.49 vpr 63.80 MiB 0.02 6924 -1 -1 1 0.04 -1 -1 34124 -1 -1 17 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65336 31 32 275 220 1 164 80 17 17 289 -1 unnamed_device 25.1 MiB 1.46 719 6444 1413 4474 557 63.8 MiB 0.06 0.00 3.59935 -121.473 -3.59935 3.59935 0.90 0.000172294 0.00013625 0.00813888 0.00664648 -1 -1 -1 -1 28 2235 20 6.89349e+06 239595 531479. 1839.03 0.98 0.0380221 0.0316999 24610 126494 -1 1909 20 1424 2243 170016 39128 3.12946 3.12946 -127.906 -3.12946 0 0 648988. 2245.63 0.26 0.05 0.11 -1 -1 0.26 0.0111476 0.00966853 112 4 93 31 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 7.04 vpr 64.33 MiB 0.02 7076 -1 -1 1 0.04 -1 -1 33796 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65872 32 32 381 303 1 240 89 17 17 289 -1 unnamed_device 25.5 MiB 2.20 1298 18107 5148 10871 2088 64.3 MiB 0.14 0.00 4.34669 -144.598 -4.34669 4.34669 0.87 0.000225876 0.0001789 0.0230416 0.0184783 -1 -1 -1 -1 34 2753 23 6.89349e+06 352346 618332. 2139.56 1.70 0.0940119 0.077987 25762 151098 -1 2262 23 1643 2104 142537 33032 3.4438 3.4438 -133.405 -3.4438 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.014889 0.0128414 158 59 60 32 58 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 7.59 vpr 64.61 MiB 0.02 7168 -1 -1 1 0.04 -1 -1 34020 -1 -1 26 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66156 32 32 406 330 1 263 90 17 17 289 -1 unnamed_device 25.5 MiB 2.68 1266 15567 5192 7236 3139 64.6 MiB 0.12 0.00 5.08869 -159.08 -5.08869 5.08869 0.89 0.00023232 0.000183261 0.0211013 0.0169957 -1 -1 -1 -1 34 3332 25 6.89349e+06 366440 618332. 2139.56 1.67 0.0854227 0.0699856 25762 151098 -1 2533 21 1763 2055 158142 35091 4.22385 4.22385 -152.902 -4.22385 0 0 787024. 2723.27 0.30 0.05 0.13 -1 -1 0.30 0.0149184 0.0128832 170 88 28 28 88 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 6.30 vpr 64.65 MiB 0.03 7100 -1 -1 1 0.04 -1 -1 34096 -1 -1 41 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66204 32 32 399 285 1 232 105 17 17 289 -1 unnamed_device 25.8 MiB 1.28 1297 11961 2982 7937 1042 64.7 MiB 0.11 0.00 4.87442 -165.154 -4.87442 4.87442 0.86 0.000247758 0.000194377 0.0143637 0.0115832 -1 -1 -1 -1 34 3216 23 6.89349e+06 577847 618332. 2139.56 1.67 0.0852979 0.0711736 25762 151098 -1 2649 21 1893 3214 203652 46646 4.26589 4.26589 -158.116 -4.26589 0 0 787024. 2723.27 0.33 0.06 0.15 -1 -1 0.33 0.0155538 0.0135473 183 3 156 32 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 7.96 vpr 64.41 MiB 0.04 7116 -1 -1 1 0.04 -1 -1 34128 -1 -1 27 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65960 30 32 371 295 1 235 89 17 17 289 -1 unnamed_device 25.4 MiB 2.94 1092 10979 2817 6746 1416 64.4 MiB 0.17 0.00 3.839 -121.777 -3.839 3.839 0.98 0.000441345 0.000363369 0.0257301 0.0211391 -1 -1 -1 -1 34 2618 26 6.89349e+06 380534 618332. 2139.56 1.56 0.0867903 0.0713688 25762 151098 -1 2074 21 1763 2588 168159 38996 3.22491 3.22491 -115.245 -3.22491 0 0 787024. 2723.27 0.36 0.05 0.13 -1 -1 0.36 0.0140539 0.0121861 160 59 60 30 56 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 6.40 vpr 63.86 MiB 0.04 7072 -1 -1 1 0.03 -1 -1 34352 -1 -1 22 27 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65392 27 32 269 226 1 168 81 17 17 289 -1 unnamed_device 25.0 MiB 1.90 891 13031 4385 6839 1807 63.9 MiB 0.08 0.00 4.27455 -123.837 -4.27455 4.27455 0.88 0.000184085 0.000149002 0.0142203 0.0114659 -1 -1 -1 -1 34 1853 18 6.89349e+06 310065 618332. 2139.56 1.39 0.0581159 0.0477984 25762 151098 -1 1640 21 1113 1611 114697 25627 3.3585 3.3585 -115.073 -3.3585 0 0 787024. 2723.27 0.33 0.04 0.13 -1 -1 0.33 0.0103017 0.00892797 112 34 54 27 27 27 -fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 10.71 vpr 64.62 MiB 0.03 7392 -1 -1 1 0.04 -1 -1 34256 -1 -1 32 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66176 32 32 493 378 1 323 96 17 17 289 -1 unnamed_device 26.1 MiB 3.37 1698 16521 4103 10154 2264 64.6 MiB 0.16 0.00 5.18181 -174.668 -5.18181 5.18181 1.04 0.000265145 0.000210142 0.0228871 0.018312 -1 -1 -1 -1 36 3888 24 6.89349e+06 451003 648988. 2245.63 3.94 0.137289 0.111989 26050 158493 -1 3272 21 2386 3392 238955 53056 4.48045 4.48045 -166.615 -4.48045 0 0 828058. 2865.25 0.31 0.07 0.14 -1 -1 0.31 0.0175676 0.0152242 219 95 62 31 95 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 8.26 vpr 64.74 MiB 0.03 7396 -1 -1 1 0.04 -1 -1 34020 -1 -1 31 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66296 31 32 455 371 1 306 94 17 17 289 -1 unnamed_device 25.9 MiB 3.36 1472 15004 3471 10071 1462 64.7 MiB 0.12 0.00 5.05307 -164.793 -5.05307 5.05307 0.90 0.000247168 0.000195851 0.0190581 0.0152569 -1 -1 -1 -1 34 3480 40 6.89349e+06 436909 618332. 2139.56 1.72 0.0913766 0.0754129 25762 151098 -1 2963 20 2123 2468 187484 43087 4.40468 4.40468 -163.383 -4.40468 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0150613 0.0129923 201 124 0 0 124 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 7.39 vpr 64.36 MiB 0.04 7172 -1 -1 1 0.05 -1 -1 33704 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65908 32 32 355 304 1 244 85 17 17 289 -1 unnamed_device 25.4 MiB 2.43 1086 15895 5952 7404 2539 64.4 MiB 0.11 0.00 4.35779 -139.935 -4.35779 4.35779 0.86 0.000196513 0.000154549 0.0187007 0.0148915 -1 -1 -1 -1 34 3131 30 6.89349e+06 295971 618332. 2139.56 1.76 0.0706631 0.0579697 25762 151098 -1 2338 18 1627 1856 142254 32742 3.39065 3.39065 -134.794 -3.39065 0 0 787024. 2723.27 0.31 0.05 0.13 -1 -1 0.31 0.0121563 0.0105594 149 89 0 0 89 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 7.46 vpr 64.61 MiB 0.02 7088 -1 -1 1 0.04 -1 -1 33948 -1 -1 23 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66156 32 32 364 282 1 225 87 17 17 289 -1 unnamed_device 25.6 MiB 2.72 1129 14295 4007 8660 1628 64.6 MiB 0.12 0.00 4.63878 -154.018 -4.63878 4.63878 0.89 0.000221645 0.000175474 0.0189825 0.0153859 -1 -1 -1 -1 34 2755 27 6.89349e+06 324158 618332. 2139.56 1.48 0.0801164 0.0663292 25762 151098 -1 2226 19 1342 1861 126147 30965 3.85766 3.85766 -146.209 -3.85766 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0137556 0.0118396 151 34 90 30 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 7.46 vpr 64.65 MiB 0.03 7216 -1 -1 1 0.04 -1 -1 34064 -1 -1 31 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66204 31 32 443 336 1 280 94 17 17 289 -1 unnamed_device 25.8 MiB 2.61 1343 18838 5810 10490 2538 64.7 MiB 0.17 0.00 4.61515 -155.312 -4.61515 4.61515 0.95 0.000244291 0.000194006 0.0250394 0.0202275 -1 -1 -1 -1 34 3244 21 6.89349e+06 436909 618332. 2139.56 1.60 0.0979624 0.0807479 25762 151098 -1 2597 21 2025 2807 194143 43948 3.77966 3.77966 -148.471 -3.77966 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0155825 0.013467 195 64 87 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 7.71 vpr 64.62 MiB 0.03 7156 -1 -1 1 0.04 -1 -1 34016 -1 -1 28 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66168 30 32 373 297 1 235 90 17 17 289 -1 unnamed_device 25.5 MiB 3.01 1242 16773 5664 8452 2657 64.6 MiB 0.12 0.00 4.37249 -137.399 -4.37249 4.37249 0.86 0.000211268 0.000167176 0.0193814 0.0155287 -1 -1 -1 -1 36 2869 33 6.89349e+06 394628 648988. 2245.63 1.57 0.0815018 0.0664254 26050 158493 -1 2473 21 1568 2457 188141 40018 3.9099 3.9099 -136.708 -3.9099 0 0 828058. 2865.25 0.31 0.06 0.14 -1 -1 0.31 0.0136958 0.0118542 162 61 58 30 58 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 7.43 vpr 64.86 MiB 0.02 7196 -1 -1 1 0.04 -1 -1 34044 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66412 32 32 407 319 1 260 92 17 17 289 -1 unnamed_device 25.9 MiB 2.33 1384 17066 6142 9147 1777 64.9 MiB 0.16 0.00 5.13217 -171.938 -5.13217 5.13217 0.89 0.00023582 0.000186192 0.0219399 0.0176453 -1 -1 -1 -1 34 3483 24 6.89349e+06 394628 618332. 2139.56 1.71 0.0864031 0.0708656 25762 151098 -1 2698 19 1973 2685 204802 44705 4.18775 4.18775 -160.479 -4.18775 0 0 787024. 2723.27 0.30 0.06 0.13 -1 -1 0.30 0.0155188 0.0133254 173 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 7.76 vpr 64.55 MiB 0.02 7104 -1 -1 1 0.03 -1 -1 34080 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66100 32 32 405 318 1 260 91 17 17 289 -1 unnamed_device 25.5 MiB 2.88 1428 17431 4990 10284 2157 64.6 MiB 0.14 0.00 3.82792 -135.136 -3.82792 3.82792 0.86 0.000241718 0.000194069 0.0239271 0.0194815 -1 -1 -1 -1 34 3179 35 6.89349e+06 380534 618332. 2139.56 1.55 0.0925907 0.076244 25762 151098 -1 2876 19 1893 2606 184564 41930 3.32106 3.32106 -138.565 -3.32106 0 0 787024. 2723.27 0.33 0.06 0.16 -1 -1 0.33 0.0147564 0.0129005 175 65 63 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 6.25 vpr 64.05 MiB 0.02 6924 -1 -1 1 0.04 -1 -1 33964 -1 -1 21 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65592 29 32 287 238 1 178 82 17 17 289 -1 unnamed_device 25.5 MiB 1.76 801 14322 5270 6630 2422 64.1 MiB 0.09 0.00 3.809 -119.904 -3.809 3.809 0.86 0.000169579 0.000133423 0.0151884 0.0121468 -1 -1 -1 -1 34 1853 19 6.89349e+06 295971 618332. 2139.56 1.36 0.061842 0.0507652 25762 151098 -1 1634 19 1294 1726 121999 27555 3.08426 3.08426 -114.795 -3.08426 0 0 787024. 2723.27 0.31 0.04 0.14 -1 -1 0.31 0.0104306 0.00910264 118 34 58 29 29 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 7.21 vpr 64.46 MiB 0.02 6972 -1 -1 1 0.04 -1 -1 34212 -1 -1 20 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66004 32 32 334 290 1 222 84 17 17 289 -1 unnamed_device 25.5 MiB 2.51 1028 8502 1925 6217 360 64.5 MiB 0.07 0.00 4.34059 -128.583 -4.34059 4.34059 0.86 0.000188447 0.000148345 0.0102278 0.00820964 -1 -1 -1 -1 34 2849 34 6.89349e+06 281877 618332. 2139.56 1.61 0.0699605 0.0572263 25762 151098 -1 2099 23 1603 1909 143510 33601 3.6283 3.6283 -127.147 -3.6283 0 0 787024. 2723.27 0.32 0.05 0.13 -1 -1 0.32 0.0136011 0.0117286 135 82 0 0 82 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 6.72 vpr 64.46 MiB 0.03 7168 -1 -1 1 0.04 -1 -1 33960 -1 -1 24 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66008 31 32 365 281 1 225 87 17 17 289 -1 unnamed_device 25.4 MiB 1.84 1184 15255 6384 8070 801 64.5 MiB 0.11 0.00 4.58005 -151.573 -4.58005 4.58005 0.89 0.000213701 0.000169337 0.019834 0.0162575 -1 -1 -1 -1 34 2906 27 6.89349e+06 338252 618332. 2139.56 1.58 0.0746907 0.061735 25762 151098 -1 2310 21 1760 2644 184833 41713 4.05996 4.05996 -150.94 -4.05996 0 0 787024. 2723.27 0.31 0.06 0.13 -1 -1 0.31 0.014386 0.0125433 154 34 93 31 31 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 6.50 vpr 63.91 MiB 0.02 7164 -1 -1 1 0.04 -1 -1 33692 -1 -1 21 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65444 29 32 297 254 1 193 82 17 17 289 -1 unnamed_device 25.0 MiB 1.95 958 13610 5420 5754 2436 63.9 MiB 0.09 0.00 3.4949 -108.08 -3.4949 3.4949 0.87 0.000175511 0.000137886 0.0149764 0.0119638 -1 -1 -1 -1 34 2293 24 6.89349e+06 295971 618332. 2139.56 1.39 0.0524993 0.0428111 25762 151098 -1 1868 20 1149 1340 90962 21165 2.91591 2.91591 -109.378 -2.91591 0 0 787024. 2723.27 0.32 0.04 0.14 -1 -1 0.32 0.0116716 0.0101811 123 56 29 29 52 26 -fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 7.15 vpr 64.16 MiB 0.02 7052 -1 -1 1 0.04 -1 -1 34180 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65704 32 32 314 256 1 194 82 17 17 289 -1 unnamed_device 25.3 MiB 2.50 991 12186 3867 6660 1659 64.2 MiB 0.09 0.00 3.839 -135.491 -3.839 3.839 0.91 0.000203991 0.000163072 0.0151611 0.012243 -1 -1 -1 -1 34 2458 23 6.89349e+06 253689 618332. 2139.56 1.49 0.0674714 0.0555768 25762 151098 -1 2107 19 1554 2199 180715 37826 3.13446 3.13446 -130.567 -3.13446 0 0 787024. 2723.27 0.30 0.05 0.14 -1 -1 0.30 0.0122366 0.0107457 127 34 64 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 7.62 vpr 64.32 MiB 0.04 7160 -1 -1 1 0.05 -1 -1 34080 -1 -1 27 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65868 31 32 387 307 1 242 90 17 17 289 -1 unnamed_device 25.4 MiB 2.85 1211 12351 3377 8033 941 64.3 MiB 0.09 0.00 4.27594 -141.751 -4.27594 4.27594 0.86 0.000227756 0.000180009 0.0156681 0.0126273 -1 -1 -1 -1 34 2952 20 6.89349e+06 380534 618332. 2139.56 1.51 0.0740924 0.0608915 25762 151098 -1 2565 19 1981 2687 180618 43090 3.6674 3.6674 -142.71 -3.6674 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.0135313 0.0117764 164 64 58 31 62 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 6.71 vpr 63.88 MiB 0.02 7264 -1 -1 1 0.05 -1 -1 34148 -1 -1 21 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65408 31 32 308 262 1 197 84 17 17 289 -1 unnamed_device 25.2 MiB 2.12 1059 8685 2032 5995 658 63.9 MiB 0.06 0.00 3.20612 -110.242 -3.20612 3.20612 0.88 0.000193538 0.000155191 0.010244 0.00827964 -1 -1 -1 -1 34 2412 19 6.89349e+06 295971 618332. 2139.56 1.40 0.0573375 0.0470102 25762 151098 -1 2008 20 1094 1360 103866 23561 2.97521 2.97521 -111.445 -2.97521 0 0 787024. 2723.27 0.29 0.04 0.14 -1 -1 0.29 0.0113236 0.00981993 125 55 31 31 53 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 7.25 vpr 63.27 MiB 0.02 7080 -1 -1 1 0.05 -1 -1 33884 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64792 32 32 383 307 1 242 89 17 17 289 -1 unnamed_device 24.7 MiB 2.41 1275 17711 6428 9355 1928 63.3 MiB 0.14 0.00 4.24029 -141.492 -4.24029 4.24029 0.87 0.000218022 0.000172363 0.0217635 0.0173612 -1 -1 -1 -1 34 2871 22 6.89349e+06 352346 618332. 2139.56 1.50 0.0800387 0.0656068 25762 151098 -1 2493 20 1561 2255 205313 41710 3.6346 3.6346 -134.296 -3.6346 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.015108 0.0131016 162 65 52 26 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 8.08 vpr 64.57 MiB 0.03 7368 -1 -1 1 0.04 -1 -1 33876 -1 -1 31 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66120 31 32 422 339 1 277 94 17 17 289 -1 unnamed_device 25.6 MiB 3.20 1343 17134 5604 8974 2556 64.6 MiB 0.15 0.00 5.0297 -160.896 -5.0297 5.0297 0.88 0.000236401 0.000185624 0.0238975 0.0192684 -1 -1 -1 -1 34 3451 22 6.89349e+06 436909 618332. 2139.56 1.62 0.0894686 0.072973 25762 151098 -1 2779 19 2122 3000 209289 46480 4.28509 4.28509 -156.232 -4.28509 0 0 787024. 2723.27 0.31 0.07 0.13 -1 -1 0.31 0.0153414 0.0132137 185 93 31 31 92 31 -fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 8.05 vpr 64.26 MiB 0.02 6916 -1 -1 1 0.04 -1 -1 33868 -1 -1 21 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65804 32 32 333 279 1 216 85 17 17 289 -1 unnamed_device 25.2 MiB 3.34 1150 11245 3098 7003 1144 64.3 MiB 0.08 0.00 3.53115 -123.341 -3.53115 3.53115 0.87 0.000191956 0.000152105 0.0134255 0.010837 -1 -1 -1 -1 34 2757 25 6.89349e+06 295971 618332. 2139.56 1.48 0.0670052 0.0549417 25762 151098 -1 2406 21 1546 2161 156275 34456 3.12676 3.12676 -125.567 -3.12676 0 0 787024. 2723.27 0.33 0.05 0.14 -1 -1 0.33 0.0136749 0.0117882 137 61 32 32 60 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 6.55 vpr 63.44 MiB 0.02 7020 -1 -1 1 0.03 -1 -1 33892 -1 -1 19 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64960 32 32 339 283 1 218 83 17 17 289 -1 unnamed_device 24.7 MiB 1.93 960 9803 2241 6661 901 63.4 MiB 0.08 0.00 3.817 -127.178 -3.817 3.817 0.88 0.000194034 0.000153099 0.0128513 0.0104375 -1 -1 -1 -1 34 2445 23 6.89349e+06 267783 618332. 2139.56 1.40 0.0550695 0.0454127 25762 151098 -1 1949 22 1454 1750 106721 27622 3.20811 3.20811 -124.459 -3.20811 0 0 787024. 2723.27 0.33 0.04 0.13 -1 -1 0.33 0.012449 0.0107003 138 63 32 32 62 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 9.25 vpr 64.68 MiB 0.03 7180 -1 -1 1 0.04 -1 -1 34376 -1 -1 27 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66228 32 32 407 319 1 264 91 17 17 289 -1 unnamed_device 25.8 MiB 2.48 1238 13147 2986 8190 1971 64.7 MiB 0.10 0.00 4.60895 -158.911 -4.60895 4.60895 0.90 0.000224634 0.00017755 0.0165373 0.0133414 -1 -1 -1 -1 42 2704 19 6.89349e+06 380534 744469. 2576.02 3.48 0.110379 0.0907518 27202 183097 -1 2337 20 1816 2236 168530 36541 3.74226 3.74226 -147.266 -3.74226 0 0 949917. 3286.91 0.36 0.05 0.16 -1 -1 0.36 0.0141929 0.0122898 178 65 64 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 6.65 vpr 63.78 MiB 0.03 7320 -1 -1 1 0.04 -1 -1 34012 -1 -1 26 29 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65312 29 32 367 293 1 231 87 17 17 289 -1 unnamed_device 25.0 MiB 2.57 1165 15639 4840 8583 2216 63.8 MiB 0.12 0.00 3.69045 -118.464 -3.69045 3.69045 0.90 0.000206669 0.000163271 0.0189307 0.0151556 -1 -1 -1 -1 30 2493 21 6.89349e+06 366440 556674. 1926.21 0.94 0.0573164 0.0475628 25186 138497 -1 2048 22 1565 2117 122502 28169 2.97676 2.97676 -113.932 -2.97676 0 0 706193. 2443.58 0.28 0.04 0.12 -1 -1 0.28 0.0135679 0.0117437 157 62 56 29 58 29 -fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 9.16 vpr 64.58 MiB 0.03 7488 -1 -1 1 0.05 -1 -1 34048 -1 -1 29 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66132 32 32 469 381 1 315 93 17 17 289 -1 unnamed_device 25.7 MiB 2.65 1517 16893 4853 9389 2651 64.6 MiB 0.14 0.00 4.95684 -167.658 -4.95684 4.95684 0.85 0.000260532 0.000209059 0.0232811 0.018842 -1 -1 -1 -1 34 3787 43 6.89349e+06 408721 618332. 2139.56 3.16 0.155834 0.128527 25762 151098 -1 3073 21 2565 2958 226911 49629 4.57149 4.57149 -168.414 -4.57149 0 0 787024. 2723.27 0.39 0.08 0.13 -1 -1 0.39 0.0188044 0.0162182 203 127 0 0 128 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 5.20 vpr 63.93 MiB 0.02 6996 -1 -1 1 0.03 -1 -1 33736 -1 -1 16 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65468 31 32 259 212 1 155 79 17 17 289 -1 unnamed_device 25.2 MiB 1.15 798 6670 1580 4567 523 63.9 MiB 0.05 0.00 2.99217 -104.93 -2.99217 2.99217 0.89 0.000164816 0.000130508 0.00802316 0.00652684 -1 -1 -1 -1 32 2009 25 6.89349e+06 225501 586450. 2029.24 0.93 0.0388393 0.0324445 25474 144626 -1 1633 17 905 1467 98390 22564 2.77591 2.77591 -111.21 -2.77591 0 0 744469. 2576.02 0.29 0.04 0.13 -1 -1 0.29 0.00917871 0.00802156 104 4 85 31 0 0 -fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 8.29 vpr 63.29 MiB 0.03 7272 -1 -1 1 0.04 -1 -1 34168 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64808 32 32 418 338 1 273 92 17 17 289 -1 unnamed_device 24.4 MiB 3.20 1436 17687 5331 10067 2289 63.3 MiB 0.15 0.00 5.59526 -182.613 -5.59526 5.59526 0.86 0.000231769 0.000183716 0.023119 0.0185471 -1 -1 -1 -1 34 3460 38 6.89349e+06 394628 618332. 2139.56 1.65 0.0963889 0.0783871 25762 151098 -1 2818 20 2257 2934 227494 49378 4.91074 4.91074 -179.107 -4.91074 0 0 787024. 2723.27 0.34 0.07 0.25 -1 -1 0.34 0.0158688 0.01369 179 92 28 28 92 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 10.43 vpr 64.23 MiB 0.04 7080 -1 -1 1 0.05 -1 -1 33476 -1 -1 24 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65776 32 32 376 318 1 259 88 17 17 289 -1 unnamed_device 25.4 MiB 2.96 1228 17248 5165 9655 2428 64.2 MiB 0.14 0.00 4.94918 -162.793 -4.94918 4.94918 0.86 0.000215527 0.000169988 0.0214541 0.0172562 -1 -1 -1 -1 38 2827 20 6.89349e+06 338252 678818. 2348.85 4.05 0.108491 0.0884019 26626 170182 -1 2466 21 2302 2900 204738 45823 4.16159 4.16159 -155.406 -4.16159 0 0 902133. 3121.57 0.34 0.07 0.16 -1 -1 0.34 0.015129 0.0132029 161 96 0 0 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 7.54 vpr 64.49 MiB 0.03 7180 -1 -1 1 0.04 -1 -1 33984 -1 -1 25 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66040 32 32 401 316 1 253 89 17 17 289 -1 unnamed_device 25.6 MiB 2.66 1223 15335 4617 8313 2405 64.5 MiB 0.13 0.00 3.74935 -130.887 -3.74935 3.74935 0.87 0.000224967 0.000178303 0.0201438 0.016268 -1 -1 -1 -1 34 2949 22 6.89349e+06 352346 618332. 2139.56 1.68 0.0925099 0.0771385 25762 151098 -1 2455 21 1607 2192 170274 37420 3.1004 3.1004 -127.579 -3.1004 0 0 787024. 2723.27 0.32 0.06 0.13 -1 -1 0.32 0.0156903 0.0135711 170 65 61 32 64 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 8.12 vpr 63.69 MiB 0.03 7444 -1 -1 1 0.04 -1 -1 34380 -1 -1 33 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65216 32 32 500 382 1 323 97 17 17 289 -1 unnamed_device 24.9 MiB 2.86 1555 21409 7403 11274 2732 63.7 MiB 0.19 0.00 5.15944 -175.489 -5.15944 5.15944 0.89 0.000264584 0.000208976 0.0282474 0.0225807 -1 -1 -1 -1 34 4120 50 6.89349e+06 465097 618332. 2139.56 1.94 0.1129 0.0932452 25762 151098 -1 3155 19 2589 3065 236422 52400 4.8551 4.8551 -171.566 -4.8551 0 0 787024. 2723.27 0.32 0.07 0.13 -1 -1 0.32 0.0183137 0.015899 224 96 64 32 96 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 6.14 vpr 63.68 MiB 0.02 6884 -1 -1 1 0.03 -1 -1 33880 -1 -1 16 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65208 30 32 246 229 1 160 78 17 17 289 -1 unnamed_device 25.0 MiB 1.60 857 12860 4315 6531 2014 63.7 MiB 0.07 0.00 3.11018 -96.6789 -3.11018 3.11018 0.90 0.000155181 0.000122226 0.0136816 0.0110283 -1 -1 -1 -1 34 1785 19 6.89349e+06 225501 618332. 2139.56 1.29 0.0532119 0.0438963 25762 151098 -1 1575 16 677 697 55663 12945 2.31406 2.31406 -91.2888 -2.31406 0 0 787024. 2723.27 0.32 0.03 0.13 -1 -1 0.32 0.00820774 0.00718987 93 56 0 0 53 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 6.65 vpr 62.88 MiB 0.03 6984 -1 -1 1 0.05 -1 -1 33864 -1 -1 21 30 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64392 30 32 296 244 1 181 83 17 17 289 -1 unnamed_device 23.9 MiB 1.95 936 13763 4424 7248 2091 62.9 MiB 0.09 0.00 4.23979 -138.455 -4.23979 4.23979 0.88 0.000176156 0.000139181 0.0152404 0.0122558 -1 -1 -1 -1 34 2069 19 6.89349e+06 295971 618332. 2139.56 1.39 0.0610836 0.0501228 25762 151098 -1 1752 19 1387 2094 145324 33469 3.41345 3.41345 -131.279 -3.41345 0 0 787024. 2723.27 0.32 0.05 0.14 -1 -1 0.32 0.013382 0.0118796 124 34 60 30 30 30 -fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 7.85 vpr 63.77 MiB 0.03 6948 -1 -1 1 0.03 -1 -1 33628 -1 -1 18 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65304 32 32 314 256 1 199 82 17 17 289 -1 unnamed_device 25.0 MiB 3.06 1009 8448 2021 6048 379 63.8 MiB 0.08 0.00 4.33609 -148.866 -4.33609 4.33609 0.86 0.000188702 0.000148982 0.0108085 0.00874381 -1 -1 -1 -1 34 2842 26 6.89349e+06 253689 618332. 2139.56 1.67 0.0571653 0.0474936 25762 151098 -1 2320 21 1677 2902 215640 48416 3.8476 3.8476 -150.457 -3.8476 0 0 787024. 2723.27 0.31 0.06 0.14 -1 -1 0.31 0.012441 0.0107962 129 34 64 32 32 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 6.28 vpr 63.61 MiB 0.02 6960 -1 -1 1 0.04 -1 -1 33964 -1 -1 24 25 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65140 25 32 251 214 1 162 81 17 17 289 -1 unnamed_device 25.1 MiB 1.69 638 13031 3446 7568 2017 63.6 MiB 0.08 0.00 3.8441 -98.0419 -3.8441 3.8441 0.91 0.000148241 0.000116908 0.0124021 0.00993332 -1 -1 -1 -1 34 1735 20 6.89349e+06 338252 618332. 2139.56 1.35 0.0530287 0.0433486 25762 151098 -1 1462 18 873 1225 71875 17963 3.18111 3.18111 -99.3117 -3.18111 0 0 787024. 2723.27 0.32 0.03 0.13 -1 -1 0.32 0.0093407 0.00808074 107 34 50 25 25 25 -fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 8.87 vpr 64.67 MiB 0.03 7260 -1 -1 1 0.06 -1 -1 34164 -1 -1 28 32 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66224 32 32 432 346 1 288 92 17 17 289 -1 unnamed_device 25.9 MiB 3.71 1455 17273 5876 8561 2836 64.7 MiB 0.16 0.00 4.52275 -153.489 -4.52275 4.52275 0.89 0.000247932 0.000198553 0.0244503 0.019949 -1 -1 -1 -1 34 4203 34 6.89349e+06 394628 618332. 2139.56 1.81 0.0901629 0.0748059 25762 151098 -1 3085 22 2661 3895 270970 59887 3.86266 3.86266 -150.482 -3.86266 0 0 787024. 2723.27 0.31 0.07 0.14 -1 -1 0.31 0.0164082 0.0140969 190 94 32 32 94 32 -fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 8.57 vpr 63.43 MiB 0.03 7208 -1 -1 1 0.05 -1 -1 33836 -1 -1 27 31 0 0 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64956 31 32 421 339 1 274 90 17 17 289 -1 unnamed_device 24.4 MiB 3.52 1331 13758 3863 8023 1872 63.4 MiB 0.12 0.00 4.9912 -162.029 -4.9912 4.9912 0.89 0.000233943 0.000185266 0.0183647 0.014801 -1 -1 -1 -1 34 3056 27 6.89349e+06 380534 618332. 2139.56 1.67 0.0932378 0.0770933 25762 151098 -1 2595 21 2071 2940 200601 47642 4.1898 4.1898 -157.301 -4.1898 0 0 787024. 2723.27 0.36 0.07 0.19 -1 -1 0.36 0.0170416 0.0147851 183 94 29 29 93 31 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_001.v common 3.83 vpr 63.55 MiB 0.04 6872 -1 -1 14 0.27 -1 -1 32992 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65076 32 32 280 312 1 202 104 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1379 6692 1314 4621 757 63.6 MiB 0.07 0.00 8.77506 -175.655 -8.77506 8.77506 0.31 0.000905482 0.000839189 0.0282299 0.0261864 -1 -1 -1 -1 30 3433 27 6.55708e+06 482200 526063. 1820.29 1.71 0.244683 0.210457 21886 126133 -1 2863 16 1221 4024 184935 46896 7.60715 7.60715 -168.262 -7.60715 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.031 0.0272863 193 186 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_002.v common 4.33 vpr 62.88 MiB 0.03 6828 -1 -1 14 0.28 -1 -1 32720 -1 -1 39 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64388 30 32 277 309 1 195 101 17 17 289 -1 unnamed_device 23.7 MiB 0.11 1330 8796 1929 6022 845 62.9 MiB 0.09 0.00 8.44035 -165.055 -8.44035 8.44035 0.31 0.000896602 0.000832123 0.0380076 0.035209 -1 -1 -1 -1 24 3729 34 6.55708e+06 470145 448715. 1552.65 2.19 0.296139 0.25463 20734 103517 -1 2878 15 1171 3972 193275 48111 7.47729 7.47729 -156.462 -7.47729 0 0 554710. 1919.41 0.02 0.08 0.09 -1 -1 0.02 0.0295608 0.0260097 195 189 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_003.v common 4.17 vpr 63.48 MiB 0.04 6788 -1 -1 11 0.21 -1 -1 32700 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65008 32 32 274 306 1 194 105 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1449 10479 2419 7168 892 63.5 MiB 0.10 0.00 6.7574 -144.181 -6.7574 6.7574 0.32 0.000898161 0.000833803 0.0415376 0.0384061 -1 -1 -1 -1 28 3921 45 6.55708e+06 494255 500653. 1732.36 2.02 0.272347 0.234931 21310 115450 -1 3132 15 1173 4168 217934 52137 6.22018 6.22018 -142.657 -6.22018 0 0 612192. 2118.31 0.03 0.08 0.09 -1 -1 0.03 0.0294804 0.0259357 192 180 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_004.v common 4.26 vpr 63.10 MiB 0.05 6792 -1 -1 12 0.33 -1 -1 32772 -1 -1 38 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64612 29 32 269 301 1 191 99 17 17 289 -1 unnamed_device 24.1 MiB 0.11 1321 9675 2457 6151 1067 63.1 MiB 0.13 0.00 7.41952 -142.886 -7.41952 7.41952 0.35 0.000896676 0.000831747 0.0553718 0.0512311 -1 -1 -1 -1 26 3719 43 6.55708e+06 458090 477104. 1650.88 1.93 0.290668 0.252373 21022 109990 -1 2930 19 1499 5359 260700 63739 6.54864 6.54864 -138.181 -6.54864 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0352727 0.0308235 193 184 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_005.v common 4.45 vpr 63.04 MiB 0.05 6708 -1 -1 13 0.31 -1 -1 32988 -1 -1 47 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64556 32 32 317 349 1 235 111 17 17 289 -1 unnamed_device 24.2 MiB 0.16 1695 8889 1740 6657 492 63.0 MiB 0.10 0.00 8.06658 -163.984 -8.06658 8.06658 0.32 0.00105435 0.000968425 0.040048 0.0369769 -1 -1 -1 -1 26 4721 34 6.55708e+06 566585 477104. 1650.88 2.16 0.337659 0.290358 21022 109990 -1 3837 20 1626 5187 268234 65755 7.2017 7.2017 -162.942 -7.2017 0 0 585099. 2024.56 0.02 0.10 0.09 -1 -1 0.02 0.0417206 0.0366216 235 223 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_006.v common 4.23 vpr 63.00 MiB 0.04 6848 -1 -1 12 0.27 -1 -1 32784 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64516 32 32 299 331 1 211 107 17 17 289 -1 unnamed_device 24.0 MiB 0.13 1547 8709 1810 6036 863 63.0 MiB 0.09 0.00 7.75594 -159.685 -7.75594 7.75594 0.31 0.000951053 0.000880425 0.0364744 0.0337419 -1 -1 -1 -1 26 3800 19 6.55708e+06 518365 477104. 1650.88 2.06 0.266481 0.229787 21022 109990 -1 3383 16 1204 4203 215355 52301 6.8823 6.8823 -155.707 -6.8823 0 0 585099. 2024.56 0.02 0.08 0.09 -1 -1 0.02 0.0330797 0.0291295 215 205 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_007.v common 2.98 vpr 63.07 MiB 0.04 6636 -1 -1 12 0.18 -1 -1 32332 -1 -1 32 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64588 27 32 210 242 1 151 91 17 17 289 -1 unnamed_device 23.3 MiB 0.07 940 8659 2063 5793 803 63.1 MiB 0.07 0.00 6.87734 -123.21 -6.87734 6.87734 0.32 0.000710756 0.000658651 0.032567 0.030173 -1 -1 -1 -1 26 2333 23 6.55708e+06 385760 477104. 1650.88 1.06 0.19291 0.166611 21022 109990 -1 1997 15 806 2213 101298 26420 6.01898 6.01898 -119.635 -6.01898 0 0 585099. 2024.56 0.02 0.05 0.10 -1 -1 0.02 0.0231144 0.0203445 138 131 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_008.v common 4.99 vpr 62.77 MiB 0.05 6860 -1 -1 11 0.18 -1 -1 32696 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64272 31 32 264 296 1 193 101 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1409 10206 2311 6974 921 62.8 MiB 0.09 0.00 6.88246 -140.282 -6.88246 6.88246 0.31 0.000862356 0.000800567 0.0402817 0.0373639 -1 -1 -1 -1 26 3526 40 6.55708e+06 458090 477104. 1650.88 2.90 0.320687 0.275668 21022 109990 -1 3079 24 1181 4195 300358 95819 6.00672 6.00672 -136.496 -6.00672 0 0 585099. 2024.56 0.02 0.12 0.09 -1 -1 0.02 0.0390358 0.0339663 182 173 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_009.v common 3.58 vpr 62.61 MiB 0.04 6608 -1 -1 12 0.17 -1 -1 32616 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64112 31 32 234 266 1 169 98 17 17 289 -1 unnamed_device 23.5 MiB 0.09 1153 10448 2324 7217 907 62.6 MiB 0.09 0.00 6.78669 -143.747 -6.78669 6.78669 0.31 0.000748081 0.000693145 0.0375646 0.0347587 -1 -1 -1 -1 26 3020 32 6.55708e+06 421925 477104. 1650.88 1.63 0.236177 0.203541 21022 109990 -1 2367 16 972 2971 136016 35480 5.97718 5.97718 -138.2 -5.97718 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0262578 0.0231156 154 143 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_010.v common 3.30 vpr 62.82 MiB 0.04 6584 -1 -1 13 0.19 -1 -1 32676 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64332 32 32 253 285 1 179 98 17 17 289 -1 unnamed_device 23.6 MiB 0.13 1372 6848 1374 4976 498 62.8 MiB 0.07 0.00 7.70743 -169.008 -7.70743 7.70743 0.31 0.000830568 0.000761967 0.028128 0.0260766 -1 -1 -1 -1 20 3532 29 6.55708e+06 409870 394039. 1363.46 1.17 0.159054 0.137532 19870 87366 -1 3227 47 1233 3938 394335 167328 6.86804 6.86804 -166.476 -6.86804 0 0 477104. 1650.88 0.02 0.21 0.08 -1 -1 0.02 0.0669875 0.0577062 170 159 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_011.v common 2.61 vpr 62.40 MiB 0.04 6684 -1 -1 12 0.17 -1 -1 32624 -1 -1 33 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63896 30 32 217 249 1 159 95 17 17 289 -1 unnamed_device 23.2 MiB 0.11 1126 7223 1646 4845 732 62.4 MiB 0.07 0.00 7.03209 -144.685 -7.03209 7.03209 0.31 0.00070724 0.000656699 0.0261154 0.0241686 -1 -1 -1 -1 26 2659 23 6.55708e+06 397815 477104. 1650.88 0.67 0.111842 0.0974297 21022 109990 -1 2317 14 834 2596 135291 33154 6.25938 6.25938 -137.747 -6.25938 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0219772 0.0193399 145 129 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_012.v common 3.03 vpr 62.89 MiB 0.04 6648 -1 -1 12 0.14 -1 -1 32776 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64400 32 32 227 259 1 168 94 17 17 289 -1 unnamed_device 23.1 MiB 0.11 1224 8188 1760 5740 688 62.9 MiB 0.07 0.00 7.16691 -155.232 -7.16691 7.16691 0.31 0.000723893 0.00066248 0.0301239 0.027875 -1 -1 -1 -1 26 2822 14 6.55708e+06 361650 477104. 1650.88 1.10 0.193795 0.167115 21022 109990 -1 2376 14 837 2348 118349 29698 6.10964 6.10964 -147.742 -6.10964 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0229499 0.0202708 140 133 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_013.v common 4.17 vpr 63.04 MiB 0.05 6800 -1 -1 13 0.26 -1 -1 32868 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64556 32 32 306 338 1 222 107 17 17 289 -1 unnamed_device 24.0 MiB 0.14 1555 8456 1633 6062 761 63.0 MiB 0.09 0.00 8.4843 -172.139 -8.4843 8.4843 0.31 0.000986607 0.000912452 0.0374719 0.0346311 -1 -1 -1 -1 26 4315 26 6.55708e+06 518365 477104. 1650.88 1.96 0.301796 0.25945 21022 109990 -1 3576 19 1596 5747 312829 72387 7.65036 7.65036 -166.935 -7.65036 0 0 585099. 2024.56 0.03 0.11 0.09 -1 -1 0.03 0.0498875 0.044744 220 212 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_014.v common 3.28 vpr 62.91 MiB 0.05 6772 -1 -1 14 0.30 -1 -1 33136 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64416 32 32 302 334 1 209 106 17 17 289 -1 unnamed_device 23.9 MiB 0.15 1516 10356 2279 7365 712 62.9 MiB 0.11 0.00 8.73986 -179.719 -8.73986 8.73986 0.36 0.000983572 0.000910051 0.044446 0.0411316 -1 -1 -1 -1 28 4246 29 6.55708e+06 506310 500653. 1732.36 0.99 0.181894 0.159405 21310 115450 -1 3245 18 1360 4616 242551 59872 7.65802 7.65802 -173.385 -7.65802 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0374401 0.0328965 216 208 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_015.v common 2.55 vpr 63.25 MiB 0.04 6640 -1 -1 11 0.20 -1 -1 32412 -1 -1 36 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64764 29 32 238 270 1 177 97 17 17 289 -1 unnamed_device 23.5 MiB 0.12 1173 9865 2533 6304 1028 63.2 MiB 0.09 0.00 6.72306 -135.254 -6.72306 6.72306 0.31 0.000752662 0.000693981 0.0364417 0.0336222 -1 -1 -1 -1 26 2847 19 6.55708e+06 433980 477104. 1650.88 0.56 0.124481 0.109008 21022 109990 -1 2510 16 953 2882 141371 35732 6.02098 6.02098 -131.157 -6.02098 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0262877 0.0231494 164 153 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_016.v common 4.89 vpr 63.01 MiB 0.05 6736 -1 -1 12 0.27 -1 -1 32888 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64520 32 32 306 338 1 219 107 17 17 289 -1 unnamed_device 24.0 MiB 0.14 1610 10480 2317 7051 1112 63.0 MiB 0.11 0.00 7.70723 -163.397 -7.70723 7.70723 0.31 0.000999336 0.000927262 0.0461997 0.0427299 -1 -1 -1 -1 30 3838 24 6.55708e+06 518365 526063. 1820.29 2.68 0.339781 0.293999 21886 126133 -1 3263 17 1274 4587 203591 50840 6.9633 6.9633 -157.014 -6.9633 0 0 666494. 2306.21 0.03 0.09 0.10 -1 -1 0.03 0.0369728 0.0326367 219 212 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_017.v common 3.88 vpr 63.76 MiB 0.05 6856 -1 -1 13 0.26 -1 -1 32720 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65288 32 32 311 343 1 229 108 17 17 289 -1 unnamed_device 24.0 MiB 0.14 1523 9874 2181 6815 878 63.8 MiB 0.10 0.00 8.50177 -173.987 -8.50177 8.50177 0.31 0.000991283 0.000915668 0.0419625 0.0387481 -1 -1 -1 -1 26 3937 33 6.55708e+06 530420 477104. 1650.88 1.68 0.312042 0.268553 21022 109990 -1 3221 14 1188 3862 195710 47804 7.72935 7.72935 -170.115 -7.72935 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0325935 0.0288799 223 217 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_018.v common 3.07 vpr 62.73 MiB 0.04 6692 -1 -1 12 0.15 -1 -1 32432 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64232 32 32 230 262 1 158 95 17 17 289 -1 unnamed_device 23.6 MiB 0.11 1115 5711 1077 4176 458 62.7 MiB 0.06 0.00 6.82629 -149.679 -6.82629 6.82629 0.32 0.00075256 0.000697608 0.0226549 0.0209833 -1 -1 -1 -1 26 2616 18 6.55708e+06 373705 477104. 1650.88 1.17 0.175249 0.151149 21022 109990 -1 2318 20 824 2853 139824 34603 6.09938 6.09938 -143.802 -6.09938 0 0 585099. 2024.56 0.02 0.07 0.06 -1 -1 0.02 0.0300028 0.0262746 146 136 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_019.v common 2.82 vpr 62.79 MiB 0.04 6496 -1 -1 10 0.10 -1 -1 32164 -1 -1 28 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64296 30 32 176 208 1 134 90 17 17 289 -1 unnamed_device 23.2 MiB 0.07 932 8934 2132 5783 1019 62.8 MiB 0.07 0.00 5.53743 -122.822 -5.53743 5.53743 0.31 0.000568084 0.000527517 0.0271762 0.0252157 -1 -1 -1 -1 24 2034 17 6.55708e+06 337540 448715. 1552.65 1.06 0.133723 0.115547 20734 103517 -1 1905 15 608 1720 91717 23222 4.91926 4.91926 -121.512 -4.91926 0 0 554710. 1919.41 0.02 0.05 0.09 -1 -1 0.02 0.0185639 0.0162759 105 88 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_020.v common 3.43 vpr 62.55 MiB 0.04 6752 -1 -1 13 0.17 -1 -1 32644 -1 -1 31 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64052 31 32 226 258 1 162 94 17 17 289 -1 unnamed_device 23.2 MiB 0.10 1231 5632 1047 4120 465 62.6 MiB 0.06 0.00 7.44075 -155.6 -7.44075 7.44075 0.31 0.000727921 0.000675174 0.0220415 0.0204367 -1 -1 -1 -1 32 2574 17 6.55708e+06 373705 554710. 1919.41 1.47 0.224294 0.19288 22174 131602 -1 2354 19 800 2478 124931 30628 6.57618 6.57618 -152.103 -6.57618 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0285539 0.0250467 147 135 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_021.v common 4.46 vpr 63.53 MiB 0.02 6704 -1 -1 13 0.29 -1 -1 32900 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65056 32 32 302 334 1 221 108 17 17 289 -1 unnamed_device 23.9 MiB 0.14 1618 5505 842 4242 421 63.5 MiB 0.06 0.00 8.33481 -166.23 -8.33481 8.33481 0.31 0.000964649 0.000894904 0.0244746 0.0226851 -1 -1 -1 -1 26 4605 44 6.55708e+06 530420 477104. 1650.88 2.21 0.318802 0.274354 21022 109990 -1 3729 27 1915 7143 425278 109970 7.8001 7.8001 -165.425 -7.8001 0 0 585099. 2024.56 0.03 0.15 0.09 -1 -1 0.03 0.0513346 0.0447512 221 208 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_022.v common 3.94 vpr 62.95 MiB 0.05 6808 -1 -1 13 0.28 -1 -1 33168 -1 -1 48 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64464 32 32 299 331 1 218 112 17 17 289 -1 unnamed_device 24.0 MiB 0.12 1660 8720 1833 6170 717 63.0 MiB 0.09 0.00 8.11204 -167.594 -8.11204 8.11204 0.31 0.000952237 0.000883688 0.0355665 0.0328908 -1 -1 -1 -1 28 4350 24 6.55708e+06 578640 500653. 1732.36 1.71 0.240277 0.207367 21310 115450 -1 3599 21 1292 4372 265533 68469 7.18944 7.18944 -160.482 -7.18944 0 0 612192. 2118.31 0.03 0.11 0.09 -1 -1 0.03 0.0404983 0.0354454 217 205 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_023.v common 2.21 vpr 62.41 MiB 0.04 6472 -1 -1 9 0.09 -1 -1 32112 -1 -1 26 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63908 26 32 149 181 1 107 84 17 17 289 -1 unnamed_device 23.0 MiB 0.07 675 8868 2074 5942 852 62.4 MiB 0.06 0.00 4.85037 -92.8296 -4.85037 4.85037 0.31 0.000499883 0.000465418 0.0258219 0.0240131 -1 -1 -1 -1 26 1513 16 6.55708e+06 313430 477104. 1650.88 0.51 0.081233 0.0711407 21022 109990 -1 1340 14 414 1154 60078 15920 4.30094 4.30094 -89.9922 -4.30094 0 0 585099. 2024.56 0.02 0.04 0.09 -1 -1 0.02 0.0153646 0.0134319 89 73 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_024.v common 3.01 vpr 63.83 MiB 0.05 6700 -1 -1 13 0.30 -1 -1 32872 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65360 32 32 304 336 1 220 106 17 17 289 -1 unnamed_device 24.2 MiB 0.13 1552 7856 1453 5747 656 63.8 MiB 0.08 0.00 8.88086 -176.713 -8.88086 8.88086 0.31 0.000965904 0.000895048 0.034118 0.0315702 -1 -1 -1 -1 28 3850 18 6.55708e+06 506310 500653. 1732.36 0.78 0.15023 0.131658 21310 115450 -1 3281 17 1247 4044 210010 50770 7.92855 7.92855 -169.569 -7.92855 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0356582 0.0314366 218 210 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_025.v common 2.81 vpr 62.41 MiB 0.02 6348 -1 -1 8 0.09 -1 -1 31176 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63908 32 32 155 187 1 115 91 17 17 289 -1 unnamed_device 23.2 MiB 0.06 749 10495 2446 6181 1868 62.4 MiB 0.07 0.00 4.40551 -94.9098 -4.40551 4.40551 0.31 0.000527377 0.000490868 0.0281352 0.0261097 -1 -1 -1 -1 26 1436 13 6.55708e+06 325485 477104. 1650.88 1.08 0.140959 0.12177 21022 109990 -1 1361 13 430 977 50388 13512 3.90514 3.90514 -94.3378 -3.90514 0 0 585099. 2024.56 0.03 0.04 0.09 -1 -1 0.03 0.0151468 0.0133306 89 61 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_026.v common 3.87 vpr 62.84 MiB 0.05 6804 -1 -1 15 0.23 -1 -1 33208 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64344 32 32 253 285 1 180 103 17 17 289 -1 unnamed_device 23.8 MiB 0.10 1300 9502 2122 6429 951 62.8 MiB 0.09 0.00 8.94148 -174.857 -8.94148 8.94148 0.32 0.000841695 0.000780851 0.0365084 0.0338337 -1 -1 -1 -1 26 3265 19 6.55708e+06 470145 477104. 1650.88 1.75 0.253185 0.21872 21022 109990 -1 2756 19 1183 4170 209025 50437 8.16435 8.16435 -168.711 -8.16435 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0335067 0.0293126 169 159 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_027.v common 4.86 vpr 63.71 MiB 0.04 6784 -1 -1 12 0.25 -1 -1 32872 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65240 32 32 309 341 1 219 107 17 17 289 -1 unnamed_device 24.1 MiB 0.14 1527 6179 1069 4615 495 63.7 MiB 0.07 0.00 7.52015 -155.986 -7.52015 7.52015 0.31 0.000967842 0.000896061 0.0275073 0.0254504 -1 -1 -1 -1 26 4331 42 6.55708e+06 518365 477104. 1650.88 2.72 0.319607 0.274043 21022 109990 -1 3510 17 1520 5350 272006 66080 6.58078 6.58078 -154.072 -6.58078 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.036948 0.0325437 222 215 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_028.v common 3.73 vpr 62.88 MiB 0.04 6888 -1 -1 13 0.27 -1 -1 32752 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64392 32 32 289 321 1 196 103 17 17 289 -1 unnamed_device 23.7 MiB 0.14 1416 9261 1929 6577 755 62.9 MiB 0.09 0.00 8.2857 -165.085 -8.2857 8.2857 0.31 0.00094315 0.000870918 0.0404484 0.0374018 -1 -1 -1 -1 26 3602 21 6.55708e+06 470145 477104. 1650.88 1.51 0.251607 0.217199 21022 109990 -1 3045 16 1147 3912 197794 47872 7.04936 7.04936 -157.725 -7.04936 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0319103 0.028121 203 195 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_029.v common 3.00 vpr 62.62 MiB 0.04 6624 -1 -1 12 0.17 -1 -1 32268 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64128 32 32 239 271 1 172 100 17 17 289 -1 unnamed_device 23.5 MiB 0.10 1188 9844 2211 6595 1038 62.6 MiB 0.09 0.00 6.70292 -146.197 -6.70292 6.70292 0.31 0.000775459 0.000710043 0.0350472 0.0323537 -1 -1 -1 -1 30 2462 14 6.55708e+06 433980 526063. 1820.29 1.00 0.196191 0.169774 21886 126133 -1 2191 13 731 2261 98873 24623 5.91304 5.91304 -135.92 -5.91304 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0233526 0.0207098 155 145 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_030.v common 2.48 vpr 62.38 MiB 0.04 6696 -1 -1 11 0.16 -1 -1 32716 -1 -1 33 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63872 30 32 213 245 1 157 95 17 17 289 -1 unnamed_device 23.2 MiB 0.08 1154 5711 1121 4183 407 62.4 MiB 0.05 0.00 6.45322 -134.716 -6.45322 6.45322 0.31 0.000687533 0.00063804 0.0206586 0.0191543 -1 -1 -1 -1 26 2837 32 6.55708e+06 397815 477104. 1650.88 0.59 0.113226 0.0982289 21022 109990 -1 2385 16 905 2754 138304 34251 5.96752 5.96752 -132.929 -5.96752 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0239405 0.0210294 135 125 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_031.v common 3.48 vpr 62.52 MiB 0.03 6572 -1 -1 11 0.17 -1 -1 32480 -1 -1 36 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64020 28 32 221 253 1 158 96 17 17 289 -1 unnamed_device 23.4 MiB 0.10 1023 12141 3215 7155 1771 62.5 MiB 0.10 0.00 5.97693 -119.672 -5.97693 5.97693 0.32 0.000718542 0.000665685 0.0428131 0.0396277 -1 -1 -1 -1 30 2150 18 6.55708e+06 433980 526063. 1820.29 1.46 0.210925 0.182722 21886 126133 -1 1903 15 785 2494 106743 27524 5.31706 5.31706 -111.703 -5.31706 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0239651 0.0211231 149 139 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_032.v common 3.28 vpr 63.27 MiB 0.04 6588 -1 -1 12 0.19 -1 -1 32480 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64792 32 32 273 305 1 204 104 17 17 289 -1 unnamed_device 23.7 MiB 0.13 1295 7180 1324 5459 397 63.3 MiB 0.07 0.00 7.62077 -164.081 -7.62077 7.62077 0.31 0.00086144 0.000799616 0.0285497 0.0264855 -1 -1 -1 -1 26 3353 22 6.55708e+06 482200 477104. 1650.88 1.25 0.225913 0.194356 21022 109990 -1 2883 16 1199 3478 165996 42558 6.57618 6.57618 -157.74 -6.57618 0 0 585099. 2024.56 0.02 0.07 0.09 -1 -1 0.02 0.0297483 0.0261599 188 179 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_033.v common 2.53 vpr 62.77 MiB 0.05 6640 -1 -1 11 0.17 -1 -1 32724 -1 -1 36 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64272 31 32 238 270 1 166 99 17 17 289 -1 unnamed_device 23.6 MiB 0.09 1102 8307 1614 5679 1014 62.8 MiB 0.07 0.00 6.55815 -141.739 -6.55815 6.55815 0.31 0.000775321 0.000714118 0.0311046 0.0287943 -1 -1 -1 -1 26 2592 19 6.55708e+06 433980 477104. 1650.88 0.57 0.12152 0.106407 21022 109990 -1 2293 14 835 2477 120360 30640 5.57938 5.57938 -134.005 -5.57938 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0246727 0.0217492 160 147 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_034.v common 3.29 vpr 62.63 MiB 0.04 6600 -1 -1 10 0.14 -1 -1 32640 -1 -1 34 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64132 29 32 221 253 1 157 95 17 17 289 -1 unnamed_device 23.6 MiB 0.08 1065 8303 2108 5425 770 62.6 MiB 0.07 0.00 6.06243 -125.26 -6.06243 6.06243 0.32 0.000719178 0.000666856 0.0304995 0.0282123 -1 -1 -1 -1 28 2488 17 6.55708e+06 409870 500653. 1732.36 1.42 0.1876 0.161966 21310 115450 -1 2193 13 700 2460 117031 28618 5.49072 5.49072 -120.57 -5.49072 0 0 612192. 2118.31 0.03 0.05 0.09 -1 -1 0.03 0.0216298 0.0191116 149 136 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_035.v common 3.61 vpr 63.70 MiB 0.03 6916 -1 -1 13 0.32 -1 -1 33132 -1 -1 49 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65228 32 32 333 365 1 244 113 17 17 289 -1 unnamed_device 24.2 MiB 0.14 1891 12671 3014 8080 1577 63.7 MiB 0.13 0.00 8.19608 -169.936 -8.19608 8.19608 0.31 0.00105011 0.000972113 0.0535721 0.0494235 -1 -1 -1 -1 30 4110 41 6.55708e+06 590695 526063. 1820.29 1.33 0.212961 0.185754 21886 126133 -1 3292 16 1311 4798 231088 54857 7.33156 7.33156 -162.517 -7.33156 0 0 666494. 2306.21 0.03 0.09 0.10 -1 -1 0.03 0.0369343 0.0325273 248 239 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_036.v common 3.87 vpr 63.02 MiB 0.05 6816 -1 -1 13 0.31 -1 -1 32996 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64536 32 32 297 329 1 205 108 17 17 289 -1 unnamed_device 24.0 MiB 0.15 1439 8589 1542 6384 663 63.0 MiB 0.09 0.00 7.58198 -162.445 -7.58198 7.58198 0.31 0.000962332 0.000890857 0.0361654 0.033471 -1 -1 -1 -1 26 3877 28 6.55708e+06 530420 477104. 1650.88 1.62 0.27193 0.234132 21022 109990 -1 3416 18 1313 4698 237418 57737 6.70864 6.70864 -160.261 -6.70864 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0374793 0.0329368 214 203 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_037.v common 3.73 vpr 62.54 MiB 0.04 6612 -1 -1 12 0.15 -1 -1 32620 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64036 31 32 234 266 1 167 98 17 17 289 -1 unnamed_device 23.5 MiB 0.09 1226 7973 1749 5290 934 62.5 MiB 0.07 0.00 7.14018 -152.464 -7.14018 7.14018 0.31 0.000736689 0.00068271 0.0288171 0.0267122 -1 -1 -1 -1 26 2880 44 6.55708e+06 421925 477104. 1650.88 1.91 0.268662 0.230299 21022 109990 -1 2632 17 1002 3051 158393 38838 6.18804 6.18804 -144.984 -6.18804 0 0 585099. 2024.56 0.02 0.04 0.06 -1 -1 0.02 0.0152154 0.0136434 155 143 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_038.v common 4.29 vpr 63.04 MiB 0.05 6752 -1 -1 12 0.25 -1 -1 33120 -1 -1 46 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64556 31 32 310 342 1 223 109 17 17 289 -1 unnamed_device 24.0 MiB 0.12 1526 8169 1613 5910 646 63.0 MiB 0.09 0.00 7.79724 -161.715 -7.79724 7.79724 0.31 0.000991922 0.000920953 0.0360719 0.0333998 -1 -1 -1 -1 26 4435 42 6.55708e+06 554530 477104. 1650.88 2.10 0.316129 0.272965 21022 109990 -1 3457 21 1445 5083 263408 68249 6.87264 6.87264 -154.98 -6.87264 0 0 585099. 2024.56 0.02 0.11 0.09 -1 -1 0.02 0.0413848 0.0361975 226 219 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_039.v common 4.57 vpr 62.89 MiB 0.05 6852 -1 -1 14 0.34 -1 -1 33040 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64396 31 32 284 316 1 207 101 17 17 289 -1 unnamed_device 23.7 MiB 0.10 1387 10206 2589 6652 965 62.9 MiB 0.10 0.00 8.73722 -165.428 -8.73722 8.73722 0.33 0.00095728 0.000878769 0.0450124 0.0416616 -1 -1 -1 -1 26 4103 27 6.55708e+06 458090 477104. 1650.88 2.27 0.31252 0.270398 21022 109990 -1 3242 18 1532 4986 253065 62600 7.53982 7.53982 -159.487 -7.53982 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0368641 0.0324137 199 193 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_040.v common 3.69 vpr 62.88 MiB 0.05 6800 -1 -1 13 0.26 -1 -1 32792 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64384 31 32 271 303 1 200 101 17 17 289 -1 unnamed_device 23.8 MiB 0.10 1349 9031 1969 5955 1107 62.9 MiB 0.09 0.00 7.78097 -157.183 -7.78097 7.78097 0.31 0.000891656 0.000817599 0.0380508 0.035149 -1 -1 -1 -1 32 3089 17 6.55708e+06 458090 554710. 1919.41 1.54 0.232564 0.200835 22174 131602 -1 2747 18 1080 3473 161556 41530 7.06724 7.06724 -151.753 -7.06724 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.032912 0.028844 192 180 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_041.v common 2.77 vpr 63.43 MiB 0.05 6904 -1 -1 12 0.24 -1 -1 32880 -1 -1 40 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64948 31 32 280 312 1 199 103 17 17 289 -1 unnamed_device 23.7 MiB 0.11 1485 9502 2209 6505 788 63.4 MiB 0.09 0.00 7.49787 -151.862 -7.49787 7.49787 0.31 0.000905937 0.000838885 0.0393985 0.0364716 -1 -1 -1 -1 30 3228 17 6.55708e+06 482200 526063. 1820.29 0.61 0.142099 0.124411 21886 126133 -1 2874 16 1097 4033 186918 44809 6.50944 6.50944 -143.76 -6.50944 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.031428 0.0276546 200 189 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_042.v common 3.55 vpr 62.60 MiB 0.05 6860 -1 -1 12 0.19 -1 -1 32748 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64104 32 32 264 296 1 183 98 17 17 289 -1 unnamed_device 23.6 MiB 0.09 1312 10223 2389 6577 1257 62.6 MiB 0.10 0.00 7.47594 -152.295 -7.47594 7.47594 0.32 0.000840908 0.000777494 0.0413726 0.0383016 -1 -1 -1 -1 26 2957 21 6.55708e+06 409870 477104. 1650.88 1.50 0.256479 0.221799 21022 109990 -1 2630 18 1138 3477 169147 42361 6.47024 6.47024 -146.173 -6.47024 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0316025 0.0276686 179 170 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_043.v common 3.13 vpr 63.88 MiB 0.05 6924 -1 -1 14 0.43 -1 -1 32568 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65412 32 32 339 371 1 239 108 17 17 289 -1 unnamed_device 24.3 MiB 0.13 1809 8075 1573 5820 682 63.9 MiB 0.09 0.00 7.94207 -170.083 -7.94207 7.94207 0.31 0.0010766 0.000995771 0.0383558 0.0354682 -1 -1 -1 -1 32 4336 19 6.55708e+06 530420 554710. 1919.41 0.78 0.170413 0.149431 22174 131602 -1 3708 17 1383 4993 248407 59719 6.7229 6.7229 -159.091 -6.7229 0 0 701300. 2426.64 0.03 0.10 0.11 -1 -1 0.03 0.0393265 0.0346723 253 245 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_044.v common 3.28 vpr 62.96 MiB 0.04 6692 -1 -1 11 0.19 -1 -1 32384 -1 -1 39 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64476 31 32 246 278 1 182 102 17 17 289 -1 unnamed_device 23.8 MiB 0.10 1330 5814 1014 4437 363 63.0 MiB 0.06 0.00 6.70323 -143.693 -6.70323 6.70323 0.31 0.000808301 0.000750025 0.0228068 0.0211431 -1 -1 -1 -1 28 3350 28 6.55708e+06 470145 500653. 1732.36 1.37 0.192252 0.165304 21310 115450 -1 2834 16 999 3281 171952 41437 6.06018 6.06018 -139.338 -6.06018 0 0 612192. 2118.31 0.03 0.07 0.09 -1 -1 0.03 0.0278907 0.0244996 170 155 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_045.v common 4.66 vpr 62.84 MiB 0.05 6752 -1 -1 13 0.27 -1 -1 32896 -1 -1 37 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64344 31 32 268 300 1 196 100 17 17 289 -1 unnamed_device 23.7 MiB 0.13 1504 8220 1714 5757 749 62.8 MiB 0.09 0.00 8.14258 -165.715 -8.14258 8.14258 0.32 0.00107591 0.000998745 0.0351602 0.0325366 -1 -1 -1 -1 26 3782 45 6.55708e+06 446035 477104. 1650.88 2.47 0.333131 0.28654 21022 109990 -1 3286 17 1268 4369 225328 53927 7.14824 7.14824 -157.907 -7.14824 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0331776 0.0291486 187 177 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_046.v common 4.44 vpr 63.85 MiB 0.06 6776 -1 -1 12 0.26 -1 -1 32868 -1 -1 45 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65384 32 32 318 350 1 221 109 17 17 289 -1 unnamed_device 24.2 MiB 0.15 1638 10769 2533 7018 1218 63.9 MiB 0.11 0.00 7.26258 -155.92 -7.26258 7.26258 0.31 0.000993292 0.000919146 0.0451472 0.0417222 -1 -1 -1 -1 40 3317 17 6.55708e+06 542475 666494. 2306.21 2.18 0.322988 0.278067 23614 160646 -1 3341 19 1366 5510 275584 65356 6.17638 6.17638 -143.511 -6.17638 0 0 872365. 3018.56 0.03 0.11 0.13 -1 -1 0.03 0.0408309 0.0358776 230 224 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_047.v common 3.61 vpr 62.82 MiB 0.05 6760 -1 -1 13 0.24 -1 -1 32828 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64332 32 32 273 305 1 189 99 17 17 289 -1 unnamed_device 23.7 MiB 0.11 1329 6939 1217 5203 519 62.8 MiB 0.07 0.00 8.05041 -157.943 -8.05041 8.05041 0.32 0.000886937 0.000822789 0.0305128 0.0282838 -1 -1 -1 -1 26 3321 25 6.55708e+06 421925 477104. 1650.88 1.47 0.218335 0.188094 21022 109990 -1 2893 17 1130 3637 184035 45360 7.0005 7.0005 -152.252 -7.0005 0 0 585099. 2024.56 0.02 0.08 0.09 -1 -1 0.02 0.0324342 0.0284596 190 179 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_048.v common 4.37 vpr 62.89 MiB 0.05 6924 -1 -1 13 0.22 -1 -1 32880 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64400 32 32 269 301 1 193 100 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1353 12860 3135 8105 1620 62.9 MiB 0.12 0.00 7.33866 -158.358 -7.33866 7.33866 0.31 0.000915112 0.000840721 0.052477 0.0484904 -1 -1 -1 -1 26 3625 25 6.55708e+06 433980 477104. 1650.88 2.26 0.284936 0.245896 21022 109990 -1 2919 17 1216 4060 208084 50100 6.33578 6.33578 -151.032 -6.33578 0 0 585099. 2024.56 0.02 0.08 0.09 -1 -1 0.02 0.0313425 0.0273719 182 175 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_049.v common 4.42 vpr 63.09 MiB 0.05 6764 -1 -1 12 0.26 -1 -1 33012 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64600 32 32 298 330 1 210 104 17 17 289 -1 unnamed_device 24.1 MiB 0.14 1545 8400 1728 6069 603 63.1 MiB 0.09 0.00 7.26834 -155.116 -7.26834 7.26834 0.31 0.000975956 0.000900342 0.0372845 0.034385 -1 -1 -1 -1 26 3914 24 6.55708e+06 482200 477104. 1650.88 2.31 0.296032 0.254431 21022 109990 -1 3253 15 1188 4362 218233 52731 6.37958 6.37958 -150.655 -6.37958 0 0 585099. 2024.56 0.02 0.08 0.09 -1 -1 0.02 0.0322027 0.0283826 209 204 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_050.v common 4.24 vpr 63.64 MiB 0.04 6752 -1 -1 13 0.27 -1 -1 32752 -1 -1 50 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65164 32 32 299 331 1 220 114 17 17 289 -1 unnamed_device 24.0 MiB 0.12 1453 10326 2171 7384 771 63.6 MiB 0.10 0.00 8.07969 -163.091 -8.07969 8.07969 0.31 0.00097838 0.000903808 0.0409751 0.0378493 -1 -1 -1 -1 26 3846 40 6.55708e+06 602750 477104. 1650.88 2.09 0.309526 0.266436 21022 109990 -1 3062 15 1142 3724 177627 43412 6.88996 6.88996 -152.832 -6.88996 0 0 585099. 2024.56 0.02 0.08 0.09 -1 -1 0.02 0.0316913 0.0279221 223 205 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_051.v common 4.69 vpr 62.98 MiB 0.05 6756 -1 -1 14 0.26 -1 -1 32784 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64488 32 32 259 291 1 187 100 17 17 289 -1 unnamed_device 24.0 MiB 0.12 1259 9612 2425 6307 880 63.0 MiB 0.09 0.00 8.18818 -165.491 -8.18818 8.18818 0.31 0.000869085 0.000806672 0.0389211 0.0360545 -1 -1 -1 -1 26 3834 32 6.55708e+06 433980 477104. 1650.88 2.54 0.255164 0.220208 21022 109990 -1 2820 19 1144 3649 181480 45818 7.38864 7.38864 -161.446 -7.38864 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0344623 0.0302802 177 165 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_052.v common 3.83 vpr 62.91 MiB 0.05 6784 -1 -1 13 0.27 -1 -1 32848 -1 -1 45 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64420 32 32 293 325 1 214 109 17 17 289 -1 unnamed_device 23.7 MiB 0.13 1494 6089 998 4566 525 62.9 MiB 0.07 0.00 8.70067 -172.399 -8.70067 8.70067 0.31 0.000928484 0.00086202 0.0262501 0.024342 -1 -1 -1 -1 26 3691 23 6.55708e+06 542475 477104. 1650.88 1.59 0.273559 0.235701 21022 109990 -1 3063 32 1274 4033 281811 105548 7.61116 7.61116 -163.53 -7.61116 0 0 585099. 2024.56 0.03 0.15 0.09 -1 -1 0.03 0.0549022 0.0476379 209 199 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_053.v common 5.64 vpr 63.22 MiB 0.05 6832 -1 -1 13 0.28 -1 -1 32948 -1 -1 48 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64740 31 32 311 343 1 226 111 17 17 289 -1 unnamed_device 24.2 MiB 0.12 1628 8091 1668 5965 458 63.2 MiB 0.09 0.00 8.37421 -170.118 -8.37421 8.37421 0.31 0.00100599 0.00092282 0.0350289 0.0323148 -1 -1 -1 -1 28 4571 26 6.55708e+06 578640 500653. 1732.36 3.45 0.299789 0.258329 21310 115450 -1 3651 19 1466 4986 271316 64390 7.2801 7.2801 -159.547 -7.2801 0 0 612192. 2118.31 0.03 0.11 0.10 -1 -1 0.03 0.0406163 0.0357057 230 220 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_054.v common 4.58 vpr 63.79 MiB 0.05 6884 -1 -1 12 0.31 -1 -1 32704 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65320 32 32 324 356 1 222 108 17 17 289 -1 unnamed_device 24.0 MiB 0.13 1573 7047 1242 5264 541 63.8 MiB 0.08 0.00 7.57512 -163.823 -7.57512 7.57512 0.31 0.00102224 0.000938016 0.0317269 0.0293232 -1 -1 -1 -1 26 4176 39 6.55708e+06 530420 477104. 1650.88 2.38 0.327824 0.28138 21022 109990 -1 3627 18 1477 4863 243337 60391 6.6811 6.6811 -159.051 -6.6811 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0380293 0.0333548 237 230 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_055.v common 3.05 vpr 62.63 MiB 0.04 6648 -1 -1 11 0.13 -1 -1 32464 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64132 32 32 216 248 1 159 94 17 17 289 -1 unnamed_device 23.5 MiB 0.10 1129 6697 1390 4627 680 62.6 MiB 0.06 0.00 6.71555 -140.628 -6.71555 6.71555 0.31 0.000689899 0.000637574 0.0242004 0.022359 -1 -1 -1 -1 26 2446 18 6.55708e+06 361650 477104. 1650.88 1.19 0.190993 0.164196 21022 109990 -1 2182 15 786 2166 103545 26280 5.74138 5.74138 -137.014 -5.74138 0 0 585099. 2024.56 0.02 0.05 0.09 -1 -1 0.02 0.0225978 0.0198738 129 122 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_056.v common 3.15 vpr 62.71 MiB 0.04 6672 -1 -1 13 0.19 -1 -1 32704 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64216 32 32 245 277 1 180 102 17 17 289 -1 unnamed_device 23.5 MiB 0.10 1244 8194 1663 5946 585 62.7 MiB 0.08 0.00 7.83003 -164.699 -7.83003 7.83003 0.31 0.000806285 0.000746942 0.0315122 0.0291826 -1 -1 -1 -1 26 2968 32 6.55708e+06 458090 477104. 1650.88 1.17 0.224802 0.193738 21022 109990 -1 2447 16 917 2771 132566 32871 6.8385 6.8385 -154.901 -6.8385 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0281648 0.0247356 162 151 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_057.v common 5.79 vpr 63.23 MiB 0.05 6960 -1 -1 14 0.43 -1 -1 32920 -1 -1 50 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64744 32 32 361 393 1 258 114 17 17 289 -1 unnamed_device 24.2 MiB 0.16 1741 10878 2195 7893 790 63.2 MiB 0.12 0.00 8.60049 -179.345 -8.60049 8.60049 0.34 0.00114666 0.00106265 0.0495329 0.0457962 -1 -1 -1 -1 26 5105 32 6.55708e+06 602750 477104. 1650.88 3.24 0.428752 0.370285 21022 109990 -1 4101 21 2358 8000 398640 98505 7.44715 7.44715 -170.463 -7.44715 0 0 585099. 2024.56 0.03 0.14 0.09 -1 -1 0.03 0.05004 0.0438907 276 267 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_058.v common 4.76 vpr 63.93 MiB 0.05 6760 -1 -1 13 0.32 -1 -1 32872 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65464 32 32 318 350 1 233 106 17 17 289 -1 unnamed_device 24.2 MiB 0.14 1738 9106 1962 6435 709 63.9 MiB 0.10 0.00 8.49366 -180.326 -8.49366 8.49366 0.31 0.00103525 0.000947873 0.0424583 0.0391793 -1 -1 -1 -1 26 4364 34 6.55708e+06 506310 477104. 1650.88 2.45 0.313435 0.271253 21022 109990 -1 3682 18 1636 5689 304546 72252 7.45176 7.45176 -172.723 -7.45176 0 0 585099. 2024.56 0.03 0.11 0.09 -1 -1 0.03 0.0404069 0.0355449 226 224 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_059.v common 3.32 vpr 62.69 MiB 0.04 6584 -1 -1 11 0.17 -1 -1 32752 -1 -1 31 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64196 30 32 223 255 1 153 93 17 17 289 -1 unnamed_device 23.4 MiB 0.10 1039 5133 1106 3504 523 62.7 MiB 0.05 0.00 6.88226 -138.526 -6.88226 6.88226 0.33 0.000724269 0.000671709 0.0204964 0.0189707 -1 -1 -1 -1 26 2523 15 6.55708e+06 373705 477104. 1650.88 1.39 0.198013 0.170672 21022 109990 -1 2138 13 736 2283 109436 27900 6.13858 6.13858 -134.902 -6.13858 0 0 585099. 2024.56 0.02 0.05 0.09 -1 -1 0.02 0.0218261 0.0193429 148 135 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_060.v common 6.02 vpr 63.21 MiB 0.05 6996 -1 -1 15 0.43 -1 -1 32912 -1 -1 49 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64724 32 32 335 367 1 241 113 17 17 289 -1 unnamed_device 24.2 MiB 0.18 1744 10214 2151 7155 908 63.2 MiB 0.11 0.00 9.20189 -184.406 -9.20189 9.20189 0.31 0.00108356 0.0010029 0.0448985 0.0415182 -1 -1 -1 -1 30 4363 42 6.55708e+06 590695 526063. 1820.29 3.54 0.382009 0.329166 21886 126133 -1 3689 18 1614 5844 276614 67179 8.05841 8.05841 -173.517 -8.05841 0 0 666494. 2306.21 0.03 0.10 0.10 -1 -1 0.03 0.0324363 0.0290124 253 241 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_061.v common 4.58 vpr 63.62 MiB 0.04 6720 -1 -1 13 0.31 -1 -1 33240 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65144 32 32 301 333 1 217 105 17 17 289 -1 unnamed_device 24.0 MiB 0.16 1623 8009 1699 5804 506 63.6 MiB 0.09 0.00 8.23463 -172.107 -8.23463 8.23463 0.31 0.000975287 0.000905128 0.0356946 0.033082 -1 -1 -1 -1 24 4657 48 6.55708e+06 494255 448715. 1552.65 2.29 0.291356 0.251305 20734 103517 -1 3615 26 1525 5061 308769 93247 7.54804 7.54804 -167.775 -7.54804 0 0 554710. 1919.41 0.02 0.13 0.09 -1 -1 0.02 0.0490013 0.0427842 214 207 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_062.v common 2.48 vpr 62.88 MiB 0.04 6548 -1 -1 11 0.13 -1 -1 32756 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64388 32 32 238 270 1 172 97 17 17 289 -1 unnamed_device 23.8 MiB 0.09 1233 8089 1749 5444 896 62.9 MiB 0.07 0.00 6.54358 -138.348 -6.54358 6.54358 0.31 0.00073506 0.000681339 0.0295685 0.0273171 -1 -1 -1 -1 32 2540 20 6.55708e+06 397815 554710. 1919.41 0.56 0.115585 0.101046 22174 131602 -1 2237 13 778 2498 118703 29479 5.46178 5.46178 -128.651 -5.46178 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0217433 0.0192186 158 144 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_063.v common 4.13 vpr 63.64 MiB 0.05 7008 -1 -1 12 0.29 -1 -1 32804 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65164 32 32 308 340 1 226 107 17 17 289 -1 unnamed_device 24.0 MiB 0.14 1595 7950 1484 5836 630 63.6 MiB 0.09 0.00 7.45171 -157.141 -7.45171 7.45171 0.32 0.000985846 0.0009089 0.0347162 0.0320763 -1 -1 -1 -1 30 3509 30 6.55708e+06 518365 526063. 1820.29 1.87 0.310868 0.267638 21886 126133 -1 2964 15 1180 4242 190700 45882 6.69638 6.69638 -150.048 -6.69638 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.0330063 0.0290475 224 214 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_064.v common 5.03 vpr 63.49 MiB 0.04 6664 -1 -1 12 0.20 -1 -1 32424 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65016 32 32 253 285 1 189 101 17 17 289 -1 unnamed_device 23.7 MiB 0.11 1383 9031 2032 5940 1059 63.5 MiB 0.09 0.00 7.21917 -153.4 -7.21917 7.21917 0.32 0.00082126 0.000756793 0.0351988 0.0325572 -1 -1 -1 -1 26 3637 30 6.55708e+06 446035 477104. 1650.88 2.82 0.277822 0.239276 21022 109990 -1 3151 45 1370 4111 382039 175017 6.46058 6.46058 -150.378 -6.46058 0 0 585099. 2024.56 0.02 0.20 0.09 -1 -1 0.02 0.0659504 0.0569808 176 159 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_065.v common 2.65 vpr 62.59 MiB 0.04 6668 -1 -1 12 0.19 -1 -1 32764 -1 -1 34 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64096 30 32 227 259 1 160 96 17 17 289 -1 unnamed_device 23.5 MiB 0.09 1071 9513 2310 5900 1303 62.6 MiB 0.08 0.00 7.58138 -149.905 -7.58138 7.58138 0.31 0.000741088 0.000687958 0.0350914 0.0324828 -1 -1 -1 -1 26 2703 27 6.55708e+06 409870 477104. 1650.88 0.71 0.130996 0.114585 21022 109990 -1 2334 15 869 2815 138225 34717 6.54864 6.54864 -141.54 -6.54864 0 0 585099. 2024.56 0.02 0.06 0.09 -1 -1 0.02 0.0244935 0.0215792 152 139 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_066.v common 2.88 vpr 62.94 MiB 0.05 6812 -1 -1 12 0.28 -1 -1 32784 -1 -1 44 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64452 29 32 292 324 1 213 105 17 17 289 -1 unnamed_device 24.0 MiB 0.13 1443 9491 2152 6538 801 62.9 MiB 0.10 0.00 7.46523 -138.501 -7.46523 7.46523 0.31 0.000951061 0.000882123 0.0404682 0.0374381 -1 -1 -1 -1 30 3103 15 6.55708e+06 530420 526063. 1820.29 0.63 0.145585 0.127544 21886 126133 -1 2748 13 1078 3670 155702 38662 6.67144 6.67144 -129.643 -6.67144 0 0 666494. 2306.21 0.03 0.07 0.12 -1 -1 0.03 0.0297056 0.0262892 212 207 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_067.v common 3.14 vpr 63.12 MiB 0.05 6748 -1 -1 14 0.31 -1 -1 33012 -1 -1 49 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64640 32 32 316 348 1 239 113 17 17 289 -1 unnamed_device 24.1 MiB 0.13 1637 11852 2817 7790 1245 63.1 MiB 0.12 0.00 8.81546 -179.322 -8.81546 8.81546 0.31 0.00102178 0.000947363 0.0494042 0.0456845 -1 -1 -1 -1 30 3917 20 6.55708e+06 590695 526063. 1820.29 0.94 0.170533 0.149527 21886 126133 -1 3163 17 1413 4466 192496 49463 7.84956 7.84956 -169.907 -7.84956 0 0 666494. 2306.21 0.02 0.08 0.07 -1 -1 0.02 0.0371047 0.0328946 236 222 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_068.v common 3.81 vpr 62.95 MiB 0.04 6760 -1 -1 12 0.23 -1 -1 32772 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64460 32 32 286 318 1 203 104 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1423 9132 1767 6633 732 62.9 MiB 0.09 0.00 7.58178 -157.687 -7.58178 7.58178 0.31 0.000936849 0.00086827 0.0385166 0.0357019 -1 -1 -1 -1 30 3149 19 6.55708e+06 482200 526063. 1820.29 1.66 0.287419 0.247515 21886 126133 -1 2731 19 1153 3946 170659 42369 6.59044 6.59044 -148.224 -6.59044 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.0361327 0.0316861 203 192 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_069.v common 3.21 vpr 63.02 MiB 0.03 6676 -1 -1 12 0.14 -1 -1 32668 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64536 32 32 221 253 1 159 98 17 17 289 -1 unnamed_device 23.2 MiB 0.09 1118 6848 1299 5286 263 63.0 MiB 0.06 0.00 7.47794 -149.713 -7.47794 7.47794 0.31 0.000706958 0.000655636 0.0240799 0.0223161 -1 -1 -1 -1 30 2367 18 6.55708e+06 409870 526063. 1820.29 1.29 0.190741 0.164439 21886 126133 -1 2101 15 688 2143 101505 26099 6.66944 6.66944 -143.142 -6.66944 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0233396 0.0205918 142 127 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_070.v common 3.42 vpr 62.83 MiB 0.05 6740 -1 -1 12 0.21 -1 -1 32284 -1 -1 37 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64336 31 32 261 293 1 187 100 17 17 289 -1 unnamed_device 23.5 MiB 0.10 1189 8452 1755 5451 1246 62.8 MiB 0.08 0.00 7.40781 -147.408 -7.40781 7.40781 0.31 0.000839763 0.000779442 0.0337174 0.0312514 -1 -1 -1 -1 26 3269 31 6.55708e+06 446035 477104. 1650.88 1.40 0.227996 0.196198 21022 109990 -1 2592 14 1129 3661 180740 45232 6.46058 6.46058 -141.432 -6.46058 0 0 585099. 2024.56 0.02 0.07 0.09 -1 -1 0.02 0.0263562 0.0231725 179 170 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_071.v common 3.62 vpr 62.87 MiB 0.05 6844 -1 -1 11 0.19 -1 -1 32712 -1 -1 40 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64380 30 32 277 309 1 193 102 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1338 11050 2702 7057 1291 62.9 MiB 0.10 0.00 6.84526 -139.847 -6.84526 6.84526 0.31 0.000873954 0.000809466 0.0442299 0.0409548 -1 -1 -1 -1 26 3554 25 6.55708e+06 482200 477104. 1650.88 1.53 0.234831 0.203406 21022 109990 -1 2964 16 1197 4145 220020 53855 5.86358 5.86358 -137.7 -5.86358 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0310484 0.0272903 198 189 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_072.v common 4.90 vpr 63.38 MiB 0.05 6792 -1 -1 11 0.20 -1 -1 32672 -1 -1 38 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64896 28 32 251 283 1 179 98 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1308 8198 1927 5722 549 63.4 MiB 0.08 0.00 6.37182 -124.759 -6.37182 6.37182 0.32 0.000827769 0.000767585 0.0343185 0.0317644 -1 -1 -1 -1 22 3995 40 6.55708e+06 458090 420624. 1455.45 2.70 0.279899 0.240655 20158 92377 -1 3143 38 2016 7993 728575 232112 5.84932 5.84932 -125.032 -5.84932 0 0 500653. 1732.36 0.02 0.24 0.09 -1 -1 0.02 0.0566286 0.0487825 180 169 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_073.v common 3.29 vpr 63.10 MiB 0.04 6700 -1 -1 13 0.19 -1 -1 32840 -1 -1 33 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64616 30 32 223 255 1 165 95 17 17 289 -1 unnamed_device 23.5 MiB 0.09 1165 9815 2476 6362 977 63.1 MiB 0.09 0.00 7.65086 -149.08 -7.65086 7.65086 0.31 0.000723875 0.00067128 0.0355017 0.0327969 -1 -1 -1 -1 26 3056 25 6.55708e+06 397815 477104. 1650.88 1.33 0.202884 0.175151 21022 109990 -1 2460 16 922 2787 141498 34848 6.93738 6.93738 -147.28 -6.93738 0 0 585099. 2024.56 0.02 0.06 0.09 -1 -1 0.02 0.0248755 0.0218534 148 135 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_074.v common 3.88 vpr 63.14 MiB 0.05 6612 -1 -1 12 0.19 -1 -1 32556 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64660 32 32 269 301 1 189 100 17 17 289 -1 unnamed_device 24.1 MiB 0.10 1148 11932 2966 7503 1463 63.1 MiB 0.11 0.00 7.28215 -157.15 -7.28215 7.28215 0.31 0.000868523 0.000805017 0.0479462 0.0443667 -1 -1 -1 -1 26 3480 34 6.55708e+06 433980 477104. 1650.88 1.79 0.266223 0.230233 21022 109990 -1 2518 17 1080 3220 149362 39183 6.26904 6.26904 -150.385 -6.26904 0 0 585099. 2024.56 0.02 0.07 0.09 -1 -1 0.02 0.0312104 0.0273661 185 175 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_075.v common 3.89 vpr 62.88 MiB 0.05 6756 -1 -1 13 0.28 -1 -1 32728 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64384 31 32 283 315 1 205 104 17 17 289 -1 unnamed_device 23.7 MiB 0.12 1323 10108 2458 6794 856 62.9 MiB 0.10 0.00 8.26127 -161.814 -8.26127 8.26127 0.31 0.000919591 0.00085247 0.0415574 0.0384495 -1 -1 -1 -1 28 3467 20 6.55708e+06 494255 500653. 1732.36 1.66 0.250772 0.216361 21310 115450 -1 2761 19 1255 4341 210313 51948 7.1599 7.1599 -155.367 -7.1599 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0358732 0.0313327 200 192 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_076.v common 3.11 vpr 63.19 MiB 0.04 6852 -1 -1 14 0.28 -1 -1 32736 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64708 32 32 308 340 1 216 106 17 17 289 -1 unnamed_device 24.2 MiB 0.15 1453 7606 1405 5767 434 63.2 MiB 0.08 0.00 8.20104 -169.613 -8.20104 8.20104 0.31 0.000993304 0.000919107 0.0351065 0.032416 -1 -1 -1 -1 26 3789 20 6.55708e+06 506310 477104. 1650.88 0.91 0.152118 0.132673 21022 109990 -1 3197 17 1332 4304 221438 54203 7.16956 7.16956 -162.981 -7.16956 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0371422 0.0327168 221 214 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_077.v common 4.98 vpr 63.56 MiB 0.05 6808 -1 -1 14 0.26 -1 -1 32760 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65088 32 32 277 309 1 204 105 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1369 12702 3116 7869 1717 63.6 MiB 0.12 0.00 8.04289 -152.404 -8.04289 8.04289 0.32 0.000918308 0.000846629 0.0507022 0.0468946 -1 -1 -1 -1 28 3910 47 6.55708e+06 494255 500653. 1732.36 2.80 0.336775 0.290462 21310 115450 -1 2967 17 1209 4043 205246 51412 6.8803 6.8803 -146.388 -6.8803 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0336589 0.029612 197 183 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_078.v common 4.11 vpr 63.57 MiB 0.05 6716 -1 -1 13 0.34 -1 -1 33392 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65092 32 32 288 320 1 207 106 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1415 7356 1312 5695 349 63.6 MiB 0.08 0.00 8.18027 -164.937 -8.18027 8.18027 0.32 0.000946766 0.000877711 0.0324528 0.0300184 -1 -1 -1 -1 26 4064 30 6.55708e+06 506310 477104. 1650.88 1.87 0.271953 0.233777 21022 109990 -1 3232 18 1473 4893 251889 61949 7.2409 7.2409 -158.931 -7.2409 0 0 585099. 2024.56 0.02 0.09 0.09 -1 -1 0.02 0.0355131 0.0311507 205 194 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_079.v common 2.60 vpr 63.13 MiB 0.05 6612 -1 -1 13 0.16 -1 -1 32772 -1 -1 34 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64644 30 32 230 262 1 173 96 17 17 289 -1 unnamed_device 23.5 MiB 0.10 1148 6666 1328 4762 576 63.1 MiB 0.06 0.00 7.86438 -157.715 -7.86438 7.86438 0.31 0.000739952 0.000686425 0.0254608 0.0235847 -1 -1 -1 -1 28 2662 26 6.55708e+06 409870 500653. 1732.36 0.63 0.12114 0.105344 21310 115450 -1 2342 15 877 2440 117179 30871 6.8803 6.8803 -149.732 -6.8803 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.02499 0.0220651 152 142 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_080.v common 4.13 vpr 63.87 MiB 0.05 6816 -1 -1 13 0.43 -1 -1 32712 -1 -1 46 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65404 30 32 294 326 1 214 108 17 17 289 -1 unnamed_device 24.3 MiB 0.13 1400 13215 3230 8488 1497 63.9 MiB 0.12 0.00 8.50337 -164.436 -8.50337 8.50337 0.32 0.000973275 0.000903319 0.0537447 0.0497399 -1 -1 -1 -1 26 4111 36 6.55708e+06 554530 477104. 1650.88 1.76 0.292876 0.253287 21022 109990 -1 3227 17 1430 4290 218801 56770 7.57196 7.57196 -162.88 -7.57196 0 0 585099. 2024.56 0.02 0.09 0.09 -1 -1 0.02 0.0352843 0.0310482 213 206 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_081.v common 2.85 vpr 62.94 MiB 0.04 6852 -1 -1 14 0.28 -1 -1 31392 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64452 32 32 276 308 1 192 101 17 17 289 -1 unnamed_device 23.8 MiB 0.14 1322 10676 2556 7078 1042 62.9 MiB 0.11 0.00 8.24209 -171.311 -8.24209 8.24209 0.31 0.000895026 0.000827685 0.0448174 0.0414356 -1 -1 -1 -1 30 3093 19 6.55708e+06 446035 526063. 1820.29 0.62 0.154024 0.134863 21886 126133 -1 2512 17 986 3546 147070 37294 6.9149 6.9149 -158.012 -6.9149 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.0334868 0.0294473 191 182 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_082.v common 3.03 vpr 62.98 MiB 0.05 6848 -1 -1 12 0.25 -1 -1 32888 -1 -1 42 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64496 31 32 293 325 1 208 105 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1416 6527 1123 4867 537 63.0 MiB 0.07 0.00 7.49101 -152.559 -7.49101 7.49101 0.31 0.000943122 0.00086989 0.0293383 0.0271813 -1 -1 -1 -1 26 3695 38 6.55708e+06 506310 477104. 1650.88 0.90 0.165161 0.143222 21022 109990 -1 3096 17 1422 4373 221861 54409 6.4015 6.4015 -143.811 -6.4015 0 0 585099. 2024.56 0.02 0.09 0.09 -1 -1 0.02 0.0339754 0.0297752 212 202 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_083.v common 3.96 vpr 62.99 MiB 0.05 6956 -1 -1 13 0.24 -1 -1 32740 -1 -1 44 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64500 30 32 273 305 1 207 106 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1426 10106 2303 7170 633 63.0 MiB 0.10 0.00 8.0431 -147.31 -8.0431 8.0431 0.31 0.000878159 0.000814869 0.0391529 0.0361893 -1 -1 -1 -1 26 3637 26 6.55708e+06 530420 477104. 1650.88 1.87 0.284623 0.245194 21022 109990 -1 3048 14 1123 3782 203078 48660 6.7601 6.7601 -139.252 -6.7601 0 0 585099. 2024.56 0.02 0.08 0.09 -1 -1 0.02 0.0279546 0.0246845 193 185 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_084.v common 4.63 vpr 63.71 MiB 0.05 6824 -1 -1 14 0.35 -1 -1 32880 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65236 32 32 310 342 1 224 107 17 17 289 -1 unnamed_device 24.0 MiB 0.12 1566 8456 1545 6308 603 63.7 MiB 0.09 0.00 9.07936 -173.493 -9.07936 9.07936 0.31 0.00100218 0.000929466 0.037497 0.0347348 -1 -1 -1 -1 26 4412 38 6.55708e+06 518365 477104. 1650.88 2.29 0.336269 0.289332 21022 109990 -1 3451 27 1451 4623 260506 76699 7.97741 7.97741 -166.325 -7.97741 0 0 585099. 2024.56 0.03 0.13 0.09 -1 -1 0.03 0.052715 0.0460545 223 216 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_085.v common 3.81 vpr 63.37 MiB 0.05 6804 -1 -1 11 0.28 -1 -1 32832 -1 -1 38 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64892 29 32 259 291 1 185 99 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1269 7851 1627 5454 770 63.4 MiB 0.08 0.00 7.1276 -135.533 -7.1276 7.1276 0.31 0.000859016 0.000796894 0.0337757 0.0312486 -1 -1 -1 -1 32 2907 19 6.55708e+06 458090 554710. 1919.41 1.67 0.27321 0.234228 22174 131602 -1 2623 17 993 3430 170080 42569 6.27104 6.27104 -129.811 -6.27104 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0318372 0.0279931 185 174 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_086.v common 2.42 vpr 62.59 MiB 0.04 6532 -1 -1 13 0.16 -1 -1 32504 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64092 32 32 225 257 1 164 99 17 17 289 -1 unnamed_device 23.5 MiB 0.09 1120 7167 1509 5097 561 62.6 MiB 0.07 0.00 7.46218 -164.496 -7.46218 7.46218 0.31 0.000729263 0.000675282 0.0263883 0.0244058 -1 -1 -1 -1 26 2378 17 6.55708e+06 421925 477104. 1650.88 0.50 0.108538 0.0948487 21022 109990 -1 2206 15 801 2259 110850 29168 6.61598 6.61598 -156.147 -6.61598 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0244247 0.0215747 145 131 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_087.v common 3.31 vpr 62.88 MiB 0.02 6832 -1 -1 14 0.23 -1 -1 32780 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64392 32 32 273 305 1 200 102 17 17 289 -1 unnamed_device 23.7 MiB 0.13 1363 6766 1106 5392 268 62.9 MiB 0.07 0.00 9.2021 -182.347 -9.2021 9.2021 0.31 0.000891489 0.000823495 0.0286229 0.0264801 -1 -1 -1 -1 26 3278 17 6.55708e+06 458090 477104. 1650.88 1.26 0.240369 0.206655 21022 109990 -1 2732 16 967 3164 148403 37519 7.88475 7.88475 -168.724 -7.88475 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0311584 0.027398 188 179 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_088.v common 5.21 vpr 63.03 MiB 0.04 6760 -1 -1 15 0.35 -1 -1 33296 -1 -1 48 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64544 32 32 322 354 1 233 112 17 17 289 -1 unnamed_device 24.2 MiB 0.13 1704 10065 2261 6829 975 63.0 MiB 0.10 0.00 9.09356 -188.558 -9.09356 9.09356 0.31 0.00102499 0.000950345 0.0418172 0.0386572 -1 -1 -1 -1 26 4647 31 6.55708e+06 578640 477104. 1650.88 2.88 0.363042 0.314029 21022 109990 -1 3960 19 1872 5732 311543 75037 7.97481 7.97481 -186.914 -7.97481 0 0 585099. 2024.56 0.03 0.11 0.09 -1 -1 0.03 0.0414996 0.0364998 236 228 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_089.v common 2.47 vpr 62.44 MiB 0.04 6620 -1 -1 11 0.18 -1 -1 32432 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63940 32 32 218 250 1 158 99 17 17 289 -1 unnamed_device 23.3 MiB 0.10 1154 14463 3598 8706 2159 62.4 MiB 0.11 0.00 6.70052 -141.238 -6.70052 6.70052 0.31 0.000699091 0.000647724 0.0472405 0.0436895 -1 -1 -1 -1 28 2651 17 6.55708e+06 421925 500653. 1732.36 0.52 0.126895 0.111923 21310 115450 -1 2300 19 777 2611 137123 33837 6.10198 6.10198 -137.825 -6.10198 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0274616 0.0240457 143 124 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_090.v common 4.18 vpr 62.55 MiB 0.04 6560 -1 -1 12 0.19 -1 -1 32612 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64056 31 32 244 276 1 184 104 17 17 289 -1 unnamed_device 23.4 MiB 0.10 1212 13768 3425 8328 2015 62.6 MiB 0.11 0.00 7.38809 -154.507 -7.38809 7.38809 0.31 0.000797367 0.000739691 0.0479107 0.0444041 -1 -1 -1 -1 28 3722 35 6.55708e+06 494255 500653. 1732.36 2.10 0.278375 0.240051 21310 115450 -1 2639 35 1157 3631 226660 77651 6.70864 6.70864 -149.988 -6.70864 0 0 612192. 2118.31 0.03 0.12 0.09 -1 -1 0.03 0.0496435 0.0428853 168 153 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_091.v common 3.78 vpr 63.82 MiB 0.04 6812 -1 -1 12 0.32 -1 -1 32940 -1 -1 45 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65352 32 32 301 333 1 214 109 17 17 289 -1 unnamed_device 24.2 MiB 0.15 1421 11289 2681 7520 1088 63.8 MiB 0.11 0.00 7.6872 -165.745 -7.6872 7.6872 0.31 0.000979862 0.000906897 0.0473847 0.0437672 -1 -1 -1 -1 26 3766 24 6.55708e+06 542475 477104. 1650.88 1.54 0.267792 0.231194 21022 109990 -1 3133 19 1386 4618 217828 55724 6.94904 6.94904 -162.306 -6.94904 0 0 585099. 2024.56 0.02 0.09 0.09 -1 -1 0.02 0.0383054 0.0335444 220 207 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_092.v common 3.80 vpr 62.87 MiB 0.04 6804 -1 -1 12 0.24 -1 -1 32796 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64376 32 32 278 310 1 205 104 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1541 9376 1903 6430 1043 62.9 MiB 0.09 0.00 7.32986 -158.94 -7.32986 7.32986 0.31 0.000894313 0.000829752 0.0379792 0.0351512 -1 -1 -1 -1 28 3747 32 6.55708e+06 482200 500653. 1732.36 1.69 0.279245 0.240643 21310 115450 -1 3181 15 1219 4093 216035 51349 6.47024 6.47024 -154.857 -6.47024 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0304205 0.0268122 193 184 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_093.v common 5.01 vpr 63.79 MiB 0.05 6880 -1 -1 14 0.44 -1 -1 33228 -1 -1 48 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65320 32 32 333 365 1 239 112 17 17 289 -1 unnamed_device 24.2 MiB 0.15 1694 11410 2550 7915 945 63.8 MiB 0.12 0.00 8.89261 -179.165 -8.89261 8.89261 0.32 0.00107084 0.000991969 0.0501555 0.0462893 -1 -1 -1 -1 26 4769 35 6.55708e+06 578640 477104. 1650.88 2.53 0.358636 0.309111 21022 109990 -1 3759 17 1628 5711 277714 68304 7.90101 7.90101 -172.462 -7.90101 0 0 585099. 2024.56 0.02 0.10 0.09 -1 -1 0.02 0.0393605 0.0346821 246 239 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_094.v common 3.92 vpr 62.86 MiB 0.05 6788 -1 -1 11 0.23 -1 -1 32520 -1 -1 40 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64364 30 32 261 293 1 191 102 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1342 8194 1755 5944 495 62.9 MiB 0.08 0.00 6.97797 -135.528 -6.97797 6.97797 0.31 0.000862403 0.000799906 0.0331601 0.0307349 -1 -1 -1 -1 26 3227 20 6.55708e+06 482200 477104. 1650.88 1.82 0.241134 0.208451 21022 109990 -1 2806 18 1278 4514 231151 55341 6.10198 6.10198 -129.246 -6.10198 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0331458 0.0290697 185 173 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_095.v common 2.44 vpr 62.62 MiB 0.05 6580 -1 -1 11 0.17 -1 -1 32548 -1 -1 33 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64128 27 32 217 249 1 154 92 17 17 289 -1 unnamed_device 23.3 MiB 0.09 960 7958 1937 5042 979 62.6 MiB 0.07 0.00 6.58518 -122.425 -6.58518 6.58518 0.31 0.000718827 0.000658493 0.0307357 0.0283911 -1 -1 -1 -1 26 2445 16 6.55708e+06 397815 477104. 1650.88 0.52 0.110857 0.096321 21022 109990 -1 2158 20 928 3065 146619 37470 5.58198 5.58198 -115.536 -5.58198 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0292301 0.0255563 143 138 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_096.v common 3.38 vpr 63.40 MiB 0.05 6912 -1 -1 13 0.42 -1 -1 32852 -1 -1 53 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64920 32 32 373 405 1 259 117 17 17 289 -1 unnamed_device 24.5 MiB 0.16 1921 9269 1832 6560 877 63.4 MiB 0.10 0.00 8.0823 -159.925 -8.0823 8.0823 0.31 0.00117853 0.00108934 0.0431364 0.0398592 -1 -1 -1 -1 32 4767 24 6.55708e+06 638915 554710. 1919.41 0.87 0.191045 0.166925 22174 131602 -1 4110 18 1567 5621 286125 69089 7.1207 7.1207 -151.692 -7.1207 0 0 701300. 2426.64 0.03 0.11 0.12 -1 -1 0.03 0.0462159 0.0407868 289 279 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_097.v common 4.15 vpr 63.09 MiB 0.05 6872 -1 -1 14 0.26 -1 -1 33404 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64604 31 32 269 301 1 193 104 17 17 289 -1 unnamed_device 24.0 MiB 0.10 1338 8888 1891 6114 883 63.1 MiB 0.09 0.00 8.49777 -170.635 -8.49777 8.49777 0.31 0.000881251 0.000818718 0.0354782 0.0328978 -1 -1 -1 -1 22 4329 46 6.55708e+06 494255 420624. 1455.45 2.01 0.28628 0.246477 20158 92377 -1 3228 17 1315 4119 244215 62030 8.12916 8.12916 -176.959 -8.12916 0 0 500653. 1732.36 0.02 0.09 0.08 -1 -1 0.02 0.0330361 0.0291037 191 178 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_098.v common 3.51 vpr 62.59 MiB 0.04 6668 -1 -1 12 0.16 -1 -1 32332 -1 -1 45 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64096 32 32 228 260 1 175 109 17 17 289 -1 unnamed_device 23.5 MiB 0.11 1278 10249 2267 7128 854 62.6 MiB 0.09 0.00 7.27601 -163.062 -7.27601 7.27601 0.32 0.000749387 0.000691074 0.0323161 0.0298477 -1 -1 -1 -1 22 3698 38 6.55708e+06 542475 420624. 1455.45 1.54 0.185296 0.160383 20158 92377 -1 3102 16 1155 3557 209308 52742 6.61998 6.61998 -162.467 -6.61998 0 0 500653. 1732.36 0.03 0.05 0.08 -1 -1 0.03 0.016849 0.0153083 157 134 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_099.v common 3.57 vpr 63.37 MiB 0.05 6684 -1 -1 13 0.28 -1 -1 32788 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64888 32 32 265 297 1 192 99 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1397 7395 1409 5444 542 63.4 MiB 0.08 0.00 7.86387 -158.569 -7.86387 7.86387 0.34 0.000883133 0.000816526 0.0318684 0.0294943 -1 -1 -1 -1 24 3413 24 6.55708e+06 421925 448715. 1552.65 1.41 0.233836 0.20138 20734 103517 -1 2894 19 1211 4007 201589 49512 6.95104 6.95104 -153.438 -6.95104 0 0 554710. 1919.41 0.02 0.09 0.09 -1 -1 0.02 0.0350404 0.0307326 180 171 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_100.v common 3.30 vpr 64.08 MiB 0.03 6900 -1 -1 13 0.30 -1 -1 33304 -1 -1 49 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65620 31 32 325 357 1 245 112 17 17 289 -1 unnamed_device 24.6 MiB 0.17 1670 9527 1885 6459 1183 64.1 MiB 0.10 0.00 7.92074 -167.283 -7.92074 7.92074 0.31 0.00102746 0.000950296 0.0404522 0.0374414 -1 -1 -1 -1 28 4754 22 6.55708e+06 590695 500653. 1732.36 1.00 0.164893 0.144082 21310 115450 -1 3663 19 1604 5301 269884 67320 7.0417 7.0417 -161.181 -7.0417 0 0 612192. 2118.31 0.03 0.10 0.09 -1 -1 0.03 0.0408471 0.0359198 242 234 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_101.v common 4.50 vpr 63.59 MiB 0.05 6876 -1 -1 11 0.23 -1 -1 32820 -1 -1 42 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65116 30 32 287 319 1 197 104 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1446 7668 1449 5477 742 63.6 MiB 0.08 0.00 7.00941 -138.228 -7.00941 7.00941 0.31 0.000925802 0.000854709 0.0335575 0.0310745 -1 -1 -1 -1 28 3817 24 6.55708e+06 506310 500653. 1732.36 2.41 0.274443 0.236044 21310 115450 -1 3204 16 1168 4566 240578 56373 6.03064 6.03064 -133.753 -6.03064 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.032095 0.0281874 206 199 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_102.v common 4.34 vpr 62.93 MiB 0.05 6812 -1 -1 15 0.32 -1 -1 32900 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64440 32 32 297 329 1 215 105 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1524 9491 2125 6494 872 62.9 MiB 0.10 0.00 9.34412 -185.161 -9.34412 9.34412 0.32 0.000952748 0.000881955 0.0404779 0.0374514 -1 -1 -1 -1 26 3905 31 6.55708e+06 494255 477104. 1650.88 2.06 0.311148 0.268469 21022 109990 -1 3246 16 1214 4415 217110 53326 8.21781 8.21781 -177.56 -8.21781 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0340922 0.030073 210 203 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_103.v common 4.68 vpr 63.68 MiB 0.03 6796 -1 -1 13 0.33 -1 -1 32928 -1 -1 46 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65204 32 32 311 343 1 227 110 17 17 289 -1 unnamed_device 24.0 MiB 0.12 1698 11419 2731 7863 825 63.7 MiB 0.11 0.00 8.29626 -173.682 -8.29626 8.29626 0.31 0.00100402 0.000929229 0.0485641 0.0448093 -1 -1 -1 -1 28 4287 27 6.55708e+06 554530 500653. 1732.36 2.43 0.308552 0.266386 21310 115450 -1 3584 19 1359 4714 256974 63688 7.4395 7.4395 -166.353 -7.4395 0 0 612192. 2118.31 0.03 0.10 0.09 -1 -1 0.03 0.0399053 0.035001 227 217 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_104.v common 3.54 vpr 62.48 MiB 0.04 6608 -1 -1 12 0.20 -1 -1 32248 -1 -1 39 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63976 29 32 236 268 1 184 100 17 17 289 -1 unnamed_device 23.4 MiB 0.09 1214 11004 2686 6773 1545 62.5 MiB 0.10 0.00 7.34398 -153.877 -7.34398 7.34398 0.31 0.000759987 0.000706019 0.0398846 0.0368591 -1 -1 -1 -1 26 3077 21 6.55708e+06 470145 477104. 1650.88 1.49 0.234919 0.203226 21022 109990 -1 2695 18 1136 3145 166201 42417 6.55124 6.55124 -148.658 -6.55124 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0286826 0.025236 165 151 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_105.v common 3.27 vpr 62.56 MiB 0.04 6644 -1 -1 11 0.16 -1 -1 32344 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64064 32 32 231 263 1 171 97 17 17 289 -1 unnamed_device 23.5 MiB 0.11 1204 10975 2545 7379 1051 62.6 MiB 0.09 0.00 6.85001 -142.56 -6.85001 6.85001 0.31 0.000745091 0.000691426 0.0390623 0.0361542 -1 -1 -1 -1 28 3002 26 6.55708e+06 397815 500653. 1732.36 1.41 0.222209 0.192941 21310 115450 -1 2467 16 884 2712 126907 32397 5.75104 5.75104 -137.073 -5.75104 0 0 612192. 2118.31 0.02 0.04 0.06 -1 -1 0.02 0.0143311 0.0129033 154 137 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_106.v common 3.19 vpr 63.65 MiB 0.05 6772 -1 -1 13 0.30 -1 -1 32824 -1 -1 42 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65180 31 32 294 326 1 215 105 17 17 289 -1 unnamed_device 24.1 MiB 0.13 1364 9985 2357 6411 1217 63.7 MiB 0.10 0.00 8.34117 -162.012 -8.34117 8.34117 0.31 0.000967279 0.000892226 0.0423908 0.0391246 -1 -1 -1 -1 30 3482 27 6.55708e+06 506310 526063. 1820.29 0.94 0.165644 0.144386 21886 126133 -1 2782 20 1408 5004 220640 59014 7.3193 7.3193 -155.871 -7.3193 0 0 666494. 2306.21 0.03 0.10 0.10 -1 -1 0.03 0.0400217 0.0350583 213 203 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_107.v common 2.51 vpr 62.54 MiB 0.05 6648 -1 -1 10 0.17 -1 -1 32768 -1 -1 34 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64044 29 32 221 253 1 157 95 17 17 289 -1 unnamed_device 23.2 MiB 0.09 1059 8303 1946 5363 994 62.5 MiB 0.07 0.00 6.52871 -126.837 -6.52871 6.52871 0.31 0.000718675 0.00066706 0.0300671 0.0278691 -1 -1 -1 -1 28 2487 17 6.55708e+06 409870 500653. 1732.36 0.56 0.110286 0.0966009 21310 115450 -1 2209 17 784 2550 127604 32151 5.68992 5.68992 -123.825 -5.68992 0 0 612192. 2118.31 0.03 0.06 0.09 -1 -1 0.03 0.025519 0.0224033 147 136 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_108.v common 3.48 vpr 63.25 MiB 0.05 6704 -1 -1 14 0.18 -1 -1 32640 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64772 32 32 240 272 1 181 100 17 17 289 -1 unnamed_device 23.5 MiB 0.10 1287 10772 2604 7060 1108 63.3 MiB 0.09 0.00 8.29847 -166.929 -8.29847 8.29847 0.31 0.000766007 0.000709981 0.0385544 0.0356894 -1 -1 -1 -1 26 3237 38 6.55708e+06 433980 477104. 1650.88 1.46 0.224503 0.194172 21022 109990 -1 2724 16 970 2875 143064 36338 7.12836 7.12836 -159.398 -7.12836 0 0 585099. 2024.56 0.02 0.07 0.09 -1 -1 0.02 0.0265473 0.0233999 157 146 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_109.v common 4.26 vpr 63.60 MiB 0.02 6796 -1 -1 12 0.30 -1 -1 32960 -1 -1 43 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65124 31 32 292 324 1 203 106 17 17 289 -1 unnamed_device 23.8 MiB 0.14 1376 8356 1623 6378 355 63.6 MiB 0.09 0.00 7.5603 -161.745 -7.5603 7.5603 0.31 0.000967678 0.000898175 0.0368115 0.0340755 -1 -1 -1 -1 26 3934 49 6.55708e+06 518365 477104. 1650.88 2.06 0.314495 0.270715 21022 109990 -1 3174 17 1355 4991 260337 62557 6.86744 6.86744 -158.66 -6.86744 0 0 585099. 2024.56 0.02 0.09 0.09 -1 -1 0.02 0.034416 0.0302083 210 201 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_110.v common 3.80 vpr 62.82 MiB 0.04 6652 -1 -1 12 0.15 -1 -1 32304 -1 -1 34 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64332 31 32 229 261 1 169 97 17 17 289 -1 unnamed_device 23.8 MiB 0.09 1182 12529 2886 7425 2218 62.8 MiB 0.10 0.00 6.63474 -144.216 -6.63474 6.63474 0.31 0.000717293 0.000664891 0.0433993 0.0401639 -1 -1 -1 -1 28 3194 34 6.55708e+06 409870 500653. 1732.36 1.83 0.253283 0.219405 21310 115450 -1 2439 23 928 2760 201350 66359 6.00932 6.00932 -140.763 -6.00932 0 0 612192. 2118.31 0.03 0.10 0.10 -1 -1 0.03 0.032984 0.0287967 148 138 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_111.v common 2.80 vpr 62.91 MiB 0.04 6800 -1 -1 12 0.19 -1 -1 32820 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64424 32 32 282 314 1 199 105 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1471 5292 945 3883 464 62.9 MiB 0.06 0.00 7.30963 -151.933 -7.30963 7.30963 0.31 0.000892531 0.000823135 0.0224775 0.0207967 -1 -1 -1 -1 28 3437 25 6.55708e+06 494255 500653. 1732.36 0.78 0.134802 0.116795 21310 115450 -1 3010 17 1097 4117 216348 51172 6.41878 6.41878 -148.288 -6.41878 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0338116 0.0297616 200 188 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_112.v common 4.56 vpr 63.51 MiB 0.05 6872 -1 -1 13 0.29 -1 -1 32952 -1 -1 39 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65036 31 32 269 301 1 203 102 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1465 6290 1100 4819 371 63.5 MiB 0.07 0.00 7.486 -155.922 -7.486 7.486 0.31 0.000896918 0.000830841 0.0280177 0.0259531 -1 -1 -1 -1 26 3934 41 6.55708e+06 470145 477104. 1650.88 2.40 0.306228 0.263596 21022 109990 -1 3137 16 1188 4022 209404 50427 6.5629 6.5629 -150.119 -6.5629 0 0 585099. 2024.56 0.02 0.08 0.09 -1 -1 0.02 0.0308705 0.0271376 186 178 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_113.v common 3.43 vpr 62.45 MiB 0.04 6564 -1 -1 11 0.16 -1 -1 32368 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63952 32 32 237 269 1 181 104 17 17 289 -1 unnamed_device 23.4 MiB 0.09 1256 10108 2371 6864 873 62.5 MiB 0.09 0.00 7.0884 -147.941 -7.0884 7.0884 0.31 0.000754935 0.000699616 0.034287 0.0317167 -1 -1 -1 -1 30 2727 24 6.55708e+06 482200 526063. 1820.29 1.42 0.205141 0.177331 21886 126133 -1 2359 18 1080 3578 147676 36998 5.91304 5.91304 -137.693 -5.91304 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0287976 0.0252486 160 143 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_114.v common 4.26 vpr 62.68 MiB 0.04 6504 -1 -1 13 0.19 -1 -1 32460 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64180 32 32 259 291 1 187 101 17 17 289 -1 unnamed_device 23.4 MiB 0.10 1348 9031 1936 6060 1035 62.7 MiB 0.09 0.00 7.73192 -165.419 -7.73192 7.73192 0.31 0.000853985 0.000790253 0.0358614 0.0332309 -1 -1 -1 -1 26 3591 50 6.55708e+06 446035 477104. 1650.88 2.13 0.277414 0.239289 21022 109990 -1 2928 31 1074 3562 277590 105548 7.14564 7.14564 -162.578 -7.14564 0 0 585099. 2024.56 0.02 0.17 0.09 -1 -1 0.02 0.0576772 0.0498927 172 165 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_115.v common 4.06 vpr 62.79 MiB 0.04 6756 -1 -1 13 0.25 -1 -1 32896 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64292 32 32 277 309 1 204 101 17 17 289 -1 unnamed_device 23.7 MiB 0.11 1371 10676 2382 6694 1600 62.8 MiB 0.10 0.00 8.1266 -161.266 -8.1266 8.1266 0.31 0.000909114 0.000837434 0.0444863 0.041157 -1 -1 -1 -1 28 3767 35 6.55708e+06 446035 500653. 1732.36 1.93 0.283728 0.244454 21310 115450 -1 3047 16 1268 4013 195982 50115 7.4415 7.4415 -160.787 -7.4415 0 0 612192. 2118.31 0.03 0.08 0.09 -1 -1 0.03 0.0312552 0.0275166 191 183 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_116.v common 3.32 vpr 62.57 MiB 0.03 6736 -1 -1 11 0.19 -1 -1 32880 -1 -1 35 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64068 29 32 245 277 1 172 96 17 17 289 -1 unnamed_device 23.4 MiB 0.11 1222 9294 2165 5846 1283 62.6 MiB 0.09 0.00 6.62628 -124.733 -6.62628 6.62628 0.31 0.000793335 0.000735865 0.0374801 0.0346416 -1 -1 -1 -1 30 2604 28 6.55708e+06 421925 526063. 1820.29 1.34 0.220539 0.190257 21886 126133 -1 2303 16 836 3024 136093 33652 5.74138 5.74138 -116.592 -5.74138 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0275695 0.0242224 167 160 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_117.v common 6.85 vpr 63.75 MiB 0.05 6820 -1 -1 14 0.31 -1 -1 33384 -1 -1 51 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65276 32 32 316 348 1 237 115 17 17 289 -1 unnamed_device 24.0 MiB 0.17 1687 10438 1966 7719 753 63.7 MiB 0.11 0.00 8.53135 -180.94 -8.53135 8.53135 0.32 0.0010211 0.000945539 0.0423533 0.0391325 -1 -1 -1 -1 24 5475 49 6.55708e+06 614805 448715. 1552.65 4.50 0.372757 0.320848 20734 103517 -1 3973 23 2187 7665 391744 96617 7.56736 7.56736 -175.137 -7.56736 0 0 554710. 1919.41 0.02 0.13 0.09 -1 -1 0.02 0.0467401 0.0407657 233 222 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_118.v common 3.27 vpr 62.57 MiB 0.05 6612 -1 -1 12 0.18 -1 -1 32468 -1 -1 39 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64072 31 32 230 262 1 177 102 17 17 289 -1 unnamed_device 23.5 MiB 0.10 1302 9384 2090 6438 856 62.6 MiB 0.08 0.00 7.04245 -149.697 -7.04245 7.04245 0.31 0.000738818 0.000684959 0.032117 0.0297249 -1 -1 -1 -1 26 3184 30 6.55708e+06 470145 477104. 1650.88 1.30 0.18913 0.163725 21022 109990 -1 2631 16 939 2879 151195 36757 6.17898 6.17898 -144.918 -6.17898 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0254803 0.0224484 151 139 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_119.v common 4.08 vpr 63.49 MiB 0.05 6812 -1 -1 13 0.27 -1 -1 32896 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65012 32 32 282 314 1 202 101 17 17 289 -1 unnamed_device 23.8 MiB 0.13 1520 9501 2079 6376 1046 63.5 MiB 0.10 0.00 8.2074 -163.792 -8.2074 8.2074 0.31 0.000955258 0.000867056 0.0402485 0.0371793 -1 -1 -1 -1 28 3699 31 6.55708e+06 446035 500653. 1732.36 1.93 0.277824 0.239267 21310 115450 -1 3113 14 1110 3598 181428 44164 7.1599 7.1599 -159.188 -7.1599 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0294989 0.0260795 194 188 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_120.v common 3.41 vpr 62.64 MiB 0.04 6700 -1 -1 13 0.18 -1 -1 32624 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64144 32 32 235 267 1 171 101 17 17 289 -1 unnamed_device 23.5 MiB 0.09 1238 14201 3523 8798 1880 62.6 MiB 0.11 0.00 7.78047 -168.194 -7.78047 7.78047 0.31 0.000748534 0.000693794 0.0481883 0.0445698 -1 -1 -1 -1 26 2890 18 6.55708e+06 446035 477104. 1650.88 1.39 0.229822 0.199156 21022 109990 -1 2473 14 921 2675 135355 34246 6.7601 6.7601 -156.725 -6.7601 0 0 585099. 2024.56 0.02 0.06 0.09 -1 -1 0.02 0.0240511 0.0212512 153 141 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_121.v common 3.12 vpr 62.91 MiB 0.05 6756 -1 -1 12 0.21 -1 -1 32748 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64416 32 32 265 297 1 184 100 17 17 289 -1 unnamed_device 23.8 MiB 0.14 1269 7524 1655 5015 854 62.9 MiB 0.08 0.00 7.28461 -151.107 -7.28461 7.28461 0.31 0.000867311 0.000802428 0.0315138 0.029164 -1 -1 -1 -1 26 3596 36 6.55708e+06 433980 477104. 1650.88 1.02 0.163141 0.142069 21022 109990 -1 2832 18 1186 4006 211483 52106 6.22984 6.22984 -147.301 -6.22984 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0329698 0.0289008 178 171 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_122.v common 5.12 vpr 63.88 MiB 0.05 6976 -1 -1 15 0.46 -1 -1 32916 -1 -1 48 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65412 32 32 344 376 1 239 112 17 17 289 -1 unnamed_device 24.1 MiB 0.16 1665 11679 2772 7937 970 63.9 MiB 0.12 0.00 9.06893 -181.066 -9.06893 9.06893 0.32 0.00113333 0.00104975 0.0532725 0.0491662 -1 -1 -1 -1 26 5062 50 6.55708e+06 578640 477104. 1650.88 2.58 0.387282 0.334921 21022 109990 -1 3838 20 1696 5765 296311 72790 8.00435 8.00435 -177.054 -8.00435 0 0 585099. 2024.56 0.02 0.11 0.09 -1 -1 0.02 0.0456288 0.0400392 259 250 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_123.v common 2.80 vpr 62.51 MiB 0.03 6528 -1 -1 10 0.10 -1 -1 32160 -1 -1 24 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64008 30 32 173 205 1 129 86 17 17 289 -1 unnamed_device 23.2 MiB 0.07 892 8213 1888 5170 1155 62.5 MiB 0.06 0.00 5.70919 -121.465 -5.70919 5.70919 0.31 0.00055814 0.000518526 0.0261509 0.0242863 -1 -1 -1 -1 26 1865 21 6.55708e+06 289320 477104. 1650.88 1.03 0.150901 0.129948 21022 109990 -1 1624 13 510 1306 63352 16059 5.12046 5.12046 -117.981 -5.12046 0 0 585099. 2024.56 0.03 0.04 0.09 -1 -1 0.03 0.0166668 0.0146659 94 85 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_124.v common 3.07 vpr 62.63 MiB 0.05 6612 -1 -1 13 0.18 -1 -1 32532 -1 -1 34 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64132 30 32 229 261 1 168 96 17 17 289 -1 unnamed_device 23.6 MiB 0.08 1110 7980 1660 5353 967 62.6 MiB 0.07 0.00 7.75634 -146.954 -7.75634 7.75634 0.31 0.000749868 0.000693845 0.0300017 0.0278113 -1 -1 -1 -1 26 2616 15 6.55708e+06 409870 477104. 1650.88 1.12 0.189995 0.164183 21022 109990 -1 2304 13 869 2492 124976 31982 6.7993 6.7993 -142.589 -6.7993 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0222313 0.0196759 159 141 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_125.v common 2.57 vpr 62.76 MiB 0.04 6572 -1 -1 12 0.19 -1 -1 32464 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64268 32 32 261 293 1 190 106 17 17 289 -1 unnamed_device 23.4 MiB 0.11 1367 8856 1834 6381 641 62.8 MiB 0.08 0.00 7.29464 -162.125 -7.29464 7.29464 0.31 0.000833583 0.000773791 0.0326595 0.0302292 -1 -1 -1 -1 28 3103 15 6.55708e+06 506310 500653. 1732.36 0.58 0.124065 0.108425 21310 115450 -1 2712 16 927 2889 138092 35050 6.42844 6.42844 -151.038 -6.42844 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0286379 0.0251553 182 167 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_126.v common 2.39 vpr 62.53 MiB 0.03 6624 -1 -1 9 0.13 -1 -1 32376 -1 -1 31 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64032 25 32 184 216 1 134 88 17 17 289 -1 unnamed_device 22.8 MiB 0.07 753 13738 3646 7577 2515 62.5 MiB 0.10 0.00 5.72201 -95.7831 -5.72201 5.72201 0.31 0.000604621 0.000561559 0.0451324 0.0418907 -1 -1 -1 -1 26 1932 19 6.55708e+06 373705 477104. 1650.88 0.54 0.116169 0.102401 21022 109990 -1 1686 15 716 2230 104446 28076 4.97272 4.97272 -90.7549 -4.97272 0 0 585099. 2024.56 0.03 0.05 0.09 -1 -1 0.03 0.0203175 0.0178391 119 111 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_127.v common 4.01 vpr 62.96 MiB 0.05 6764 -1 -1 12 0.27 -1 -1 32664 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64472 32 32 302 334 1 217 107 17 17 289 -1 unnamed_device 23.8 MiB 0.11 1531 9468 2125 6499 844 63.0 MiB 0.10 0.00 7.49837 -164.693 -7.49837 7.49837 0.31 0.000965929 0.000891505 0.0397531 0.0367427 -1 -1 -1 -1 30 3422 31 6.55708e+06 518365 526063. 1820.29 1.82 0.298713 0.257173 21886 126133 -1 2956 24 1239 4049 243929 96216 6.59044 6.59044 -154.722 -6.59044 0 0 666494. 2306.21 0.03 0.12 0.10 -1 -1 0.03 0.0443632 0.0386713 215 208 -1 -1 -1 -1 + fixed_k6_N8_gate_boost_0.2V_22nm.xml mult_128.v common 5.63 vpr 63.14 MiB 0.04 6792 -1 -1 14 0.31 -1 -1 32872 -1 -1 43 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64660 31 32 295 327 1 214 106 17 17 289 -1 unnamed_device 24.1 MiB 0.15 1591 9856 2166 6723 967 63.1 MiB 0.10 0.00 8.54152 -175.688 -8.54152 8.54152 0.31 0.000961823 0.000889212 0.0418437 0.0386511 -1 -1 -1 -1 26 4385 31 6.55708e+06 518365 477104. 1650.88 3.30 0.358604 0.308412 21022 109990 -1 3600 20 1519 5234 312086 78569 7.32956 7.32956 -167.86 -7.32956 0 0 585099. 2024.56 0.02 0.11 0.09 -1 -1 0.02 0.0397993 0.034804 209 204 -1 -1 -1 -1 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 2.56 vpr 63.22 MiB 0.05 7200 -1 -1 1 0.03 -1 -1 30812 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64740 32 32 438 350 1 194 102 17 17 289 -1 unnamed_device 24.1 MiB 0.10 976 12002 2679 7621 1702 63.2 MiB 0.12 0.00 4.28185 -143.506 -4.28185 4.28185 0.31 0.000810779 0.000753466 0.0437499 0.0405377 -1 -1 -1 -1 32 2560 24 6.64007e+06 477204 554710. 1919.41 0.65 0.141867 0.124476 22834 132086 -1 1932 19 1454 2464 142463 35537 3.81463 3.81463 -140.726 -3.81463 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0306025 0.0267225 154 96 32 32 96 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 2.55 vpr 63.03 MiB 0.05 7264 -1 -1 1 0.03 -1 -1 30692 -1 -1 26 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64540 30 32 409 330 1 185 88 17 17 289 -1 unnamed_device 24.1 MiB 0.14 1026 14713 4942 7501 2270 63.0 MiB 0.16 0.00 4.20576 -134.886 -4.20576 4.20576 0.31 0.000759539 0.000703622 0.059742 0.0554196 -1 -1 -1 -1 32 1973 21 6.64007e+06 326508 554710. 1919.41 0.56 0.148168 0.131031 22834 132086 -1 1842 22 1474 2557 147100 35795 3.54243 3.54243 -131.81 -3.54243 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0315327 0.0274042 147 91 30 30 89 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 3.37 vpr 63.10 MiB 0.05 7100 -1 -1 1 0.04 -1 -1 30352 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64616 32 32 387 309 1 186 104 17 17 289 -1 unnamed_device 24.2 MiB 0.10 1064 12060 2826 8517 717 63.1 MiB 0.12 0.00 3.86045 -131.77 -3.86045 3.86045 0.31 0.000737227 0.000684903 0.0391495 0.0363264 -1 -1 -1 -1 28 2512 21 6.64007e+06 502320 500653. 1732.36 1.48 0.20841 0.180841 21970 115934 -1 2160 19 1234 2079 134062 31314 3.53843 3.53843 -133.977 -3.53843 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0280505 0.0244937 147 65 54 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 3.72 vpr 62.95 MiB 0.05 7100 -1 -1 1 0.05 -1 -1 30536 -1 -1 27 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64460 29 32 343 267 1 184 88 17 17 289 -1 unnamed_device 23.9 MiB 0.09 958 15103 6348 7804 951 62.9 MiB 0.15 0.00 4.28676 -129.392 -4.28676 4.28676 0.31 0.000682304 0.000633812 0.0552082 0.0513296 -1 -1 -1 -1 30 2344 26 6.64007e+06 339066 526063. 1820.29 1.86 0.262275 0.227265 22546 126617 -1 1899 21 1617 2907 167438 40463 3.74963 3.74963 -132.178 -3.74963 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0279283 0.0242973 147 34 87 29 29 29 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 3.99 vpr 62.93 MiB 0.05 7056 -1 -1 1 0.03 -1 -1 30288 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64444 32 32 376 288 1 195 88 17 17 289 -1 unnamed_device 24.0 MiB 0.11 952 10423 2874 6866 683 62.9 MiB 0.13 0.00 4.20333 -141.739 -4.20333 4.20333 0.32 0.00073999 0.000687486 0.0421342 0.0391592 -1 -1 -1 -1 28 2950 33 6.64007e+06 301392 500653. 1732.36 2.05 0.25688 0.22254 21970 115934 -1 2283 19 1785 3211 187455 47238 3.78063 3.78063 -147.767 -3.78063 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0285729 0.0250239 155 34 96 32 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 3.35 vpr 63.82 MiB 0.05 7116 -1 -1 1 0.03 -1 -1 30476 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65348 32 32 402 316 1 199 106 17 17 289 -1 unnamed_device 24.2 MiB 0.08 1083 16856 4675 9527 2654 63.8 MiB 0.09 0.00 3.6013 -123.576 -3.6013 3.6013 0.24 0.000342392 0.00031395 0.0250123 0.0229331 -1 -1 -1 -1 28 2590 23 6.64007e+06 527436 500653. 1732.36 1.58 0.222513 0.191383 21970 115934 -1 2144 21 1415 2315 148452 36304 2.97497 2.97497 -116.593 -2.97497 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0312956 0.0272553 159 64 63 32 63 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 2.93 vpr 62.69 MiB 0.04 6968 -1 -1 1 0.03 -1 -1 30680 -1 -1 21 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64192 27 32 269 226 1 135 80 17 17 289 -1 unnamed_device 23.6 MiB 0.08 576 13324 3538 8891 895 62.7 MiB 0.12 0.00 3.7085 -96.0383 -3.7085 3.7085 0.32 0.00056732 0.000527952 0.0458403 0.042698 -1 -1 -1 -1 30 1411 21 6.64007e+06 263718 526063. 1820.29 1.12 0.172338 0.149921 22546 126617 -1 1078 20 836 1460 73973 18895 2.62657 2.62657 -89.3933 -2.62657 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0223114 0.0193397 99 34 54 27 27 27 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 3.23 vpr 62.91 MiB 0.05 6996 -1 -1 1 0.03 -1 -1 30188 -1 -1 40 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64420 31 32 317 242 1 185 103 17 17 289 -1 unnamed_device 23.9 MiB 0.07 1095 13117 3229 8627 1261 62.9 MiB 0.07 0.00 3.50024 -111.349 -3.50024 3.50024 0.33 0.000298603 0.000275676 0.0179897 0.0165618 -1 -1 -1 -1 26 2271 22 6.64007e+06 502320 477104. 1650.88 1.40 0.172092 0.147892 21682 110474 -1 2022 20 1178 2093 117560 27947 2.67677 2.67677 -106.533 -2.67677 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0260774 0.0227416 147 4 115 31 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 3.15 vpr 62.82 MiB 0.04 7204 -1 -1 1 0.03 -1 -1 30184 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64328 31 32 338 292 1 147 83 17 17 289 -1 unnamed_device 23.6 MiB 0.13 896 12323 3032 8059 1232 62.8 MiB 0.12 0.00 3.04379 -104.038 -3.04379 3.04379 0.32 0.000657329 0.000610768 0.0473336 0.0440055 -1 -1 -1 -1 32 1844 20 6.64007e+06 251160 554710. 1919.41 1.26 0.201633 0.175073 22834 132086 -1 1695 19 771 1196 81249 19362 2.73277 2.73277 -103.914 -2.73277 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.024661 0.0214682 110 85 0 0 84 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 2.48 vpr 62.83 MiB 0.04 6900 -1 -1 1 0.03 -1 -1 30356 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64336 32 32 314 256 1 162 84 17 17 289 -1 unnamed_device 23.6 MiB 0.13 887 12711 4454 6379 1878 62.8 MiB 0.12 0.00 3.56007 -125.439 -3.56007 3.56007 0.32 0.000653177 0.000608083 0.0472954 0.044029 -1 -1 -1 -1 32 1942 22 6.64007e+06 251160 554710. 1919.41 0.53 0.123749 0.109407 22834 132086 -1 1714 15 1078 1687 88792 22871 2.83957 2.83957 -119.486 -2.83957 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0203943 0.017861 123 34 64 32 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 3.30 vpr 62.77 MiB 0.04 6968 -1 -1 1 0.03 -1 -1 30152 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64280 30 32 325 273 1 151 83 17 17 289 -1 unnamed_device 23.6 MiB 0.13 823 13043 3482 8507 1054 62.8 MiB 0.13 0.00 3.4951 -112.72 -3.4951 3.4951 0.32 0.00065978 0.000613187 0.0492822 0.0458453 -1 -1 -1 -1 32 1752 19 6.64007e+06 263718 554710. 1919.41 1.40 0.227628 0.196851 22834 132086 -1 1532 16 933 1408 83868 20950 2.72977 2.72977 -109.232 -2.72977 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0218058 0.0190421 114 63 30 30 60 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 4.16 vpr 63.48 MiB 0.04 6928 -1 -1 1 0.03 -1 -1 30576 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65008 32 32 331 280 1 156 97 17 17 289 -1 unnamed_device 23.9 MiB 0.09 784 8977 1849 6326 802 63.5 MiB 0.09 0.00 3.52427 -114.042 -3.52427 3.52427 0.31 0.000661702 0.000614042 0.0295738 0.0274449 -1 -1 -1 -1 28 2266 35 6.64007e+06 414414 500653. 1732.36 2.41 0.198773 0.171295 21970 115934 -1 1841 22 1230 2085 146934 38176 2.98597 2.98597 -117.809 -2.98597 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0274191 0.0237963 117 65 25 25 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 2.63 vpr 63.01 MiB 0.05 7140 -1 -1 1 0.03 -1 -1 30308 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64520 32 32 386 305 1 188 101 17 17 289 -1 unnamed_device 24.1 MiB 0.15 1092 18901 5471 11041 2389 63.0 MiB 0.18 0.00 3.5951 -127.048 -3.5951 3.5951 0.32 0.000743939 0.000691158 0.0628132 0.0582922 -1 -1 -1 -1 32 2279 21 6.64007e+06 464646 554710. 1919.41 0.58 0.151079 0.134014 22834 132086 -1 2074 22 1512 2645 153078 36437 2.95177 2.95177 -121.816 -2.95177 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0329088 0.0287192 147 58 64 32 57 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 4.68 vpr 63.76 MiB 0.05 7044 -1 -1 1 0.03 -1 -1 30548 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65288 32 32 407 319 1 200 103 17 17 289 -1 unnamed_device 24.1 MiB 0.11 1035 12394 3046 8611 737 63.8 MiB 0.14 0.00 4.26956 -145.43 -4.26956 4.26956 0.31 0.000773909 0.000719146 0.0425897 0.039466 -1 -1 -1 -1 26 3014 25 6.64007e+06 489762 477104. 1650.88 2.72 0.257153 0.222318 21682 110474 -1 2306 21 1900 3073 199703 50221 3.82903 3.82903 -145.772 -3.82903 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0317988 0.0277284 160 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 2.71 vpr 62.70 MiB 0.04 6956 -1 -1 1 0.03 -1 -1 30744 -1 -1 21 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64208 29 32 272 228 1 145 82 17 17 289 -1 unnamed_device 23.6 MiB 0.12 850 10050 2527 6615 908 62.7 MiB 0.10 0.00 3.4371 -104.776 -3.4371 3.4371 0.31 0.000576058 0.000536566 0.0344561 0.0321023 -1 -1 -1 -1 30 1577 21 6.64007e+06 263718 526063. 1820.29 0.96 0.162473 0.14075 22546 126617 -1 1453 20 774 1299 72411 17487 2.43437 2.43437 -95.344 -2.43437 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0227636 0.019825 110 29 58 29 24 24 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 2.62 vpr 64.00 MiB 0.04 7048 -1 -1 1 0.03 -1 -1 30404 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65536 32 32 401 315 1 192 88 17 17 289 -1 unnamed_device 24.5 MiB 0.16 1152 14908 4968 7524 2416 64.0 MiB 0.16 0.00 3.61867 -126.198 -3.61867 3.61867 0.31 0.000773122 0.000716803 0.0613145 0.0569036 -1 -1 -1 -1 32 2211 23 6.64007e+06 301392 554710. 1919.41 0.59 0.153316 0.135763 22834 132086 -1 2049 18 1516 2729 161279 38296 3.03017 3.03017 -124.004 -3.03017 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0277894 0.0243035 152 63 64 32 62 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 3.27 vpr 63.04 MiB 0.03 7124 -1 -1 1 0.03 -1 -1 30288 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64552 32 32 383 303 1 185 102 17 17 289 -1 unnamed_device 24.2 MiB 0.10 1120 15810 4601 9118 2091 63.0 MiB 0.16 0.00 3.5171 -123.44 -3.5171 3.5171 0.31 0.000745322 0.000691087 0.0520892 0.0482761 -1 -1 -1 -1 30 2224 21 6.64007e+06 477204 526063. 1820.29 1.40 0.217198 0.188922 22546 126617 -1 1939 16 1189 1826 115145 26469 3.00017 3.00017 -120.351 -3.00017 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.0323529 0.0282406 146 57 64 32 56 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 2.45 vpr 62.86 MiB 0.03 6980 -1 -1 1 0.05 -1 -1 30104 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64372 32 32 339 284 1 162 99 17 17 289 -1 unnamed_device 23.8 MiB 0.11 968 16515 4666 9926 1923 62.9 MiB 0.15 0.00 2.90461 -106.103 -2.90461 2.90461 0.31 0.00068021 0.000629635 0.0511461 0.04745 -1 -1 -1 -1 26 2194 17 6.64007e+06 439530 477104. 1650.88 0.57 0.125436 0.111002 21682 110474 -1 1898 20 1167 2016 121539 28902 2.11951 2.11951 -97.2273 -2.11951 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0259878 0.0226158 123 65 29 29 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 2.15 vpr 62.92 MiB 0.03 6732 -1 -1 1 0.03 -1 -1 30120 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64432 30 32 226 208 1 119 80 17 17 289 -1 unnamed_device 23.4 MiB 0.06 602 11432 3834 5692 1906 62.9 MiB 0.09 0.00 2.72344 -85.4157 -2.72344 2.72344 0.31 0.000505841 0.000470978 0.0352168 0.0327878 -1 -1 -1 -1 32 1142 19 6.64007e+06 226044 554710. 1919.41 0.47 0.0930836 0.0820953 22834 132086 -1 1062 18 571 805 49536 13093 1.83511 1.83511 -74.2433 -1.83511 0 0 701300. 2426.64 0.03 0.04 0.11 -1 -1 0.03 0.0181182 0.0157117 87 34 24 24 30 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 2.37 vpr 62.81 MiB 0.05 7084 -1 -1 1 0.03 -1 -1 30360 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64316 31 32 335 280 1 153 82 17 17 289 -1 unnamed_device 23.9 MiB 0.11 741 13254 5132 6058 2064 62.8 MiB 0.13 0.00 3.49827 -116.502 -3.49827 3.49827 0.31 0.000669156 0.000622462 0.0514306 0.0478346 -1 -1 -1 -1 32 1849 27 6.64007e+06 238602 554710. 1919.41 0.55 0.134506 0.118815 22834 132086 -1 1568 20 1040 1573 100939 24802 2.96397 2.96397 -113.273 -2.96397 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0260013 0.0226574 115 64 31 31 62 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 2.50 vpr 63.68 MiB 0.05 7060 -1 -1 1 0.05 -1 -1 30320 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65212 32 32 366 283 1 192 102 17 17 289 -1 unnamed_device 24.1 MiB 0.08 1110 17000 5435 9157 2408 63.7 MiB 0.17 0.00 4.17576 -139.32 -4.17576 4.17576 0.32 0.000734317 0.000682271 0.0548944 0.0509949 -1 -1 -1 -1 32 2344 23 6.64007e+06 477204 554710. 1919.41 0.57 0.143023 0.126579 22834 132086 -1 2052 24 1679 2405 162687 37419 3.68983 3.68983 -135.616 -3.68983 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0329762 0.0286802 150 34 91 32 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 3.89 vpr 63.20 MiB 0.03 7264 -1 -1 1 0.03 -1 -1 30552 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64720 32 32 460 375 1 196 106 17 17 289 -1 unnamed_device 24.1 MiB 0.15 1189 12106 3060 8242 804 63.2 MiB 0.14 0.00 3.77242 -126.84 -3.77242 3.77242 0.32 0.000844467 0.000784018 0.044605 0.041341 -1 -1 -1 -1 26 2901 24 6.64007e+06 527436 477104. 1650.88 1.92 0.268816 0.231856 21682 110474 -1 2407 18 1386 2268 145773 34146 3.44123 3.44123 -130.237 -3.44123 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0304946 0.0265237 156 124 0 0 125 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 2.22 vpr 62.65 MiB 0.04 6884 -1 -1 1 0.02 -1 -1 30580 -1 -1 20 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64156 26 32 198 186 1 109 78 17 17 289 -1 unnamed_device 23.2 MiB 0.09 459 5058 1171 3509 378 62.7 MiB 0.05 0.00 2.74064 -70.6811 -2.74064 2.74064 0.33 0.000439174 0.000408713 0.0149304 0.0138974 -1 -1 -1 -1 28 1182 19 6.64007e+06 251160 500653. 1732.36 0.49 0.066067 0.0574504 21970 115934 -1 1030 18 609 981 53722 15439 2.04411 2.04411 -72.6884 -2.04411 0 0 612192. 2118.31 0.03 0.04 0.10 -1 -1 0.03 0.0159866 0.0138721 81 30 26 26 22 22 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 2.40 vpr 62.95 MiB 0.05 6860 -1 -1 1 0.03 -1 -1 30124 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64456 32 32 333 251 1 187 88 17 17 289 -1 unnamed_device 23.9 MiB 0.08 1137 14908 4573 8622 1713 62.9 MiB 0.18 0.00 4.19776 -142.008 -4.19776 4.19776 0.31 0.000701101 0.000653204 0.0628379 0.0584905 -1 -1 -1 -1 32 2398 20 6.64007e+06 301392 554710. 1919.41 0.54 0.141099 0.125732 22834 132086 -1 2094 22 1604 2908 157824 38320 3.66363 3.66363 -138.227 -3.66363 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0293145 0.0255357 147 3 122 32 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 2.15 vpr 62.77 MiB 0.04 6680 -1 -1 1 0.03 -1 -1 30348 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64272 32 32 199 182 1 122 81 17 17 289 -1 unnamed_device 23.3 MiB 0.05 606 6031 1244 4379 408 62.8 MiB 0.05 0.00 2.3951 -82.6001 -2.3951 2.3951 0.31 0.000465548 0.000432828 0.0175467 0.0163087 -1 -1 -1 -1 28 1441 18 6.64007e+06 213486 500653. 1732.36 0.49 0.0703402 0.0615546 21970 115934 -1 1161 16 617 869 54541 14769 1.94131 1.94131 -78.2711 -1.94131 0 0 612192. 2118.31 0.03 0.03 0.10 -1 -1 0.03 0.0142044 0.0126253 86 3 53 32 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 2.59 vpr 63.05 MiB 0.04 7044 -1 -1 1 0.03 -1 -1 30680 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64568 32 32 376 288 1 194 101 17 17 289 -1 unnamed_device 24.1 MiB 0.07 1164 19371 5444 11925 2002 63.1 MiB 0.19 0.00 4.21433 -146.592 -4.21433 4.21433 0.31 0.000733974 0.000681847 0.0631517 0.0586342 -1 -1 -1 -1 32 2478 22 6.64007e+06 464646 554710. 1919.41 0.57 0.150803 0.133889 22834 132086 -1 2244 20 1560 2460 151531 35388 3.54223 3.54223 -142.603 -3.54223 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0301911 0.0264063 154 34 96 32 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 3.37 vpr 62.97 MiB 0.05 6964 -1 -1 1 0.03 -1 -1 30148 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64484 32 32 337 253 1 196 105 17 17 289 -1 unnamed_device 23.8 MiB 0.07 950 11961 2772 8489 700 63.0 MiB 0.13 0.00 3.50507 -117.309 -3.50507 3.50507 0.32 0.000697058 0.000646752 0.0361771 0.0334978 -1 -1 -1 -1 32 2114 18 6.64007e+06 514878 554710. 1919.41 1.46 0.235517 0.20326 22834 132086 -1 1863 23 1437 2509 146808 35996 2.78877 2.78877 -112.501 -2.78877 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.030771 0.0267278 156 3 124 32 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 2.58 vpr 63.36 MiB 0.05 7112 -1 -1 1 0.03 -1 -1 30600 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64880 32 32 407 319 1 197 104 17 17 289 -1 unnamed_device 24.4 MiB 0.08 1130 17184 5737 8837 2610 63.4 MiB 0.18 0.00 4.23656 -144.814 -4.23656 4.23656 0.31 0.000767369 0.000711388 0.0569018 0.0527002 -1 -1 -1 -1 32 2274 22 6.64007e+06 502320 554710. 1919.41 0.60 0.147862 0.130636 22834 132086 -1 1992 23 1622 2800 148797 36507 3.81983 3.81983 -142.34 -3.81983 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0334645 0.0290941 157 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 3.00 vpr 62.83 MiB 0.04 6916 -1 -1 1 0.03 -1 -1 30204 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64336 32 32 294 246 1 149 82 17 17 289 -1 unnamed_device 23.7 MiB 0.07 840 8270 2111 5830 329 62.8 MiB 0.09 0.00 3.06579 -105.423 -3.06579 3.06579 0.31 0.000618498 0.000575903 0.0307637 0.0286569 -1 -1 -1 -1 28 1998 19 6.64007e+06 226044 500653. 1732.36 1.21 0.188894 0.163023 21970 115934 -1 1750 22 916 1479 100750 24468 2.75177 2.75177 -109.257 -2.75177 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0258693 0.0224638 111 34 54 32 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 3.21 vpr 62.77 MiB 0.04 6868 -1 -1 1 0.03 -1 -1 30132 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64280 30 32 296 244 1 154 83 17 17 289 -1 unnamed_device 23.6 MiB 0.07 930 11243 3552 5630 2061 62.8 MiB 0.11 0.00 3.4951 -115.574 -3.4951 3.4951 0.31 0.000613315 0.00057087 0.0405814 0.0377574 -1 -1 -1 -1 28 1885 20 6.64007e+06 263718 500653. 1732.36 1.38 0.194316 0.168276 21970 115934 -1 1559 18 948 1471 88621 20953 2.83777 2.83777 -107.619 -2.83777 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0226246 0.0197438 117 34 60 30 30 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 2.97 vpr 62.65 MiB 0.02 6816 -1 -1 1 0.03 -1 -1 30364 -1 -1 23 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64156 28 32 278 232 1 150 83 17 17 289 -1 unnamed_device 23.6 MiB 0.07 722 9263 2475 5902 886 62.7 MiB 0.10 0.00 3.4419 -101.08 -3.4419 3.4419 0.31 0.000593438 0.000552658 0.0321271 0.0299121 -1 -1 -1 -1 28 1836 19 6.64007e+06 288834 500653. 1732.36 1.19 0.181866 0.156794 21970 115934 -1 1563 20 1052 1841 111729 27647 2.79557 2.79557 -100.53 -2.79557 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0237944 0.0206698 113 34 56 28 28 28 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 2.45 vpr 62.95 MiB 0.04 6832 -1 -1 1 0.03 -1 -1 30316 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64460 32 32 283 225 1 166 84 17 17 289 -1 unnamed_device 23.8 MiB 0.08 864 14907 5539 6904 2464 62.9 MiB 0.14 0.00 3.5713 -123.672 -3.5713 3.5713 0.32 0.00061225 0.000569592 0.052115 0.0485053 -1 -1 -1 -1 32 2017 19 6.64007e+06 251160 554710. 1919.41 0.55 0.122506 0.108736 22834 132086 -1 1764 20 1411 2368 136886 33787 2.90497 2.90497 -120.372 -2.90497 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0242942 0.0211745 127 3 96 32 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 3.76 vpr 63.34 MiB 0.04 6832 -1 -1 1 0.03 -1 -1 30348 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64860 31 32 303 249 1 162 101 17 17 289 -1 unnamed_device 23.6 MiB 0.07 862 11146 2592 7979 575 63.3 MiB 0.11 0.00 3.50687 -115.397 -3.50687 3.50687 0.31 0.000633728 0.000589298 0.0320851 0.0298131 -1 -1 -1 -1 26 2499 26 6.64007e+06 477204 477104. 1650.88 1.95 0.183781 0.15873 21682 110474 -1 1865 22 1267 2178 125582 31798 2.91577 2.91577 -114.15 -2.91577 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0264303 0.0229425 125 34 61 31 31 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 2.52 vpr 62.75 MiB 0.04 7028 -1 -1 1 0.03 -1 -1 30052 -1 -1 37 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64260 29 32 312 264 1 154 98 17 17 289 -1 unnamed_device 23.6 MiB 0.11 922 17198 5894 8996 2308 62.8 MiB 0.15 0.00 2.87038 -92.9753 -2.87038 2.87038 0.31 0.000626438 0.000580885 0.0501061 0.0463905 -1 -1 -1 -1 26 1941 28 6.64007e+06 464646 477104. 1650.88 0.66 0.129148 0.113749 21682 110474 -1 1670 17 1038 1796 99683 24829 2.34971 2.34971 -90.4319 -2.34971 0 0 585099. 2024.56 0.03 0.05 0.09 -1 -1 0.03 0.0214918 0.0187065 118 61 29 29 57 29 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 5.08 vpr 63.15 MiB 0.03 7188 -1 -1 1 0.03 -1 -1 30464 -1 -1 46 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64664 32 32 423 310 1 229 110 17 17 289 -1 unnamed_device 24.3 MiB 0.14 1300 17468 4571 11434 1463 63.1 MiB 0.19 0.00 4.2303 -145.898 -4.2303 4.2303 0.31 0.000826877 0.000769733 0.0579125 0.0537467 -1 -1 -1 -1 24 3593 43 6.64007e+06 577668 448715. 1552.65 3.13 0.308093 0.26702 21394 104001 -1 2813 19 1912 3426 222342 49563 3.59143 3.59143 -143.109 -3.59143 0 0 554710. 1919.41 0.02 0.09 0.09 -1 -1 0.02 0.0311359 0.0270947 185 29 128 32 27 27 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 3.75 vpr 63.15 MiB 0.05 7060 -1 -1 1 0.04 -1 -1 30532 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64668 32 32 403 317 1 198 105 17 17 289 -1 unnamed_device 24.2 MiB 0.14 956 19371 5385 10676 3310 63.2 MiB 0.19 0.00 3.6123 -122.827 -3.6123 3.6123 0.31 0.000774959 0.000719049 0.0640359 0.0592587 -1 -1 -1 -1 28 2477 34 6.64007e+06 514878 500653. 1732.36 1.75 0.277648 0.24167 21970 115934 -1 1873 20 1769 2726 152574 41158 3.11837 3.11837 -120.553 -3.11837 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0302306 0.0263717 158 65 62 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 2.44 vpr 62.83 MiB 0.03 6916 -1 -1 1 0.03 -1 -1 30432 -1 -1 34 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64340 31 32 353 302 1 156 97 17 17 289 -1 unnamed_device 23.8 MiB 0.15 770 7423 1508 5660 255 62.8 MiB 0.08 0.00 3.45229 -110.44 -3.45229 3.45229 0.32 0.000684306 0.000629221 0.0250318 0.0231895 -1 -1 -1 -1 26 2093 23 6.64007e+06 426972 477104. 1650.88 0.61 0.106504 0.0927722 21682 110474 -1 1763 19 1086 1762 111036 27257 2.79977 2.79977 -108.622 -2.79977 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.025035 0.021744 117 90 0 0 89 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 2.99 vpr 63.14 MiB 0.05 7176 -1 -1 1 0.03 -1 -1 30372 -1 -1 26 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64652 31 32 391 309 1 194 89 17 17 289 -1 unnamed_device 24.2 MiB 0.08 1079 9197 2284 6348 565 63.1 MiB 0.06 0.00 3.55147 -118.528 -3.55147 3.55147 0.24 0.000335013 0.000306723 0.0173535 0.0159879 -1 -1 -1 -1 32 2150 20 6.64007e+06 326508 554710. 1919.41 1.35 0.199218 0.170874 22834 132086 -1 1941 23 1473 2493 142325 34910 2.90497 2.90497 -115.356 -2.90497 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0327757 0.0285415 155 64 60 30 62 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 2.85 vpr 63.93 MiB 0.05 7300 -1 -1 1 0.03 -1 -1 30632 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65460 31 32 455 371 1 192 88 17 17 289 -1 unnamed_device 24.2 MiB 0.29 1002 14908 5470 7356 2082 63.9 MiB 0.17 0.00 4.45513 -137.3 -4.45513 4.45513 0.31 0.000834144 0.000775325 0.066533 0.0618773 -1 -1 -1 -1 32 2284 27 6.64007e+06 313950 554710. 1919.41 0.61 0.170249 0.150289 22834 132086 -1 1941 20 1342 2354 134944 33685 3.86483 3.86483 -138.007 -3.86483 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0326114 0.0283482 154 124 0 0 124 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 3.45 vpr 63.17 MiB 0.05 7124 -1 -1 1 0.03 -1 -1 30416 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64688 31 32 413 333 1 186 88 17 17 289 -1 unnamed_device 24.2 MiB 0.13 1027 11398 2983 7528 887 63.2 MiB 0.13 0.00 4.38913 -134.67 -4.38913 4.38913 0.34 0.000766712 0.000712184 0.0477711 0.0443788 -1 -1 -1 -1 32 2245 20 6.64007e+06 313950 554710. 1919.41 1.44 0.233489 0.202692 22834 132086 -1 2048 19 1200 2033 121138 29543 3.54143 3.54143 -129.841 -3.54143 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0293655 0.0256812 145 90 31 31 89 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 3.57 vpr 62.95 MiB 0.05 7284 -1 -1 1 0.03 -1 -1 30472 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64456 31 32 391 309 1 193 104 17 17 289 -1 unnamed_device 24.0 MiB 0.11 1098 17428 4861 9893 2674 62.9 MiB 0.17 0.00 3.5841 -120.59 -3.5841 3.5841 0.35 0.000751642 0.000698039 0.0566199 0.0524676 -1 -1 -1 -1 32 2229 19 6.64007e+06 514878 554710. 1919.41 1.52 0.266955 0.231573 22834 132086 -1 1985 21 1590 2826 152348 37318 2.73957 2.73957 -110.357 -2.73957 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0302365 0.0263253 154 64 60 31 62 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 3.78 vpr 63.12 MiB 0.05 7032 -1 -1 1 0.03 -1 -1 30884 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64636 32 32 407 319 1 198 103 17 17 289 -1 unnamed_device 24.1 MiB 0.10 1112 15527 3819 10689 1019 63.1 MiB 0.16 0.00 4.17753 -141.515 -4.17753 4.17753 0.31 0.00076711 0.000712687 0.0525151 0.0486539 -1 -1 -1 -1 28 2988 22 6.64007e+06 489762 500653. 1732.36 1.79 0.259141 0.224799 21970 115934 -1 2467 22 1715 2812 177231 42291 3.76543 3.76543 -150.156 -3.76543 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0321907 0.0280446 158 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 3.57 vpr 64.21 MiB 0.05 7252 -1 -1 1 0.03 -1 -1 30616 -1 -1 47 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65752 32 32 496 380 1 232 111 17 17 289 -1 unnamed_device 24.7 MiB 0.14 1279 22987 6170 14579 2238 64.2 MiB 0.24 0.00 4.27576 -147.354 -4.27576 4.27576 0.32 0.000921143 0.000855893 0.0824348 0.0764438 -1 -1 -1 -1 26 3194 24 6.64007e+06 590226 477104. 1650.88 1.44 0.290986 0.254205 21682 110474 -1 2535 19 1641 2695 159704 37748 3.70543 3.70543 -145.734 -3.70543 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0344438 0.0300368 190 96 62 32 96 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 2.42 vpr 62.98 MiB 0.04 6868 -1 -1 1 0.03 -1 -1 30608 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64496 31 32 305 250 1 158 83 17 17 289 -1 unnamed_device 23.7 MiB 0.10 828 13223 3435 9014 774 63.0 MiB 0.13 0.00 3.5141 -118.553 -3.5141 3.5141 0.31 0.000632272 0.000583346 0.0483654 0.0449259 -1 -1 -1 -1 32 1849 24 6.64007e+06 251160 554710. 1919.41 0.54 0.12509 0.110517 22834 132086 -1 1702 20 1162 1902 112882 27965 2.94077 2.94077 -117.964 -2.94077 0 0 701300. 2426.64 0.03 0.07 0.12 -1 -1 0.03 0.0258377 0.0224912 120 34 62 31 31 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 3.38 vpr 63.24 MiB 0.03 7168 -1 -1 1 0.03 -1 -1 30532 -1 -1 44 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64756 31 32 395 311 1 196 107 17 17 289 -1 unnamed_device 24.3 MiB 0.13 1033 9974 2125 7352 497 63.2 MiB 0.11 0.00 4.22556 -137.402 -4.22556 4.22556 0.31 0.000755722 0.000702339 0.0323155 0.0299954 -1 -1 -1 -1 26 2970 22 6.64007e+06 552552 477104. 1650.88 1.50 0.206779 0.178517 21682 110474 -1 2299 21 1590 2638 164275 39847 3.97583 3.97583 -145.44 -3.97583 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.030464 0.0265334 157 64 62 31 62 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 2.49 vpr 63.19 MiB 0.02 7052 -1 -1 1 0.03 -1 -1 30628 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64708 32 32 397 313 1 196 106 17 17 289 -1 unnamed_device 24.2 MiB 0.10 1161 16356 4377 9776 2203 63.2 MiB 0.16 0.00 3.48487 -121.363 -3.48487 3.48487 0.31 0.000755894 0.000701917 0.0520547 0.0483178 -1 -1 -1 -1 32 2414 22 6.64007e+06 527436 554710. 1919.41 0.58 0.141233 0.124668 22834 132086 -1 2177 21 1571 2705 158039 37444 2.67537 2.67537 -111.979 -2.67537 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0303077 0.0263351 156 63 62 32 62 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 2.49 vpr 62.85 MiB 0.03 6912 -1 -1 1 0.04 -1 -1 30340 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64360 32 32 345 257 1 194 88 17 17 289 -1 unnamed_device 23.8 MiB 0.09 1144 16273 5381 8839 2053 62.9 MiB 0.17 0.00 4.16036 -145.418 -4.16036 4.16036 0.32 0.000709996 0.000660612 0.0614124 0.0571404 -1 -1 -1 -1 32 2359 21 6.64007e+06 301392 554710. 1919.41 0.58 0.144565 0.128435 22834 132086 -1 2108 21 1680 2968 179175 41310 3.47303 3.47303 -139.132 -3.47303 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0290267 0.0253464 154 3 128 32 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 3.14 vpr 63.86 MiB 0.05 7104 -1 -1 1 0.03 -1 -1 30400 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65396 32 32 424 343 1 190 104 17 17 289 -1 unnamed_device 24.2 MiB 0.16 1109 20112 5611 12198 2303 63.9 MiB 0.11 0.00 3.4859 -123.055 -3.4859 3.4859 0.24 0.000351046 0.00032261 0.0308799 0.0283126 -1 -1 -1 -1 26 2505 29 6.64007e+06 502320 477104. 1650.88 1.38 0.21063 0.181128 21682 110474 -1 2093 18 1276 2098 131146 31387 2.86577 2.86577 -120.238 -2.86577 0 0 585099. 2024.56 0.02 0.07 0.09 -1 -1 0.02 0.0279131 0.0243145 149 96 25 25 96 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 3.42 vpr 63.70 MiB 0.04 7124 -1 -1 1 0.03 -1 -1 30308 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65224 32 32 395 311 1 194 106 17 17 289 -1 unnamed_device 24.1 MiB 0.14 1140 12606 3075 8755 776 63.7 MiB 0.14 0.00 3.50687 -121.034 -3.50687 3.50687 0.31 0.000763907 0.000708181 0.0411347 0.0381036 -1 -1 -1 -1 26 2863 33 6.64007e+06 527436 477104. 1650.88 1.46 0.241988 0.209195 21682 110474 -1 2394 20 1602 2796 167314 41417 2.85057 2.85057 -121.098 -2.85057 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0296906 0.0258573 153 61 64 32 60 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 2.63 vpr 63.01 MiB 0.05 7140 -1 -1 1 0.03 -1 -1 30420 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64524 32 32 405 318 1 200 105 17 17 289 -1 unnamed_device 24.0 MiB 0.12 1167 17395 4930 10945 1520 63.0 MiB 0.18 0.00 3.5731 -124.329 -3.5731 3.5731 0.32 0.000780144 0.000722092 0.05805 0.0537636 -1 -1 -1 -1 32 2215 21 6.64007e+06 514878 554710. 1919.41 0.58 0.14922 0.13204 22834 132086 -1 2003 22 1743 2950 167047 39826 2.84377 2.84377 -116.123 -2.84377 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0327219 0.0284447 160 65 63 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 4.98 vpr 63.68 MiB 0.04 7048 -1 -1 1 0.03 -1 -1 30604 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65212 32 32 376 288 1 194 103 17 17 289 -1 unnamed_device 24.1 MiB 0.07 997 18660 6096 9542 3022 63.7 MiB 0.19 0.00 4.21456 -142.316 -4.21456 4.21456 0.31 0.00073972 0.000687193 0.0599802 0.0556662 -1 -1 -1 -1 28 3117 29 6.64007e+06 489762 500653. 1732.36 3.01 0.248491 0.216903 21970 115934 -1 2045 21 1770 2795 163546 40990 3.70543 3.70543 -142.468 -3.70543 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0298354 0.0260284 154 34 96 32 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 3.49 vpr 63.09 MiB 0.05 6976 -1 -1 1 0.03 -1 -1 30720 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 32 32 407 319 1 197 105 17 17 289 -1 unnamed_device 24.1 MiB 0.12 1064 11220 2570 7830 820 63.1 MiB 0.13 0.00 4.25676 -145.189 -4.25676 4.25676 0.31 0.000772788 0.000718085 0.0377261 0.0349765 -1 -1 -1 -1 32 2377 24 6.64007e+06 514878 554710. 1919.41 1.56 0.264392 0.227829 22834 132086 -1 2028 19 1516 2460 131786 33581 3.70243 3.70243 -139.307 -3.70243 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0286976 0.0250369 157 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 3.71 vpr 63.12 MiB 0.05 7332 -1 -1 1 0.03 -1 -1 30412 -1 -1 42 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64640 31 32 449 367 1 193 105 17 17 289 -1 unnamed_device 24.0 MiB 0.17 1143 12702 3235 8498 969 63.1 MiB 0.14 0.00 4.14875 -135.521 -4.14875 4.14875 0.32 0.000822702 0.000764083 0.0450902 0.0418069 -1 -1 -1 -1 32 2507 24 6.64007e+06 527436 554710. 1919.41 1.66 0.288676 0.248599 22834 132086 -1 2119 23 1316 2304 136865 31943 3.52123 3.52123 -128.119 -3.52123 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0356258 0.0308946 154 122 0 0 122 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 2.55 vpr 63.19 MiB 0.05 7248 -1 -1 1 0.03 -1 -1 30568 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64704 32 32 432 346 1 195 89 17 17 289 -1 unnamed_device 24.2 MiB 0.11 1141 15731 4718 8920 2093 63.2 MiB 0.17 0.00 4.14856 -139.91 -4.14856 4.14856 0.32 0.000810138 0.000744827 0.0667672 0.06186 -1 -1 -1 -1 32 2582 17 6.64007e+06 313950 554710. 1919.41 0.58 0.155449 0.137753 22834 132086 -1 2286 22 1613 2975 171935 42030 3.41023 3.41023 -130.66 -3.41023 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0341772 0.0297611 155 94 32 32 94 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 3.34 vpr 62.84 MiB 0.04 6808 -1 -1 1 0.03 -1 -1 30652 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64344 32 32 312 255 1 166 100 17 17 289 -1 unnamed_device 23.8 MiB 0.07 978 17964 4790 11291 1883 62.8 MiB 0.16 0.00 3.50687 -123.607 -3.50687 3.50687 0.31 0.000634391 0.000590427 0.0516727 0.0479857 -1 -1 -1 -1 32 2015 21 6.64007e+06 452088 554710. 1919.41 1.42 0.236854 0.20544 22834 132086 -1 1764 19 1043 1706 104857 24083 2.70957 2.70957 -114.861 -2.70957 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0239623 0.0208695 128 34 63 32 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 3.34 vpr 62.99 MiB 0.04 6944 -1 -1 1 0.03 -1 -1 30408 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64504 32 32 370 314 1 164 86 17 17 289 -1 unnamed_device 24.0 MiB 0.14 959 13694 3826 7953 1915 63.0 MiB 0.14 0.00 3.5031 -123.294 -3.5031 3.5031 0.31 0.000703797 0.000653041 0.0533381 0.0495388 -1 -1 -1 -1 32 2054 22 6.64007e+06 276276 554710. 1919.41 1.44 0.254834 0.220755 22834 132086 -1 1866 21 1185 1986 135253 31237 2.75177 2.75177 -114.926 -2.75177 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0284481 0.0247206 125 94 0 0 94 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 3.82 vpr 63.67 MiB 0.05 7264 -1 -1 1 0.03 -1 -1 30804 -1 -1 45 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65200 32 32 469 351 1 233 109 17 17 289 -1 unnamed_device 24.7 MiB 0.11 1361 11809 2725 8268 816 63.7 MiB 0.15 0.00 4.90898 -166.187 -4.90898 4.90898 0.31 0.000882608 0.000821324 0.0432573 0.0401574 -1 -1 -1 -1 26 3708 29 6.64007e+06 565110 477104. 1650.88 1.78 0.258756 0.223516 21682 110474 -1 2936 24 2542 4529 290190 66859 5.02189 5.02189 -184.485 -5.02189 0 0 585099. 2024.56 0.03 0.11 0.09 -1 -1 0.03 0.0396516 0.0344271 191 65 96 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 2.53 vpr 62.95 MiB 0.05 6960 -1 -1 1 0.03 -1 -1 30372 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64464 32 32 368 284 1 194 103 17 17 289 -1 unnamed_device 24.1 MiB 0.11 1114 17696 4805 10604 2287 63.0 MiB 0.18 0.00 3.56007 -124.816 -3.56007 3.56007 0.31 0.000732674 0.00067845 0.0564734 0.0522822 -1 -1 -1 -1 30 2309 19 6.64007e+06 489762 526063. 1820.29 0.53 0.139545 0.123551 22546 126617 -1 1853 19 1244 1851 85547 22133 2.98437 2.98437 -119.858 -2.98437 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0275138 0.0241034 153 34 92 32 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 4.05 vpr 62.70 MiB 0.02 6964 -1 -1 1 0.03 -1 -1 30244 -1 -1 38 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64204 30 32 296 244 1 158 100 17 17 289 -1 unnamed_device 23.5 MiB 0.07 751 9844 2165 6268 1411 62.7 MiB 0.08 0.00 3.5181 -111.534 -3.5181 3.5181 0.32 0.00062154 0.000578274 0.0290279 0.0268699 -1 -1 -1 -1 26 2340 27 6.64007e+06 477204 477104. 1650.88 2.26 0.204894 0.176252 21682 110474 -1 1812 20 1157 1965 118690 31641 3.05797 3.05797 -119.192 -3.05797 0 0 585099. 2024.56 0.02 0.06 0.09 -1 -1 0.02 0.0242109 0.0210446 122 34 60 30 30 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 3.66 vpr 63.77 MiB 0.05 7408 -1 -1 1 0.04 -1 -1 30896 -1 -1 47 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65304 32 32 531 413 1 232 111 17 17 289 -1 unnamed_device 24.7 MiB 0.24 1272 13411 3402 8560 1449 63.8 MiB 0.15 0.00 4.93122 -167.701 -4.93122 4.93122 0.31 0.000946494 0.000879794 0.0510292 0.0473762 -1 -1 -1 -1 26 3462 24 6.64007e+06 590226 477104. 1650.88 1.52 0.262047 0.226787 21682 110474 -1 2783 22 2336 3661 245141 56694 4.89989 4.89989 -176.936 -4.89989 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.040049 0.0347925 190 127 32 32 128 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 3.48 vpr 62.98 MiB 0.05 7068 -1 -1 1 0.03 -1 -1 30520 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64488 32 32 376 288 1 194 103 17 17 289 -1 unnamed_device 24.0 MiB 0.11 1092 20347 5827 12673 1847 63.0 MiB 0.19 0.00 4.19156 -145.416 -4.19156 4.19156 0.31 0.000748282 0.000695688 0.0652879 0.0605756 -1 -1 -1 -1 32 2164 19 6.64007e+06 489762 554710. 1919.41 1.49 0.278375 0.242454 22834 132086 -1 1963 20 1490 2196 132186 30938 3.58523 3.58523 -139.104 -3.58523 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.029499 0.0258293 154 34 96 32 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 3.64 vpr 62.79 MiB 0.05 6912 -1 -1 1 0.03 -1 -1 30308 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64296 32 32 283 225 1 164 98 17 17 289 -1 unnamed_device 23.6 MiB 0.06 931 14948 4156 8440 2352 62.8 MiB 0.13 0.00 3.54484 -123.497 -3.54484 3.54484 0.31 0.000612444 0.000568959 0.0429236 0.0398318 -1 -1 -1 -1 28 2373 22 6.64007e+06 426972 500653. 1732.36 1.75 0.183747 0.159729 21970 115934 -1 1955 15 1090 1753 116363 27309 2.91577 2.91577 -123.536 -2.91577 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0198302 0.0174131 126 3 96 32 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 6.16 vpr 63.45 MiB 0.03 7048 -1 -1 1 0.03 -1 -1 30824 -1 -1 46 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64968 32 32 438 320 1 235 110 17 17 289 -1 unnamed_device 24.5 MiB 0.13 1349 23780 6964 13614 3202 63.4 MiB 0.25 0.00 4.96119 -168.314 -4.96119 4.96119 0.32 0.000849563 0.000790546 0.0799982 0.0742117 -1 -1 -1 -1 26 3878 33 6.64007e+06 577668 477104. 1650.88 4.08 0.340663 0.297421 21682 110474 -1 3028 20 2162 3737 293371 64297 4.77349 4.77349 -175.639 -4.77349 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0332727 0.0289727 193 34 128 32 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 2.39 vpr 62.60 MiB 0.03 6848 -1 -1 1 0.03 -1 -1 30328 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64104 32 32 283 225 1 162 84 17 17 289 -1 unnamed_device 23.5 MiB 0.08 942 12162 3764 6162 2236 62.6 MiB 0.12 0.00 3.5251 -123.716 -3.5251 3.5251 0.31 0.000613594 0.00057093 0.0428389 0.0399055 -1 -1 -1 -1 32 1929 22 6.64007e+06 251160 554710. 1919.41 0.54 0.115459 0.101975 22834 132086 -1 1749 21 1373 2304 131770 32481 2.89877 2.89877 -121.241 -2.89877 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0251992 0.0219327 123 3 96 32 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 3.22 vpr 62.87 MiB 0.04 6956 -1 -1 1 0.03 -1 -1 30408 -1 -1 39 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64376 30 32 296 244 1 156 101 17 17 289 -1 unnamed_device 23.7 MiB 0.12 766 18901 5672 10377 2852 62.9 MiB 0.16 0.00 3.57784 -114.089 -3.57784 3.57784 0.31 0.000622981 0.000580096 0.0524534 0.0486407 -1 -1 -1 -1 30 1718 17 6.64007e+06 489762 526063. 1820.29 1.32 0.193251 0.168135 22546 126617 -1 1444 19 974 1618 87586 21167 2.61137 2.61137 -103.361 -2.61137 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0233542 0.0203662 120 34 60 30 30 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 2.69 vpr 63.09 MiB 0.05 7272 -1 -1 1 0.03 -1 -1 30404 -1 -1 42 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 29 32 393 319 1 182 103 17 17 289 -1 unnamed_device 24.2 MiB 0.18 953 14081 3729 7640 2712 63.1 MiB 0.14 0.00 3.69326 -107.245 -3.69326 3.69326 0.31 0.000728464 0.000675424 0.0454407 0.0420759 -1 -1 -1 -1 26 2649 20 6.64007e+06 527436 477104. 1650.88 0.71 0.130906 0.115127 21682 110474 -1 1936 21 1386 2438 146280 35963 3.11437 3.11437 -108.728 -3.11437 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0296701 0.0257463 143 88 29 29 85 29 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 2.22 vpr 63.07 MiB 0.05 7056 -1 -1 1 0.03 -1 -1 30712 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64584 32 32 407 319 1 194 88 17 17 289 -1 unnamed_device 24.2 MiB 0.11 1080 13543 3717 8604 1222 63.1 MiB 0.14 0.00 4.33996 -150.005 -4.33996 4.33996 0.24 0.000770377 0.000716162 0.0496398 0.0458232 -1 -1 -1 -1 32 2201 20 6.64007e+06 301392 554710. 1919.41 0.41 0.0942258 0.0841942 22834 132086 -1 1983 20 1625 2527 142777 35615 3.65743 3.65743 -144.094 -3.65743 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0307445 0.0268027 154 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 2.69 vpr 63.06 MiB 0.05 7140 -1 -1 1 0.03 -1 -1 30720 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64576 32 32 407 319 1 195 102 17 17 289 -1 unnamed_device 24.1 MiB 0.19 1074 17714 5230 9895 2589 63.1 MiB 0.20 0.00 4.21456 -144.652 -4.21456 4.21456 0.32 0.000772074 0.000714986 0.062207 0.0573153 -1 -1 -1 -1 32 2272 19 6.64007e+06 477204 554710. 1919.41 0.58 0.150255 0.132842 22834 132086 -1 2025 19 1465 2458 144635 34719 3.56323 3.56323 -138.155 -3.56323 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0291017 0.0254307 155 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 2.48 vpr 63.01 MiB 0.04 6980 -1 -1 1 0.03 -1 -1 30528 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64520 32 32 345 287 1 161 98 17 17 289 -1 unnamed_device 23.9 MiB 0.11 909 14273 4429 7554 2290 63.0 MiB 0.14 0.00 3.5731 -123.566 -3.5731 3.5731 0.31 0.000720177 0.000666683 0.0425958 0.0394068 -1 -1 -1 -1 32 1889 20 6.64007e+06 426972 554710. 1919.41 0.58 0.120869 0.106469 22834 132086 -1 1594 20 1108 1588 89817 22205 2.91877 2.91877 -115.566 -2.91877 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0262765 0.0228966 123 65 32 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 2.52 vpr 62.76 MiB 0.05 7104 -1 -1 1 0.03 -1 -1 30444 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64268 31 32 353 302 1 152 83 17 17 289 -1 unnamed_device 23.8 MiB 0.15 868 9443 2404 6395 644 62.8 MiB 0.10 0.00 3.6833 -115.581 -3.6833 3.6833 0.34 0.000675624 0.000627617 0.0376753 0.0350182 -1 -1 -1 -1 32 1816 19 6.64007e+06 251160 554710. 1919.41 0.57 0.121983 0.106904 22834 132086 -1 1661 20 1008 1859 96469 24147 2.92777 2.92777 -113.291 -2.92777 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0262121 0.0227594 112 90 0 0 89 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 2.56 vpr 62.95 MiB 0.05 6976 -1 -1 1 0.03 -1 -1 30460 -1 -1 41 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64464 30 32 374 297 1 186 103 17 17 289 -1 unnamed_device 24.1 MiB 0.12 1005 11430 2741 8010 679 63.0 MiB 0.12 0.00 3.54029 -114.152 -3.54029 3.54029 0.31 0.000716725 0.000663805 0.0366708 0.0339517 -1 -1 -1 -1 26 2645 25 6.64007e+06 514878 477104. 1650.88 0.66 0.125305 0.109737 21682 110474 -1 2096 17 1284 2073 116428 29149 3.11517 3.11517 -116.129 -3.11517 0 0 585099. 2024.56 0.03 0.06 0.10 -1 -1 0.03 0.0252268 0.0220807 146 60 60 30 57 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 2.82 vpr 62.88 MiB 0.04 6956 -1 -1 1 0.03 -1 -1 30500 -1 -1 41 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64384 28 32 332 260 1 180 101 17 17 289 -1 unnamed_device 23.8 MiB 0.08 902 9501 2120 6885 496 62.9 MiB 0.10 0.00 4.44515 -121.779 -4.44515 4.44515 0.31 0.000672319 0.000624858 0.029182 0.0271229 -1 -1 -1 -1 24 2537 27 6.64007e+06 514878 448715. 1552.65 0.98 0.136417 0.11846 21394 104001 -1 2078 20 1370 2268 144106 34685 3.71343 3.71343 -128.593 -3.71343 0 0 554710. 1919.41 0.02 0.07 0.09 -1 -1 0.02 0.026122 0.0227611 141 34 84 28 28 28 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 3.18 vpr 63.27 MiB 0.05 7044 -1 -1 1 0.03 -1 -1 30228 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64792 30 32 325 273 1 156 84 17 17 289 -1 unnamed_device 23.5 MiB 0.13 800 13626 5014 6188 2424 63.3 MiB 0.13 0.00 3.5343 -113.468 -3.5343 3.5343 0.31 0.000648093 0.000601907 0.0503958 0.0468368 -1 -1 -1 -1 30 2020 22 6.64007e+06 276276 526063. 1820.29 1.31 0.199391 0.1735 22546 126617 -1 1661 22 1297 2219 132349 31444 2.84077 2.84077 -107 -2.84077 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.027143 0.0235277 119 63 30 30 60 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 3.27 vpr 62.93 MiB 0.04 7092 -1 -1 1 0.03 -1 -1 30396 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64444 32 32 361 308 1 158 85 17 17 289 -1 unnamed_device 23.8 MiB 0.14 838 6967 1400 5257 310 62.9 MiB 0.08 0.00 3.6785 -113.109 -3.6785 3.6785 0.31 0.000690968 0.000642372 0.0281612 0.0261908 -1 -1 -1 -1 32 1851 21 6.64007e+06 263718 554710. 1919.41 1.38 0.183577 0.15819 22834 132086 -1 1537 14 763 1238 69973 18145 2.80277 2.80277 -105.217 -2.80277 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0208324 0.0182864 117 91 0 0 91 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 3.30 vpr 63.00 MiB 0.05 7040 -1 -1 1 0.03 -1 -1 30132 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64512 31 32 335 251 1 196 104 17 17 289 -1 unnamed_device 23.9 MiB 0.07 1097 13280 3187 8548 1545 63.0 MiB 0.14 0.00 4.23153 -140.231 -4.23153 4.23153 0.31 0.000694167 0.000645836 0.0401372 0.03734 -1 -1 -1 -1 26 2930 22 6.64007e+06 514878 477104. 1650.88 1.37 0.198574 0.172496 21682 110474 -1 2270 21 1725 2885 171243 41886 3.98923 3.98923 -143.628 -3.98923 0 0 585099. 2024.56 0.03 0.08 0.12 -1 -1 0.03 0.0287518 0.0251049 157 4 124 31 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 2.58 vpr 63.30 MiB 0.03 7136 -1 -1 1 0.03 -1 -1 30756 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 32 32 407 319 1 197 103 17 17 289 -1 unnamed_device 24.3 MiB 0.14 1146 19383 5791 11152 2440 63.3 MiB 0.19 0.00 4.22556 -146.254 -4.22556 4.22556 0.31 0.000783798 0.000722838 0.0651888 0.0604682 -1 -1 -1 -1 32 2543 20 6.64007e+06 489762 554710. 1919.41 0.57 0.153952 0.136546 22834 132086 -1 2238 22 1640 2819 155436 38158 3.64223 3.64223 -144.153 -3.64223 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0322593 0.0280857 157 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 2.64 vpr 63.06 MiB 0.04 7112 -1 -1 1 0.03 -1 -1 30400 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64576 32 32 407 319 1 194 102 17 17 289 -1 unnamed_device 24.1 MiB 0.15 1162 14382 3630 9415 1337 63.1 MiB 0.15 0.00 4.16036 -144.051 -4.16036 4.16036 0.32 0.000781208 0.000726186 0.0499911 0.0463685 -1 -1 -1 -1 32 2575 20 6.64007e+06 477204 554710. 1919.41 0.59 0.140465 0.123974 22834 132086 -1 2177 22 1525 2561 140783 34372 3.52503 3.52503 -140.588 -3.52503 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0328414 0.0286316 154 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 3.53 vpr 63.84 MiB 0.05 7100 -1 -1 1 0.03 -1 -1 30356 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65376 32 32 399 315 1 196 107 17 17 289 -1 unnamed_device 24.2 MiB 0.12 1044 11998 3186 7650 1162 63.8 MiB 0.13 0.00 4.11636 -134.089 -4.11636 4.11636 0.31 0.000769675 0.000712821 0.0388137 0.0359465 -1 -1 -1 -1 32 2580 20 6.64007e+06 539994 554710. 1919.41 1.60 0.255457 0.220345 22834 132086 -1 2182 23 1439 2631 148601 36874 3.45903 3.45903 -125.216 -3.45903 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0336852 0.0293004 156 65 60 30 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 2.34 vpr 63.28 MiB 0.03 6964 -1 -1 1 0.03 -1 -1 30540 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64800 30 32 296 244 1 156 84 17 17 289 -1 unnamed_device 23.5 MiB 0.12 913 9783 2726 5850 1207 63.3 MiB 0.10 0.00 3.52027 -115.494 -3.52027 3.52027 0.31 0.000615508 0.000572447 0.0348463 0.0324391 -1 -1 -1 -1 32 1834 21 6.64007e+06 276276 554710. 1919.41 0.52 0.106878 0.0939599 22834 132086 -1 1634 17 1102 1795 96467 23170 2.75557 2.75557 -110.949 -2.75557 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0216151 0.018909 119 34 60 30 30 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 2.63 vpr 63.26 MiB 0.05 7108 -1 -1 1 0.03 -1 -1 30516 -1 -1 27 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64780 30 32 383 303 1 191 89 17 17 289 -1 unnamed_device 24.4 MiB 0.14 1064 11177 3135 7139 903 63.3 MiB 0.13 0.00 4.21493 -134.6 -4.21493 4.21493 0.34 0.000741104 0.000688491 0.0443004 0.0411725 -1 -1 -1 -1 26 2514 21 6.64007e+06 339066 477104. 1650.88 0.68 0.130528 0.114968 21682 110474 -1 2101 18 1583 2385 140990 34952 3.68663 3.68663 -137.178 -3.68663 0 0 585099. 2024.56 0.02 0.07 0.09 -1 -1 0.02 0.0266692 0.0233088 153 63 60 30 60 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 3.74 vpr 63.24 MiB 0.05 7368 -1 -1 1 0.03 -1 -1 30804 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64760 32 32 469 381 1 198 107 17 17 289 -1 unnamed_device 24.3 MiB 0.23 1139 13769 3486 9454 829 63.2 MiB 0.15 0.00 4.20333 -145.181 -4.20333 4.20333 0.32 0.000865995 0.000805998 0.0494558 0.0458494 -1 -1 -1 -1 30 2519 23 6.64007e+06 539994 526063. 1820.29 1.63 0.303465 0.261426 22546 126617 -1 2147 23 1700 2931 157400 36552 3.74643 3.74643 -144.986 -3.74643 0 0 666494. 2306.21 0.03 0.09 0.10 -1 -1 0.03 0.0369608 0.0320557 158 127 0 0 128 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 3.44 vpr 63.17 MiB 0.05 7352 -1 -1 1 0.03 -1 -1 30400 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64688 31 32 425 341 1 189 101 17 17 289 -1 unnamed_device 24.1 MiB 0.06 1125 19371 5417 11855 2099 63.2 MiB 0.19 0.00 4.15932 -139.516 -4.15932 4.15932 0.32 0.000782404 0.000727035 0.0675354 0.0625919 -1 -1 -1 -1 28 2596 21 6.64007e+06 477204 500653. 1732.36 1.46 0.27839 0.241978 21970 115934 -1 2314 20 1599 2695 158141 38027 3.75443 3.75443 -146.085 -3.75443 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0308043 0.0268527 150 94 31 31 93 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 2.44 vpr 63.00 MiB 0.04 7252 -1 -1 1 0.03 -1 -1 30484 -1 -1 38 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64516 30 32 404 328 1 182 100 17 17 289 -1 unnamed_device 24.1 MiB 0.12 1086 14020 4263 8586 1171 63.0 MiB 0.15 0.00 3.5181 -114.494 -3.5181 3.5181 0.32 0.00076045 0.000706446 0.0490862 0.045475 -1 -1 -1 -1 32 2007 21 6.64007e+06 477204 554710. 1919.41 0.46 0.124013 0.109837 22834 132086 -1 1794 20 1165 1861 101085 24722 2.84297 2.84297 -108.533 -2.84297 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0295839 0.0257989 142 92 26 26 90 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 3.61 vpr 63.15 MiB 0.05 7104 -1 -1 1 0.03 -1 -1 30592 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64664 32 32 407 319 1 198 89 17 17 289 -1 unnamed_device 24.2 MiB 0.21 1116 14939 3961 9176 1802 63.1 MiB 0.16 0.00 4.19956 -145.406 -4.19956 4.19956 0.31 0.000774157 0.000719519 0.0603461 0.0560508 -1 -1 -1 -1 32 2575 21 6.64007e+06 313950 554710. 1919.41 1.54 0.255936 0.222945 22834 132086 -1 2219 20 1669 2977 188838 43323 3.51403 3.51403 -141.612 -3.51403 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0304769 0.0265748 158 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 3.01 vpr 63.10 MiB 0.05 7248 -1 -1 1 0.03 -1 -1 30328 -1 -1 43 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64612 29 32 387 316 1 179 104 17 17 289 -1 unnamed_device 24.2 MiB 0.11 1041 14500 4170 8854 1476 63.1 MiB 0.15 0.00 3.5291 -110.204 -3.5291 3.5291 0.31 0.000728042 0.000676429 0.0464249 0.0430015 -1 -1 -1 -1 30 1980 21 6.64007e+06 539994 526063. 1820.29 1.05 0.209166 0.181409 22546 126617 -1 1735 19 1254 2113 103942 26233 2.74057 2.74057 -104.291 -2.74057 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0275915 0.024066 140 88 26 26 85 29 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 2.95 vpr 62.70 MiB 0.04 6916 -1 -1 1 0.03 -1 -1 30372 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64204 32 32 283 225 1 156 82 17 17 289 -1 unnamed_device 23.6 MiB 0.06 839 14322 4059 8640 1623 62.7 MiB 0.14 0.00 3.4921 -121.06 -3.4921 3.4921 0.32 0.000619085 0.000576851 0.0520645 0.0485023 -1 -1 -1 -1 30 1977 20 6.64007e+06 226044 526063. 1820.29 1.09 0.189983 0.165887 22546 126617 -1 1665 18 1049 1604 80338 20852 2.92097 2.92097 -119.929 -2.92097 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0223383 0.0195325 117 3 96 32 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 3.58 vpr 63.77 MiB 0.05 7188 -1 -1 1 0.03 -1 -1 30400 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65296 32 32 407 319 1 194 102 17 17 289 -1 unnamed_device 24.2 MiB 0.22 1164 17714 5024 11107 1583 63.8 MiB 0.11 0.00 4.20573 -144.779 -4.20573 4.20573 0.32 0.000784699 0.000728955 0.0290432 0.0266229 -1 -1 -1 -1 32 2391 21 6.64007e+06 477204 554710. 1919.41 1.51 0.246089 0.211608 22834 132086 -1 2116 21 1372 2120 116342 28578 3.65743 3.65743 -142.709 -3.65743 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0315151 0.0274955 154 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 2.59 vpr 63.17 MiB 0.05 7116 -1 -1 1 0.03 -1 -1 30532 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64684 32 32 407 319 1 201 89 17 17 289 -1 unnamed_device 24.1 MiB 0.15 854 8603 1991 5544 1068 63.2 MiB 0.11 0.00 4.28473 -141.969 -4.28473 4.28473 0.31 0.000769513 0.00071467 0.0361239 0.0335998 -1 -1 -1 -1 32 2381 21 6.64007e+06 313950 554710. 1919.41 0.60 0.126392 0.110973 22834 132086 -1 1810 21 1722 2782 159376 40656 3.87283 3.87283 -143.112 -3.87283 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0331691 0.0289341 161 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 2.52 vpr 62.89 MiB 0.04 6960 -1 -1 1 0.03 -1 -1 30392 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64400 32 32 315 267 1 158 98 17 17 289 -1 unnamed_device 23.6 MiB 0.14 953 16073 4684 9633 1756 62.9 MiB 0.14 0.00 3.70047 -117.614 -3.70047 3.70047 0.31 0.000636542 0.000591818 0.0474406 0.0440761 -1 -1 -1 -1 26 2144 26 6.64007e+06 426972 477104. 1650.88 0.65 0.126189 0.111308 21682 110474 -1 1838 20 1046 1832 128285 29621 3.02717 3.02717 -111.495 -3.02717 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0245131 0.0213168 116 55 32 32 54 27 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 2.96 vpr 62.68 MiB 0.02 6896 -1 -1 1 0.03 -1 -1 30448 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64180 31 32 275 220 1 160 84 17 17 289 -1 unnamed_device 23.6 MiB 0.07 807 9234 2111 6562 561 62.7 MiB 0.10 0.00 3.57047 -120.121 -3.57047 3.57047 0.31 0.000595946 0.00055501 0.0320722 0.0298448 -1 -1 -1 -1 30 1869 22 6.64007e+06 263718 526063. 1820.29 1.19 0.16877 0.146011 22546 126617 -1 1646 22 1291 2074 127116 29648 2.99097 2.99097 -117.469 -2.99097 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0255513 0.0222306 122 4 93 31 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 3.06 vpr 63.08 MiB 0.04 6992 -1 -1 1 0.03 -1 -1 30332 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64596 32 32 381 303 1 188 103 17 17 289 -1 unnamed_device 24.1 MiB 0.12 1075 13117 3431 8639 1047 63.1 MiB 0.14 0.00 4.18193 -138.058 -4.18193 4.18193 0.31 0.000736945 0.000684408 0.042765 0.0396929 -1 -1 -1 -1 26 2404 22 6.64007e+06 489762 477104. 1650.88 1.16 0.199939 0.17365 21682 110474 -1 2151 21 1553 2441 148387 35717 3.73063 3.73063 -137.519 -3.73063 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0301192 0.0262113 145 59 60 32 58 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 3.79 vpr 63.80 MiB 0.05 7180 -1 -1 1 0.03 -1 -1 30480 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65336 32 32 406 330 1 190 102 17 17 289 -1 unnamed_device 24.2 MiB 0.10 992 12478 2933 7679 1866 63.8 MiB 0.11 0.00 4.52516 -135.426 -4.52516 4.52516 0.31 0.000756476 0.000701792 0.0423415 0.0392551 -1 -1 -1 -1 32 2451 20 6.64007e+06 477204 554710. 1919.41 1.84 0.267131 0.230443 22834 132086 -1 2005 18 1132 1883 102007 26645 3.80383 3.80383 -135.287 -3.80383 0 0 701300. 2426.64 0.05 0.07 0.13 -1 -1 0.05 0.0322026 0.0285569 147 88 28 28 88 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 2.57 vpr 63.09 MiB 0.03 7060 -1 -1 1 0.03 -1 -1 30500 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 32 32 399 285 1 228 108 17 17 289 -1 unnamed_device 24.3 MiB 0.07 1325 21182 6964 11671 2547 63.1 MiB 0.22 0.00 4.95022 -163.573 -4.95022 4.95022 0.31 0.000790751 0.000734727 0.0682016 0.0632932 -1 -1 -1 -1 32 2976 22 6.64007e+06 552552 554710. 1919.41 0.61 0.162701 0.144613 22834 132086 -1 2375 21 1636 2775 149856 36568 4.28689 4.28689 -157.468 -4.28689 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0331594 0.0290767 185 3 156 32 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 3.63 vpr 63.01 MiB 0.03 7056 -1 -1 1 0.03 -1 -1 30692 -1 -1 39 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64520 30 32 371 295 1 184 101 17 17 289 -1 unnamed_device 24.1 MiB 0.11 895 17726 5089 8956 3681 63.0 MiB 0.16 0.00 3.59647 -113.455 -3.59647 3.59647 0.32 0.000721907 0.000670482 0.0566287 0.0524999 -1 -1 -1 -1 28 2294 25 6.64007e+06 489762 500653. 1732.36 1.75 0.259473 0.224983 21970 115934 -1 1691 19 1507 2521 129503 34390 2.72057 2.72057 -104.666 -2.72057 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0275432 0.0240282 144 59 60 30 56 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 2.24 vpr 62.74 MiB 0.04 7024 -1 -1 1 0.03 -1 -1 30664 -1 -1 24 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64244 27 32 269 226 1 143 83 17 17 289 -1 unnamed_device 23.7 MiB 0.04 802 10523 3859 5478 1186 62.7 MiB 0.10 0.00 3.5013 -102.22 -3.5013 3.5013 0.32 0.000565151 0.000526195 0.0352305 0.0328022 -1 -1 -1 -1 32 1611 19 6.64007e+06 301392 554710. 1919.41 0.50 0.10085 0.088787 22834 132086 -1 1424 21 940 1418 88682 21770 2.91097 2.91097 -99.1927 -2.91097 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0231596 0.0200228 109 34 54 27 27 27 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 3.79 vpr 64.04 MiB 0.05 7388 -1 -1 1 0.03 -1 -1 30628 -1 -1 47 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65576 32 32 493 378 1 232 111 17 17 289 -1 unnamed_device 24.5 MiB 0.14 1418 17401 4852 11295 1254 64.0 MiB 0.20 0.00 4.17032 -142.4 -4.17032 4.17032 0.32 0.00090486 0.000837873 0.0626805 0.0580066 -1 -1 -1 -1 32 3107 24 6.64007e+06 590226 554710. 1919.41 1.66 0.289476 0.251023 22834 132086 -1 2629 21 1940 3450 201628 46498 3.58523 3.58523 -136.379 -3.58523 0 0 701300. 2426.64 0.03 0.09 0.11 -1 -1 0.03 0.0373743 0.0325038 190 95 62 31 95 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 2.69 vpr 63.36 MiB 0.05 7304 -1 -1 1 0.04 -1 -1 30500 -1 -1 24 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64884 31 32 455 371 1 187 87 17 17 289 -1 unnamed_device 24.2 MiB 0.19 1089 16023 5326 8755 1942 63.4 MiB 0.18 0.00 4.19473 -141.375 -4.19473 4.19473 0.32 0.00082966 0.000771184 0.0720731 0.0670036 -1 -1 -1 -1 32 2349 21 6.64007e+06 301392 554710. 1919.41 0.58 0.16862 0.149584 22834 132086 -1 2077 20 1301 2206 124882 31231 3.75263 3.75263 -143.229 -3.75263 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0325487 0.0283626 148 124 0 0 124 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 2.43 vpr 62.93 MiB 0.04 7100 -1 -1 1 0.03 -1 -1 30388 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64436 32 32 355 304 1 150 82 17 17 289 -1 unnamed_device 23.9 MiB 0.17 679 13610 3906 7295 2409 62.9 MiB 0.13 0.00 3.6755 -109.542 -3.6755 3.6755 0.31 0.000682414 0.000633714 0.0546808 0.0507966 -1 -1 -1 -1 32 1679 20 6.64007e+06 226044 554710. 1919.41 0.52 0.133788 0.118509 22834 132086 -1 1499 17 832 1290 82286 21715 2.83217 2.83217 -105.837 -2.83217 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0234576 0.0204485 110 89 0 0 89 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 3.62 vpr 63.08 MiB 0.05 6952 -1 -1 1 0.03 -1 -1 30388 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64596 32 32 364 282 1 196 104 17 17 289 -1 unnamed_device 24.1 MiB 0.08 1176 12792 3355 8141 1296 63.1 MiB 0.13 0.00 4.15553 -140.82 -4.15553 4.15553 0.31 0.000718845 0.000668258 0.0402223 0.0373132 -1 -1 -1 -1 26 2991 22 6.64007e+06 502320 477104. 1650.88 1.76 0.206069 0.17869 21682 110474 -1 2507 20 1553 2400 168558 39371 3.82763 3.82763 -142.427 -3.82763 0 0 585099. 2024.56 0.02 0.08 0.09 -1 -1 0.02 0.0284331 0.0248275 156 34 90 30 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 5.40 vpr 63.33 MiB 0.05 7140 -1 -1 1 0.03 -1 -1 30632 -1 -1 44 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64852 31 32 443 336 1 220 107 17 17 289 -1 unnamed_device 24.5 MiB 0.11 1237 21359 6609 9964 4786 63.3 MiB 0.20 0.00 4.27576 -140.639 -4.27576 4.27576 0.32 0.00084556 0.000786438 0.074652 0.0691685 -1 -1 -1 -1 30 2863 42 6.64007e+06 552552 526063. 1820.29 3.30 0.317131 0.276094 22546 126617 -1 2182 22 1905 3037 172071 43848 3.64562 3.64562 -138.562 -3.64562 0 0 666494. 2306.21 0.03 0.09 0.12 -1 -1 0.03 0.0358719 0.0312258 178 64 87 31 62 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 3.41 vpr 63.71 MiB 0.05 7076 -1 -1 1 0.03 -1 -1 30468 -1 -1 41 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65236 30 32 373 297 1 186 103 17 17 289 -1 unnamed_device 24.2 MiB 0.09 1087 15527 4120 9012 2395 63.7 MiB 0.15 0.00 3.72626 -113.45 -3.72626 3.72626 0.31 0.000711542 0.000661618 0.0485232 0.0450697 -1 -1 -1 -1 28 2489 19 6.64007e+06 514878 500653. 1732.36 1.45 0.232203 0.201149 21970 115934 -1 2010 20 1250 2301 129329 31267 2.84377 2.84377 -109.403 -2.84377 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0285198 0.0248901 146 61 58 30 58 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 3.28 vpr 63.13 MiB 0.05 7080 -1 -1 1 0.03 -1 -1 30568 -1 -1 46 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64644 32 32 407 319 1 201 110 17 17 289 -1 unnamed_device 24.1 MiB 0.12 1062 14049 3637 9555 857 63.1 MiB 0.15 0.00 4.3025 -145.087 -4.3025 4.3025 0.33 0.00077029 0.000715487 0.0441815 0.0409038 -1 -1 -1 -1 26 3395 34 6.64007e+06 577668 477104. 1650.88 1.25 0.15741 0.138085 21682 110474 -1 2503 22 1830 3124 223278 55845 4.34443 4.34443 -162.506 -4.34443 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0330629 0.0288113 161 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 3.74 vpr 63.07 MiB 0.05 7224 -1 -1 1 0.03 -1 -1 30464 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64580 32 32 405 318 1 200 108 17 17 289 -1 unnamed_device 24.1 MiB 0.12 1049 19897 6291 10615 2991 63.1 MiB 0.19 0.00 3.62192 -123.017 -3.62192 3.62192 0.31 0.000770726 0.00071562 0.0625297 0.0579676 -1 -1 -1 -1 30 2753 28 6.64007e+06 552552 526063. 1820.29 1.73 0.263408 0.228845 22546 126617 -1 2216 22 1433 2357 161667 39842 2.70957 2.70957 -116.248 -2.70957 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.0337418 0.0294888 160 65 63 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 2.29 vpr 62.80 MiB 0.04 7012 -1 -1 1 0.03 -1 -1 30404 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64312 29 32 287 238 1 134 80 17 17 289 -1 unnamed_device 23.7 MiB 0.06 780 12120 4136 6340 1644 62.8 MiB 0.11 0.00 3.4683 -108.826 -3.4683 3.4683 0.31 0.000596202 0.000554631 0.0440678 0.0410339 -1 -1 -1 -1 32 1435 20 6.64007e+06 238602 554710. 1919.41 0.49 0.112905 0.0997109 22834 132086 -1 1365 20 846 1290 81107 19663 2.79377 2.79377 -105.981 -2.79377 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0237705 0.0206528 98 34 58 29 29 29 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 2.96 vpr 62.82 MiB 0.05 7004 -1 -1 1 0.03 -1 -1 30240 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64328 32 32 334 290 1 154 85 17 17 289 -1 unnamed_device 23.8 MiB 0.13 780 8827 2313 6092 422 62.8 MiB 0.09 0.00 3.95307 -108.048 -3.95307 3.95307 0.32 0.000653086 0.000607057 0.0333206 0.0309562 -1 -1 -1 -1 26 1844 23 6.64007e+06 263718 477104. 1650.88 1.11 0.173022 0.149433 21682 110474 -1 1670 18 688 945 64289 16667 3.06196 3.06196 -111.9 -3.06196 0 0 585099. 2024.56 0.03 0.05 0.09 -1 -1 0.03 0.0234121 0.0204239 113 82 0 0 82 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 3.57 vpr 63.95 MiB 0.05 7156 -1 -1 1 0.03 -1 -1 30444 -1 -1 44 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65488 31 32 365 281 1 196 107 17 17 289 -1 unnamed_device 24.4 MiB 0.10 1162 15034 4004 8905 2125 64.0 MiB 0.14 0.00 4.24576 -139.321 -4.24576 4.24576 0.31 0.000725156 0.000673628 0.0455518 0.0423456 -1 -1 -1 -1 26 2830 22 6.64007e+06 552552 477104. 1650.88 1.66 0.2356 0.204299 21682 110474 -1 2410 19 1711 2978 200445 44494 3.75563 3.75563 -142.014 -3.75563 0 0 585099. 2024.56 0.03 0.08 0.10 -1 -1 0.03 0.0277687 0.024252 157 34 93 31 31 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 3.25 vpr 62.70 MiB 0.02 7048 -1 -1 1 0.03 -1 -1 30316 -1 -1 34 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64200 29 32 297 254 1 147 95 17 17 289 -1 unnamed_device 23.5 MiB 0.14 727 10463 2278 7534 651 62.7 MiB 0.10 0.00 3.72326 -103.676 -3.72326 3.72326 0.32 0.000607702 0.000564972 0.0321234 0.0297623 -1 -1 -1 -1 30 1733 19 6.64007e+06 426972 526063. 1820.29 1.45 0.206626 0.177501 22546 126617 -1 1426 21 786 1284 75956 18471 2.86577 2.86577 -99.935 -2.86577 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0240528 0.0208442 111 56 29 29 52 26 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 2.44 vpr 62.83 MiB 0.02 6904 -1 -1 1 0.04 -1 -1 30380 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64340 32 32 314 256 1 160 84 17 17 289 -1 unnamed_device 23.7 MiB 0.12 754 13077 3934 6633 2510 62.8 MiB 0.13 0.00 3.59624 -121.098 -3.59624 3.59624 0.31 0.000646421 0.000601399 0.0484737 0.0450874 -1 -1 -1 -1 32 2005 24 6.64007e+06 251160 554710. 1919.41 0.61 0.127184 0.112426 22834 132086 -1 1579 21 1361 2245 147119 38033 2.94077 2.94077 -118.328 -2.94077 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0264705 0.0230795 121 34 64 32 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 2.41 vpr 63.13 MiB 0.05 7172 -1 -1 1 0.03 -1 -1 30468 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64644 31 32 387 307 1 189 104 17 17 289 -1 unnamed_device 24.2 MiB 0.13 1070 12304 3000 8015 1289 63.1 MiB 0.13 0.00 3.5885 -121.389 -3.5885 3.5885 0.31 0.000751469 0.000697016 0.0410899 0.0380765 -1 -1 -1 -1 30 2059 19 6.64007e+06 514878 526063. 1820.29 0.54 0.126229 0.111067 22546 126617 -1 1766 22 1414 2251 123693 29328 2.70037 2.70037 -113.431 -2.70037 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.031177 0.0270991 148 64 58 31 62 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 2.35 vpr 62.86 MiB 0.05 7012 -1 -1 1 0.03 -1 -1 30392 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64364 31 32 308 262 1 147 82 17 17 289 -1 unnamed_device 23.6 MiB 0.13 869 11474 3851 5894 1729 62.9 MiB 0.11 0.00 3.08913 -105.448 -3.08913 3.08913 0.31 0.000621099 0.000577154 0.0423779 0.0394124 -1 -1 -1 -1 32 1769 18 6.64007e+06 238602 554710. 1919.41 0.50 0.112784 0.0995554 22834 132086 -1 1576 17 778 1311 81372 19670 2.65177 2.65177 -102.863 -2.65177 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0218776 0.0191361 110 55 31 31 53 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 2.49 vpr 63.61 MiB 0.05 7132 -1 -1 1 0.03 -1 -1 30568 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65140 32 32 383 307 1 184 102 17 17 289 -1 unnamed_device 23.8 MiB 0.09 1079 13430 3561 9009 860 63.6 MiB 0.15 0.00 3.5793 -121.332 -3.5793 3.5793 0.31 0.000737379 0.00068425 0.0441929 0.0410111 -1 -1 -1 -1 26 2411 19 6.64007e+06 477204 477104. 1650.88 0.62 0.12978 0.114463 21682 110474 -1 2059 19 1250 2176 125751 30573 2.93597 2.93597 -118.479 -2.93597 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0284699 0.0249282 140 65 52 26 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 3.35 vpr 63.20 MiB 0.05 7248 -1 -1 1 0.03 -1 -1 30360 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64712 31 32 422 339 1 195 104 17 17 289 -1 unnamed_device 24.2 MiB 0.20 1034 6448 1108 5029 311 63.2 MiB 0.08 0.00 3.5823 -120.599 -3.5823 3.5823 0.31 0.000783742 0.000727918 0.0234212 0.0217093 -1 -1 -1 -1 28 2358 24 6.64007e+06 514878 500653. 1732.36 1.40 0.218801 0.187874 21970 115934 -1 1948 21 1717 2696 150358 37281 2.94697 2.94697 -117.983 -2.94697 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0316086 0.0275248 156 93 31 31 92 31 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 3.10 vpr 62.82 MiB 0.04 6876 -1 -1 1 0.03 -1 -1 30268 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64328 32 32 333 279 1 160 85 17 17 289 -1 unnamed_device 23.6 MiB 0.12 901 15151 5115 7939 2097 62.8 MiB 0.15 0.00 3.04379 -106.571 -3.04379 3.04379 0.31 0.00066296 0.000616477 0.0580199 0.0539364 -1 -1 -1 -1 30 2001 19 6.64007e+06 263718 526063. 1820.29 1.24 0.203711 0.177933 22546 126617 -1 1703 18 959 1495 81104 20244 2.66977 2.66977 -104.409 -2.66977 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0237277 0.0207253 120 61 32 32 60 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 3.07 vpr 62.84 MiB 0.05 6924 -1 -1 1 0.03 -1 -1 30112 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64352 32 32 339 283 1 164 85 17 17 289 -1 unnamed_device 23.9 MiB 0.14 947 12547 3394 7962 1191 62.8 MiB 0.13 0.00 3.5031 -122.412 -3.5031 3.5031 0.31 0.000674968 0.000626991 0.0473938 0.0440094 -1 -1 -1 -1 32 1977 18 6.64007e+06 263718 554710. 1919.41 1.20 0.175512 0.153064 22834 132086 -1 1765 19 1081 1795 113411 25823 2.73177 2.73177 -112.166 -2.73177 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0251947 0.0219218 125 63 32 32 62 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 3.78 vpr 63.09 MiB 0.05 7120 -1 -1 1 0.03 -1 -1 30784 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64604 32 32 407 319 1 198 105 17 17 289 -1 unnamed_device 24.1 MiB 0.12 1073 14184 3702 9441 1041 63.1 MiB 0.15 0.00 4.23153 -144.099 -4.23153 4.23153 0.31 0.000772119 0.000717745 0.0470988 0.0437067 -1 -1 -1 -1 28 2628 24 6.64007e+06 514878 500653. 1732.36 1.81 0.26786 0.231869 21970 115934 -1 2356 23 1907 3027 179246 45375 3.99423 3.99423 -149.714 -3.99423 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0330193 0.0287004 158 65 64 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 2.46 vpr 63.56 MiB 0.05 7036 -1 -1 1 0.03 -1 -1 30620 -1 -1 42 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65084 29 32 367 293 1 183 103 17 17 289 -1 unnamed_device 24.1 MiB 0.11 1014 10466 2472 7147 847 63.6 MiB 0.12 0.00 3.51829 -107.144 -3.51829 3.51829 0.32 0.000706248 0.00065657 0.0332829 0.0309006 -1 -1 -1 -1 32 2015 19 6.64007e+06 527436 554710. 1919.41 0.53 0.114297 0.100244 22834 132086 -1 1810 21 1032 1754 85014 22398 2.81757 2.81757 -105.091 -2.81757 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0293639 0.0255994 144 62 56 29 58 29 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 3.69 vpr 63.29 MiB 0.05 7252 -1 -1 1 0.03 -1 -1 30644 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64812 32 32 469 381 1 200 105 17 17 289 -1 unnamed_device 24.4 MiB 0.19 1111 13443 3544 8997 902 63.3 MiB 0.15 0.00 4.29776 -147.747 -4.29776 4.29776 0.32 0.000860087 0.000798904 0.0505745 0.0468707 -1 -1 -1 -1 28 2627 31 6.64007e+06 514878 500653. 1732.36 1.61 0.297054 0.255902 21970 115934 -1 2279 23 1953 3191 208044 49833 3.55623 3.55623 -142.172 -3.55623 0 0 612192. 2118.31 0.03 0.10 0.10 -1 -1 0.03 0.0371804 0.0321753 160 127 0 0 128 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 2.30 vpr 62.71 MiB 0.05 6908 -1 -1 1 0.03 -1 -1 30292 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64212 31 32 259 212 1 146 82 17 17 289 -1 unnamed_device 23.7 MiB 0.06 700 13610 3945 7426 2239 62.7 MiB 0.12 0.00 3.11493 -100.799 -3.11493 3.11493 0.32 0.000572893 0.00053347 0.0460865 0.0429256 -1 -1 -1 -1 32 1583 20 6.64007e+06 238602 554710. 1919.41 0.50 0.113361 0.100476 22834 132086 -1 1411 17 876 1418 72097 19217 2.85797 2.85797 -104.851 -2.85797 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0202227 0.0177023 109 4 85 31 0 0 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 2.72 vpr 63.78 MiB 0.04 7200 -1 -1 1 0.03 -1 -1 30356 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65308 32 32 418 338 1 190 102 17 17 289 -1 unnamed_device 24.1 MiB 0.10 1045 13668 3453 9555 660 63.8 MiB 0.16 0.00 4.26296 -138.852 -4.26296 4.26296 0.32 0.000781531 0.000725696 0.0477494 0.0442671 -1 -1 -1 -1 26 2477 27 6.64007e+06 477204 477104. 1650.88 0.76 0.148804 0.130971 21682 110474 -1 2096 23 1678 2510 154353 37995 3.99903 3.99903 -142.186 -3.99903 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0343075 0.0298399 149 92 28 28 92 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 3.45 vpr 63.03 MiB 0.04 7028 -1 -1 1 0.03 -1 -1 30132 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64540 32 32 376 318 1 155 82 17 17 289 -1 unnamed_device 24.0 MiB 0.21 717 6134 1203 4130 801 63.0 MiB 0.07 0.00 3.5251 -120.2 -3.5251 3.5251 0.31 0.000714547 0.000664487 0.0270411 0.0251512 -1 -1 -1 -1 32 1833 20 6.64007e+06 226044 554710. 1919.41 1.48 0.242162 0.208271 22834 132086 -1 1432 23 1221 1806 106765 27151 2.84877 2.84877 -116.158 -2.84877 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.031345 0.0272031 116 96 0 0 96 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 3.65 vpr 63.11 MiB 0.05 7144 -1 -1 1 0.03 -1 -1 30436 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64624 32 32 401 316 1 196 103 17 17 289 -1 unnamed_device 24.1 MiB 0.14 1017 19142 5738 10418 2986 63.1 MiB 0.19 0.00 3.57355 -122.522 -3.57355 3.57355 0.32 0.000761377 0.00070674 0.0636122 0.0590026 -1 -1 -1 -1 28 2608 25 6.64007e+06 489762 500653. 1732.36 1.59 0.262539 0.228917 21970 115934 -1 2089 15 1232 1897 111686 28372 3.05797 3.05797 -119.676 -3.05797 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.025541 0.0224457 156 65 61 32 64 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 3.02 vpr 64.12 MiB 0.05 7408 -1 -1 1 0.04 -1 -1 30872 -1 -1 47 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65656 32 32 500 382 1 232 111 17 17 289 -1 unnamed_device 24.7 MiB 0.19 1255 18465 4707 11173 2585 64.1 MiB 0.20 0.00 4.95022 -166.94 -4.95022 4.95022 0.31 0.000914206 0.000850086 0.0668892 0.0620649 -1 -1 -1 -1 26 3374 40 6.64007e+06 590226 477104. 1650.88 0.87 0.199652 0.175526 21682 110474 -1 2617 21 2185 3586 233642 54876 4.56429 4.56429 -172.163 -4.56429 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0371254 0.0322968 190 96 64 32 96 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 2.15 vpr 62.87 MiB 0.02 6884 -1 -1 1 0.03 -1 -1 30164 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64380 30 32 246 229 1 118 80 17 17 289 -1 unnamed_device 23.4 MiB 0.10 590 5068 1126 3576 366 62.9 MiB 0.05 0.00 2.72781 -83.844 -2.72781 2.72781 0.32 0.000529907 0.000493664 0.0172895 0.0160903 -1 -1 -1 -1 28 1334 15 6.64007e+06 226044 500653. 1732.36 0.46 0.0741738 0.064461 21970 115934 -1 1115 17 541 756 49410 13404 1.90191 1.90191 -77.8666 -1.90191 0 0 612192. 2118.31 0.03 0.04 0.10 -1 -1 0.03 0.0180994 0.0156791 86 56 0 0 53 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 2.81 vpr 62.82 MiB 0.04 6940 -1 -1 1 0.03 -1 -1 30408 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64328 30 32 296 244 1 137 80 17 17 289 -1 unnamed_device 23.7 MiB 0.06 686 13152 4141 7190 1821 62.8 MiB 0.14 0.00 3.45727 -110.065 -3.45727 3.45727 0.33 0.000612305 0.000569631 0.0564435 0.0523904 -1 -1 -1 -1 30 1478 23 6.64007e+06 226044 526063. 1820.29 1.01 0.197261 0.17187 22546 126617 -1 1314 19 848 1353 76955 18874 2.64537 2.64537 -102.521 -2.64537 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0232706 0.0202247 100 34 60 30 30 30 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 3.11 vpr 62.86 MiB 0.03 6776 -1 -1 1 0.03 -1 -1 30180 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64364 32 32 314 256 1 167 85 17 17 289 -1 unnamed_device 23.7 MiB 0.08 858 10873 2510 6865 1498 62.9 MiB 0.09 0.00 3.4859 -119.768 -3.4859 3.4859 0.31 0.00030846 0.000283827 0.0350896 0.0324588 -1 -1 -1 -1 30 2079 19 6.64007e+06 263718 526063. 1820.29 1.36 0.178107 0.154083 22546 126617 -1 1589 21 1212 2083 99961 26294 3.09097 3.09097 -117.02 -3.09097 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.026087 0.0227066 128 34 64 32 32 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 3.07 vpr 62.87 MiB 0.05 6956 -1 -1 1 0.03 -1 -1 30368 -1 -1 38 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64380 25 32 251 214 1 138 95 17 17 289 -1 unnamed_device 23.9 MiB 0.06 655 15647 3915 10543 1189 62.9 MiB 0.12 0.00 3.41887 -88.7419 -3.41887 3.41887 0.31 0.000539743 0.000503255 0.0404301 0.0375577 -1 -1 -1 -1 28 1651 20 6.64007e+06 477204 500653. 1732.36 1.29 0.17131 0.148065 21970 115934 -1 1411 19 991 1684 99658 25879 2.83077 2.83077 -90.0997 -2.83077 0 0 612192. 2118.31 0.03 0.05 0.10 -1 -1 0.03 0.0204261 0.0177314 106 34 50 25 25 25 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 2.48 vpr 63.31 MiB 0.05 7108 -1 -1 1 0.03 -1 -1 30412 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64832 32 32 432 346 1 193 88 17 17 289 -1 unnamed_device 24.3 MiB 0.14 1102 16078 5451 8462 2165 63.3 MiB 0.18 0.00 4.10536 -138.868 -4.10536 4.10536 0.31 0.000801272 0.000744368 0.068704 0.063852 -1 -1 -1 -1 32 2434 20 6.64007e+06 301392 554710. 1919.41 0.58 0.163759 0.14516 22834 132086 -1 2158 21 1516 2786 150414 36814 3.61643 3.61643 -135.534 -3.61643 0 0 701300. 2426.64 0.03 0.04 0.07 -1 -1 0.03 0.0175921 0.0155717 153 94 32 32 94 32 + fixed_k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 3.28 vpr 63.22 MiB 0.05 7204 -1 -1 1 0.03 -1 -1 30364 -1 -1 44 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64736 31 32 421 339 1 193 107 17 17 289 -1 unnamed_device 24.1 MiB 0.12 1096 17817 4737 10658 2422 63.2 MiB 0.17 0.00 3.56669 -120.919 -3.56669 3.56669 0.31 0.000791566 0.000726701 0.0580466 0.0536627 -1 -1 -1 -1 30 2225 22 6.64007e+06 552552 526063. 1820.29 1.29 0.217149 0.188945 22546 126617 -1 1944 23 1871 3149 163593 38767 2.87697 2.87697 -116.349 -2.87697 0 0 666494. 2306.21 0.03 0.08 0.11 -1 -1 0.03 0.0338419 0.0293914 154 94 29 29 93 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_001.v common 4.45 vpr 62.99 MiB 0.04 7108 -1 -1 1 0.03 -1 -1 30904 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64504 32 32 438 350 1 194 102 17 17 289 -1 unnamed_device 24.2 MiB 0.07 979 11288 2565 7046 1677 63.0 MiB 0.11 0.00 4.02833 -135.774 -4.02833 4.02833 0.31 0.000825015 0.000758332 0.0423022 0.0391608 -1 -1 -1 -1 30 2738 22 6.65987e+06 481764 526063. 1820.29 2.54 0.245848 0.213121 22546 126617 -1 1963 23 1686 2764 149139 37885 3.32871 3.32871 -131.231 -3.32871 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.0359753 0.0313491 154 96 32 32 96 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_002.v common 2.51 vpr 62.99 MiB 0.05 7160 -1 -1 1 0.03 -1 -1 30780 -1 -1 26 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64500 30 32 409 330 1 185 88 17 17 289 -1 unnamed_device 24.0 MiB 0.12 1028 14713 4855 7417 2441 63.0 MiB 0.16 0.00 3.96324 -128.364 -3.96324 3.96324 0.31 0.000762015 0.000708386 0.0599137 0.0557004 -1 -1 -1 -1 32 2056 18 6.65987e+06 329628 554710. 1919.41 0.54 0.145038 0.12854 22834 132086 -1 1788 20 1466 2487 140896 35099 3.22291 3.22291 -120.865 -3.22291 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0300527 0.0262183 147 91 30 30 89 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_003.v common 4.10 vpr 62.87 MiB 0.05 6992 -1 -1 1 0.03 -1 -1 30320 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64380 32 32 387 309 1 186 104 17 17 289 -1 unnamed_device 23.9 MiB 0.09 1064 12304 3013 8462 829 62.9 MiB 0.12 0.00 3.53773 -120.335 -3.53773 3.53773 0.31 0.000738753 0.000686376 0.0400522 0.0371216 -1 -1 -1 -1 26 2894 23 6.65987e+06 507120 477104. 1650.88 2.24 0.215991 0.187058 21682 110474 -1 2274 23 1522 2556 174935 42034 3.45705 3.45705 -127.072 -3.45705 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0322751 0.0280813 147 65 54 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_004.v common 2.51 vpr 63.54 MiB 0.05 7128 -1 -1 1 0.03 -1 -1 30460 -1 -1 27 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65060 29 32 343 267 1 184 88 17 17 289 -1 unnamed_device 23.8 MiB 0.09 1049 14323 3781 9279 1263 63.5 MiB 0.15 0.00 4.0061 -123.472 -4.0061 4.0061 0.31 0.000680782 0.000633112 0.0525112 0.0488722 -1 -1 -1 -1 32 2246 20 6.65987e+06 342306 554710. 1919.41 0.57 0.13358 0.118462 22834 132086 -1 1987 23 1531 2732 177295 42461 3.29877 3.29877 -117.509 -3.29877 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0307372 0.0267697 147 34 87 29 29 29 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_005.v common 2.65 vpr 62.78 MiB 0.05 6904 -1 -1 1 0.04 -1 -1 30280 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64288 32 32 376 288 1 195 88 17 17 289 -1 unnamed_device 23.9 MiB 0.09 961 13348 3666 8491 1191 62.8 MiB 0.17 0.00 4.16036 -140.239 -4.16036 4.16036 0.32 0.000774582 0.000713375 0.0571893 0.0530912 -1 -1 -1 -1 32 2584 22 6.65987e+06 304272 554710. 1919.41 0.62 0.146539 0.129893 22834 132086 -1 2159 24 1896 3433 210219 52549 3.83663 3.83663 -147.013 -3.83663 0 0 701300. 2426.64 0.03 0.09 0.11 -1 -1 0.03 0.0344961 0.0300938 155 34 96 32 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_006.v common 3.53 vpr 62.98 MiB 0.05 7056 -1 -1 1 0.04 -1 -1 30552 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64496 32 32 402 316 1 199 106 17 17 289 -1 unnamed_device 24.0 MiB 0.08 1080 16856 4949 9227 2680 63.0 MiB 0.17 0.00 3.49104 -120.036 -3.49104 3.49104 0.32 0.000756381 0.000701058 0.0538756 0.0499371 -1 -1 -1 -1 28 2669 23 6.65987e+06 532476 500653. 1732.36 1.62 0.259065 0.225039 21970 115934 -1 2176 23 1607 2564 176327 43156 2.95871 2.95871 -118.507 -2.95871 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0343003 0.0298998 159 64 63 32 63 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_007.v common 2.30 vpr 62.50 MiB 0.04 6968 -1 -1 1 0.03 -1 -1 30664 -1 -1 21 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64004 27 32 269 226 1 135 80 17 17 289 -1 unnamed_device 23.4 MiB 0.07 576 13324 3538 8890 896 62.5 MiB 0.12 0.00 3.6693 -94.5656 -3.6693 3.6693 0.31 0.000567279 0.000528029 0.0459563 0.0428059 -1 -1 -1 -1 30 1373 20 6.65987e+06 266238 526063. 1820.29 0.51 0.111949 0.0990576 22546 126617 -1 1114 17 712 1212 65076 16738 2.68557 2.68557 -90.4053 -2.68557 0 0 666494. 2306.21 0.03 0.04 0.10 -1 -1 0.03 0.0201086 0.0175738 99 34 54 27 27 27 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_008.v common 2.42 vpr 62.64 MiB 0.05 7060 -1 -1 1 0.03 -1 -1 30196 -1 -1 40 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64148 31 32 317 242 1 185 103 17 17 289 -1 unnamed_device 23.6 MiB 0.07 1116 12394 3206 7978 1210 62.6 MiB 0.12 0.00 3.38781 -109.882 -3.38781 3.38781 0.31 0.000663299 0.000617949 0.0365607 0.0339977 -1 -1 -1 -1 26 2563 23 6.65987e+06 507120 477104. 1650.88 0.59 0.116178 0.10211 21682 110474 -1 2100 20 1089 1896 117732 28437 2.61131 2.61131 -105.248 -2.61131 0 0 585099. 2024.56 0.02 0.06 0.09 -1 -1 0.02 0.0264112 0.0230372 147 4 115 31 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_009.v common 3.03 vpr 62.60 MiB 0.02 7036 -1 -1 1 0.03 -1 -1 30144 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64100 31 32 338 292 1 147 83 17 17 289 -1 unnamed_device 23.7 MiB 0.06 887 8543 2195 5637 711 62.6 MiB 0.09 0.00 2.81764 -99.935 -2.81764 2.81764 0.32 0.000656971 0.000611112 0.0335113 0.0311832 -1 -1 -1 -1 32 1580 18 6.65987e+06 253560 554710. 1919.41 1.36 0.213799 0.184152 22834 132086 -1 1431 16 609 970 56629 14338 2.29485 2.29485 -95.0888 -2.29485 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.021839 0.0190994 110 85 0 0 84 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_010.v common 3.77 vpr 62.71 MiB 0.04 6808 -1 -1 1 0.03 -1 -1 30312 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64212 32 32 314 256 1 162 84 17 17 289 -1 unnamed_device 23.7 MiB 0.12 744 12711 4665 5821 2225 62.7 MiB 0.13 0.00 3.53987 -120.585 -3.53987 3.53987 0.31 0.000656506 0.000603885 0.0474164 0.0440415 -1 -1 -1 -1 30 1854 20 6.65987e+06 253560 526063. 1820.29 1.92 0.216127 0.187448 22546 126617 -1 1489 18 1129 1664 86618 22708 2.96277 2.96277 -114.381 -2.96277 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0240248 0.021031 123 34 64 32 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_011.v common 2.44 vpr 62.56 MiB 0.05 7036 -1 -1 1 0.03 -1 -1 30164 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64064 30 32 325 273 1 151 83 17 17 289 -1 unnamed_device 23.6 MiB 0.11 831 14303 4488 8374 1441 62.6 MiB 0.14 0.00 3.4951 -112.823 -3.4951 3.4951 0.32 0.000645651 0.000599774 0.0537642 0.0499871 -1 -1 -1 -1 32 1669 21 6.65987e+06 266238 554710. 1919.41 0.53 0.1301 0.115277 22834 132086 -1 1524 21 1063 1612 87717 22991 2.72057 2.72057 -108.019 -2.72057 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0282167 0.0245959 114 63 30 30 60 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_012.v common 3.43 vpr 62.62 MiB 0.04 6876 -1 -1 1 0.03 -1 -1 30464 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64128 32 32 331 280 1 156 97 17 17 289 -1 unnamed_device 23.6 MiB 0.08 946 11863 3183 7798 882 62.6 MiB 0.12 0.00 3.19352 -108.678 -3.19352 3.19352 0.32 0.000674619 0.00062017 0.0385961 0.0357717 -1 -1 -1 -1 26 2304 24 6.65987e+06 418374 477104. 1650.88 1.58 0.221738 0.191973 21682 110474 -1 1921 24 1227 2129 149427 36224 2.51645 2.51645 -108.09 -2.51645 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0298713 0.025893 117 65 25 25 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_013.v common 3.47 vpr 62.95 MiB 0.05 7084 -1 -1 1 0.03 -1 -1 30352 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64464 32 32 386 305 1 188 101 17 17 289 -1 unnamed_device 24.0 MiB 0.14 1094 18901 5423 11120 2358 63.0 MiB 0.18 0.00 3.54029 -125.581 -3.54029 3.54029 0.31 0.000744895 0.000691813 0.0627148 0.0580697 -1 -1 -1 -1 30 2380 21 6.65987e+06 469086 526063. 1820.29 1.43 0.239948 0.209569 22546 126617 -1 1948 17 1234 2101 105999 25944 2.94077 2.94077 -120.981 -2.94077 0 0 666494. 2306.21 0.03 0.07 0.13 -1 -1 0.03 0.0312237 0.0273921 147 58 64 32 57 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_014.v common 3.96 vpr 62.87 MiB 0.03 7176 -1 -1 1 0.03 -1 -1 30476 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64376 32 32 407 319 1 200 103 17 17 289 -1 unnamed_device 23.9 MiB 0.10 1025 14322 3559 9979 784 62.9 MiB 0.15 0.00 4.10904 -139.972 -4.10904 4.10904 0.32 0.00078876 0.000730531 0.0498646 0.046163 -1 -1 -1 -1 28 2731 25 6.65987e+06 494442 500653. 1732.36 1.98 0.274513 0.238411 21970 115934 -1 2302 25 2012 3277 235196 58273 3.95577 3.95577 -152.945 -3.95577 0 0 612192. 2118.31 0.03 0.10 0.10 -1 -1 0.03 0.0366888 0.0319535 160 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_015.v common 2.69 vpr 62.54 MiB 0.04 6940 -1 -1 1 0.03 -1 -1 30564 -1 -1 21 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64040 29 32 272 228 1 145 82 17 17 289 -1 unnamed_device 23.5 MiB 0.09 835 9872 2468 6586 818 62.5 MiB 0.09 0.00 3.11438 -95.7674 -3.11438 3.11438 0.31 0.000576628 0.000537171 0.033967 0.0316656 -1 -1 -1 -1 26 1820 23 6.65987e+06 266238 477104. 1650.88 0.93 0.168525 0.14586 21682 110474 -1 1559 19 928 1647 99423 24768 2.46805 2.46805 -94.3228 -2.46805 0 0 585099. 2024.56 0.03 0.05 0.09 -1 -1 0.03 0.0221027 0.0192798 110 29 58 29 24 24 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_016.v common 3.88 vpr 62.86 MiB 0.05 7028 -1 -1 1 0.03 -1 -1 30348 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64372 32 32 401 315 1 192 88 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1102 14908 5950 7419 1539 62.9 MiB 0.17 0.00 3.62707 -123.696 -3.62707 3.62707 0.31 0.00076374 0.000709166 0.0631079 0.0586243 -1 -1 -1 -1 36 2377 27 6.65987e+06 304272 612192. 2118.31 1.87 0.294073 0.254943 23410 145293 -1 2161 22 1792 3159 230846 54557 2.93971 2.93971 -121.769 -2.93971 0 0 782063. 2706.10 0.03 0.09 0.12 -1 -1 0.03 0.033201 0.0290039 152 63 64 32 62 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_017.v common 2.57 vpr 62.92 MiB 0.05 7232 -1 -1 1 0.03 -1 -1 30308 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64428 32 32 383 303 1 185 102 17 17 289 -1 unnamed_device 24.0 MiB 0.14 1135 15810 4582 9246 1982 62.9 MiB 0.16 0.00 3.4779 -121.66 -3.4779 3.4779 0.31 0.00074232 0.000689957 0.0522548 0.0484484 -1 -1 -1 -1 30 2247 19 6.65987e+06 481764 526063. 1820.29 0.57 0.139354 0.123125 22546 126617 -1 1928 18 1224 1832 112814 26005 2.72057 2.72057 -114.263 -2.72057 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0274278 0.024039 146 57 64 32 56 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_018.v common 2.42 vpr 63.38 MiB 0.05 6992 -1 -1 1 0.03 -1 -1 30100 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64896 32 32 339 284 1 162 99 17 17 289 -1 unnamed_device 23.7 MiB 0.10 974 17199 4753 10475 1971 63.4 MiB 0.16 0.00 2.85064 -104.365 -2.85064 2.85064 0.33 0.000677888 0.000628978 0.0533952 0.0494449 -1 -1 -1 -1 30 1860 17 6.65987e+06 443730 526063. 1820.29 0.53 0.129146 0.114385 22546 126617 -1 1604 16 887 1382 76483 17847 1.90271 1.90271 -91.5469 -1.90271 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0299256 0.0261975 123 65 29 29 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_019.v common 2.86 vpr 62.64 MiB 0.03 6728 -1 -1 1 0.03 -1 -1 30208 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64140 30 32 226 208 1 119 80 17 17 289 -1 unnamed_device 23.1 MiB 0.05 601 11432 3900 5587 1945 62.6 MiB 0.09 0.00 2.57218 -81.0038 -2.57218 2.57218 0.31 0.000506692 0.000467502 0.0350753 0.0326394 -1 -1 -1 -1 30 1316 17 6.65987e+06 228204 526063. 1820.29 1.19 0.14409 0.124902 22546 126617 -1 1074 20 587 854 50983 13124 1.94605 1.94605 -72.5646 -1.94605 0 0 666494. 2306.21 0.03 0.04 0.10 -1 -1 0.03 0.0196963 0.0170388 87 34 24 24 30 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_020.v common 2.36 vpr 62.57 MiB 0.02 6988 -1 -1 1 0.03 -1 -1 30440 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64076 31 32 335 280 1 154 82 17 17 289 -1 unnamed_device 23.6 MiB 0.09 708 13254 5063 6028 2163 62.6 MiB 0.13 0.00 3.37461 -110.985 -3.37461 3.37461 0.31 0.000659336 0.000612657 0.0515466 0.0479138 -1 -1 -1 -1 32 1902 19 6.65987e+06 240882 554710. 1919.41 0.55 0.127376 0.112696 22834 132086 -1 1512 19 1027 1528 95282 24511 2.92871 2.92871 -109.142 -2.92871 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0263644 0.0231126 115 64 31 31 62 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_021.v common 3.32 vpr 62.91 MiB 0.03 7068 -1 -1 1 0.03 -1 -1 30188 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64416 32 32 366 283 1 192 102 17 17 289 -1 unnamed_device 24.0 MiB 0.08 1145 17000 5476 9135 2389 62.9 MiB 0.17 0.00 4.17356 -135.926 -4.17356 4.17356 0.32 0.000726922 0.000675162 0.0547086 0.0507709 -1 -1 -1 -1 28 2585 19 6.65987e+06 481764 500653. 1732.36 1.42 0.221716 0.19359 21970 115934 -1 2318 24 1899 3002 216377 50811 3.62437 3.62437 -137.064 -3.62437 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0332546 0.0289711 150 34 91 32 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_022.v common 3.29 vpr 63.05 MiB 0.05 7168 -1 -1 1 0.03 -1 -1 30860 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64564 32 32 460 375 1 196 106 17 17 289 -1 unnamed_device 24.1 MiB 0.12 1183 19606 5284 12363 1959 63.1 MiB 0.19 0.00 3.4404 -121.225 -3.4404 3.4404 0.31 0.000840044 0.000781497 0.0691428 0.0641419 -1 -1 -1 -1 30 2491 22 6.65987e+06 532476 526063. 1820.29 1.33 0.262528 0.228584 22546 126617 -1 1999 20 1218 1854 109458 25444 2.89825 2.89825 -114.823 -2.89825 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0326584 0.0283753 156 124 0 0 125 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_023.v common 2.73 vpr 62.55 MiB 0.04 6736 -1 -1 1 0.03 -1 -1 30620 -1 -1 20 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64052 26 32 198 186 1 109 78 17 17 289 -1 unnamed_device 23.0 MiB 0.08 454 5390 1241 3805 344 62.6 MiB 0.05 0.00 2.65858 -68.3826 -2.65858 2.65858 0.31 0.000439697 0.000409101 0.0157597 0.014668 -1 -1 -1 -1 26 1250 18 6.65987e+06 253560 477104. 1650.88 1.10 0.125257 0.107381 21682 110474 -1 1010 17 514 790 47060 13576 1.74365 1.74365 -64.8857 -1.74365 0 0 585099. 2024.56 0.03 0.04 0.09 -1 -1 0.03 0.0155509 0.0135701 81 30 26 26 22 22 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_024.v common 3.52 vpr 62.71 MiB 0.05 6960 -1 -1 1 0.03 -1 -1 30072 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64212 32 32 333 251 1 187 88 17 17 289 -1 unnamed_device 23.6 MiB 0.08 1150 14908 4129 9566 1213 62.7 MiB 0.16 0.00 3.9731 -134.852 -3.9731 3.9731 0.30 0.000691392 0.000642552 0.055597 0.0516742 -1 -1 -1 -1 32 2322 23 6.65987e+06 304272 554710. 1919.41 1.60 0.264859 0.229674 22834 132086 -1 2083 19 1450 2563 161873 39977 3.25991 3.25991 -126.561 -3.25991 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0267666 0.0234576 147 3 122 32 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_025.v common 3.05 vpr 62.67 MiB 0.04 6680 -1 -1 1 0.03 -1 -1 30324 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64172 32 32 199 182 1 122 81 17 17 289 -1 unnamed_device 23.2 MiB 0.05 614 9356 2000 6705 651 62.7 MiB 0.07 0.00 2.19787 -78.688 -2.19787 2.19787 0.31 0.000474929 0.000439487 0.0269939 0.0250694 -1 -1 -1 -1 28 1438 38 6.65987e+06 215526 500653. 1732.36 1.36 0.156325 0.135335 21970 115934 -1 1202 19 647 834 57263 15790 1.66139 1.66139 -75.8934 -1.66139 0 0 612192. 2118.31 0.03 0.04 0.10 -1 -1 0.03 0.0176836 0.015433 86 3 53 32 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_026.v common 2.57 vpr 62.86 MiB 0.05 7108 -1 -1 1 0.03 -1 -1 30540 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64372 32 32 376 288 1 195 101 17 17 289 -1 unnamed_device 23.9 MiB 0.09 1167 16551 5008 10094 1449 62.9 MiB 0.17 0.00 4.0061 -138.625 -4.0061 4.0061 0.32 0.000738661 0.000687401 0.0552281 0.0512889 -1 -1 -1 -1 32 2444 20 6.65987e+06 469086 554710. 1919.41 0.59 0.140977 0.124923 22834 132086 -1 2195 21 1507 2279 142450 34049 3.69337 3.69337 -140.1 -3.69337 0 0 701300. 2426.64 0.03 0.07 0.12 -1 -1 0.03 0.0306113 0.0266713 155 34 96 32 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_027.v common 3.82 vpr 63.46 MiB 0.05 6940 -1 -1 1 0.03 -1 -1 30096 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64984 32 32 337 253 1 196 105 17 17 289 -1 unnamed_device 24.0 MiB 0.07 947 11714 2740 8359 615 63.5 MiB 0.12 0.00 3.37384 -113.046 -3.37384 3.37384 0.32 0.000702863 0.000652597 0.0356371 0.0330817 -1 -1 -1 -1 26 2454 38 6.65987e+06 519798 477104. 1650.88 1.95 0.224579 0.193475 21682 110474 -1 2169 20 1549 2576 150901 39818 2.71951 2.71951 -113.014 -2.71951 0 0 585099. 2024.56 0.02 0.07 0.09 -1 -1 0.02 0.0276707 0.0241889 156 3 124 32 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_028.v common 2.96 vpr 62.89 MiB 0.05 7228 -1 -1 1 0.03 -1 -1 30532 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64396 32 32 407 319 1 197 104 17 17 289 -1 unnamed_device 23.9 MiB 0.08 931 7668 1410 5647 611 62.9 MiB 0.10 0.00 4.01604 -132.424 -4.01604 4.01604 0.31 0.000769127 0.0007147 0.0269868 0.025051 -1 -1 -1 -1 30 2904 28 6.65987e+06 507120 526063. 1820.29 1.09 0.127838 0.111547 22546 126617 -1 2082 21 1609 2765 151957 39393 3.61311 3.61311 -136.295 -3.61311 0 0 666494. 2306.21 0.03 0.08 0.10 -1 -1 0.03 0.0323619 0.0283318 157 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_029.v common 3.10 vpr 62.64 MiB 0.04 6804 -1 -1 1 0.03 -1 -1 30104 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64148 32 32 294 246 1 149 82 17 17 289 -1 unnamed_device 23.5 MiB 0.07 903 9516 2468 6506 542 62.6 MiB 0.09 0.00 2.8895 -102.176 -2.8895 2.8895 0.31 0.000614861 0.000572232 0.0349734 0.0325801 -1 -1 -1 -1 32 1789 19 6.65987e+06 228204 554710. 1919.41 1.35 0.21048 0.181587 22834 132086 -1 1659 22 955 1578 94650 23514 2.48331 2.48331 -101.582 -2.48331 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0266284 0.0231135 111 34 54 32 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_030.v common 2.35 vpr 62.65 MiB 0.05 6836 -1 -1 1 0.03 -1 -1 30132 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64152 30 32 296 244 1 154 83 17 17 289 -1 unnamed_device 23.4 MiB 0.07 902 11243 3191 6106 1946 62.6 MiB 0.11 0.00 3.4951 -115.041 -3.4951 3.4951 0.31 0.000616543 0.000574258 0.0406188 0.0377995 -1 -1 -1 -1 32 1730 21 6.65987e+06 266238 554710. 1919.41 0.51 0.113157 0.0997909 22834 132086 -1 1580 19 968 1497 87716 21435 2.69057 2.69057 -106.693 -2.69057 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0238598 0.0208742 117 34 60 30 30 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_031.v common 2.96 vpr 62.59 MiB 0.05 6924 -1 -1 1 0.03 -1 -1 30280 -1 -1 23 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64088 28 32 278 232 1 150 83 17 17 289 -1 unnamed_device 23.4 MiB 0.07 721 9803 2626 6206 971 62.6 MiB 0.10 0.00 3.4419 -101.484 -3.4419 3.4419 0.31 0.000598489 0.000557575 0.03414 0.031809 -1 -1 -1 -1 28 1922 20 6.65987e+06 291594 500653. 1732.36 1.13 0.166534 0.144136 21970 115934 -1 1648 23 1145 1979 140037 34396 2.94997 2.94997 -103.394 -2.94997 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0262394 0.0228007 113 34 56 28 28 28 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_032.v common 2.41 vpr 62.65 MiB 0.04 6904 -1 -1 1 0.03 -1 -1 30328 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64152 32 32 283 225 1 166 84 17 17 289 -1 unnamed_device 23.4 MiB 0.08 863 14907 5248 7077 2582 62.6 MiB 0.14 0.00 3.5401 -121.847 -3.5401 3.5401 0.31 0.000612937 0.000570786 0.0521658 0.0485817 -1 -1 -1 -1 32 1926 21 6.65987e+06 253560 554710. 1919.41 0.54 0.124647 0.110691 22834 132086 -1 1764 20 1354 2261 138856 34212 2.98117 2.98117 -118.708 -2.98117 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.024547 0.0214309 127 3 96 32 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_033.v common 3.12 vpr 62.77 MiB 0.04 7068 -1 -1 1 0.03 -1 -1 30344 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64272 31 32 303 249 1 162 101 17 17 289 -1 unnamed_device 23.7 MiB 0.06 907 12321 3032 8526 763 62.8 MiB 0.11 0.00 3.36403 -109.039 -3.36403 3.36403 0.34 0.00063031 0.000586618 0.0351875 0.0326654 -1 -1 -1 -1 26 2184 21 6.65987e+06 481764 477104. 1650.88 1.31 0.181867 0.157256 21682 110474 -1 1837 20 1119 1843 111124 28828 3.02585 3.02585 -114.254 -3.02585 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0244838 0.0213002 125 34 61 31 31 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_034.v common 3.07 vpr 62.68 MiB 0.04 7096 -1 -1 1 0.03 -1 -1 30184 -1 -1 37 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64184 29 32 312 264 1 154 98 17 17 289 -1 unnamed_device 23.6 MiB 0.10 916 17198 5127 9779 2292 62.7 MiB 0.14 0.00 2.85464 -92.2395 -2.85464 2.85464 0.31 0.000623579 0.000579863 0.0499467 0.0463261 -1 -1 -1 -1 26 1985 31 6.65987e+06 469086 477104. 1650.88 1.21 0.192035 0.166863 21682 110474 -1 1720 18 1074 1941 113022 27979 2.01191 2.01191 -85.6289 -2.01191 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0230753 0.0201276 118 61 29 29 57 29 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_035.v common 4.63 vpr 63.36 MiB 0.04 7200 -1 -1 1 0.03 -1 -1 30460 -1 -1 46 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64884 32 32 423 310 1 229 110 17 17 289 -1 unnamed_device 24.3 MiB 0.13 1304 15627 4107 10333 1187 63.4 MiB 0.18 0.00 4.23675 -145.959 -4.23675 4.23675 0.32 0.000838038 0.000780433 0.0526167 0.0488392 -1 -1 -1 -1 26 3499 32 6.65987e+06 583188 477104. 1650.88 2.64 0.260182 0.225823 21682 110474 -1 2738 23 1948 3339 217227 49794 3.72263 3.72263 -145.053 -3.72263 0 0 585099. 2024.56 0.02 0.09 0.09 -1 -1 0.02 0.0366442 0.0319635 185 29 128 32 27 27 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_036.v common 3.67 vpr 63.64 MiB 0.05 7132 -1 -1 1 0.03 -1 -1 30512 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65168 32 32 403 317 1 198 105 17 17 289 -1 unnamed_device 24.0 MiB 0.11 945 19371 5383 10566 3422 63.6 MiB 0.18 0.00 3.6013 -122.093 -3.6013 3.6013 0.31 0.000785862 0.000726225 0.0634516 0.0587668 -1 -1 -1 -1 30 2167 24 6.65987e+06 519798 526063. 1820.29 1.71 0.247153 0.215806 22546 126617 -1 1662 18 1380 2105 106263 27213 2.92677 2.92677 -114.294 -2.92677 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0280976 0.0246579 158 65 62 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_037.v common 2.88 vpr 62.89 MiB 0.05 7032 -1 -1 1 0.04 -1 -1 30540 -1 -1 34 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64396 31 32 353 302 1 156 97 17 17 289 -1 unnamed_device 23.8 MiB 0.11 797 10753 2302 7921 530 62.9 MiB 0.11 0.00 3.28032 -107.646 -3.28032 3.28032 0.31 0.000689833 0.000634337 0.0363116 0.0336729 -1 -1 -1 -1 26 2397 31 6.65987e+06 431052 477104. 1650.88 0.97 0.12993 0.113818 21682 110474 -1 1782 22 1184 1981 146390 37209 2.87265 2.87265 -111.394 -2.87265 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.028321 0.0246033 117 90 0 0 89 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_038.v common 2.52 vpr 62.88 MiB 0.04 7188 -1 -1 1 0.03 -1 -1 30372 -1 -1 26 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64384 31 32 391 309 1 194 89 17 17 289 -1 unnamed_device 24.0 MiB 0.11 1068 11375 2706 7970 699 62.9 MiB 0.13 0.00 3.46207 -114.587 -3.46207 3.46207 0.32 0.000752358 0.000698914 0.0459289 0.0426859 -1 -1 -1 -1 32 2307 19 6.65987e+06 329628 554710. 1919.41 0.55 0.132557 0.117056 22834 132086 -1 2079 18 1353 2347 144881 35195 2.81077 2.81077 -114.288 -2.81077 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0275295 0.0241072 155 64 60 30 62 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_039.v common 3.63 vpr 63.04 MiB 0.05 7220 -1 -1 1 0.03 -1 -1 30676 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64548 31 32 455 371 1 192 88 17 17 289 -1 unnamed_device 24.2 MiB 0.15 961 14908 4440 7680 2788 63.0 MiB 0.17 0.00 4.18335 -131.766 -4.18335 4.18335 0.32 0.000827366 0.000769145 0.0663231 0.0616892 -1 -1 -1 -1 32 2399 24 6.65987e+06 316950 554710. 1919.41 1.59 0.286665 0.24839 22834 132086 -1 2023 24 1922 3272 225862 53782 3.51011 3.51011 -130.236 -3.51011 0 0 701300. 2426.64 0.03 0.10 0.11 -1 -1 0.03 0.0374616 0.0324488 154 124 0 0 124 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_040.v common 3.00 vpr 62.90 MiB 0.05 7316 -1 -1 1 0.03 -1 -1 30348 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64408 31 32 413 333 1 187 88 17 17 289 -1 unnamed_device 23.9 MiB 0.09 1042 10423 2691 7019 713 62.9 MiB 0.12 0.00 4.2225 -130.89 -4.2225 4.2225 0.31 0.000771454 0.000716665 0.0440293 0.0409385 -1 -1 -1 -1 30 2285 19 6.65987e+06 316950 526063. 1820.29 1.11 0.213784 0.185664 22546 126617 -1 1848 20 1171 1935 103117 24901 3.39197 3.39197 -124.126 -3.39197 0 0 666494. 2306.21 0.03 0.06 0.11 -1 -1 0.03 0.0303665 0.0265173 145 90 31 31 89 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_041.v common 2.49 vpr 63.06 MiB 0.04 7088 -1 -1 1 0.03 -1 -1 30352 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64572 31 32 391 309 1 193 104 17 17 289 -1 unnamed_device 24.1 MiB 0.11 1064 17428 5269 9469 2690 63.1 MiB 0.17 0.00 3.39661 -114.284 -3.39661 3.39661 0.31 0.000743739 0.000690716 0.0561884 0.0520375 -1 -1 -1 -1 32 2213 18 6.65987e+06 519798 554710. 1919.41 0.58 0.140621 0.124398 22834 132086 -1 1935 18 1393 2445 134285 32969 2.72251 2.72251 -107.498 -2.72251 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0272298 0.0238177 154 64 60 31 62 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_042.v common 3.77 vpr 62.97 MiB 0.04 7144 -1 -1 1 0.05 -1 -1 30476 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64484 32 32 407 319 1 198 103 17 17 289 -1 unnamed_device 24.0 MiB 0.09 1169 15045 3998 9752 1295 63.0 MiB 0.15 0.00 3.96081 -137.841 -3.96081 3.96081 0.31 0.000766429 0.000713012 0.0508903 0.0472724 -1 -1 -1 -1 26 3094 24 6.65987e+06 494442 477104. 1650.88 1.83 0.253156 0.219976 21682 110474 -1 2507 23 1866 3007 220597 51851 3.87551 3.87551 -150.243 -3.87551 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0343388 0.0300421 158 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_043.v common 3.86 vpr 63.54 MiB 0.05 7300 -1 -1 1 0.03 -1 -1 30724 -1 -1 47 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65064 32 32 496 380 1 232 111 17 17 289 -1 unnamed_device 24.6 MiB 0.12 1279 19795 5512 12343 1940 63.5 MiB 0.21 0.00 4.1355 -142.457 -4.1355 4.1355 0.31 0.00091285 0.000844831 0.0714664 0.0662515 -1 -1 -1 -1 28 3135 37 6.65987e+06 595866 500653. 1732.36 1.76 0.32821 0.284568 21970 115934 -1 2553 20 1888 3079 188802 46009 3.50797 3.50797 -140.761 -3.50797 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0367567 0.0321191 190 96 62 32 96 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_044.v common 2.39 vpr 62.62 MiB 0.05 6916 -1 -1 1 0.03 -1 -1 30488 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64120 31 32 305 250 1 158 83 17 17 289 -1 unnamed_device 23.4 MiB 0.09 808 11603 2731 8142 730 62.6 MiB 0.11 0.00 3.35364 -112.921 -3.35364 3.35364 0.31 0.000631694 0.000588325 0.0428096 0.0398676 -1 -1 -1 -1 32 1835 23 6.65987e+06 253560 554710. 1919.41 0.53 0.118666 0.104779 22834 132086 -1 1696 21 1115 1680 111174 27300 2.77871 2.77871 -109.454 -2.77871 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0260357 0.0226718 120 34 62 31 31 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_045.v common 2.73 vpr 62.99 MiB 0.05 7168 -1 -1 1 0.03 -1 -1 30392 -1 -1 44 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64504 31 32 395 311 1 196 107 17 17 289 -1 unnamed_device 23.9 MiB 0.11 1070 10986 2458 8003 525 63.0 MiB 0.13 0.00 4.0281 -132.485 -4.0281 4.0281 0.30 0.000766308 0.000712198 0.03349 0.0309155 -1 -1 -1 -1 26 2986 27 6.65987e+06 557832 477104. 1650.88 0.78 0.13213 0.115553 21682 110474 -1 2382 22 1709 2822 200684 48151 3.63317 3.63317 -139.723 -3.63317 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0324007 0.0282208 157 64 62 31 62 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_046.v common 2.59 vpr 63.57 MiB 0.05 7112 -1 -1 1 0.03 -1 -1 30544 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65100 32 32 397 313 1 196 106 17 17 289 -1 unnamed_device 23.9 MiB 0.09 1192 17106 4659 10052 2395 63.6 MiB 0.17 0.00 3.41884 -119.337 -3.41884 3.41884 0.31 0.000760946 0.000705138 0.0546958 0.0506328 -1 -1 -1 -1 28 3044 25 6.65987e+06 532476 500653. 1732.36 0.67 0.148032 0.130637 21970 115934 -1 2464 21 1596 2879 201769 47920 2.93671 2.93671 -120.929 -2.93671 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0308149 0.026853 156 63 62 32 62 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_047.v common 2.54 vpr 62.85 MiB 0.05 6920 -1 -1 1 0.03 -1 -1 30404 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64360 32 32 345 257 1 194 88 17 17 289 -1 unnamed_device 23.9 MiB 0.09 1145 16273 5707 8502 2064 62.9 MiB 0.17 0.00 4.16036 -145.755 -4.16036 4.16036 0.31 0.000704524 0.000654935 0.061538 0.0572269 -1 -1 -1 -1 32 2413 24 6.65987e+06 304272 554710. 1919.41 0.60 0.148482 0.131942 22834 132086 -1 2150 21 1623 2983 189714 44585 3.49503 3.49503 -140.549 -3.49503 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0294731 0.0257926 154 3 128 32 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_048.v common 3.32 vpr 62.98 MiB 0.05 7100 -1 -1 1 0.03 -1 -1 30488 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64492 32 32 424 343 1 190 104 17 17 289 -1 unnamed_device 23.9 MiB 0.13 1127 20844 6372 12032 2440 63.0 MiB 0.20 0.00 3.36403 -119.958 -3.36403 3.36403 0.31 0.000786343 0.000730938 0.0698437 0.0647016 -1 -1 -1 -1 26 2724 29 6.65987e+06 507120 477104. 1650.88 1.33 0.254233 0.2217 21682 110474 -1 2279 21 1372 2170 143798 35381 2.68725 2.68725 -117.62 -2.68725 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0316994 0.0276176 149 96 25 25 96 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_049.v common 3.47 vpr 62.80 MiB 0.04 7000 -1 -1 1 0.03 -1 -1 30368 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64312 32 32 395 311 1 194 106 17 17 289 -1 unnamed_device 23.8 MiB 0.12 1147 12856 3159 9034 663 62.8 MiB 0.14 0.00 3.5401 -122.035 -3.5401 3.5401 0.31 0.000764599 0.000710168 0.0417941 0.0387737 -1 -1 -1 -1 28 2774 48 6.65987e+06 532476 500653. 1732.36 1.51 0.264529 0.228282 21970 115934 -1 2432 20 1563 2666 179161 43958 2.82857 2.82857 -120.753 -2.82857 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0299698 0.0261885 153 61 64 32 60 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_050.v common 2.60 vpr 63.67 MiB 0.04 7124 -1 -1 1 0.03 -1 -1 30452 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65200 32 32 405 318 1 200 105 17 17 289 -1 unnamed_device 24.3 MiB 0.11 1139 20112 5960 11470 2682 63.7 MiB 0.19 0.00 3.38561 -119.091 -3.38561 3.38561 0.32 0.000772139 0.000717328 0.065776 0.060909 -1 -1 -1 -1 32 2195 19 6.65987e+06 519798 554710. 1919.41 0.57 0.154369 0.136955 22834 132086 -1 2034 19 1556 2513 143119 34700 2.56811 2.56811 -107.858 -2.56811 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0292719 0.0256014 160 65 63 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_051.v common 5.21 vpr 63.19 MiB 0.05 7068 -1 -1 1 0.03 -1 -1 30592 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64708 32 32 376 288 1 194 103 17 17 289 -1 unnamed_device 24.2 MiB 0.09 1004 18660 5969 9704 2987 63.2 MiB 0.18 0.00 4.06007 -137.704 -4.06007 4.06007 0.32 0.000735351 0.000683242 0.059961 0.0556833 -1 -1 -1 -1 28 3081 40 6.65987e+06 494442 500653. 1732.36 3.23 0.303424 0.263812 21970 115934 -1 2181 20 1710 2908 210994 51281 3.77957 3.77957 -142.483 -3.77957 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0292011 0.0255546 154 34 96 32 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_052.v common 3.55 vpr 63.05 MiB 0.04 7132 -1 -1 1 0.03 -1 -1 30824 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64568 32 32 407 319 1 197 105 17 17 289 -1 unnamed_device 24.1 MiB 0.11 1027 16654 4261 10242 2151 63.1 MiB 0.17 0.00 4.01604 -137.362 -4.01604 4.01604 0.32 0.000774433 0.000720271 0.0549165 0.0510119 -1 -1 -1 -1 26 2945 35 6.65987e+06 519798 477104. 1650.88 1.57 0.250169 0.217556 21682 110474 -1 2216 22 1995 3355 216775 54055 3.54911 3.54911 -140.511 -3.54911 0 0 585099. 2024.56 0.03 0.09 0.09 -1 -1 0.03 0.0330299 0.0287956 157 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_053.v common 5.66 vpr 63.01 MiB 0.04 7176 -1 -1 1 0.03 -1 -1 30632 -1 -1 42 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64524 31 32 449 367 1 193 105 17 17 289 -1 unnamed_device 24.1 MiB 0.14 1229 19371 4875 12729 1767 63.0 MiB 0.21 0.00 3.85078 -126.096 -3.85078 3.85078 0.32 0.00082417 0.00076463 0.0672884 0.0624441 -1 -1 -1 -1 24 3651 50 6.65987e+06 532476 448715. 1552.65 3.60 0.346495 0.29929 21394 104001 -1 2604 21 1618 2799 188033 45644 3.78045 3.78045 -134.273 -3.78045 0 0 554710. 1919.41 0.02 0.09 0.09 -1 -1 0.02 0.0334628 0.0290523 154 122 0 0 122 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_054.v common 2.60 vpr 63.09 MiB 0.05 7320 -1 -1 1 0.03 -1 -1 30500 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 32 32 432 346 1 195 89 17 17 289 -1 unnamed_device 24.0 MiB 0.12 1128 11375 3210 7170 995 63.1 MiB 0.14 0.00 3.73058 -127.805 -3.73058 3.73058 0.32 0.000816353 0.000757206 0.0492991 0.0458287 -1 -1 -1 -1 32 2426 19 6.65987e+06 316950 554710. 1919.41 0.59 0.144095 0.127085 22834 132086 -1 2188 21 1584 2892 163557 40012 3.30885 3.30885 -126.342 -3.30885 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.032817 0.0286329 155 94 32 32 94 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_055.v common 3.18 vpr 63.33 MiB 0.04 6896 -1 -1 1 0.03 -1 -1 30560 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64848 32 32 312 255 1 166 100 17 17 289 -1 unnamed_device 23.7 MiB 0.06 948 13092 3333 8859 900 63.3 MiB 0.12 0.00 3.35364 -114.677 -3.35364 3.35364 0.31 0.000641139 0.00059719 0.0388568 0.036055 -1 -1 -1 -1 30 2033 20 6.65987e+06 456408 526063. 1820.29 1.38 0.201509 0.174371 22546 126617 -1 1762 20 1100 1830 102561 24771 2.56705 2.56705 -108.258 -2.56705 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0251041 0.0218944 128 34 63 32 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_056.v common 2.38 vpr 62.81 MiB 0.04 7024 -1 -1 1 0.03 -1 -1 30456 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64316 32 32 370 314 1 164 86 17 17 289 -1 unnamed_device 23.7 MiB 0.12 748 12371 3439 7723 1209 62.8 MiB 0.13 0.00 3.38184 -112.538 -3.38184 3.38184 0.31 0.000702913 0.000653204 0.0485494 0.0450982 -1 -1 -1 -1 32 2003 22 6.65987e+06 278916 554710. 1919.41 0.55 0.132143 0.116644 22834 132086 -1 1535 20 1146 1801 101240 27506 2.75551 2.75551 -108.37 -2.75551 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0276776 0.0241268 125 94 0 0 94 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_057.v common 7.12 vpr 63.42 MiB 0.05 7224 -1 -1 1 0.03 -1 -1 30776 -1 -1 45 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64940 32 32 469 351 1 233 109 17 17 289 -1 unnamed_device 24.3 MiB 0.10 1368 12589 2994 8653 942 63.4 MiB 0.15 0.00 4.6825 -158.119 -4.6825 4.6825 0.31 0.000884368 0.000821199 0.045922 0.0426474 -1 -1 -1 -1 24 4401 36 6.65987e+06 570510 448715. 1552.65 5.09 0.298589 0.257893 21394 104001 -1 3056 21 2361 3989 322573 71845 4.28757 4.28757 -157.974 -4.28757 0 0 554710. 1919.41 0.02 0.11 0.09 -1 -1 0.02 0.0363826 0.031769 191 65 96 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_058.v common 3.30 vpr 63.45 MiB 0.04 7004 -1 -1 1 0.03 -1 -1 30360 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64972 32 32 368 284 1 194 103 17 17 289 -1 unnamed_device 23.9 MiB 0.11 1109 19624 5689 11343 2592 63.4 MiB 0.19 0.00 3.52929 -123.861 -3.52929 3.52929 0.31 0.000725611 0.000674355 0.062103 0.0576509 -1 -1 -1 -1 26 2560 25 6.65987e+06 494442 477104. 1650.88 1.37 0.220389 0.19259 21682 110474 -1 2135 23 1496 2430 142643 36390 2.93717 2.93717 -122.078 -2.93717 0 0 585099. 2024.56 0.02 0.08 0.09 -1 -1 0.02 0.0322647 0.0281044 153 34 92 32 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_059.v common 3.66 vpr 63.27 MiB 0.04 6956 -1 -1 1 0.03 -1 -1 30288 -1 -1 38 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64788 30 32 296 244 1 158 100 17 17 289 -1 unnamed_device 23.7 MiB 0.06 733 10540 2280 6753 1507 63.3 MiB 0.09 0.00 3.5841 -113.212 -3.5841 3.5841 0.31 0.000621217 0.000577484 0.0303105 0.0281874 -1 -1 -1 -1 28 2268 49 6.65987e+06 481764 500653. 1732.36 1.91 0.220918 0.189786 21970 115934 -1 1745 20 1258 2060 128455 34409 2.76257 2.76257 -109.268 -2.76257 0 0 612192. 2118.31 0.03 0.06 0.10 -1 -1 0.03 0.0243639 0.0212305 122 34 60 30 30 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_060.v common 3.83 vpr 63.60 MiB 0.05 7288 -1 -1 1 0.03 -1 -1 30888 -1 -1 47 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65124 32 32 531 413 1 232 111 17 17 289 -1 unnamed_device 24.5 MiB 0.17 1273 18465 4599 11360 2506 63.6 MiB 0.20 0.00 4.6235 -158.008 -4.6235 4.6235 0.32 0.000946291 0.000878439 0.0693203 0.0643515 -1 -1 -1 -1 32 2869 22 6.65987e+06 595866 554710. 1919.41 1.64 0.311501 0.270493 22834 132086 -1 2480 22 1984 2843 165822 40625 4.32477 4.32477 -163.297 -4.32477 0 0 701300. 2426.64 0.03 0.09 0.11 -1 -1 0.03 0.0405489 0.0353518 190 127 32 32 128 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_061.v common 3.41 vpr 62.87 MiB 0.05 6988 -1 -1 1 0.03 -1 -1 30468 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64376 32 32 376 288 1 194 103 17 17 289 -1 unnamed_device 23.9 MiB 0.10 1053 13840 4059 9064 717 62.9 MiB 0.14 0.00 4.18133 -143.895 -4.18133 4.18133 0.32 0.000774231 0.000722213 0.0472054 0.0439024 -1 -1 -1 -1 32 2258 21 6.65987e+06 494442 554710. 1919.41 1.50 0.269104 0.233878 22834 132086 -1 2006 20 1403 2158 149590 33199 3.46203 3.46203 -135.392 -3.46203 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0292803 0.0256084 154 34 96 32 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_062.v common 3.23 vpr 62.51 MiB 0.04 6876 -1 -1 1 0.04 -1 -1 30268 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64012 32 32 283 225 1 164 98 17 17 289 -1 unnamed_device 23.4 MiB 0.07 962 14948 4243 8685 2020 62.5 MiB 0.13 0.00 3.5511 -125.666 -3.5511 3.5511 0.31 0.000615137 0.000572858 0.0431373 0.0401302 -1 -1 -1 -1 30 2051 21 6.65987e+06 431052 526063. 1820.29 1.37 0.188987 0.164377 22546 126617 -1 1780 24 1175 1858 115314 26357 2.79557 2.79557 -118.138 -2.79557 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0282117 0.0245859 126 3 96 32 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_063.v common 5.05 vpr 63.37 MiB 0.03 7048 -1 -1 1 0.03 -1 -1 30780 -1 -1 46 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64892 32 32 438 320 1 235 110 17 17 289 -1 unnamed_device 24.5 MiB 0.11 1334 17731 5313 11083 1335 63.4 MiB 0.20 0.00 4.80076 -162.561 -4.80076 4.80076 0.31 0.000854585 0.000795949 0.0609349 0.0566133 -1 -1 -1 -1 26 3485 38 6.65987e+06 583188 477104. 1650.88 3.05 0.324529 0.281415 21682 110474 -1 2887 24 2354 3832 284089 63479 4.90063 4.90063 -183.759 -4.90063 0 0 585099. 2024.56 0.03 0.11 0.09 -1 -1 0.03 0.0395876 0.0344734 193 34 128 32 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_064.v common 2.35 vpr 62.68 MiB 0.03 6868 -1 -1 1 0.03 -1 -1 30292 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64180 32 32 283 225 1 162 84 17 17 289 -1 unnamed_device 23.4 MiB 0.08 967 12162 3732 6306 2124 62.7 MiB 0.12 0.00 3.4859 -123.842 -3.4859 3.4859 0.31 0.000607811 0.000566137 0.0426887 0.0397729 -1 -1 -1 -1 32 2069 20 6.65987e+06 253560 554710. 1919.41 0.53 0.114181 0.101021 22834 132086 -1 1762 22 1242 1854 113489 27079 2.85477 2.85477 -120.183 -2.85477 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0262371 0.0228461 123 3 96 32 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_065.v common 3.14 vpr 63.24 MiB 0.04 6968 -1 -1 1 0.03 -1 -1 30192 -1 -1 39 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64756 30 32 296 244 1 156 101 17 17 289 -1 unnamed_device 23.7 MiB 0.08 805 18901 5778 10548 2575 63.2 MiB 0.16 0.00 3.57784 -114.989 -3.57784 3.57784 0.31 0.000620119 0.000577128 0.0522594 0.0484809 -1 -1 -1 -1 30 1714 20 6.65987e+06 494442 526063. 1820.29 1.33 0.196196 0.170731 22546 126617 -1 1454 20 1031 1670 87371 20952 2.58817 2.58817 -103.602 -2.58817 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0243975 0.0212937 120 34 60 30 30 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_066.v common 3.31 vpr 63.22 MiB 0.05 7244 -1 -1 1 0.03 -1 -1 30320 -1 -1 42 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64736 29 32 393 319 1 182 103 17 17 289 -1 unnamed_device 24.3 MiB 0.12 1082 15527 3911 9698 1918 63.2 MiB 0.15 0.00 3.47007 -109.656 -3.47007 3.47007 0.32 0.000743514 0.000691371 0.0514551 0.0478198 -1 -1 -1 -1 26 2472 22 6.65987e+06 532476 477104. 1650.88 1.37 0.24777 0.214955 21682 110474 -1 2044 22 1244 2314 150478 36021 2.80957 2.80957 -108.513 -2.80957 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0310565 0.0270235 143 88 29 29 85 29 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_067.v common 2.53 vpr 63.03 MiB 0.04 7076 -1 -1 1 0.03 -1 -1 30664 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64540 32 32 407 319 1 194 88 17 17 289 -1 unnamed_device 24.1 MiB 0.10 1078 15688 4681 9267 1740 63.0 MiB 0.17 0.00 4.0703 -141.533 -4.0703 4.0703 0.32 0.000872223 0.0008159 0.0649613 0.0603359 -1 -1 -1 -1 32 2240 20 6.65987e+06 304272 554710. 1919.41 0.57 0.15418 0.13691 22834 132086 -1 2025 21 1685 2544 150666 36251 3.51117 3.51117 -136.71 -3.51117 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.031994 0.0279375 154 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_068.v common 3.53 vpr 62.98 MiB 0.03 7224 -1 -1 1 0.03 -1 -1 30632 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64496 32 32 407 319 1 195 102 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1082 17714 5514 9945 2255 63.0 MiB 0.18 0.00 4.0391 -139.646 -4.0391 4.0391 0.31 0.000771242 0.000716249 0.0622596 0.0577903 -1 -1 -1 -1 32 2257 19 6.65987e+06 481764 554710. 1919.41 1.58 0.29393 0.255201 22834 132086 -1 1984 21 1594 2663 155359 38315 3.61417 3.61417 -139.148 -3.61417 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0317449 0.0277593 155 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_069.v common 2.53 vpr 62.68 MiB 0.04 7044 -1 -1 1 0.03 -1 -1 30532 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64180 32 32 345 287 1 161 98 17 17 289 -1 unnamed_device 23.7 MiB 0.10 851 13823 4106 7601 2116 62.7 MiB 0.13 0.00 3.41884 -117.259 -3.41884 3.41884 0.31 0.000685525 0.000629678 0.0441296 0.0409894 -1 -1 -1 -1 32 1898 21 6.65987e+06 431052 554710. 1919.41 0.57 0.124638 0.109978 22834 132086 -1 1594 21 1192 1828 113509 28329 2.59931 2.59931 -108.973 -2.59931 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.027542 0.0240255 123 65 32 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_070.v common 2.48 vpr 62.64 MiB 0.05 7100 -1 -1 1 0.03 -1 -1 30376 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64148 31 32 353 302 1 152 83 17 17 289 -1 unnamed_device 23.6 MiB 0.13 863 9983 2687 6588 708 62.6 MiB 0.11 0.00 3.44078 -109.449 -3.44078 3.44078 0.31 0.000677489 0.000628764 0.0398797 0.0370658 -1 -1 -1 -1 32 1769 20 6.65987e+06 253560 554710. 1919.41 0.53 0.118086 0.103851 22834 132086 -1 1728 23 1114 2129 133398 32078 2.61925 2.61925 -105.152 -2.61925 0 0 701300. 2426.64 0.03 0.07 0.13 -1 -1 0.03 0.0307662 0.0267978 112 90 0 0 89 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_071.v common 2.77 vpr 63.86 MiB 0.02 7076 -1 -1 1 0.03 -1 -1 30460 -1 -1 41 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65388 30 32 374 297 1 186 103 17 17 289 -1 unnamed_device 24.3 MiB 0.11 957 12153 2884 8548 721 63.9 MiB 0.13 0.00 3.36403 -108.171 -3.36403 3.36403 0.31 0.000725398 0.000674053 0.0392242 0.0362783 -1 -1 -1 -1 26 2735 42 6.65987e+06 519798 477104. 1650.88 0.96 0.147173 0.128551 21682 110474 -1 1989 20 1250 1938 126343 32396 2.85291 2.85291 -112.322 -2.85291 0 0 585099. 2024.56 0.02 0.07 0.09 -1 -1 0.02 0.0300952 0.0262338 146 60 60 30 57 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_072.v common 3.54 vpr 63.62 MiB 0.05 6956 -1 -1 1 0.03 -1 -1 30408 -1 -1 41 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65148 28 32 332 260 1 180 101 17 17 289 -1 unnamed_device 23.9 MiB 0.08 928 9031 2047 6345 639 63.6 MiB 0.10 0.00 4.3127 -118.999 -4.3127 4.3127 0.31 0.00066253 0.000616734 0.0278014 0.0258654 -1 -1 -1 -1 22 2719 36 6.65987e+06 519798 420624. 1455.45 1.70 0.195499 0.16852 20818 92861 -1 2346 21 1575 2656 212712 51123 4.07297 4.07297 -135.84 -4.07297 0 0 500653. 1732.36 0.02 0.08 0.09 -1 -1 0.02 0.0277584 0.0242162 141 34 84 28 28 28 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_073.v common 2.46 vpr 62.93 MiB 0.05 7032 -1 -1 1 0.03 -1 -1 30288 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64440 30 32 325 273 1 156 84 17 17 289 -1 unnamed_device 24.0 MiB 0.13 733 13626 4883 6008 2735 62.9 MiB 0.13 0.00 3.5343 -111.878 -3.5343 3.5343 0.32 0.000647738 0.000602349 0.0505478 0.0470242 -1 -1 -1 -1 32 1790 21 6.65987e+06 278916 554710. 1919.41 0.58 0.126412 0.11185 22834 132086 -1 1565 18 1045 1671 103020 25603 2.98797 2.98797 -110.508 -2.98797 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0235041 0.0205302 119 63 30 30 60 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_074.v common 2.32 vpr 62.59 MiB 0.04 7104 -1 -1 1 0.03 -1 -1 30360 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64092 32 32 361 308 1 158 85 17 17 289 -1 unnamed_device 23.6 MiB 0.10 738 6409 1271 4306 832 62.6 MiB 0.07 0.00 3.42498 -105.222 -3.42498 3.42498 0.31 0.00069539 0.000646457 0.0261433 0.0243183 -1 -1 -1 -1 30 1699 16 6.65987e+06 266238 526063. 1820.29 0.53 0.102223 0.0894195 22546 126617 -1 1353 20 842 1376 65328 17903 2.48925 2.48925 -97.6644 -2.48925 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0272014 0.0237209 117 91 0 0 91 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_075.v common 3.35 vpr 62.74 MiB 0.05 6932 -1 -1 1 0.03 -1 -1 30480 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64244 31 32 335 251 1 196 104 17 17 289 -1 unnamed_device 23.7 MiB 0.07 1103 10840 2795 6907 1138 62.7 MiB 0.12 0.00 4.12736 -136.504 -4.12736 4.12736 0.32 0.00069827 0.000649994 0.033332 0.0309862 -1 -1 -1 -1 28 2711 21 6.65987e+06 519798 500653. 1732.36 1.44 0.215167 0.186181 21970 115934 -1 2334 22 1868 3173 196417 49337 3.77777 3.77777 -139.601 -3.77777 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0296935 0.0259385 157 4 124 31 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_076.v common 3.53 vpr 63.02 MiB 0.05 7060 -1 -1 1 0.03 -1 -1 30580 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64528 32 32 407 319 1 197 103 17 17 289 -1 unnamed_device 24.0 MiB 0.13 1140 19383 5247 11562 2574 63.0 MiB 0.19 0.00 4.04907 -141.563 -4.04907 4.04907 0.32 0.00076951 0.000715006 0.0649203 0.0601938 -1 -1 -1 -1 28 2947 21 6.65987e+06 494442 500653. 1732.36 1.56 0.252968 0.220431 21970 115934 -1 2458 20 1851 3180 208105 51331 3.71237 3.71237 -145.261 -3.71237 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0308674 0.0270224 157 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_077.v common 3.74 vpr 63.04 MiB 0.05 7024 -1 -1 1 0.03 -1 -1 30372 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64556 32 32 407 319 1 194 102 17 17 289 -1 unnamed_device 24.0 MiB 0.13 1170 17476 4503 11141 1832 63.0 MiB 0.21 0.00 4.20333 -145.708 -4.20333 4.20333 0.31 0.000779446 0.000724337 0.0742355 0.0689656 -1 -1 -1 -1 32 2498 22 6.65987e+06 481764 554710. 1919.41 1.64 0.31067 0.27103 22834 132086 -1 2217 22 1495 2509 148317 36488 3.63723 3.63723 -142.576 -3.63723 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0333452 0.0291648 154 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_078.v common 3.49 vpr 63.01 MiB 0.05 7024 -1 -1 1 0.03 -1 -1 30464 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64524 32 32 399 315 1 196 107 17 17 289 -1 unnamed_device 24.0 MiB 0.11 1143 16299 4353 9804 2142 63.0 MiB 0.16 0.00 3.93021 -130.378 -3.93021 3.93021 0.31 0.000759917 0.00070667 0.0519577 0.0481472 -1 -1 -1 -1 32 2860 21 6.65987e+06 545154 554710. 1919.41 1.52 0.241593 0.20978 22834 132086 -1 2381 20 1392 2455 155348 37757 3.20851 3.20851 -125.118 -3.20851 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0300368 0.0261913 156 65 60 30 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_079.v common 2.35 vpr 62.62 MiB 0.05 6876 -1 -1 1 0.03 -1 -1 30372 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64128 30 32 296 244 1 156 84 17 17 289 -1 unnamed_device 23.5 MiB 0.10 912 12894 3489 7561 1844 62.6 MiB 0.12 0.00 3.52027 -115.395 -3.52027 3.52027 0.31 0.000618332 0.000575912 0.0453903 0.042259 -1 -1 -1 -1 32 1807 19 6.65987e+06 278916 554710. 1919.41 0.51 0.115701 0.102309 22834 132086 -1 1652 19 1151 1881 114411 27550 2.74757 2.74757 -109.683 -2.74757 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.023785 0.0207625 119 34 60 30 30 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_080.v common 3.20 vpr 63.43 MiB 0.05 7084 -1 -1 1 0.03 -1 -1 30380 -1 -1 27 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64956 30 32 383 303 1 191 89 17 17 289 -1 unnamed_device 23.9 MiB 0.13 1067 9593 2670 6292 631 63.4 MiB 0.12 0.00 4.18673 -130.97 -4.18673 4.18673 0.31 0.000742426 0.000690688 0.0383461 0.0356657 -1 -1 -1 -1 26 2651 21 6.65987e+06 342306 477104. 1650.88 1.26 0.200638 0.174216 21682 110474 -1 2221 19 1710 2566 157420 39050 3.82277 3.82277 -136.136 -3.82277 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0285484 0.0249913 153 63 60 30 60 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_081.v common 3.23 vpr 63.70 MiB 0.05 7260 -1 -1 1 0.03 -1 -1 30860 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65232 32 32 469 381 1 198 107 17 17 289 -1 unnamed_device 24.1 MiB 0.15 1143 13516 3511 9043 962 63.7 MiB 0.15 0.00 3.87298 -135.282 -3.87298 3.87298 0.31 0.000851414 0.000791661 0.0486003 0.0450684 -1 -1 -1 -1 30 2539 24 6.65987e+06 545154 526063. 1820.29 1.24 0.246735 0.213392 22546 126617 -1 2136 21 1540 2474 123128 31636 3.28145 3.28145 -130.698 -3.28145 0 0 666494. 2306.21 0.03 0.07 0.11 -1 -1 0.03 0.0341313 0.0296967 158 127 0 0 128 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_082.v common 3.43 vpr 63.03 MiB 0.05 7204 -1 -1 1 0.03 -1 -1 30604 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64544 31 32 425 341 1 189 101 17 17 289 -1 unnamed_device 24.0 MiB 0.07 1110 19606 5627 12256 1723 63.0 MiB 0.19 0.00 3.95478 -133.139 -3.95478 3.95478 0.31 0.000785921 0.000729135 0.0683867 0.063376 -1 -1 -1 -1 28 2611 21 6.65987e+06 481764 500653. 1732.36 1.45 0.260791 0.227542 21970 115934 -1 2178 20 1523 2602 171599 41451 3.57211 3.57211 -136.463 -3.57211 0 0 612192. 2118.31 0.03 0.08 0.09 -1 -1 0.03 0.0307778 0.0268831 150 94 31 31 93 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_083.v common 3.50 vpr 63.03 MiB 0.05 7272 -1 -1 1 0.03 -1 -1 30452 -1 -1 38 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64544 30 32 404 328 1 182 100 17 17 289 -1 unnamed_device 24.0 MiB 0.10 1089 19588 5511 12039 2038 63.0 MiB 0.21 0.00 3.4591 -112.686 -3.4591 3.4591 0.31 0.000769719 0.000715087 0.0696747 0.0643787 -1 -1 -1 -1 32 2089 19 6.65987e+06 481764 554710. 1919.41 1.54 0.28218 0.245236 22834 132086 -1 1875 19 1174 1991 110135 27870 2.81577 2.81577 -110.881 -2.81577 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0287002 0.0250884 142 92 26 26 90 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_084.v common 2.64 vpr 62.77 MiB 0.03 7052 -1 -1 1 0.03 -1 -1 30460 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64276 32 32 407 319 1 198 89 17 17 289 -1 unnamed_device 23.8 MiB 0.15 1048 15335 5196 7685 2454 62.8 MiB 0.19 0.00 4.0281 -137.755 -4.0281 4.0281 0.31 0.000775092 0.000719833 0.0721101 0.0669312 -1 -1 -1 -1 32 2564 22 6.65987e+06 316950 554710. 1919.41 0.63 0.165883 0.147464 22834 132086 -1 2179 21 1766 3078 211755 49983 3.36277 3.36277 -134.568 -3.36277 0 0 701300. 2426.64 0.03 0.09 0.11 -1 -1 0.03 0.0319035 0.0278595 158 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_085.v common 3.21 vpr 63.62 MiB 0.05 7248 -1 -1 1 0.03 -1 -1 30372 -1 -1 43 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65148 29 32 387 316 1 179 104 17 17 289 -1 unnamed_device 24.0 MiB 0.11 1016 18892 5531 10960 2401 63.6 MiB 0.17 0.00 3.29761 -103.695 -3.29761 3.29761 0.32 0.000729555 0.000677588 0.0599201 0.0554136 -1 -1 -1 -1 26 2219 21 6.65987e+06 545154 477104. 1650.88 1.22 0.219687 0.191851 21682 110474 -1 1932 17 1284 2112 119121 30423 2.88365 2.88365 -104.829 -2.88365 0 0 585099. 2024.56 0.03 0.06 0.10 -1 -1 0.03 0.025685 0.0225048 140 88 26 26 85 29 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_086.v common 3.16 vpr 62.76 MiB 0.04 6724 -1 -1 1 0.03 -1 -1 30304 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64268 32 32 283 225 1 158 83 17 17 289 -1 unnamed_device 23.6 MiB 0.11 827 9803 2744 6334 725 62.8 MiB 0.10 0.00 3.4639 -119.424 -3.4639 3.4639 0.31 0.000612347 0.000570247 0.0355605 0.0331041 -1 -1 -1 -1 28 2141 24 6.65987e+06 240882 500653. 1732.36 1.30 0.197942 0.171118 21970 115934 -1 1753 23 1348 2068 149042 35839 2.85751 2.85751 -121.506 -2.85751 0 0 612192. 2118.31 0.03 0.07 0.10 -1 -1 0.03 0.0277149 0.0240914 119 3 96 32 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_087.v common 2.57 vpr 63.03 MiB 0.05 7132 -1 -1 1 0.03 -1 -1 30408 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64540 32 32 407 319 1 194 102 17 17 289 -1 unnamed_device 24.0 MiB 0.14 1166 19380 5512 12157 1711 63.0 MiB 0.19 0.00 4.20573 -145.079 -4.20573 4.20573 0.31 0.000772485 0.000717665 0.0660026 0.0611692 -1 -1 -1 -1 32 2441 21 6.65987e+06 481764 554710. 1919.41 0.57 0.158165 0.140201 22834 132086 -1 2106 22 1472 2196 132692 32528 3.66843 3.66843 -145.931 -3.66843 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0333321 0.0291075 154 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_088.v common 2.42 vpr 62.77 MiB 0.04 7188 -1 -1 1 0.03 -1 -1 30472 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64272 32 32 407 319 1 201 89 17 17 289 -1 unnamed_device 23.8 MiB 0.14 849 7613 1669 5074 870 62.8 MiB 0.10 0.00 4.24553 -140.005 -4.24553 4.24553 0.31 0.000776983 0.000721727 0.0325416 0.0302848 -1 -1 -1 -1 32 2386 21 6.65987e+06 316950 554710. 1919.41 0.62 0.1239 0.108741 22834 132086 -1 1912 21 1764 2836 164824 42460 3.91397 3.91397 -141.386 -3.91397 0 0 701300. 2426.64 0.03 0.05 0.08 -1 -1 0.03 0.0182251 0.0162467 161 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_089.v common 3.08 vpr 63.19 MiB 0.04 6880 -1 -1 1 0.03 -1 -1 30384 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64708 32 32 315 267 1 158 98 17 17 289 -1 unnamed_device 23.7 MiB 0.12 971 16073 4469 9751 1853 63.2 MiB 0.14 0.00 3.51072 -111.82 -3.51072 3.51072 0.31 0.000640432 0.000595507 0.0479906 0.0445151 -1 -1 -1 -1 30 1894 20 6.65987e+06 431052 526063. 1820.29 1.07 0.193358 0.168112 22546 126617 -1 1683 24 922 1479 92644 20888 2.56505 2.56505 -102.45 -2.56505 0 0 666494. 2306.21 0.03 0.08 0.12 -1 -1 0.03 0.0368958 0.0326405 116 55 32 32 54 27 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_090.v common 2.32 vpr 62.93 MiB 0.04 6816 -1 -1 1 0.03 -1 -1 30376 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64440 31 32 275 220 1 160 84 17 17 289 -1 unnamed_device 23.7 MiB 0.07 807 7953 1830 5685 438 62.9 MiB 0.09 0.00 3.4639 -116.526 -3.4639 3.4639 0.31 0.000595779 0.000554986 0.0281053 0.0261441 -1 -1 -1 -1 30 1923 23 6.65987e+06 266238 526063. 1820.29 0.53 0.100671 0.0882781 22546 126617 -1 1583 19 1098 1764 108014 25872 2.80851 2.80851 -114.613 -2.80851 0 0 666494. 2306.21 0.03 0.06 0.10 -1 -1 0.03 0.0230154 0.0200851 122 4 93 31 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_091.v common 2.53 vpr 62.84 MiB 0.03 7020 -1 -1 1 0.03 -1 -1 30284 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64352 32 32 381 303 1 188 103 17 17 289 -1 unnamed_device 24.0 MiB 0.11 1076 14081 3693 8945 1443 62.8 MiB 0.14 0.00 3.93641 -131.645 -3.93641 3.93641 0.31 0.000742196 0.000690054 0.0458873 0.0426023 -1 -1 -1 -1 26 2525 22 6.65987e+06 494442 477104. 1650.88 0.62 0.133849 0.118097 21682 110474 -1 2205 23 1606 2318 148974 36221 3.64751 3.64751 -134.105 -3.64751 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0330027 0.0287536 145 59 60 32 58 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_092.v common 2.90 vpr 63.58 MiB 0.05 7300 -1 -1 1 0.03 -1 -1 30472 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65108 32 32 406 330 1 190 102 17 17 289 -1 unnamed_device 24.0 MiB 0.08 1021 12478 2959 8680 839 63.6 MiB 0.13 0.00 4.17238 -125.569 -4.17238 4.17238 0.31 0.000757698 0.00070385 0.04276 0.0395929 -1 -1 -1 -1 28 3128 48 6.65987e+06 481764 500653. 1732.36 1.04 0.162753 0.14213 21970 115934 -1 2204 20 1333 2343 165875 42306 3.61231 3.61231 -127.838 -3.61231 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0299677 0.0261658 147 88 28 28 88 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_093.v common 2.65 vpr 62.93 MiB 0.05 7152 -1 -1 1 0.03 -1 -1 30496 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64436 32 32 399 285 1 228 108 17 17 289 -1 unnamed_device 24.1 MiB 0.08 1320 21182 6284 12060 2838 62.9 MiB 0.23 0.00 4.70876 -156.97 -4.70876 4.70876 0.31 0.000795145 0.000739501 0.0686863 0.0638435 -1 -1 -1 -1 32 2887 21 6.65987e+06 557832 554710. 1919.41 0.63 0.161747 0.143868 22834 132086 -1 2584 24 2224 3785 240469 56298 4.45102 4.45102 -158.36 -4.45102 0 0 701300. 2426.64 0.03 0.10 0.11 -1 -1 0.03 0.0367717 0.0321635 185 3 156 32 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_094.v common 2.51 vpr 62.77 MiB 0.05 7284 -1 -1 1 0.03 -1 -1 30516 -1 -1 39 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64272 30 32 371 295 1 184 101 17 17 289 -1 unnamed_device 23.9 MiB 0.10 909 17726 5602 8716 3408 62.8 MiB 0.16 0.00 3.39661 -108.377 -3.39661 3.39661 0.31 0.00071813 0.000660652 0.0566229 0.0524054 -1 -1 -1 -1 32 2049 25 6.65987e+06 494442 554710. 1919.41 0.56 0.144626 0.127674 22834 132086 -1 1691 23 1430 2210 127501 32656 2.95111 2.95111 -103.829 -2.95111 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0315456 0.0274392 144 59 60 30 56 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_095.v common 2.22 vpr 63.20 MiB 0.04 7000 -1 -1 1 0.03 -1 -1 30616 -1 -1 24 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64716 27 32 269 226 1 143 83 17 17 289 -1 unnamed_device 23.6 MiB 0.06 815 10523 3128 5672 1723 63.2 MiB 0.09 0.00 3.3979 -99.2559 -3.3979 3.3979 0.31 0.000568647 0.000529382 0.0353908 0.0329665 -1 -1 -1 -1 32 1565 16 6.65987e+06 304272 554710. 1919.41 0.48 0.0979307 0.086271 22834 132086 -1 1373 20 847 1222 73938 18644 2.60157 2.60157 -93.0702 -2.60157 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0225369 0.0196182 109 34 54 27 27 27 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_096.v common 2.74 vpr 63.55 MiB 0.05 7360 -1 -1 1 0.03 -1 -1 30680 -1 -1 47 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65072 32 32 493 378 1 232 111 17 17 289 -1 unnamed_device 24.6 MiB 0.12 1418 16071 4562 10386 1123 63.5 MiB 0.19 0.00 4.02704 -138.423 -4.02704 4.02704 0.34 0.000905611 0.000841505 0.0586563 0.0543788 -1 -1 -1 -1 32 3107 20 6.65987e+06 595866 554710. 1919.41 0.64 0.164643 0.14511 22834 132086 -1 2583 21 1917 3467 205259 48914 3.49591 3.49591 -136.715 -3.49591 0 0 701300. 2426.64 0.03 0.09 0.11 -1 -1 0.03 0.0369055 0.0321519 190 95 62 31 95 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_097.v common 2.53 vpr 63.15 MiB 0.05 7276 -1 -1 1 0.03 -1 -1 30448 -1 -1 24 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64668 31 32 455 371 1 187 87 17 17 289 -1 unnamed_device 24.2 MiB 0.11 870 13143 2897 8313 1933 63.2 MiB 0.13 0.00 4.04424 -130.54 -4.04424 4.04424 0.32 0.000826518 0.000768464 0.0593276 0.0551935 -1 -1 -1 -1 32 2367 24 6.65987e+06 304272 554710. 1919.41 0.60 0.159272 0.140524 22834 132086 -1 1807 21 1504 2385 151264 39207 3.54431 3.54431 -130.154 -3.54431 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0337076 0.0292968 148 124 0 0 124 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_098.v common 3.07 vpr 62.73 MiB 0.05 7036 -1 -1 1 0.03 -1 -1 30360 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64240 32 32 355 304 1 151 83 17 17 289 -1 unnamed_device 23.6 MiB 0.13 915 14843 4692 8135 2016 62.7 MiB 0.16 0.00 3.80843 -112.784 -3.80843 3.80843 0.31 0.000684312 0.000633615 0.0672789 0.0619719 -1 -1 -1 -1 30 1768 22 6.65987e+06 240882 526063. 1820.29 1.18 0.223636 0.195006 22546 126617 -1 1577 15 615 913 58277 13564 2.48311 2.48311 -102.082 -2.48311 0 0 666494. 2306.21 0.03 0.05 0.10 -1 -1 0.03 0.0220167 0.0193755 111 89 0 0 89 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_099.v common 2.60 vpr 63.46 MiB 0.05 6904 -1 -1 1 0.03 -1 -1 30368 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64984 32 32 364 282 1 196 104 17 17 289 -1 unnamed_device 23.9 MiB 0.08 1160 13036 3447 8154 1435 63.5 MiB 0.13 0.00 3.9951 -134.849 -3.9951 3.9951 0.32 0.000724518 0.000673666 0.0414551 0.0384884 -1 -1 -1 -1 26 3115 21 6.65987e+06 507120 477104. 1650.88 0.71 0.14102 0.12431 21682 110474 -1 2450 22 1633 2524 177657 42358 3.67937 3.67937 -137.587 -3.67937 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0309446 0.026959 156 34 90 30 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_100.v common 2.96 vpr 63.21 MiB 0.05 7268 -1 -1 1 0.03 -1 -1 30732 -1 -1 44 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64732 31 32 443 336 1 220 107 17 17 289 -1 unnamed_device 24.1 MiB 0.10 1233 21359 6153 10369 4837 63.2 MiB 0.20 0.00 3.97678 -131.076 -3.97678 3.97678 0.31 0.000845084 0.000778195 0.0740621 0.0686417 -1 -1 -1 -1 32 2904 38 6.65987e+06 557832 554710. 1919.41 0.90 0.193071 0.170123 22834 132086 -1 2335 21 1877 2883 192983 52537 3.74771 3.74771 -133.007 -3.74771 0 0 701300. 2426.64 0.03 0.09 0.11 -1 -1 0.03 0.0359973 0.031497 178 64 87 31 62 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_101.v common 3.75 vpr 63.51 MiB 0.05 7176 -1 -1 1 0.03 -1 -1 30476 -1 -1 41 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65032 30 32 373 297 1 186 103 17 17 289 -1 unnamed_device 24.0 MiB 0.08 1012 15527 4336 8539 2652 63.5 MiB 0.15 0.00 3.68224 -109.867 -3.68224 3.68224 0.31 0.000721529 0.000671051 0.0491372 0.045696 -1 -1 -1 -1 28 2772 22 6.65987e+06 519798 500653. 1732.36 1.91 0.233622 0.202545 21970 115934 -1 2187 23 1560 2816 198362 48625 2.92271 2.92271 -108.544 -2.92271 0 0 612192. 2118.31 0.03 0.08 0.08 -1 -1 0.03 0.0313695 0.0272584 146 61 58 30 58 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_102.v common 4.52 vpr 63.71 MiB 0.04 7116 -1 -1 1 0.03 -1 -1 30576 -1 -1 46 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65240 32 32 407 319 1 201 110 17 17 289 -1 unnamed_device 24.3 MiB 0.11 1060 14575 3691 9968 916 63.7 MiB 0.15 0.00 4.1875 -141.702 -4.1875 4.1875 0.31 0.000774764 0.000719353 0.0457271 0.0423932 -1 -1 -1 -1 28 3010 33 6.65987e+06 583188 500653. 1732.36 2.58 0.243721 0.211289 21970 115934 -1 2293 23 1790 3030 202546 51317 3.89677 3.89677 -143.984 -3.89677 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0341938 0.0297362 161 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_103.v common 3.95 vpr 63.64 MiB 0.05 7112 -1 -1 1 0.03 -1 -1 30476 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65164 32 32 405 318 1 200 108 17 17 289 -1 unnamed_device 24.2 MiB 0.12 1090 19897 6114 10922 2861 63.6 MiB 0.20 0.00 3.47384 -119.153 -3.47384 3.47384 0.32 0.000776576 0.000721115 0.0625927 0.0580912 -1 -1 -1 -1 30 2598 21 6.65987e+06 557832 526063. 1820.29 1.92 0.283759 0.24621 22546 126617 -1 2057 17 1450 2297 149814 35496 2.74451 2.74451 -113.792 -2.74451 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0276725 0.0243033 160 65 63 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_104.v common 2.29 vpr 62.52 MiB 0.05 7024 -1 -1 1 0.03 -1 -1 30404 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64016 29 32 287 238 1 134 80 17 17 289 -1 unnamed_device 23.3 MiB 0.06 787 12120 4336 6367 1417 62.5 MiB 0.11 0.00 3.3979 -105.544 -3.3979 3.3979 0.33 0.000580411 0.000538606 0.0442507 0.0411919 -1 -1 -1 -1 32 1469 19 6.65987e+06 240882 554710. 1919.41 0.49 0.113215 0.100095 22834 132086 -1 1380 21 795 1195 80250 19357 2.61651 2.61651 -99.1878 -2.61651 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0246314 0.0213989 98 34 58 29 29 29 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_105.v common 2.88 vpr 62.65 MiB 0.04 7144 -1 -1 1 0.03 -1 -1 30040 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64152 32 32 334 290 1 154 85 17 17 289 -1 unnamed_device 23.7 MiB 0.10 836 9757 2478 6662 617 62.6 MiB 0.10 0.00 3.72152 -106.384 -3.72152 3.72152 0.31 0.000651235 0.000605434 0.0364697 0.0339022 -1 -1 -1 -1 26 1903 22 6.65987e+06 266238 477104. 1650.88 1.07 0.16692 0.144726 21682 110474 -1 1658 19 984 1406 88941 22610 2.83171 2.83171 -104.998 -2.83171 0 0 585099. 2024.56 0.03 0.06 0.09 -1 -1 0.03 0.0245623 0.0213483 113 82 0 0 82 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_106.v common 3.35 vpr 62.84 MiB 0.05 7172 -1 -1 1 0.03 -1 -1 30408 -1 -1 44 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64344 31 32 365 281 1 196 107 17 17 289 -1 unnamed_device 23.8 MiB 0.09 1194 15034 3920 9181 1933 62.8 MiB 0.15 0.00 4.1043 -135.241 -4.1043 4.1043 0.31 0.000725397 0.000672856 0.0458472 0.0425403 -1 -1 -1 -1 30 2615 20 6.65987e+06 557832 526063. 1820.29 1.51 0.227782 0.197383 22546 126617 -1 2243 21 1499 2506 173163 37879 3.40497 3.40497 -131.349 -3.40497 0 0 666494. 2306.21 0.03 0.07 0.10 -1 -1 0.03 0.0297736 0.0260286 157 34 93 31 31 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_107.v common 2.51 vpr 62.75 MiB 0.05 7100 -1 -1 1 0.03 -1 -1 30468 -1 -1 34 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64256 29 32 297 254 1 147 95 17 17 289 -1 unnamed_device 23.6 MiB 0.11 695 10895 2306 7030 1559 62.8 MiB 0.09 0.00 3.49198 -95.993 -3.49198 3.49198 0.31 0.000606729 0.000563453 0.03256 0.0302188 -1 -1 -1 -1 26 2132 28 6.65987e+06 431052 477104. 1650.88 0.73 0.109355 0.0954864 21682 110474 -1 1644 24 1065 1769 128267 39367 3.01485 3.01485 -105.298 -3.01485 0 0 585099. 2024.56 0.02 0.07 0.09 -1 -1 0.02 0.0272183 0.0235304 111 56 29 29 52 26 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_108.v common 2.57 vpr 62.61 MiB 0.05 6892 -1 -1 1 0.03 -1 -1 30256 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64108 32 32 314 256 1 160 84 17 17 289 -1 unnamed_device 23.6 MiB 0.11 776 13077 4821 6448 1808 62.6 MiB 0.13 0.00 3.5731 -120.874 -3.5731 3.5731 0.36 0.000644226 0.000599529 0.04831 0.0449573 -1 -1 -1 -1 32 2006 24 6.65987e+06 253560 554710. 1919.41 0.63 0.127189 0.112433 22834 132086 -1 1514 20 1176 1879 112302 28833 2.87877 2.87877 -113.937 -2.87877 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0256557 0.0223835 121 34 64 32 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_109.v common 2.57 vpr 62.96 MiB 0.05 7248 -1 -1 1 0.03 -1 -1 30300 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64476 31 32 387 307 1 189 104 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1073 15232 3616 10043 1573 63.0 MiB 0.15 0.00 3.5933 -121.502 -3.5933 3.5933 0.32 0.000747396 0.000694772 0.0492652 0.0457064 -1 -1 -1 -1 26 2279 27 6.65987e+06 519798 477104. 1650.88 0.55 0.144125 0.127084 21682 110474 -1 1906 21 1326 2088 126708 30754 2.79857 2.79857 -113.894 -2.79857 0 0 585099. 2024.56 0.02 0.07 0.09 -1 -1 0.02 0.030524 0.0266578 148 64 58 31 62 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_110.v common 2.28 vpr 62.66 MiB 0.05 6964 -1 -1 1 0.03 -1 -1 30420 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64164 31 32 308 262 1 147 82 17 17 289 -1 unnamed_device 23.4 MiB 0.11 885 12008 3839 6318 1851 62.7 MiB 0.11 0.00 2.84661 -98.4293 -2.84661 2.84661 0.31 0.000631232 0.000580188 0.0443964 0.0411781 -1 -1 -1 -1 32 1706 22 6.65987e+06 240882 554710. 1919.41 0.52 0.118512 0.104417 22834 132086 -1 1554 22 956 1612 90325 22983 2.62945 2.62945 -101.745 -2.62945 0 0 701300. 2426.64 0.03 0.06 0.08 -1 -1 0.03 0.0263038 0.0228571 110 55 31 31 53 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_111.v common 3.32 vpr 63.18 MiB 0.05 7124 -1 -1 1 0.03 -1 -1 30452 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64700 32 32 383 307 1 184 102 17 17 289 -1 unnamed_device 24.3 MiB 0.11 1058 13430 3540 9051 839 63.2 MiB 0.15 0.00 3.5071 -117.406 -3.5071 3.5071 0.31 0.000737091 0.000684756 0.0441843 0.0410082 -1 -1 -1 -1 26 2691 22 6.65987e+06 481764 477104. 1650.88 1.45 0.225632 0.195588 21682 110474 -1 2168 17 1245 2164 138900 34935 3.12411 3.12411 -123.836 -3.12411 0 0 585099. 2024.56 0.03 0.07 0.09 -1 -1 0.03 0.0258398 0.0226607 140 65 52 26 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_112.v common 3.42 vpr 63.10 MiB 0.05 7300 -1 -1 1 0.03 -1 -1 30404 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64616 31 32 422 339 1 195 104 17 17 289 -1 unnamed_device 24.0 MiB 0.37 1031 6204 1129 4772 303 63.1 MiB 0.08 0.00 3.5493 -118.887 -3.5493 3.5493 0.31 0.000811475 0.000746286 0.0231598 0.0214634 -1 -1 -1 -1 30 2100 21 6.65987e+06 519798 526063. 1820.29 1.40 0.209429 0.180055 22546 126617 -1 1744 21 1296 1892 100465 24083 2.87697 2.87697 -111.628 -2.87697 0 0 666494. 2306.21 0.03 0.04 0.07 -1 -1 0.03 0.0187685 0.0167321 156 93 31 31 92 31 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_113.v common 2.39 vpr 63.36 MiB 0.04 6984 -1 -1 1 0.03 -1 -1 30324 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64876 32 32 333 279 1 160 85 17 17 289 -1 unnamed_device 23.8 MiB 0.10 924 15337 4826 8089 2422 63.4 MiB 0.15 0.00 2.95923 -102.726 -2.95923 2.95923 0.32 0.000659931 0.000613362 0.056877 0.0528703 -1 -1 -1 -1 32 1935 18 6.65987e+06 266238 554710. 1919.41 0.50 0.131404 0.116679 22834 132086 -1 1679 20 973 1512 89191 22905 2.48425 2.48425 -100.528 -2.48425 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0266042 0.0232077 120 61 32 32 60 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_114.v common 2.46 vpr 62.71 MiB 0.05 6980 -1 -1 1 0.03 -1 -1 30100 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64212 32 32 339 283 1 164 85 17 17 289 -1 unnamed_device 23.7 MiB 0.12 887 15895 5437 7945 2513 62.7 MiB 0.16 0.00 3.38184 -117.323 -3.38184 3.38184 0.31 0.000669274 0.00062177 0.0597466 0.055526 -1 -1 -1 -1 32 2034 18 6.65987e+06 266238 554710. 1919.41 0.55 0.134997 0.119915 22834 132086 -1 1831 17 1041 1639 111184 26638 2.52931 2.52931 -106.482 -2.52931 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0246144 0.0214862 125 63 32 32 62 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_115.v common 2.59 vpr 62.83 MiB 0.05 7036 -1 -1 1 0.03 -1 -1 30716 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64340 32 32 407 319 1 198 105 17 17 289 -1 unnamed_device 23.9 MiB 0.11 1080 13937 3664 9212 1061 62.8 MiB 0.15 0.00 3.90984 -134.389 -3.90984 3.90984 0.32 0.000774896 0.000718761 0.046587 0.0431798 -1 -1 -1 -1 28 2591 22 6.65987e+06 519798 500653. 1732.36 0.58 0.139162 0.122734 21970 115934 -1 2361 23 2066 3170 201155 50958 3.71431 3.71431 -142.327 -3.71431 0 0 612192. 2118.31 0.03 0.09 0.10 -1 -1 0.03 0.0339076 0.0295498 158 65 64 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_116.v common 2.43 vpr 62.95 MiB 0.05 7192 -1 -1 1 0.03 -1 -1 30504 -1 -1 42 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64460 29 32 367 293 1 183 103 17 17 289 -1 unnamed_device 24.0 MiB 0.10 1000 10948 2708 7481 759 62.9 MiB 0.12 0.00 3.44084 -105.052 -3.44084 3.44084 0.31 0.000707491 0.000658083 0.0347288 0.0322952 -1 -1 -1 -1 32 2041 21 6.65987e+06 532476 554710. 1919.41 0.51 0.118473 0.104115 22834 132086 -1 1850 22 1076 1623 88236 23321 2.81351 2.81351 -106.952 -2.81351 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0302869 0.0263862 144 62 56 29 58 29 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_117.v common 3.38 vpr 63.86 MiB 0.05 7164 -1 -1 1 0.03 -1 -1 30712 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65392 32 32 469 381 1 200 105 17 17 289 -1 unnamed_device 24.3 MiB 0.16 1096 12949 3437 8756 756 63.9 MiB 0.15 0.00 3.95704 -136.689 -3.95704 3.95704 0.31 0.000862984 0.000803711 0.0482004 0.0447223 -1 -1 -1 -1 26 2981 27 6.65987e+06 519798 477104. 1650.88 1.42 0.250678 0.216651 21682 110474 -1 2340 21 1752 2735 172917 42283 3.87551 3.87551 -149.194 -3.87551 0 0 585099. 2024.56 0.03 0.08 0.09 -1 -1 0.03 0.0346275 0.030066 160 127 0 0 128 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_118.v common 2.24 vpr 62.71 MiB 0.04 6848 -1 -1 1 0.03 -1 -1 30328 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64216 31 32 259 212 1 146 82 17 17 289 -1 unnamed_device 23.6 MiB 0.06 694 12720 3647 7237 1836 62.7 MiB 0.11 0.00 2.9005 -94.7843 -2.9005 2.9005 0.31 0.000579212 0.000539434 0.042877 0.0399221 -1 -1 -1 -1 32 1622 17 6.65987e+06 240882 554710. 1919.41 0.49 0.107025 0.094788 22834 132086 -1 1459 17 771 1234 67713 18322 2.47851 2.47851 -94.3327 -2.47851 0 0 701300. 2426.64 0.03 0.05 0.11 -1 -1 0.03 0.0203558 0.017821 109 4 85 31 0 0 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_119.v common 3.33 vpr 63.71 MiB 0.05 7176 -1 -1 1 0.03 -1 -1 30396 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65240 32 32 418 338 1 190 102 17 17 289 -1 unnamed_device 24.0 MiB 0.09 1066 12716 3370 8608 738 63.7 MiB 0.14 0.00 4.13067 -135.986 -4.13067 4.13067 0.31 0.000785204 0.000729403 0.0456023 0.0421972 -1 -1 -1 -1 26 2687 32 6.65987e+06 481764 477104. 1650.88 1.50 0.224839 0.194903 21682 110474 -1 2099 23 1423 2143 149237 39624 3.57017 3.57017 -136.957 -3.57017 0 0 585099. 2024.56 0.02 0.08 0.09 -1 -1 0.02 0.0345441 0.0301138 149 92 28 28 92 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_120.v common 3.20 vpr 62.77 MiB 0.03 7040 -1 -1 1 0.03 -1 -1 30244 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64276 32 32 376 318 1 156 82 17 17 289 -1 unnamed_device 23.6 MiB 0.13 926 13254 4558 6661 2035 62.8 MiB 0.13 0.00 3.55944 -125.789 -3.55944 3.55944 0.31 0.000723045 0.000671323 0.0555964 0.051664 -1 -1 -1 -1 32 1849 23 6.65987e+06 228204 554710. 1919.41 1.41 0.231785 0.201204 22834 132086 -1 1667 17 1110 1612 89987 22309 3.08297 3.08297 -125.343 -3.08297 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0249941 0.0218771 117 96 0 0 96 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_121.v common 2.55 vpr 62.93 MiB 0.04 7000 -1 -1 1 0.03 -1 -1 30328 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64436 32 32 401 316 1 196 103 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1003 19142 5741 10397 3004 62.9 MiB 0.18 0.00 3.45184 -118.245 -3.45184 3.45184 0.32 0.000773902 0.000719397 0.063688 0.059114 -1 -1 -1 -1 32 2291 21 6.65987e+06 494442 554710. 1919.41 0.57 0.154084 0.136793 22834 132086 -1 1862 20 1350 2010 115617 29443 2.74451 2.74451 -110.58 -2.74451 0 0 701300. 2426.64 0.03 0.07 0.11 -1 -1 0.03 0.0308957 0.0270872 156 65 61 32 64 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_122.v common 3.04 vpr 63.47 MiB 0.05 7348 -1 -1 1 0.03 -1 -1 30736 -1 -1 47 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64996 32 32 500 382 1 232 111 17 17 289 -1 unnamed_device 24.4 MiB 0.15 1286 15273 3766 9701 1806 63.5 MiB 0.17 0.00 4.72967 -161.298 -4.72967 4.72967 0.31 0.000917339 0.000851608 0.0561514 0.0521487 -1 -1 -1 -1 26 3444 26 6.65987e+06 595866 477104. 1650.88 0.93 0.17674 0.155699 21682 110474 -1 2751 21 2134 3367 219700 52402 4.79157 4.79157 -170.054 -4.79157 0 0 585099. 2024.56 0.03 0.10 0.09 -1 -1 0.03 0.0383862 0.0335311 190 96 64 32 96 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_123.v common 2.98 vpr 62.69 MiB 0.04 6768 -1 -1 1 0.03 -1 -1 30160 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64192 30 32 246 229 1 118 80 17 17 289 -1 unnamed_device 23.1 MiB 0.08 541 7132 1642 4789 701 62.7 MiB 0.06 0.00 2.58318 -78.5582 -2.58318 2.58318 0.31 0.00052899 0.000492666 0.0236053 0.0219854 -1 -1 -1 -1 28 1428 32 6.65987e+06 228204 500653. 1732.36 1.30 0.153014 0.131237 21970 115934 -1 1128 14 573 813 60927 17486 1.63745 1.63745 -72.3167 -1.63745 0 0 612192. 2118.31 0.03 0.04 0.10 -1 -1 0.03 0.0158565 0.0138448 86 56 0 0 53 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_124.v common 2.34 vpr 62.70 MiB 0.04 6916 -1 -1 1 0.03 -1 -1 30448 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64204 30 32 296 244 1 137 80 17 17 289 -1 unnamed_device 23.6 MiB 0.11 646 13152 3617 7215 2320 62.7 MiB 0.11 0.00 3.30481 -102.112 -3.30481 3.30481 0.31 0.000613314 0.000570714 0.0492634 0.0458682 -1 -1 -1 -1 32 1416 19 6.65987e+06 228204 554710. 1919.41 0.50 0.11968 0.106111 22834 132086 -1 1271 19 886 1393 71493 19264 2.61931 2.61931 -98.109 -2.61931 0 0 701300. 2426.64 0.03 0.06 0.11 -1 -1 0.03 0.0249757 0.0218013 100 34 60 30 30 30 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_125.v common 3.36 vpr 62.68 MiB 0.04 7004 -1 -1 1 0.03 -1 -1 29968 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64180 32 32 314 256 1 167 85 17 17 289 -1 unnamed_device 23.7 MiB 0.08 836 10501 2382 7608 511 62.7 MiB 0.12 0.00 3.4859 -120.54 -3.4859 3.4859 0.31 0.000647384 0.000602776 0.0387371 0.0360456 -1 -1 -1 -1 30 2335 26 6.65987e+06 266238 526063. 1820.29 1.53 0.193827 0.168222 22546 126617 -1 1805 21 1249 2212 113029 28883 2.82977 2.82977 -114.788 -2.82977 0 0 666494. 2306.21 0.03 0.07 0.11 -1 -1 0.03 0.0269704 0.0235565 128 34 64 32 32 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_126.v common 2.50 vpr 62.52 MiB 0.04 7028 -1 -1 1 0.03 -1 -1 30464 -1 -1 38 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64020 25 32 251 214 1 138 95 17 17 289 -1 unnamed_device 23.4 MiB 0.05 608 15647 3933 10514 1200 62.5 MiB 0.12 0.00 3.31961 -85.6713 -3.31961 3.31961 0.31 0.00053389 0.000496806 0.0406082 0.0376997 -1 -1 -1 -1 26 1766 37 6.65987e+06 481764 477104. 1650.88 0.73 0.115752 0.101381 21682 110474 -1 1384 19 913 1343 87909 24454 2.89271 2.89271 -92.8305 -2.89271 0 0 585099. 2024.56 0.02 0.05 0.09 -1 -1 0.02 0.0201672 0.0175079 106 34 50 25 25 25 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_127.v common 2.60 vpr 62.96 MiB 0.05 7196 -1 -1 1 0.03 -1 -1 30440 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64472 32 32 432 346 1 193 88 17 17 289 -1 unnamed_device 23.9 MiB 0.12 1105 16078 5546 8034 2498 63.0 MiB 0.18 0.00 3.74158 -129.005 -3.74158 3.74158 0.31 0.000801314 0.00074477 0.0691049 0.0642531 -1 -1 -1 -1 32 2457 23 6.65987e+06 304272 554710. 1919.41 0.59 0.16526 0.14669 22834 132086 -1 2140 21 1584 2875 173957 42583 3.17765 3.17765 -125.504 -3.17765 0 0 701300. 2426.64 0.03 0.08 0.11 -1 -1 0.03 0.0328779 0.0286287 153 94 32 32 94 32 + fixed_k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml mult_128.v common 3.24 vpr 62.98 MiB 0.05 7296 -1 -1 1 0.03 -1 -1 30324 -1 -1 44 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64496 31 32 421 339 1 193 107 17 17 289 -1 unnamed_device 24.2 MiB 0.07 1092 17817 4773 10666 2378 63.0 MiB 0.17 0.00 3.5303 -118.773 -3.5303 3.5303 0.31 0.000784783 0.000729218 0.058218 0.0538467 -1 -1 -1 -1 28 2470 22 6.65987e+06 557832 500653. 1732.36 1.36 0.256565 0.222613 21970 115934 -1 2103 22 1837 2937 176557 43306 2.92871 2.92871 -116.544 -2.92871 0 0 612192. 2118.31 0.03 0.08 0.10 -1 -1 0.03 0.0329991 0.028701 154 94 29 29 93 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_001.v common 9.05 vpr 64.44 MiB 0.05 7264 -1 -1 1 0.03 -1 -1 30504 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65984 32 32 438 350 1 187 93 17 17 289 -1 unnamed_device 24.7 MiB 0.60 1068 16053 5016 9033 2004 64.4 MiB 0.15 0.00 3.77239 -143.21 -3.77239 3.77239 0.33 0.000819121 0.000752752 0.0650966 0.0603673 -1 -1 -1 -1 42 2696 36 6.95648e+06 419795 744469. 2576.02 6.47 0.380974 0.328752 27202 183097 -1 2317 23 2077 3288 264441 53308 3.91596 3.91596 -150.502 -3.91596 0 0 949917. 3286.91 0.04 0.10 0.14 -1 -1 0.04 0.0355501 0.030934 88 96 32 32 96 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_002.v common 4.39 vpr 63.74 MiB 0.05 7196 -1 -1 1 0.03 -1 -1 30664 -1 -1 15 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65268 30 32 409 330 1 175 77 17 17 289 -1 unnamed_device 24.8 MiB 1.05 835 12954 5477 7070 407 63.7 MiB 0.13 0.00 3.62689 -126.78 -3.62689 3.62689 0.33 0.000766347 0.000712217 0.0631769 0.0587644 -1 -1 -1 -1 38 2711 25 6.95648e+06 217135 678818. 2348.85 1.37 0.214696 0.187849 26626 170182 -1 2106 22 1943 3061 242645 53045 4.32786 4.32786 -151.679 -4.32786 0 0 902133. 3121.57 0.04 0.09 0.14 -1 -1 0.04 0.03302 0.0287582 76 91 30 30 89 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_003.v common 9.79 vpr 64.26 MiB 0.05 7192 -1 -1 1 0.03 -1 -1 30468 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65804 32 32 387 309 1 179 93 17 17 289 -1 unnamed_device 24.8 MiB 0.45 954 14583 4688 8255 1640 64.3 MiB 0.12 0.00 3.60914 -128.674 -3.60914 3.60914 0.33 0.000740677 0.000688699 0.0541311 0.0502708 -1 -1 -1 -1 40 2528 24 6.95648e+06 419795 706193. 2443.58 7.36 0.32699 0.283458 26914 176310 -1 2129 20 1567 2474 198115 44655 4.24322 4.24322 -143.568 -4.24322 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0297107 0.0259202 83 65 54 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_004.v common 3.19 vpr 63.40 MiB 0.05 7028 -1 -1 1 0.03 -1 -1 30612 -1 -1 17 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64920 29 32 343 267 1 176 78 17 17 289 -1 unnamed_device 24.5 MiB 0.25 1061 10204 3275 5543 1386 63.4 MiB 0.10 0.00 3.62689 -130.249 -3.62689 3.62689 0.33 0.000680633 0.00063309 0.0445894 0.041492 -1 -1 -1 -1 38 2540 28 6.95648e+06 246087 678818. 2348.85 1.10 0.161726 0.141209 26626 170182 -1 2162 24 1970 3085 253782 52395 3.96316 3.96316 -142.395 -3.96316 0 0 902133. 3121.57 0.04 0.09 0.13 -1 -1 0.04 0.0314043 0.0273255 79 34 87 29 29 29 + fixed_k6_frac_2ripple_N8_22nm.xml mult_005.v common 8.03 vpr 64.37 MiB 0.05 6972 -1 -1 1 0.03 -1 -1 30376 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65916 32 32 376 288 1 187 78 17 17 289 -1 unnamed_device 24.8 MiB 0.35 822 11366 4732 6273 361 64.4 MiB 0.12 0.00 3.66789 -136.565 -3.66789 3.66789 0.33 0.000742769 0.000689984 0.0532242 0.0494887 -1 -1 -1 -1 48 2549 24 6.95648e+06 202660 865456. 2994.66 5.76 0.284945 0.24735 28354 207349 -1 2110 21 2098 3593 283256 62966 3.93316 3.93316 -149.175 -3.93316 0 0 1.05005e+06 3633.38 0.04 0.10 0.16 -1 -1 0.04 0.0314303 0.0275526 79 34 96 32 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_006.v common 5.73 vpr 63.63 MiB 0.05 7140 -1 -1 1 0.03 -1 -1 30420 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65160 32 32 402 316 1 191 97 17 17 289 -1 unnamed_device 24.7 MiB 0.18 1077 14305 4216 7787 2302 63.6 MiB 0.12 0.00 3.01863 -119.444 -3.01863 3.01863 0.33 0.000765737 0.000708851 0.0521861 0.0483024 -1 -1 -1 -1 36 2784 41 6.95648e+06 477698 648988. 2245.63 3.67 0.310116 0.26763 26050 158493 -1 2431 19 1558 2270 202731 44402 3.16582 3.16582 -131.286 -3.16582 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0291793 0.0255119 93 64 63 32 63 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_007.v common 5.46 vpr 63.79 MiB 0.04 6936 -1 -1 1 0.03 -1 -1 30592 -1 -1 14 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65324 27 32 269 226 1 128 73 17 17 289 -1 unnamed_device 24.4 MiB 2.29 577 8129 3070 3829 1230 63.8 MiB 0.07 0.00 2.81185 -90.4167 -2.81185 2.81185 0.33 0.000567839 0.000528502 0.0325119 0.0302887 -1 -1 -1 -1 38 1395 35 6.95648e+06 202660 678818. 2348.85 1.37 0.158645 0.137322 26626 170182 -1 1153 18 916 1438 84006 20348 2.83942 2.83942 -96.2616 -2.83942 0 0 902133. 3121.57 0.03 0.05 0.14 -1 -1 0.03 0.0213373 0.0186289 56 34 54 27 27 27 + fixed_k6_frac_2ripple_N8_22nm.xml mult_008.v common 10.13 vpr 63.84 MiB 0.04 7012 -1 -1 1 0.03 -1 -1 30192 -1 -1 30 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65368 31 32 317 242 1 178 93 17 17 289 -1 unnamed_device 24.9 MiB 0.17 1057 11013 2654 7318 1041 63.8 MiB 0.10 0.00 2.9463 -110.957 -2.9463 2.9463 0.33 0.00067038 0.000621405 0.0371103 0.0345043 -1 -1 -1 -1 40 2428 25 6.95648e+06 434271 706193. 2443.58 8.04 0.307828 0.264922 26914 176310 -1 2119 24 1315 2149 186842 48456 3.20912 3.20912 -122.667 -3.20912 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0301775 0.0262335 85 4 115 31 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_009.v common 4.83 vpr 63.58 MiB 0.05 7020 -1 -1 1 0.03 -1 -1 30152 -1 -1 12 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65104 31 32 338 292 1 142 75 17 17 289 -1 unnamed_device 24.6 MiB 0.83 586 8449 2574 4566 1309 63.6 MiB 0.08 0.00 2.81185 -97.8774 -2.81185 2.81185 0.33 0.000668743 0.000621811 0.0381379 0.0354913 -1 -1 -1 -1 44 1586 30 6.95648e+06 173708 787024. 2723.27 2.17 0.237151 0.20446 27778 195446 -1 1104 20 825 1227 84252 23016 2.94562 2.94562 -102.672 -2.94562 0 0 997811. 3452.63 0.04 0.06 0.16 -1 -1 0.04 0.0263065 0.0229401 57 85 0 0 84 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_010.v common 4.82 vpr 63.41 MiB 0.05 6848 -1 -1 1 0.03 -1 -1 30272 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64936 32 32 314 256 1 156 75 17 17 289 -1 unnamed_device 24.3 MiB 0.79 627 9871 4078 5538 255 63.4 MiB 0.10 0.00 2.97205 -115.921 -2.97205 2.97205 0.34 0.000647028 0.0006013 0.0438341 0.0408459 -1 -1 -1 -1 42 1803 27 6.95648e+06 159232 744469. 2576.02 2.15 0.268233 0.23105 27202 183097 -1 1493 23 1574 2212 156517 37213 2.93652 2.93652 -121.97 -2.93652 0 0 949917. 3286.91 0.04 0.07 0.14 -1 -1 0.04 0.0289656 0.0252216 63 34 64 32 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_011.v common 5.95 vpr 63.62 MiB 0.05 7048 -1 -1 1 0.03 -1 -1 30160 -1 -1 13 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65152 30 32 325 273 1 146 75 17 17 289 -1 unnamed_device 24.6 MiB 0.86 754 9397 3857 5236 304 63.6 MiB 0.09 0.00 2.95005 -111.061 -2.95005 2.95005 0.33 0.000644862 0.000599321 0.0406386 0.0377981 -1 -1 -1 -1 32 2003 39 6.95648e+06 188184 586450. 2029.24 3.23 0.271513 0.234061 25474 144626 -1 1696 21 1434 2017 171701 37391 3.20012 3.20012 -125.609 -3.20012 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0266087 0.0231499 61 63 30 30 60 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_012.v common 8.43 vpr 63.57 MiB 0.05 6940 -1 -1 1 0.03 -1 -1 30404 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65096 32 32 331 280 1 150 82 17 17 289 -1 unnamed_device 24.6 MiB 0.52 812 11118 3920 5250 1948 63.6 MiB 0.10 0.00 2.9793 -108.709 -2.9793 2.9793 0.33 0.000663809 0.000617097 0.0433514 0.0403456 -1 -1 -1 -1 34 2568 40 6.95648e+06 260562 618332. 2139.56 6.06 0.321941 0.276426 25762 151098 -1 1997 23 1324 2070 202850 43814 3.33157 3.33157 -128.102 -3.33157 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0286155 0.0248406 64 65 25 25 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_013.v common 5.25 vpr 64.24 MiB 0.05 7240 -1 -1 1 0.03 -1 -1 30388 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65784 32 32 386 305 1 180 93 17 17 289 -1 unnamed_device 24.7 MiB 0.97 843 12273 3333 7125 1815 64.2 MiB 0.11 0.00 3.1024 -117.364 -3.1024 3.1024 0.33 0.000747522 0.000693546 0.046333 0.0430235 -1 -1 -1 -1 48 2221 29 6.95648e+06 419795 865456. 2994.66 2.32 0.27049 0.233776 28354 207349 -1 1863 24 1799 2848 234300 53233 3.29227 3.29227 -127.018 -3.29227 0 0 1.05005e+06 3633.38 0.04 0.09 0.16 -1 -1 0.04 0.0340455 0.0296608 84 58 64 32 57 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_014.v common 5.29 vpr 63.81 MiB 0.02 7136 -1 -1 1 0.03 -1 -1 30496 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65344 32 32 407 319 1 192 94 17 17 289 -1 unnamed_device 24.8 MiB 0.60 836 13939 4431 7094 2414 63.8 MiB 0.13 0.00 3.86969 -139.597 -3.86969 3.86969 0.33 0.000781202 0.00072361 0.0540096 0.0501271 -1 -1 -1 -1 48 2382 47 6.95648e+06 434271 865456. 2994.66 2.91 0.339439 0.293708 28354 207349 -1 1936 22 2028 3003 232916 52891 4.27316 4.27316 -150.988 -4.27316 0 0 1.05005e+06 3633.38 0.04 0.05 0.12 -1 -1 0.04 0.0181227 0.0160795 90 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_015.v common 3.53 vpr 63.25 MiB 0.04 6956 -1 -1 1 0.03 -1 -1 30664 -1 -1 14 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64768 29 32 272 228 1 138 75 17 17 289 -1 unnamed_device 24.3 MiB 0.47 566 7975 3221 4328 426 63.2 MiB 0.07 0.00 2.93836 -96.7018 -2.93836 2.93836 0.33 0.000579085 0.000538813 0.0311578 0.0289894 -1 -1 -1 -1 42 1839 31 6.95648e+06 202660 744469. 2576.02 1.21 0.15386 0.133224 27202 183097 -1 1249 21 1035 1597 116659 31176 3.08382 3.08382 -109.335 -3.08382 0 0 949917. 3286.91 0.04 0.06 0.14 -1 -1 0.04 0.0240087 0.0208894 57 29 58 29 24 24 + fixed_k6_frac_2ripple_N8_22nm.xml mult_016.v common 9.88 vpr 64.35 MiB 0.04 7192 -1 -1 1 0.03 -1 -1 30420 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65896 32 32 401 315 1 186 78 17 17 289 -1 unnamed_device 24.8 MiB 1.16 1051 6718 1470 4903 345 64.4 MiB 0.08 0.00 2.93285 -118.01 -2.93285 2.93285 0.33 0.000766349 0.0007122 0.0337949 0.0314084 -1 -1 -1 -1 40 2582 20 6.95648e+06 202660 706193. 2443.58 6.81 0.326427 0.281084 26914 176310 -1 2318 21 1946 3047 245185 52239 3.19032 3.19032 -129.521 -3.19032 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0315594 0.0275657 79 63 64 32 62 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_017.v common 8.08 vpr 64.39 MiB 0.04 7100 -1 -1 1 0.03 -1 -1 30292 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65932 32 32 383 303 1 179 93 17 17 289 -1 unnamed_device 24.9 MiB 1.10 807 7443 1468 5595 380 64.4 MiB 0.08 0.00 3.0804 -116.29 -3.0804 3.0804 0.33 0.000743967 0.00068945 0.0294348 0.0269957 -1 -1 -1 -1 40 2287 38 6.95648e+06 419795 706193. 2443.58 5.09 0.329903 0.283274 26914 176310 -1 1865 24 1671 2241 168965 43042 3.42677 3.42677 -133.373 -3.42677 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0341624 0.0297364 83 57 64 32 56 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_018.v common 6.98 vpr 63.63 MiB 0.04 7088 -1 -1 1 0.03 -1 -1 30068 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65160 32 32 339 284 1 156 85 17 17 289 -1 unnamed_device 24.6 MiB 0.56 700 10687 3483 5569 1635 63.6 MiB 0.09 0.00 2.22111 -90.2873 -2.22111 2.22111 0.33 0.000670523 0.000622423 0.0409654 0.0380687 -1 -1 -1 -1 38 1911 29 6.95648e+06 303989 678818. 2348.85 4.56 0.280097 0.241158 26626 170182 -1 1497 28 1606 2322 200743 56036 2.19418 2.19418 -95.8841 -2.19418 0 0 902133. 3121.57 0.03 0.10 0.14 -1 -1 0.03 0.0347281 0.0300728 69 65 29 29 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_019.v common 3.85 vpr 63.71 MiB 0.04 6752 -1 -1 1 0.03 -1 -1 30184 -1 -1 12 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65240 30 32 226 208 1 116 74 17 17 289 -1 unnamed_device 24.3 MiB 0.14 714 10149 3183 6390 576 63.7 MiB 0.08 0.00 2.21746 -84.2994 -2.21746 2.21746 0.33 0.000510843 0.000475833 0.0346548 0.0322729 -1 -1 -1 -1 38 1456 26 6.95648e+06 173708 678818. 2348.85 1.91 0.186234 0.160283 26626 170182 -1 1292 20 731 961 83650 19590 2.05938 2.05938 -89.0505 -2.05938 0 0 902133. 3121.57 0.03 0.05 0.13 -1 -1 0.03 0.0200003 0.0173963 46 34 24 24 30 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_020.v common 9.11 vpr 63.62 MiB 0.05 7060 -1 -1 1 0.03 -1 -1 30540 -1 -1 12 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65152 31 32 335 280 1 151 75 17 17 289 -1 unnamed_device 24.6 MiB 0.74 615 9397 3718 5138 541 63.6 MiB 0.09 0.00 2.93905 -108.96 -2.93905 2.93905 0.33 0.000657083 0.000609906 0.0414978 0.0386046 -1 -1 -1 -1 38 2293 48 6.95648e+06 173708 678818. 2348.85 6.66 0.355163 0.305281 26626 170182 -1 1458 20 1336 2012 153236 36799 3.14792 3.14792 -120.423 -3.14792 0 0 902133. 3121.57 0.03 0.04 0.10 -1 -1 0.03 0.0149913 0.0133587 63 64 31 31 62 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_021.v common 8.10 vpr 64.19 MiB 0.04 6944 -1 -1 1 0.03 -1 -1 30128 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65732 32 32 366 283 1 184 93 17 17 289 -1 unnamed_device 24.5 MiB 0.25 997 17103 6265 8394 2444 64.2 MiB 0.14 0.00 3.69567 -136.934 -3.69567 3.69567 0.33 0.000731221 0.000678763 0.0622524 0.057691 -1 -1 -1 -1 42 2313 26 6.95648e+06 419795 744469. 2576.02 5.88 0.358173 0.310138 27202 183097 -1 2062 22 1847 2689 211163 46091 3.91726 3.91726 -142.301 -3.91726 0 0 949917. 3286.91 0.04 0.09 0.15 -1 -1 0.04 0.0314668 0.0274955 87 34 91 32 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_022.v common 10.43 vpr 64.68 MiB 0.05 7160 -1 -1 1 0.03 -1 -1 30480 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66228 32 32 460 375 1 188 97 17 17 289 -1 unnamed_device 25.2 MiB 0.68 913 15637 5183 8289 2165 64.7 MiB 0.15 0.00 3.51679 -123.901 -3.51679 3.51679 0.33 0.00085411 0.000786441 0.0626649 0.0580656 -1 -1 -1 -1 40 2661 45 6.95648e+06 477698 706193. 2443.58 7.75 0.387726 0.333546 26914 176310 -1 2206 23 1608 2553 215918 49708 4.17766 4.17766 -139.678 -4.17766 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0375049 0.0324737 91 124 0 0 125 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_023.v common 3.98 vpr 63.32 MiB 0.04 6848 -1 -1 1 0.03 -1 -1 30632 -1 -1 15 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64844 26 32 198 186 1 104 73 17 17 289 -1 unnamed_device 23.9 MiB 0.27 379 7977 2789 3740 1448 63.3 MiB 0.05 0.00 2.19726 -67.1822 -2.19726 2.19726 0.33 0.000439849 0.000409129 0.0246218 0.022925 -1 -1 -1 -1 48 727 22 6.95648e+06 217135 865456. 2994.66 1.95 0.134511 0.116008 28354 207349 -1 550 18 469 653 34582 10256 1.83358 1.83358 -63.1564 -1.83358 0 0 1.05005e+06 3633.38 0.04 0.04 0.17 -1 -1 0.04 0.016398 0.0143249 44 30 26 26 22 22 + fixed_k6_frac_2ripple_N8_22nm.xml mult_024.v common 5.64 vpr 63.48 MiB 0.05 7028 -1 -1 1 0.03 -1 -1 30172 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65004 32 32 333 251 1 180 78 17 17 289 -1 unnamed_device 24.5 MiB 0.67 787 12030 5053 6475 502 63.5 MiB 0.11 0.00 3.59569 -129.267 -3.59569 3.59569 0.33 0.000687608 0.000639524 0.0524654 0.0488201 -1 -1 -1 -1 58 1795 21 6.95648e+06 202660 997811. 3452.63 3.00 0.29439 0.254849 30370 251734 -1 1512 24 1732 2662 225367 53652 3.65616 3.65616 -131.417 -3.65616 0 0 1.25153e+06 4330.55 0.05 0.09 0.20 -1 -1 0.05 0.0317158 0.0276682 77 3 122 32 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_025.v common 4.01 vpr 63.53 MiB 0.04 6804 -1 -1 1 0.03 -1 -1 30352 -1 -1 10 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65052 32 32 199 182 1 119 74 17 17 289 -1 unnamed_device 24.1 MiB 0.12 487 9529 3946 5359 224 63.5 MiB 0.07 0.00 2.15326 -81.2562 -2.15326 2.15326 0.33 0.000468438 0.000435289 0.0304786 0.0283492 -1 -1 -1 -1 42 1321 49 6.95648e+06 144757 744469. 2576.02 2.13 0.198804 0.171427 27202 183097 -1 963 20 738 955 63722 17445 2.03118 2.03118 -78.7637 -2.03118 0 0 949917. 3286.91 0.04 0.05 0.14 -1 -1 0.04 0.0187186 0.0163702 44 3 53 32 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_026.v common 10.49 vpr 64.30 MiB 0.05 6924 -1 -1 1 0.03 -1 -1 30668 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65848 32 32 376 288 1 187 92 17 17 289 -1 unnamed_device 24.8 MiB 0.51 850 14996 5579 7682 1735 64.3 MiB 0.14 0.00 3.85869 -140.362 -3.85869 3.85869 0.33 0.000736158 0.000682167 0.056138 0.0520486 -1 -1 -1 -1 40 2840 40 6.95648e+06 405319 706193. 2443.58 8.04 0.352843 0.305485 26914 176310 -1 2120 23 2012 3086 242734 57877 4.42626 4.42626 -159.068 -4.42626 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0327553 0.0286021 87 34 96 32 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_027.v common 3.47 vpr 63.58 MiB 0.05 6956 -1 -1 1 0.03 -1 -1 30188 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65104 32 32 337 253 1 188 96 17 17 289 -1 unnamed_device 24.5 MiB 0.18 1044 14769 5137 7517 2115 63.6 MiB 0.12 0.00 3.03615 -119.375 -3.03615 3.03615 0.34 0.000710069 0.000660757 0.049688 0.0460993 -1 -1 -1 -1 38 2671 25 6.95648e+06 463222 678818. 2348.85 1.35 0.19296 0.168688 26626 170182 -1 2245 19 1605 2398 185656 40800 3.33622 3.33622 -130.095 -3.33622 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0272516 0.0239107 90 3 124 32 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_028.v common 5.90 vpr 63.54 MiB 0.05 7128 -1 -1 1 0.03 -1 -1 30556 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65060 32 32 407 319 1 189 95 17 17 289 -1 unnamed_device 24.7 MiB 0.32 926 12191 3854 6266 2071 63.5 MiB 0.11 0.00 3.79749 -137.038 -3.79749 3.79749 0.34 0.00077154 0.000714299 0.0465801 0.0431062 -1 -1 -1 -1 46 2697 29 6.95648e+06 448746 828058. 2865.25 3.55 0.335638 0.289506 28066 200906 -1 2092 23 2116 3486 273321 61743 3.86766 3.86766 -147.614 -3.86766 0 0 1.01997e+06 3529.29 0.04 0.10 0.16 -1 -1 0.04 0.0343719 0.0299749 90 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_029.v common 4.86 vpr 63.22 MiB 0.04 6872 -1 -1 1 0.03 -1 -1 30116 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64736 32 32 294 246 1 146 75 17 17 289 -1 unnamed_device 24.2 MiB 0.62 578 10819 4344 5833 642 63.2 MiB 0.09 0.00 2.9374 -102.673 -2.9374 2.9374 0.33 0.000617179 0.000573951 0.0445039 0.0414198 -1 -1 -1 -1 40 2030 34 6.95648e+06 159232 706193. 2443.58 2.41 0.179381 0.156219 26914 176310 -1 1381 23 1086 1653 119084 31432 3.02602 3.02602 -112.76 -3.02602 0 0 926341. 3205.33 0.04 0.06 0.14 -1 -1 0.04 0.0273198 0.0237438 58 34 54 32 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_030.v common 7.03 vpr 63.46 MiB 0.04 6980 -1 -1 1 0.03 -1 -1 30284 -1 -1 13 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64980 30 32 296 244 1 148 75 17 17 289 -1 unnamed_device 24.4 MiB 0.35 764 10819 4484 6013 322 63.5 MiB 0.10 0.00 2.98585 -109.751 -2.98585 2.98585 0.33 0.000613162 0.000570255 0.0440243 0.0409655 -1 -1 -1 -1 34 2330 29 6.95648e+06 188184 618332. 2139.56 4.83 0.287373 0.247016 25762 151098 -1 1892 21 1540 2244 220161 47252 3.23622 3.23622 -127.983 -3.23622 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0256863 0.0223797 61 34 60 30 30 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_031.v common 7.23 vpr 63.40 MiB 0.05 7000 -1 -1 1 0.03 -1 -1 30480 -1 -1 15 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64924 28 32 278 232 1 144 75 17 17 289 -1 unnamed_device 24.4 MiB 0.19 570 8923 3287 4359 1277 63.4 MiB 0.08 0.00 2.93711 -98.4516 -2.93711 2.93711 0.33 0.000588567 0.000547583 0.0351423 0.0327418 -1 -1 -1 -1 38 1932 34 6.95648e+06 217135 678818. 2348.85 5.22 0.287544 0.246858 26626 170182 -1 1447 22 1298 2091 175631 46372 2.85332 2.85332 -103.065 -2.85332 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.025029 0.0217427 61 34 56 28 28 28 + fixed_k6_frac_2ripple_N8_22nm.xml mult_032.v common 6.71 vpr 63.32 MiB 0.05 6860 -1 -1 1 0.03 -1 -1 30440 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64836 32 32 283 225 1 160 75 17 17 289 -1 unnamed_device 24.2 MiB 0.20 909 7659 2345 4154 1160 63.3 MiB 0.08 0.00 2.96105 -120.538 -2.96105 2.96105 0.33 0.000614934 0.000572759 0.0321851 0.029993 -1 -1 -1 -1 36 2264 24 6.95648e+06 159232 648988. 2245.63 4.57 0.254177 0.218786 26050 158493 -1 2127 35 2145 3208 385879 112738 3.42852 3.42852 -135.186 -3.42852 0 0 828058. 2865.25 0.03 0.15 0.13 -1 -1 0.03 0.0384164 0.0331832 65 3 96 32 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_033.v common 6.97 vpr 63.65 MiB 0.04 6964 -1 -1 1 0.03 -1 -1 30288 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65180 31 32 303 249 1 156 88 17 17 289 -1 unnamed_device 24.5 MiB 0.14 937 13933 4254 8002 1677 63.7 MiB 0.11 0.00 2.93905 -114.482 -2.93905 2.93905 0.33 0.000624238 0.000580566 0.0469199 0.0436134 -1 -1 -1 -1 34 2695 47 6.95648e+06 361892 618332. 2139.56 4.99 0.264831 0.2289 25762 151098 -1 2093 23 1427 2391 211738 45537 3.46882 3.46882 -126.82 -3.46882 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0279367 0.0242896 72 34 61 31 31 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_034.v common 7.72 vpr 63.73 MiB 0.05 7100 -1 -1 1 0.03 -1 -1 30124 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65264 29 32 312 264 1 148 84 17 17 289 -1 unnamed_device 24.6 MiB 0.31 635 11064 3909 4785 2370 63.7 MiB 0.09 0.00 2.21891 -81.6895 -2.21891 2.21891 0.33 0.000623436 0.000578988 0.039937 0.0370801 -1 -1 -1 -1 40 1902 46 6.95648e+06 332941 706193. 2443.58 5.55 0.317169 0.271688 26914 176310 -1 1570 27 1498 2404 191874 48434 2.31468 2.31468 -91.5414 -2.31468 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0312514 0.0270471 68 61 29 29 57 29 + fixed_k6_frac_2ripple_N8_22nm.xml mult_035.v common 5.37 vpr 64.55 MiB 0.05 7212 -1 -1 1 0.03 -1 -1 30488 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66104 32 32 423 310 1 219 101 17 17 289 -1 unnamed_device 24.8 MiB 0.45 1182 15141 4783 8004 2354 64.6 MiB 0.14 0.00 3.79319 -141.215 -3.79319 3.79319 0.33 0.000835201 0.00076864 0.0565569 0.0524524 -1 -1 -1 -1 44 3177 26 6.95648e+06 535600 787024. 2723.27 2.97 0.346037 0.299004 27778 195446 -1 2566 22 2200 3706 303319 62532 3.75956 3.75956 -147.814 -3.75956 0 0 997811. 3452.63 0.04 0.10 0.15 -1 -1 0.04 0.0355552 0.031018 107 29 128 32 27 27 + fixed_k6_frac_2ripple_N8_22nm.xml mult_036.v common 4.96 vpr 64.28 MiB 0.05 7128 -1 -1 1 0.03 -1 -1 30384 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65824 32 32 403 317 1 190 96 17 17 289 -1 unnamed_device 24.7 MiB 0.67 1060 16083 4486 9706 1891 64.3 MiB 0.14 0.00 3.02515 -122.785 -3.02515 3.02515 0.36 0.000776524 0.000719284 0.0599554 0.0555913 -1 -1 -1 -1 42 2479 26 6.95648e+06 463222 744469. 2576.02 2.29 0.295102 0.255558 27202 183097 -1 2157 23 2086 3055 229666 48273 3.12482 3.12482 -130.181 -3.12482 0 0 949917. 3286.91 0.04 0.09 0.15 -1 -1 0.04 0.0340014 0.029636 91 65 62 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_037.v common 10.45 vpr 63.68 MiB 0.04 7104 -1 -1 1 0.03 -1 -1 30608 -1 -1 22 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65204 31 32 353 302 1 150 85 17 17 289 -1 unnamed_device 24.7 MiB 0.65 688 11989 4947 6706 336 63.7 MiB 0.11 0.00 2.91705 -107.28 -2.91705 2.91705 0.33 0.000677152 0.000628064 0.0462118 0.0428625 -1 -1 -1 -1 40 2260 46 6.95648e+06 318465 706193. 2443.58 7.90 0.363939 0.312893 26914 176310 -1 1647 21 1197 1754 139903 37323 3.58512 3.58512 -121.652 -3.58512 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.0276131 0.0240416 68 90 0 0 89 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_038.v common 4.90 vpr 63.52 MiB 0.05 7176 -1 -1 1 0.03 -1 -1 30524 -1 -1 16 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65048 31 32 391 309 1 186 79 17 17 289 -1 unnamed_device 24.7 MiB 0.29 1020 11740 4526 5855 1359 63.5 MiB 0.12 0.00 2.94205 -115.143 -2.94205 2.94205 0.34 0.000751588 0.000697784 0.055176 0.0513098 -1 -1 -1 -1 40 2416 27 6.95648e+06 231611 706193. 2443.58 2.66 0.312927 0.270644 26914 176310 -1 2133 26 1889 2968 249994 51957 3.14012 3.14012 -121.058 -3.14012 0 0 926341. 3205.33 0.04 0.10 0.14 -1 -1 0.04 0.0363486 0.0315556 81 64 60 30 62 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_039.v common 4.84 vpr 64.55 MiB 0.05 7320 -1 -1 1 0.03 -1 -1 30488 -1 -1 15 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66100 31 32 455 371 1 184 78 17 17 289 -1 unnamed_device 24.9 MiB 1.50 845 13524 5793 7234 497 64.6 MiB 0.14 0.00 3.79794 -133.542 -3.79794 3.79794 0.33 0.000826826 0.000767866 0.0704672 0.0655095 -1 -1 -1 -1 40 2621 30 6.95648e+06 217135 706193. 2443.58 1.31 0.215857 0.189013 26914 176310 -1 2112 26 2232 3557 295737 79592 4.39226 4.39226 -155.198 -4.39226 0 0 926341. 3205.33 0.04 0.11 0.14 -1 -1 0.04 0.0400289 0.0346379 81 124 0 0 124 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_040.v common 8.74 vpr 63.83 MiB 0.05 7260 -1 -1 1 0.03 -1 -1 30448 -1 -1 15 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65364 31 32 413 333 1 178 78 17 17 289 -1 unnamed_device 24.9 MiB 0.64 744 12528 4183 6411 1934 63.8 MiB 0.12 0.00 3.64219 -126.153 -3.64219 3.64219 0.33 0.000772345 0.000716219 0.0606708 0.0563187 -1 -1 -1 -1 42 2514 47 6.95648e+06 217135 744469. 2576.02 6.18 0.374894 0.323365 27202 183097 -1 1790 23 1760 2818 231154 58009 4.61576 4.61576 -143.948 -4.61576 0 0 949917. 3286.91 0.04 0.09 0.15 -1 -1 0.04 0.0341718 0.0297951 78 90 31 31 89 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_041.v common 5.76 vpr 63.62 MiB 0.05 7196 -1 -1 1 0.03 -1 -1 30440 -1 -1 32 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65148 31 32 391 309 1 185 95 17 17 289 -1 unnamed_device 24.7 MiB 0.60 833 16727 6159 7639 2929 63.6 MiB 0.13 0.00 3.07645 -112.117 -3.07645 3.07645 0.33 0.00075877 0.000697402 0.0612213 0.0566211 -1 -1 -1 -1 54 2380 48 6.95648e+06 463222 949917. 3286.91 3.13 0.352561 0.3047 29506 232905 -1 1870 24 1751 2687 208194 54574 3.21392 3.21392 -120.57 -3.21392 0 0 1.17392e+06 4061.99 0.04 0.09 0.19 -1 -1 0.04 0.0341933 0.0297484 91 64 60 31 62 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_042.v common 7.73 vpr 63.76 MiB 0.05 7168 -1 -1 1 0.03 -1 -1 30540 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65288 32 32 407 319 1 190 94 17 17 289 -1 unnamed_device 24.9 MiB 0.43 853 14791 5699 7190 1902 63.8 MiB 0.13 0.00 3.73819 -136.255 -3.73819 3.73819 0.33 0.000773887 0.000711721 0.0562949 0.0520846 -1 -1 -1 -1 50 2753 48 6.95648e+06 434271 902133. 3121.57 5.26 0.36474 0.315504 28642 213929 -1 2020 24 2127 3504 344931 92249 4.37936 4.37936 -154.201 -4.37936 0 0 1.08113e+06 3740.92 0.04 0.12 0.17 -1 -1 0.04 0.0348584 0.0303644 90 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_043.v common 12.50 vpr 64.77 MiB 0.05 7460 -1 -1 1 0.03 -1 -1 30616 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66324 32 32 496 380 1 222 100 17 17 289 -1 unnamed_device 25.1 MiB 0.73 1057 16340 5094 8783 2463 64.8 MiB 0.16 0.00 3.78673 -138.079 -3.78673 3.78673 0.34 0.00091706 0.000851471 0.0680425 0.0631166 -1 -1 -1 -1 38 3471 44 6.95648e+06 521125 678818. 2348.85 9.61 0.43452 0.375769 26626 170182 -1 2642 31 2982 4692 455317 140481 4.28276 4.28276 -155.92 -4.28276 0 0 902133. 3121.57 0.03 0.18 0.14 -1 -1 0.03 0.0522211 0.0452567 108 96 62 32 96 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_044.v common 3.34 vpr 63.36 MiB 0.04 6888 -1 -1 1 0.03 -1 -1 30628 -1 -1 12 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64876 31 32 305 250 1 152 75 17 17 289 -1 unnamed_device 24.2 MiB 0.46 884 8607 2979 4547 1081 63.4 MiB 0.08 0.00 2.95005 -115.47 -2.95005 2.95005 0.33 0.000640495 0.000596127 0.0363881 0.0338937 -1 -1 -1 -1 34 2212 34 6.95648e+06 173708 618332. 2139.56 1.07 0.147338 0.128318 25762 151098 -1 1968 25 1702 2549 226762 48405 3.34722 3.34722 -134.164 -3.34722 0 0 787024. 2723.27 0.03 0.09 0.12 -1 -1 0.03 0.0296206 0.0256822 64 34 62 31 31 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_045.v common 3.45 vpr 64.32 MiB 0.05 7184 -1 -1 1 0.03 -1 -1 30376 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65864 31 32 395 311 1 188 98 17 17 289 -1 unnamed_device 24.8 MiB 0.39 989 16748 5604 8391 2753 64.3 MiB 0.15 0.00 3.79506 -135.536 -3.79506 3.79506 0.33 0.000757519 0.000701627 0.059186 0.0547998 -1 -1 -1 -1 40 2470 25 6.95648e+06 506649 706193. 2443.58 1.23 0.193225 0.169288 26914 176310 -1 2238 31 2339 3708 347876 92384 4.08526 4.08526 -147.457 -4.08526 0 0 926341. 3205.33 0.03 0.09 0.10 -1 -1 0.03 0.0229175 0.0200935 94 64 62 31 62 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_046.v common 9.83 vpr 64.24 MiB 0.05 7192 -1 -1 1 0.03 -1 -1 30516 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65780 32 32 397 313 1 188 97 17 17 289 -1 unnamed_device 24.8 MiB 0.37 1148 16303 5501 8667 2135 64.2 MiB 0.15 0.00 3.03615 -120.283 -3.03615 3.03615 0.33 0.000762575 0.000706804 0.0585653 0.0542728 -1 -1 -1 -1 40 3009 39 6.95648e+06 477698 706193. 2443.58 7.50 0.334194 0.28839 26914 176310 -1 2538 23 1940 3291 262378 55301 3.13692 3.13692 -127.574 -3.13692 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0336333 0.029197 90 63 62 32 62 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_047.v common 5.99 vpr 64.25 MiB 0.05 7092 -1 -1 1 0.03 -1 -1 30516 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65788 32 32 345 257 1 187 78 17 17 289 -1 unnamed_device 24.6 MiB 0.72 808 11532 4802 6262 468 64.2 MiB 0.11 0.00 3.66789 -136.287 -3.66789 3.66789 0.33 0.000707703 0.000657989 0.0517912 0.0481796 -1 -1 -1 -1 50 2475 27 6.95648e+06 202660 902133. 3121.57 3.27 0.284452 0.246852 28642 213929 -1 2091 25 1980 3343 311147 72023 4.03826 4.03826 -147.211 -4.03826 0 0 1.08113e+06 3740.92 0.04 0.10 0.17 -1 -1 0.04 0.03405 0.0297223 79 3 128 32 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_048.v common 10.76 vpr 63.57 MiB 0.04 7312 -1 -1 1 0.03 -1 -1 30428 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65100 32 32 424 343 1 182 96 17 17 289 -1 unnamed_device 24.7 MiB 1.02 1065 16521 4546 10556 1419 63.6 MiB 0.14 0.00 3.1848 -124.83 -3.1848 3.1848 0.33 0.000785387 0.000728964 0.0617577 0.0572851 -1 -1 -1 -1 38 2687 33 6.95648e+06 463222 678818. 2348.85 7.75 0.368946 0.319487 26626 170182 -1 2320 22 1567 2540 210400 44525 3.66637 3.66637 -135.719 -3.66637 0 0 902133. 3121.57 0.03 0.09 0.14 -1 -1 0.03 0.0336424 0.0293054 87 96 25 25 96 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_049.v common 7.18 vpr 63.57 MiB 0.02 7124 -1 -1 1 0.03 -1 -1 30252 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65092 32 32 395 311 1 186 99 17 17 289 -1 unnamed_device 24.8 MiB 0.50 1083 9903 2382 6922 599 63.6 MiB 0.10 0.00 3.01415 -121.42 -3.01415 3.01415 0.33 0.000772534 0.000710303 0.0358282 0.0332014 -1 -1 -1 -1 44 2470 21 6.95648e+06 506649 787024. 2723.27 4.75 0.297318 0.256316 27778 195446 -1 2151 19 1429 2157 174479 36240 2.78492 2.78492 -121.017 -2.78492 0 0 997811. 3452.63 0.04 0.07 0.15 -1 -1 0.04 0.0288609 0.0252417 90 61 64 32 60 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_050.v common 5.43 vpr 64.67 MiB 0.05 7044 -1 -1 1 0.03 -1 -1 30440 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66224 32 32 405 318 1 192 96 17 17 289 -1 unnamed_device 25.1 MiB 0.39 855 12579 3955 6361 2263 64.7 MiB 0.11 0.00 3.07535 -115.588 -3.07535 3.07535 0.33 0.000772691 0.000715687 0.0472455 0.0437473 -1 -1 -1 -1 52 2408 35 6.95648e+06 463222 926341. 3205.33 3.06 0.300148 0.259567 29218 227130 -1 1649 23 1921 2960 212859 52816 2.98672 2.98672 -119.129 -2.98672 0 0 1.14541e+06 3963.36 0.04 0.09 0.18 -1 -1 0.04 0.0340922 0.0297115 92 65 63 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_051.v common 8.45 vpr 64.41 MiB 0.05 7064 -1 -1 1 0.03 -1 -1 30688 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65956 32 32 376 288 1 186 95 17 17 289 -1 unnamed_device 24.8 MiB 0.51 904 11975 3915 5851 2209 64.4 MiB 0.11 0.00 3.80196 -138.017 -3.80196 3.80196 0.33 0.000744874 0.000691059 0.0437331 0.0405233 -1 -1 -1 -1 54 2450 40 6.95648e+06 448746 949917. 3286.91 5.92 0.36777 0.316519 29506 232905 -1 2084 23 1932 3144 338376 81728 3.85486 3.85486 -141.939 -3.85486 0 0 1.17392e+06 4061.99 0.04 0.11 0.19 -1 -1 0.04 0.0326879 0.0285039 88 34 96 32 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_052.v common 4.84 vpr 64.45 MiB 0.05 7040 -1 -1 1 0.03 -1 -1 30588 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65992 32 32 407 319 1 189 97 17 17 289 -1 unnamed_device 24.8 MiB 0.63 835 16303 5172 8841 2290 64.4 MiB 0.14 0.00 3.71319 -134.96 -3.71319 3.71319 0.33 0.000775862 0.000713547 0.0593351 0.0549642 -1 -1 -1 -1 38 2726 37 6.95648e+06 477698 678818. 2348.85 2.25 0.230379 0.20089 26626 170182 -1 2109 24 2198 3267 259879 60536 4.32506 4.32506 -159.241 -4.32506 0 0 902133. 3121.57 0.03 0.11 0.14 -1 -1 0.03 0.0373856 0.0327113 91 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_053.v common 13.29 vpr 63.77 MiB 0.05 7336 -1 -1 1 0.03 -1 -1 30572 -1 -1 33 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65304 31 32 449 367 1 185 96 17 17 289 -1 unnamed_device 24.8 MiB 0.75 891 14550 4960 7100 2490 63.8 MiB 0.14 0.00 3.69719 -129.205 -3.69719 3.69719 0.33 0.000822953 0.000762375 0.057658 0.0533147 -1 -1 -1 -1 40 2786 29 6.95648e+06 477698 706193. 2443.58 10.56 0.40755 0.35061 26914 176310 -1 2245 26 1903 3082 280205 69255 4.17286 4.17286 -141.669 -4.17286 0 0 926341. 3205.33 0.04 0.11 0.14 -1 -1 0.04 0.0397416 0.0343778 91 122 0 0 122 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_054.v common 5.02 vpr 64.39 MiB 0.05 7308 -1 -1 1 0.03 -1 -1 30524 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65932 32 32 432 346 1 187 78 17 17 289 -1 unnamed_device 24.8 MiB 0.54 837 12860 5438 7034 388 64.4 MiB 0.13 0.00 3.61409 -131.006 -3.61409 3.61409 0.33 0.000804261 0.00074721 0.0651314 0.0605464 -1 -1 -1 -1 42 2696 47 6.95648e+06 202660 744469. 2576.02 2.54 0.351541 0.304643 27202 183097 -1 2067 22 1762 3011 226876 51341 3.83296 3.83296 -139.071 -3.83296 0 0 949917. 3286.91 0.04 0.09 0.15 -1 -1 0.04 0.0346853 0.0302318 79 94 32 32 94 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_055.v common 10.65 vpr 63.37 MiB 0.04 6880 -1 -1 1 0.03 -1 -1 30520 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64888 32 32 312 255 1 160 90 17 17 289 -1 unnamed_device 24.5 MiB 0.14 768 14160 5227 6971 1962 63.4 MiB 0.11 0.00 2.94515 -113.956 -2.94515 2.94515 0.33 0.000637754 0.000590834 0.0472694 0.0438506 -1 -1 -1 -1 36 2903 45 6.95648e+06 376368 648988. 2245.63 8.65 0.303775 0.262638 26050 158493 -1 2089 22 1714 2771 272804 63076 3.24022 3.24022 -130.142 -3.24022 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0274157 0.0238458 73 34 63 32 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_056.v common 7.99 vpr 63.60 MiB 0.05 7160 -1 -1 1 0.03 -1 -1 30408 -1 -1 12 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65124 32 32 370 314 1 158 76 17 17 289 -1 unnamed_device 24.6 MiB 0.62 633 7596 1852 4646 1098 63.6 MiB 0.09 0.00 2.95805 -110.254 -2.95805 2.95805 0.34 0.000721358 0.00067093 0.0362416 0.0337131 -1 -1 -1 -1 50 1624 23 6.95648e+06 173708 902133. 3121.57 5.45 0.315953 0.271561 28642 213929 -1 1343 21 1345 2022 156849 37822 3.07172 3.07172 -118.613 -3.07172 0 0 1.08113e+06 3740.92 0.04 0.07 0.17 -1 -1 0.04 0.0289241 0.0252175 64 94 0 0 94 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_057.v common 18.28 vpr 64.62 MiB 0.04 7296 -1 -1 1 0.03 -1 -1 30848 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66168 32 32 469 351 1 223 98 17 17 289 -1 unnamed_device 25.0 MiB 0.45 1114 17423 6179 8626 2618 64.6 MiB 0.17 0.00 4.52726 -161.049 -4.52726 4.52726 0.33 0.000881204 0.000815262 0.0715494 0.0663738 -1 -1 -1 -1 50 3235 42 6.95648e+06 492173 902133. 3121.57 15.74 0.483072 0.417301 28642 213929 -1 2528 22 2389 3979 344470 76256 4.91551 4.91551 -173.271 -4.91551 0 0 1.08113e+06 3740.92 0.04 0.11 0.17 -1 -1 0.04 0.0379201 0.0330558 107 65 96 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_058.v common 7.48 vpr 63.59 MiB 0.05 7072 -1 -1 1 0.03 -1 -1 30324 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65120 32 32 368 284 1 186 95 17 17 289 -1 unnamed_device 24.6 MiB 0.61 878 15863 4795 7709 3359 63.6 MiB 0.12 0.00 3.02515 -115.54 -3.02515 3.02515 0.33 0.000737894 0.000685419 0.056636 0.0525383 -1 -1 -1 -1 44 1961 19 6.95648e+06 448746 787024. 2723.27 4.87 0.308923 0.267617 27778 195446 -1 1529 22 1416 2024 122408 31192 2.94942 2.94942 -117.252 -2.94942 0 0 997811. 3452.63 0.04 0.07 0.15 -1 -1 0.04 0.0314248 0.0274861 88 34 92 32 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_059.v common 7.93 vpr 63.49 MiB 0.05 6984 -1 -1 1 0.03 -1 -1 30304 -1 -1 23 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65016 30 32 296 244 1 152 85 17 17 289 -1 unnamed_device 24.3 MiB 0.19 714 11617 4052 5643 1922 63.5 MiB 0.10 0.00 2.93063 -105.251 -2.93063 2.93063 0.33 0.000623497 0.000573725 0.0407082 0.0378067 -1 -1 -1 -1 36 2363 37 6.95648e+06 332941 648988. 2245.63 5.86 0.323782 0.279048 26050 158493 -1 1875 21 1409 2088 197064 44485 3.45972 3.45972 -127.336 -3.45972 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0253895 0.0221043 68 34 60 30 30 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_060.v common 11.73 vpr 64.80 MiB 0.05 7408 -1 -1 1 0.03 -1 -1 30928 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66360 32 32 531 413 1 225 99 17 17 289 -1 unnamed_device 25.0 MiB 1.39 1268 19479 6465 10509 2505 64.8 MiB 0.19 0.00 4.55644 -166.574 -4.55644 4.55644 0.33 0.000941114 0.000872221 0.0845436 0.0783026 -1 -1 -1 -1 42 3222 25 6.95648e+06 506649 744469. 2576.02 8.22 0.420597 0.363634 27202 183097 -1 2790 23 2683 4199 377088 79612 4.91761 4.91761 -181.101 -4.91761 0 0 949917. 3286.91 0.04 0.12 0.14 -1 -1 0.04 0.0415294 0.0360868 107 127 32 32 128 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_061.v common 5.54 vpr 63.63 MiB 0.04 7028 -1 -1 1 0.03 -1 -1 30524 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65160 32 32 376 288 1 187 95 17 17 289 -1 unnamed_device 24.8 MiB 0.94 895 14783 6105 8354 324 63.6 MiB 0.13 0.00 3.72719 -137.975 -3.72719 3.72719 0.33 0.000744136 0.000690856 0.0538052 0.0499163 -1 -1 -1 -1 40 2601 49 6.95648e+06 448746 706193. 2443.58 2.68 0.313864 0.271286 26914 176310 -1 2180 23 2026 2902 250559 58169 4.32016 4.32016 -155.444 -4.32016 0 0 926341. 3205.33 0.04 0.10 0.14 -1 -1 0.04 0.032793 0.0285873 89 34 96 32 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_062.v common 3.57 vpr 63.89 MiB 0.02 6872 -1 -1 1 0.03 -1 -1 30440 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65420 32 32 283 225 1 158 90 17 17 289 -1 unnamed_device 24.2 MiB 0.26 789 10944 4137 5758 1049 63.9 MiB 0.09 0.00 2.96363 -115.671 -2.96363 2.96363 0.33 0.000621988 0.000577469 0.0359027 0.0333917 -1 -1 -1 -1 44 2143 23 6.95648e+06 376368 787024. 2723.27 1.45 0.157667 0.137259 27778 195446 -1 1720 22 1527 2504 196967 44198 3.05452 3.05452 -121.432 -3.05452 0 0 997811. 3452.63 0.04 0.08 0.16 -1 -1 0.04 0.0266598 0.0232223 72 3 96 32 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_063.v common 15.35 vpr 64.70 MiB 0.05 7228 -1 -1 1 0.03 -1 -1 30828 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66252 32 32 438 320 1 225 99 17 17 289 -1 unnamed_device 25.1 MiB 0.38 1298 10359 2613 7140 606 64.7 MiB 0.12 0.00 4.49114 -165.588 -4.49114 4.49114 0.33 0.000866438 0.000798212 0.0422426 0.0392557 -1 -1 -1 -1 38 3686 50 6.95648e+06 506649 678818. 2348.85 12.96 0.402352 0.348329 26626 170182 -1 3090 22 2658 4294 402723 80455 5.08791 5.08791 -182.84 -5.08791 0 0 902133. 3121.57 0.03 0.12 0.14 -1 -1 0.03 0.0366846 0.0320085 109 34 128 32 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_064.v common 3.29 vpr 63.30 MiB 0.04 6884 -1 -1 1 0.03 -1 -1 30324 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64820 32 32 283 225 1 156 75 17 17 289 -1 unnamed_device 24.2 MiB 0.33 630 9871 4089 5504 278 63.3 MiB 0.09 0.00 2.95915 -115.017 -2.95915 2.95915 0.33 0.000610789 0.000568338 0.0402705 0.037495 -1 -1 -1 -1 40 1965 41 6.95648e+06 159232 706193. 2443.58 1.10 0.165323 0.143798 26914 176310 -1 1580 20 1484 2065 163199 39952 3.33142 3.33142 -124.766 -3.33142 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.0247932 0.0216526 63 3 96 32 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_065.v common 4.68 vpr 63.37 MiB 0.05 6988 -1 -1 1 0.03 -1 -1 30268 -1 -1 26 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64888 30 32 296 244 1 150 88 17 17 289 -1 unnamed_device 24.2 MiB 0.57 785 11398 4416 5137 1845 63.4 MiB 0.10 0.00 2.95005 -108.577 -2.95005 2.95005 0.33 0.000621987 0.000578403 0.0385756 0.0359095 -1 -1 -1 -1 42 1868 26 6.95648e+06 376368 744469. 2576.02 2.24 0.247406 0.213084 27202 183097 -1 1613 21 1317 2076 160035 35641 2.72702 2.72702 -106.339 -2.72702 0 0 949917. 3286.91 0.04 0.07 0.15 -1 -1 0.04 0.0253488 0.0220601 69 34 60 30 30 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_066.v common 9.88 vpr 64.26 MiB 0.05 7248 -1 -1 1 0.03 -1 -1 30324 -1 -1 33 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65804 29 32 393 319 1 174 94 17 17 289 -1 unnamed_device 24.7 MiB 0.69 851 11809 3680 5985 2144 64.3 MiB 0.11 0.00 3.00769 -104.142 -3.00769 3.00769 0.33 0.000737363 0.000682677 0.0436836 0.0405391 -1 -1 -1 -1 36 3296 48 6.95648e+06 477698 648988. 2245.63 7.37 0.339309 0.292181 26050 158493 -1 2368 33 2412 4037 430038 114713 3.38642 3.38642 -122.857 -3.38642 0 0 828058. 2865.25 0.03 0.10 0.09 -1 -1 0.03 0.0228717 0.0200511 87 88 29 29 85 29 + fixed_k6_frac_2ripple_N8_22nm.xml mult_067.v common 3.83 vpr 63.86 MiB 0.03 7136 -1 -1 1 0.03 -1 -1 30716 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65388 32 32 407 319 1 187 78 17 17 289 -1 unnamed_device 24.9 MiB 0.67 825 12030 5044 6681 305 63.9 MiB 0.12 0.00 3.66789 -137.093 -3.66789 3.66789 0.33 0.00077052 0.000715674 0.0586904 0.0545226 -1 -1 -1 -1 36 2938 30 6.95648e+06 202660 648988. 2245.63 1.28 0.194156 0.170175 26050 158493 -1 2249 22 2194 2915 260810 62919 4.38716 4.38716 -165.068 -4.38716 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0329139 0.0287202 79 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_068.v common 11.48 vpr 64.40 MiB 0.02 7096 -1 -1 1 0.03 -1 -1 30784 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65948 32 32 407 319 1 190 93 17 17 289 -1 unnamed_device 24.8 MiB 1.09 911 12483 3264 6978 2241 64.4 MiB 0.11 0.00 3.74419 -135.907 -3.74419 3.74419 0.33 0.000775879 0.00071818 0.0490009 0.0453681 -1 -1 -1 -1 40 2755 32 6.95648e+06 419795 706193. 2443.58 8.48 0.387412 0.333896 26914 176310 -1 2120 26 2219 3620 321726 75895 4.47326 4.47326 -156.205 -4.47326 0 0 926341. 3205.33 0.04 0.12 0.14 -1 -1 0.04 0.0379306 0.0329962 88 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_069.v common 5.40 vpr 63.52 MiB 0.04 6932 -1 -1 1 0.03 -1 -1 30520 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65040 32 32 345 287 1 155 90 17 17 289 -1 unnamed_device 24.5 MiB 0.97 694 11949 4515 6462 972 63.5 MiB 0.11 0.00 3.00763 -115.231 -3.00763 3.00763 0.33 0.000695616 0.000640177 0.04302 0.039867 -1 -1 -1 -1 42 2166 27 6.95648e+06 376368 744469. 2576.02 2.56 0.272814 0.234896 27202 183097 -1 1684 20 1439 2147 181429 42594 3.08572 3.08572 -123.618 -3.08572 0 0 949917. 3286.91 0.04 0.08 0.15 -1 -1 0.04 0.0267147 0.0233462 71 65 32 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_070.v common 6.71 vpr 63.63 MiB 0.05 7032 -1 -1 1 0.03 -1 -1 30444 -1 -1 12 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65156 31 32 353 302 1 146 75 17 17 289 -1 unnamed_device 24.6 MiB 0.93 612 8291 3398 4596 297 63.6 MiB 0.08 0.00 2.91705 -106.629 -2.91705 2.91705 0.33 0.00068008 0.00063168 0.0378053 0.0351459 -1 -1 -1 -1 46 1854 29 6.95648e+06 173708 828058. 2865.25 3.91 0.276337 0.237262 28066 200906 -1 1470 31 1482 2490 232383 80656 3.06512 3.06512 -114.478 -3.06512 0 0 1.01997e+06 3529.29 0.04 0.11 0.16 -1 -1 0.04 0.0377265 0.0326056 61 90 0 0 89 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_071.v common 4.45 vpr 64.16 MiB 0.05 7068 -1 -1 1 0.03 -1 -1 30472 -1 -1 34 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65704 30 32 374 297 1 178 96 17 17 289 -1 unnamed_device 24.5 MiB 0.40 821 14769 4586 7579 2604 64.2 MiB 0.13 0.00 3.02515 -108.016 -3.02515 3.02515 0.33 0.000722641 0.0006702 0.0511161 0.0473837 -1 -1 -1 -1 46 2030 27 6.95648e+06 492173 828058. 2865.25 2.07 0.267387 0.230902 28066 200906 -1 1721 23 1567 2496 164396 39597 3.13882 3.13882 -114.694 -3.13882 0 0 1.01997e+06 3529.29 0.04 0.08 0.16 -1 -1 0.04 0.0317639 0.0276667 87 60 60 30 57 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_072.v common 4.81 vpr 63.64 MiB 0.04 7108 -1 -1 1 0.03 -1 -1 30380 -1 -1 36 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65164 28 32 332 260 1 172 96 17 17 289 -1 unnamed_device 24.7 MiB 0.30 750 17397 5782 8505 3110 63.6 MiB 0.13 0.00 3.71919 -117.682 -3.71919 3.71919 0.33 0.000645624 0.000598523 0.0553633 0.0513525 -1 -1 -1 -1 44 2211 25 6.95648e+06 521125 787024. 2723.27 2.53 0.259532 0.224903 27778 195446 -1 1780 22 1725 3075 257842 57336 3.72466 3.72466 -122.473 -3.72466 0 0 997811. 3452.63 0.04 0.09 0.16 -1 -1 0.04 0.0287638 0.0250528 86 34 84 28 28 28 + fixed_k6_frac_2ripple_N8_22nm.xml mult_073.v common 6.08 vpr 63.50 MiB 0.05 7012 -1 -1 1 0.03 -1 -1 30160 -1 -1 13 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65024 30 32 325 273 1 150 75 17 17 289 -1 unnamed_device 24.3 MiB 0.59 850 10503 4343 5779 381 63.5 MiB 0.10 0.00 2.95005 -113.475 -2.95005 2.95005 0.33 0.000656623 0.000606468 0.0453898 0.0422568 -1 -1 -1 -1 36 2086 40 6.95648e+06 188184 648988. 2245.63 3.56 0.286293 0.246887 26050 158493 -1 1827 24 1482 2222 250740 67383 3.30942 3.30942 -124.131 -3.30942 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0299677 0.0260273 62 63 30 30 60 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_074.v common 6.85 vpr 63.68 MiB 0.04 7052 -1 -1 1 0.03 -1 -1 30352 -1 -1 12 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65212 32 32 361 308 1 152 76 17 17 289 -1 unnamed_device 24.7 MiB 0.76 616 9196 3757 5077 362 63.7 MiB 0.05 0.00 2.84485 -103.916 -2.84485 2.84485 0.26 0.000304913 0.000279654 0.0197365 0.018182 -1 -1 -1 -1 46 1840 34 6.95648e+06 173708 828058. 2865.25 4.33 0.250391 0.213816 28066 200906 -1 1394 35 1497 2433 166296 41613 2.87532 2.87532 -111.17 -2.87532 0 0 1.01997e+06 3529.29 0.04 0.09 0.16 -1 -1 0.04 0.0433451 0.0374291 62 91 0 0 91 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_075.v common 10.20 vpr 64.43 MiB 0.05 6980 -1 -1 1 0.03 -1 -1 30444 -1 -1 32 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65980 31 32 335 251 1 188 95 17 17 289 -1 unnamed_device 24.7 MiB 0.13 1144 16511 5176 9723 1612 64.4 MiB 0.15 0.00 3.78219 -141.259 -3.78219 3.78219 0.33 0.0006922 0.000642311 0.0556803 0.051684 -1 -1 -1 -1 44 2822 26 6.95648e+06 463222 787024. 2723.27 8.06 0.304996 0.264291 27778 195446 -1 2340 24 2046 3336 296556 60315 3.98996 3.98996 -154.33 -3.98996 0 0 997811. 3452.63 0.04 0.10 0.16 -1 -1 0.04 0.0322218 0.0281051 92 4 124 31 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_076.v common 6.62 vpr 63.82 MiB 0.05 7100 -1 -1 1 0.03 -1 -1 30572 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65348 32 32 407 319 1 189 94 17 17 289 -1 unnamed_device 24.9 MiB 1.00 864 17134 7233 9262 639 63.8 MiB 0.15 0.00 3.74919 -137.193 -3.74919 3.74919 0.33 0.000780148 0.000717018 0.0650585 0.060269 -1 -1 -1 -1 52 2894 39 6.95648e+06 434271 926341. 3205.33 3.57 0.344887 0.299059 29218 227130 -1 2047 22 1993 3508 286773 66388 3.87496 3.87496 -144.842 -3.87496 0 0 1.14541e+06 3963.36 0.04 0.10 0.18 -1 -1 0.04 0.0329266 0.0287273 89 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_077.v common 5.94 vpr 63.82 MiB 0.05 7240 -1 -1 1 0.03 -1 -1 30488 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65352 32 32 407 319 1 187 93 17 17 289 -1 unnamed_device 24.9 MiB 1.14 894 17313 6821 8964 1528 63.8 MiB 0.16 0.00 3.81339 -139.726 -3.81339 3.81339 0.33 0.000783383 0.000727232 0.0673179 0.0624753 -1 -1 -1 -1 48 2550 23 6.95648e+06 419795 865456. 2994.66 2.79 0.321209 0.279312 28354 207349 -1 2170 24 2069 3371 308547 67749 4.02226 4.02226 -149.506 -4.02226 0 0 1.05005e+06 3633.38 0.04 0.11 0.16 -1 -1 0.04 0.0353873 0.0308567 88 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_078.v common 11.96 vpr 63.74 MiB 0.05 6992 -1 -1 1 0.03 -1 -1 30500 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65268 32 32 399 315 1 188 98 17 17 289 -1 unnamed_device 24.9 MiB 0.63 1021 15398 4394 8553 2451 63.7 MiB 0.14 0.00 3.78039 -134.715 -3.78039 3.78039 0.33 0.000762704 0.000708225 0.0550233 0.0510979 -1 -1 -1 -1 44 2909 25 6.95648e+06 492173 787024. 2723.27 9.31 0.345944 0.299381 27778 195446 -1 2384 21 1793 3012 274045 56478 3.73756 3.73756 -139.759 -3.73756 0 0 997811. 3452.63 0.04 0.10 0.16 -1 -1 0.04 0.0318251 0.0277941 91 65 60 30 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_079.v common 5.43 vpr 63.39 MiB 0.04 7080 -1 -1 1 0.03 -1 -1 30416 -1 -1 13 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64916 30 32 296 244 1 150 75 17 17 289 -1 unnamed_device 24.3 MiB 0.52 583 11135 4154 5022 1959 63.4 MiB 0.10 0.00 2.96711 -105.7 -2.96711 2.96711 0.33 0.000618733 0.00057538 0.0452737 0.0421318 -1 -1 -1 -1 48 1968 46 6.95648e+06 188184 865456. 2994.66 3.03 0.261531 0.225724 28354 207349 -1 1451 21 1337 1975 157392 41561 3.14982 3.14982 -115.875 -3.14982 0 0 1.05005e+06 3633.38 0.04 0.07 0.17 -1 -1 0.04 0.0257452 0.0224432 62 34 60 30 30 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_080.v common 6.82 vpr 64.36 MiB 0.05 7064 -1 -1 1 0.03 -1 -1 30388 -1 -1 16 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65908 30 32 383 303 1 183 78 17 17 289 -1 unnamed_device 24.9 MiB 0.34 893 10702 4147 4571 1984 64.4 MiB 0.11 0.00 3.73035 -132.73 -3.73035 3.73035 0.33 0.000734314 0.000682468 0.0501984 0.0466866 -1 -1 -1 -1 34 2877 46 6.95648e+06 231611 618332. 2139.56 4.53 0.360062 0.310152 25762 151098 -1 2294 23 2043 2959 254014 56227 4.50466 4.50466 -154.048 -4.50466 0 0 787024. 2723.27 0.03 0.10 0.12 -1 -1 0.03 0.0329306 0.0287016 79 63 60 30 60 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_081.v common 13.33 vpr 64.45 MiB 0.05 7156 -1 -1 1 0.03 -1 -1 30832 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65992 32 32 469 381 1 190 99 17 17 289 -1 unnamed_device 24.9 MiB 1.08 1057 9675 2493 6229 953 64.4 MiB 0.10 0.00 3.83239 -141.999 -3.83239 3.83239 0.33 0.000852539 0.000791956 0.0391889 0.0364065 -1 -1 -1 -1 40 2810 26 6.95648e+06 506649 706193. 2443.58 10.27 0.400508 0.344736 26914 176310 -1 2406 25 2230 3734 317253 67036 4.36886 4.36886 -157.59 -4.36886 0 0 926341. 3205.33 0.04 0.11 0.14 -1 -1 0.04 0.0391247 0.0338521 92 127 0 0 128 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_082.v common 5.18 vpr 64.53 MiB 0.05 7196 -1 -1 1 0.03 -1 -1 30748 -1 -1 29 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66080 31 32 425 341 1 182 92 17 17 289 -1 unnamed_device 24.9 MiB 0.56 804 12926 4797 6175 1954 64.5 MiB 0.12 0.00 3.77419 -132.789 -3.77419 3.77419 0.33 0.000781678 0.000724755 0.05182 0.0480111 -1 -1 -1 -1 46 2386 39 6.95648e+06 419795 828058. 2865.25 2.65 0.323566 0.279626 28066 200906 -1 1742 26 2024 3121 217542 56896 3.81746 3.81746 -137.751 -3.81746 0 0 1.01997e+06 3529.29 0.04 0.10 0.16 -1 -1 0.04 0.0375528 0.0326026 87 94 31 31 93 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_083.v common 4.88 vpr 64.39 MiB 0.05 7268 -1 -1 1 0.03 -1 -1 30612 -1 -1 30 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65936 30 32 404 328 1 172 92 17 17 289 -1 unnamed_device 24.8 MiB 0.71 784 11684 3303 6454 1927 64.4 MiB 0.11 0.00 3.06483 -107.982 -3.06483 3.06483 0.33 0.000752562 0.000698047 0.0453498 0.0421498 -1 -1 -1 -1 44 1986 32 6.95648e+06 434271 787024. 2723.27 2.16 0.283655 0.244503 27778 195446 -1 1633 25 1707 2674 178629 42997 2.96332 2.96332 -111.845 -2.96332 0 0 997811. 3452.63 0.04 0.08 0.16 -1 -1 0.04 0.0352125 0.0305304 82 92 26 26 90 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_084.v common 10.29 vpr 63.78 MiB 0.05 7204 -1 -1 1 0.03 -1 -1 30504 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65308 32 32 407 319 1 193 78 17 17 289 -1 unnamed_device 24.8 MiB 0.98 970 13524 4161 8124 1239 63.8 MiB 0.14 0.00 3.70709 -138.158 -3.70709 3.70709 0.33 0.000772866 0.000717363 0.0660303 0.0613345 -1 -1 -1 -1 46 2848 44 6.95648e+06 202660 828058. 2865.25 7.32 0.417886 0.362144 28066 200906 -1 2393 22 2040 3350 304015 62919 4.15746 4.15746 -154.192 -4.15746 0 0 1.01997e+06 3529.29 0.04 0.10 0.16 -1 -1 0.04 0.0335983 0.0293839 81 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_085.v common 7.38 vpr 64.33 MiB 0.05 7252 -1 -1 1 0.03 -1 -1 30344 -1 -1 34 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65872 29 32 387 316 1 171 95 17 17 289 -1 unnamed_device 24.8 MiB 0.53 799 11543 3674 5805 2064 64.3 MiB 0.10 0.00 2.99215 -102.7 -2.99215 2.99215 0.33 0.000728462 0.000674606 0.0415125 0.0384754 -1 -1 -1 -1 36 2491 33 6.95648e+06 492173 648988. 2245.63 4.97 0.305982 0.26271 26050 158493 -1 1739 22 1523 2315 173906 41913 3.37362 3.37362 -114.306 -3.37362 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0308938 0.0268483 85 88 26 26 85 29 + fixed_k6_frac_2ripple_N8_22nm.xml mult_086.v common 4.24 vpr 63.20 MiB 0.04 6920 -1 -1 1 0.03 -1 -1 30340 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64720 32 32 283 225 1 154 75 17 17 289 -1 unnamed_device 24.2 MiB 0.73 634 10345 3746 4906 1693 63.2 MiB 0.09 0.00 2.95005 -113.271 -2.95005 2.95005 0.33 0.000610328 0.00056797 0.0421183 0.0392314 -1 -1 -1 -1 42 2214 29 6.95648e+06 159232 744469. 2576.02 1.68 0.170191 0.148201 27202 183097 -1 1662 20 1294 1970 184358 45262 3.02772 3.02772 -120.654 -3.02772 0 0 949917. 3286.91 0.04 0.07 0.14 -1 -1 0.04 0.0245907 0.0215065 62 3 96 32 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_087.v common 12.95 vpr 64.38 MiB 0.05 7152 -1 -1 1 0.03 -1 -1 30488 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65924 32 32 407 319 1 187 91 17 17 289 -1 unnamed_device 24.8 MiB 2.49 995 13555 5305 7140 1110 64.4 MiB 0.13 0.00 3.78519 -140.535 -3.78519 3.78519 0.33 0.000778235 0.000720528 0.054465 0.0504447 -1 -1 -1 -1 42 2784 31 6.95648e+06 390843 744469. 2576.02 8.50 0.33905 0.293573 27202 183097 -1 2234 24 2085 3193 301812 63023 3.92696 3.92696 -150.426 -3.92696 0 0 949917. 3286.91 0.04 0.10 0.14 -1 -1 0.04 0.0352792 0.0307487 87 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_088.v common 5.70 vpr 64.56 MiB 0.05 7160 -1 -1 1 0.03 -1 -1 30436 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66108 32 32 407 319 1 193 78 17 17 289 -1 unnamed_device 25.0 MiB 0.41 790 11532 4798 6238 496 64.6 MiB 0.12 0.00 3.70299 -136.309 -3.70299 3.70299 0.36 0.000770332 0.000715044 0.0565586 0.0525597 -1 -1 -1 -1 58 2092 23 6.95648e+06 202660 997811. 3452.63 3.24 0.36049 0.311377 30370 251734 -1 1703 22 1780 2518 194832 50450 4.22776 4.22776 -145.71 -4.22776 0 0 1.25153e+06 4330.55 0.05 0.09 0.20 -1 -1 0.05 0.0332793 0.0290231 82 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_089.v common 3.51 vpr 63.36 MiB 0.05 6860 -1 -1 1 0.03 -1 -1 30404 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64884 32 32 315 267 1 152 86 17 17 289 -1 unnamed_device 24.3 MiB 0.44 767 11804 4844 6750 210 63.4 MiB 0.10 0.00 2.88585 -108.369 -2.88585 2.88585 0.33 0.000636465 0.000591064 0.0419709 0.0389871 -1 -1 -1 -1 38 1971 50 6.95648e+06 318465 678818. 2348.85 1.17 0.169719 0.147796 26626 170182 -1 1602 22 1339 2042 173752 53877 2.95852 2.95852 -112.019 -2.95852 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0268009 0.0233212 68 55 32 32 54 27 + fixed_k6_frac_2ripple_N8_22nm.xml mult_090.v common 3.47 vpr 63.25 MiB 0.05 6788 -1 -1 1 0.03 -1 -1 30440 -1 -1 12 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64768 31 32 275 220 1 154 75 17 17 289 -1 unnamed_device 24.2 MiB 0.24 876 9081 2616 5597 868 63.2 MiB 0.08 0.00 2.95305 -115.628 -2.95305 2.95305 0.33 0.000593954 0.000553134 0.0364921 0.0340113 -1 -1 -1 -1 38 2026 23 6.95648e+06 173708 678818. 2348.85 1.37 0.159325 0.138822 26626 170182 -1 1817 20 1467 2170 170840 37494 3.10392 3.10392 -126.814 -3.10392 0 0 902133. 3121.57 0.03 0.07 0.13 -1 -1 0.03 0.0240864 0.0210193 65 4 93 31 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_091.v common 4.29 vpr 63.48 MiB 0.05 7052 -1 -1 1 0.03 -1 -1 30328 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65000 32 32 381 303 1 180 96 17 17 289 -1 unnamed_device 24.7 MiB 0.63 927 17178 7158 9678 342 63.5 MiB 0.14 0.00 3.69719 -130.339 -3.69719 3.69719 0.33 0.000742262 0.000687479 0.0611967 0.0566815 -1 -1 -1 -1 36 2786 48 6.95648e+06 463222 648988. 2245.63 1.65 0.22788 0.198897 26050 158493 -1 2199 24 1979 2920 237525 53484 3.88776 3.88776 -147.598 -3.88776 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0341537 0.0297461 86 59 60 32 58 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_092.v common 9.53 vpr 63.73 MiB 0.05 7268 -1 -1 1 0.03 -1 -1 30348 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65260 32 32 406 330 1 182 94 17 17 289 -1 unnamed_device 24.8 MiB 0.20 910 13087 4875 6895 1317 63.7 MiB 0.12 0.00 3.72106 -128.856 -3.72106 3.72106 0.33 0.000758331 0.00070384 0.0494498 0.0458613 -1 -1 -1 -1 38 2744 24 6.95648e+06 434271 678818. 2348.85 7.45 0.371848 0.32008 26626 170182 -1 1958 22 1825 2915 213896 51230 4.44216 4.44216 -143.258 -4.44216 0 0 902133. 3121.57 0.04 0.09 0.13 -1 -1 0.04 0.0322575 0.0280913 85 88 28 28 88 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_093.v common 13.35 vpr 64.48 MiB 0.05 7124 -1 -1 1 0.03 -1 -1 30500 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66024 32 32 399 285 1 218 98 17 17 289 -1 unnamed_device 24.8 MiB 0.22 1090 14948 4439 8116 2393 64.5 MiB 0.14 0.00 4.49114 -157.775 -4.49114 4.49114 0.33 0.000792848 0.000736771 0.0556148 0.0516116 -1 -1 -1 -1 44 3655 42 6.95648e+06 492173 787024. 2723.27 11.05 0.401725 0.347723 27778 195446 -1 2734 21 2285 3558 331809 73612 4.63201 4.63201 -168.752 -4.63201 0 0 997811. 3452.63 0.04 0.11 0.16 -1 -1 0.04 0.0339831 0.0298585 105 3 156 32 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_094.v common 3.93 vpr 64.21 MiB 0.05 7200 -1 -1 1 0.03 -1 -1 30516 -1 -1 30 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65756 30 32 371 295 1 176 92 17 17 289 -1 unnamed_device 24.5 MiB 0.42 773 16031 4862 8616 2553 64.2 MiB 0.14 0.00 3.02515 -107.178 -3.02515 3.02515 0.33 0.000712777 0.000661625 0.0580159 0.0538366 -1 -1 -1 -1 40 2544 46 6.95648e+06 434271 706193. 2443.58 1.60 0.205228 0.178834 26914 176310 -1 1819 24 1746 2759 221514 53000 3.75432 3.75432 -120.684 -3.75432 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0327945 0.0285264 84 59 60 30 56 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_095.v common 3.47 vpr 63.25 MiB 0.04 7040 -1 -1 1 0.03 -1 -1 30672 -1 -1 15 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64764 27 32 269 226 1 135 74 17 17 289 -1 unnamed_device 24.2 MiB 0.29 834 7359 2280 4045 1034 63.2 MiB 0.07 0.00 2.86985 -98.9536 -2.86985 2.86985 0.33 0.000566146 0.000526225 0.0289215 0.0269497 -1 -1 -1 -1 32 1834 21 6.95648e+06 217135 586450. 2029.24 1.39 0.178093 0.153042 25474 144626 -1 1646 20 1127 1555 124124 27156 3.10102 3.10102 -112.718 -3.10102 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0226312 0.0196526 60 34 54 27 27 27 + fixed_k6_frac_2ripple_N8_22nm.xml mult_096.v common 15.31 vpr 64.71 MiB 0.05 7320 -1 -1 1 0.03 -1 -1 30672 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66268 32 32 493 378 1 222 99 17 17 289 -1 unnamed_device 25.1 MiB 0.46 1306 14919 4313 9293 1313 64.7 MiB 0.15 0.00 3.80387 -141.931 -3.80387 3.80387 0.33 0.000908108 0.000843874 0.0625778 0.0580792 -1 -1 -1 -1 38 3944 44 6.95648e+06 506649 678818. 2348.85 12.78 0.440725 0.380228 26626 170182 -1 3006 25 2747 4678 399014 81226 4.05526 4.05526 -157.833 -4.05526 0 0 902133. 3121.57 0.03 0.13 0.13 -1 -1 0.03 0.0423983 0.0367877 109 95 62 31 95 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_097.v common 6.45 vpr 64.20 MiB 0.05 7332 -1 -1 1 0.03 -1 -1 30528 -1 -1 15 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65736 31 32 455 371 1 185 78 17 17 289 -1 unnamed_device 25.1 MiB 1.77 760 10204 4107 5326 771 64.2 MiB 0.11 0.00 3.76754 -132.461 -3.76754 3.76754 0.33 0.000830916 0.000772406 0.0542281 0.0504428 -1 -1 -1 -1 62 1887 20 6.95648e+06 217135 1.05005e+06 3633.38 2.72 0.321677 0.276732 30946 263737 -1 1513 22 1619 2464 160407 38798 4.09926 4.09926 -141.868 -4.09926 0 0 1.30136e+06 4502.97 0.05 0.08 0.21 -1 -1 0.05 0.0354071 0.0307983 80 124 0 0 124 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_098.v common 7.87 vpr 63.64 MiB 0.04 7016 -1 -1 1 0.03 -1 -1 30336 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65168 32 32 355 304 1 147 75 17 17 289 -1 unnamed_device 24.6 MiB 2.16 631 9239 3763 5207 269 63.6 MiB 0.09 0.00 3.0174 -108.508 -3.0174 3.0174 0.33 0.000686344 0.000635234 0.0425046 0.0394036 -1 -1 -1 -1 40 2007 29 6.95648e+06 159232 706193. 2443.58 3.93 0.327101 0.281303 26914 176310 -1 1554 25 1220 1883 146021 36324 3.43772 3.43772 -125.414 -3.43772 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.0320464 0.0277816 59 89 0 0 89 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_099.v common 5.17 vpr 64.29 MiB 0.05 7068 -1 -1 1 0.03 -1 -1 30384 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65828 32 32 364 282 1 188 94 17 17 289 -1 unnamed_device 24.6 MiB 0.16 872 12235 3685 6337 2213 64.3 MiB 0.11 0.00 3.79096 -133.989 -3.79096 3.79096 0.33 0.000736219 0.00067696 0.044285 0.0410864 -1 -1 -1 -1 52 2436 27 6.95648e+06 434271 926341. 3205.33 3.01 0.29903 0.257725 29218 227130 -1 1955 23 1817 2761 224784 50670 3.93796 3.93796 -140.061 -3.93796 0 0 1.14541e+06 3963.36 0.04 0.09 0.18 -1 -1 0.04 0.0322204 0.0281007 88 34 90 30 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_100.v common 4.98 vpr 64.46 MiB 0.05 7296 -1 -1 1 0.03 -1 -1 30600 -1 -1 34 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66008 31 32 443 336 1 210 97 17 17 289 -1 unnamed_device 24.7 MiB 0.47 1008 10309 3050 5352 1907 64.5 MiB 0.11 0.00 3.78655 -135.19 -3.78655 3.78655 0.33 0.000846979 0.000786053 0.0425116 0.0395538 -1 -1 -1 -1 40 2671 33 6.95648e+06 492173 706193. 2443.58 2.53 0.317865 0.27328 26914 176310 -1 2235 29 2474 3465 283787 75948 4.35786 4.35786 -151.699 -4.35786 0 0 926341. 3205.33 0.04 0.12 0.14 -1 -1 0.04 0.0443633 0.0383883 103 64 87 31 62 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_101.v common 9.71 vpr 63.65 MiB 0.05 7204 -1 -1 1 0.03 -1 -1 30472 -1 -1 33 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65176 30 32 373 297 1 178 95 17 17 289 -1 unnamed_device 24.6 MiB 0.33 1053 17591 5567 10335 1689 63.6 MiB 0.15 0.00 2.95915 -111.602 -2.95915 2.95915 0.33 0.000718414 0.000667128 0.0611833 0.0567047 -1 -1 -1 -1 40 2583 24 6.95648e+06 477698 706193. 2443.58 7.41 0.324079 0.280739 26914 176310 -1 2311 22 1722 2902 232970 49578 2.98052 2.98052 -118.352 -2.98052 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0303733 0.0264167 86 61 58 30 58 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_102.v common 10.45 vpr 64.38 MiB 0.05 6996 -1 -1 1 0.04 -1 -1 30484 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65924 32 32 407 319 1 193 102 17 17 289 -1 unnamed_device 24.8 MiB 0.37 955 12716 3237 8898 581 64.4 MiB 0.12 0.00 3.77739 -138.851 -3.77739 3.77739 0.33 0.000775412 0.000719774 0.0443718 0.0411573 -1 -1 -1 -1 40 2793 38 6.95648e+06 550076 706193. 2443.58 8.11 0.373362 0.321643 26914 176310 -1 2288 22 1989 2937 263378 58824 4.37036 4.37036 -156.062 -4.37036 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0327769 0.0285741 95 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_103.v common 9.91 vpr 63.83 MiB 0.04 7144 -1 -1 1 0.03 -1 -1 30588 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65360 32 32 405 318 1 192 99 17 17 289 -1 unnamed_device 24.8 MiB 0.36 994 14463 3282 10605 576 63.8 MiB 0.14 0.00 3.08635 -120.858 -3.08635 3.08635 0.33 0.000776897 0.000719642 0.0545356 0.0505443 -1 -1 -1 -1 38 2560 23 6.95648e+06 506649 678818. 2348.85 7.65 0.384788 0.331479 26626 170182 -1 2177 21 1643 2221 175833 37705 3.16882 3.16882 -130.69 -3.16882 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0320414 0.028007 94 65 63 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_104.v common 6.87 vpr 63.45 MiB 0.04 6976 -1 -1 1 0.03 -1 -1 30496 -1 -1 13 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64972 29 32 287 238 1 135 74 17 17 289 -1 unnamed_device 24.4 MiB 2.31 566 8444 3444 4596 404 63.4 MiB 0.07 0.00 2.81985 -96.9287 -2.81985 2.81985 0.33 0.000597536 0.000555252 0.034631 0.032233 -1 -1 -1 -1 36 1559 30 6.95648e+06 188184 648988. 2245.63 2.74 0.24753 0.211807 26050 158493 -1 1203 22 1078 1370 97012 23866 2.81132 2.81132 -105.046 -2.81132 0 0 828058. 2865.25 0.03 0.06 0.13 -1 -1 0.03 0.0255544 0.0221971 57 34 58 29 29 29 + fixed_k6_frac_2ripple_N8_22nm.xml mult_105.v common 5.56 vpr 64.38 MiB 0.05 6912 -1 -1 1 0.03 -1 -1 30244 -1 -1 12 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65924 32 32 334 290 1 148 76 17 17 289 -1 unnamed_device 24.6 MiB 0.29 701 9036 2643 5274 1119 64.4 MiB 0.08 0.00 2.9635 -104.243 -2.9635 2.9635 0.33 0.000661879 0.000614835 0.0393279 0.0365752 -1 -1 -1 -1 36 1741 27 6.95648e+06 173708 648988. 2245.63 3.45 0.275177 0.236366 26050 158493 -1 1405 21 1158 1558 112962 26210 3.04982 3.04982 -111.965 -3.04982 0 0 828058. 2865.25 0.03 0.06 0.13 -1 -1 0.03 0.0275127 0.0239783 60 82 0 0 82 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_106.v common 9.01 vpr 64.32 MiB 0.04 7124 -1 -1 1 0.03 -1 -1 30424 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65868 31 32 365 281 1 188 98 17 17 289 -1 unnamed_device 24.9 MiB 0.29 1068 17198 4744 11124 1330 64.3 MiB 0.14 0.00 3.78219 -137.213 -3.78219 3.78219 0.33 0.000721609 0.000669659 0.057735 0.0535352 -1 -1 -1 -1 42 2615 25 6.95648e+06 506649 744469. 2576.02 6.77 0.333788 0.289203 27202 183097 -1 2176 22 2043 3023 251062 52225 4.09926 4.09926 -150.342 -4.09926 0 0 949917. 3286.91 0.04 0.09 0.14 -1 -1 0.04 0.0305887 0.0266408 94 34 93 31 31 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_107.v common 5.13 vpr 63.99 MiB 0.05 7052 -1 -1 1 0.03 -1 -1 30552 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65528 29 32 297 254 1 141 84 17 17 289 -1 unnamed_device 24.3 MiB 0.41 578 12345 5122 6532 691 64.0 MiB 0.10 0.00 2.86863 -95.8049 -2.86863 2.86863 0.33 0.000603909 0.000561664 0.0427403 0.0397317 -1 -1 -1 -1 48 1549 29 6.95648e+06 332941 865456. 2994.66 2.88 0.225983 0.194731 28354 207349 -1 1315 24 1183 1871 131675 32208 2.85522 2.85522 -101.12 -2.85522 0 0 1.05005e+06 3633.38 0.04 0.07 0.16 -1 -1 0.04 0.0273014 0.0236141 65 56 29 29 52 26 + fixed_k6_frac_2ripple_N8_22nm.xml mult_108.v common 4.18 vpr 63.43 MiB 0.04 6920 -1 -1 1 0.03 -1 -1 30264 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64948 32 32 314 256 1 154 75 17 17 289 -1 unnamed_device 24.5 MiB 0.96 746 10345 4259 5889 197 63.4 MiB 0.10 0.00 2.93285 -115.609 -2.93285 2.93285 0.33 0.000650042 0.000604312 0.0445715 0.0414736 -1 -1 -1 -1 40 1880 20 6.95648e+06 159232 706193. 2443.58 1.40 0.170514 0.148777 26914 176310 -1 1701 24 1523 2134 204150 47477 3.51472 3.51472 -130.674 -3.51472 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.029555 0.0257005 62 34 64 32 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_109.v common 5.79 vpr 63.75 MiB 0.05 7216 -1 -1 1 0.03 -1 -1 30304 -1 -1 33 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65276 31 32 387 307 1 181 96 17 17 289 -1 unnamed_device 24.8 MiB 0.64 929 15864 4548 9162 2154 63.7 MiB 0.14 0.00 3.07535 -115.416 -3.07535 3.07535 0.33 0.000565143 0.000514805 0.0565728 0.0525191 -1 -1 -1 -1 36 2485 49 6.95648e+06 477698 648988. 2245.63 3.20 0.327486 0.283381 26050 158493 -1 1982 25 2078 2928 235069 55386 3.29232 3.29232 -128.257 -3.29232 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0353141 0.030716 89 64 58 31 62 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_110.v common 5.68 vpr 63.54 MiB 0.05 7104 -1 -1 1 0.03 -1 -1 30296 -1 -1 12 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65068 31 32 308 262 1 142 75 17 17 289 -1 unnamed_device 24.3 MiB 1.10 561 9081 3726 4991 364 63.5 MiB 0.08 0.00 2.878 -99.4515 -2.878 2.878 0.33 0.000625323 0.000580577 0.0384881 0.0358352 -1 -1 -1 -1 42 1873 44 6.95648e+06 173708 744469. 2576.02 2.71 0.261568 0.224788 27202 183097 -1 1399 34 1462 2239 198648 67215 2.97097 2.97097 -105.92 -2.97097 0 0 949917. 3286.91 0.04 0.10 0.15 -1 -1 0.04 0.0379742 0.0327631 57 55 31 31 53 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_111.v common 9.50 vpr 63.75 MiB 0.04 7140 -1 -1 1 0.03 -1 -1 30448 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65276 32 32 383 307 1 176 96 17 17 289 -1 unnamed_device 24.6 MiB 0.90 1008 15645 5715 8149 1781 63.7 MiB 0.13 0.00 2.93235 -112.949 -2.93235 2.93235 0.33 0.000736041 0.000680911 0.0553155 0.051248 -1 -1 -1 -1 38 2510 47 6.95648e+06 463222 678818. 2348.85 6.69 0.349571 0.302102 26626 170182 -1 2131 22 1477 2382 175778 38190 2.94132 2.94132 -122.271 -2.94132 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0312975 0.0272747 84 65 52 26 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_112.v common 3.97 vpr 64.48 MiB 0.05 7164 -1 -1 1 0.03 -1 -1 30420 -1 -1 32 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66032 31 32 422 339 1 187 95 17 17 289 -1 unnamed_device 24.8 MiB 0.95 814 14999 5034 7379 2586 64.5 MiB 0.13 0.00 3.12545 -115.097 -3.12545 3.12545 0.33 0.000787055 0.000728493 0.0575209 0.0531761 -1 -1 -1 -1 40 2613 32 6.95648e+06 463222 706193. 2443.58 1.09 0.196102 0.171338 26914 176310 -1 1926 21 1924 2659 204937 48092 3.45062 3.45062 -126.961 -3.45062 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0322371 0.028142 91 93 31 31 92 31 + fixed_k6_frac_2ripple_N8_22nm.xml mult_113.v common 4.74 vpr 63.47 MiB 0.04 6968 -1 -1 1 0.03 -1 -1 30320 -1 -1 12 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64996 32 32 333 279 1 154 76 17 17 289 -1 unnamed_device 24.3 MiB 0.43 634 9836 2564 5684 1588 63.5 MiB 0.09 0.00 2.83385 -103.376 -2.83385 2.83385 0.33 0.000658459 0.000612155 0.0427864 0.0398191 -1 -1 -1 -1 44 1831 49 6.95648e+06 173708 787024. 2723.27 2.44 0.279259 0.240577 27778 195446 -1 1296 22 1167 1847 118693 29957 3.27042 3.27042 -108.272 -3.27042 0 0 997811. 3452.63 0.04 0.07 0.15 -1 -1 0.04 0.0282458 0.0245808 62 61 32 32 60 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_114.v common 7.84 vpr 63.64 MiB 0.05 6968 -1 -1 1 0.03 -1 -1 30064 -1 -1 12 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65164 32 32 339 283 1 158 76 17 17 289 -1 unnamed_device 24.6 MiB 0.36 653 8716 2249 5094 1373 63.6 MiB 0.04 0.00 2.91085 -109.584 -2.91085 2.91085 0.25 0.000296029 0.000272482 0.0180277 0.0166447 -1 -1 -1 -1 46 1898 26 6.95648e+06 173708 828058. 2865.25 5.83 0.290743 0.24807 28066 200906 -1 1393 27 1458 2287 173544 43666 3.37552 3.37552 -120.828 -3.37552 0 0 1.01997e+06 3529.29 0.04 0.08 0.17 -1 -1 0.04 0.0333938 0.0289533 64 63 32 32 62 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_115.v common 4.98 vpr 63.68 MiB 0.05 7016 -1 -1 1 0.03 -1 -1 30740 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65208 32 32 407 319 1 190 96 17 17 289 -1 unnamed_device 24.8 MiB 0.59 846 15645 5217 8008 2420 63.7 MiB 0.14 0.00 3.76019 -138.157 -3.76019 3.76019 0.33 0.000776097 0.000720992 0.0584194 0.0541845 -1 -1 -1 -1 40 2973 41 6.95648e+06 463222 706193. 2443.58 2.39 0.237572 0.207353 26914 176310 -1 2139 22 2082 3061 234663 54691 4.28096 4.28096 -154.111 -4.28096 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0325639 0.0283912 91 65 64 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_116.v common 3.69 vpr 63.62 MiB 0.05 7200 -1 -1 1 0.03 -1 -1 30484 -1 -1 33 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65144 29 32 367 293 1 175 94 17 17 289 -1 unnamed_device 24.5 MiB 0.48 804 14791 5197 7141 2453 63.6 MiB 0.13 0.00 2.96363 -103.824 -2.96363 2.96363 0.33 0.000717323 0.000666661 0.052836 0.0490004 -1 -1 -1 -1 36 2587 50 6.95648e+06 477698 648988. 2245.63 1.33 0.215037 0.186915 26050 158493 -1 1915 21 1505 2184 169250 39755 3.09182 3.09182 -114.618 -3.09182 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0290436 0.0252849 87 62 56 29 58 29 + fixed_k6_frac_2ripple_N8_22nm.xml mult_117.v common 10.33 vpr 64.57 MiB 0.05 7276 -1 -1 1 0.03 -1 -1 30660 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66116 32 32 469 381 1 192 96 17 17 289 -1 unnamed_device 25.1 MiB 1.04 833 14769 5115 7175 2479 64.6 MiB 0.14 0.00 3.83239 -140.013 -3.83239 3.83239 0.33 0.000857044 0.000795576 0.0612803 0.0568805 -1 -1 -1 -1 40 3023 40 6.95648e+06 463222 706193. 2443.58 7.25 0.410817 0.353029 26914 176310 -1 2371 28 2471 3681 337820 82004 4.31396 4.31396 -161.444 -4.31396 0 0 926341. 3205.33 0.04 0.13 0.14 -1 -1 0.04 0.0434645 0.0375019 92 127 0 0 128 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_118.v common 3.62 vpr 63.66 MiB 0.02 6832 -1 -1 1 0.03 -1 -1 30216 -1 -1 11 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65188 31 32 259 212 1 143 74 17 17 289 -1 unnamed_device 24.3 MiB 0.62 563 10149 4239 5514 396 63.7 MiB 0.08 0.00 2.9422 -102.19 -2.9422 2.9422 0.33 0.000575575 0.000536072 0.0394119 0.0366973 -1 -1 -1 -1 46 1751 25 6.95648e+06 159232 828058. 2865.25 1.19 0.153717 0.133841 28066 200906 -1 1286 21 1060 1651 121523 30161 3.17127 3.17127 -105.935 -3.17127 0 0 1.01997e+06 3529.29 0.04 0.06 0.16 -1 -1 0.04 0.0240759 0.0210081 57 4 85 31 0 0 + fixed_k6_frac_2ripple_N8_22nm.xml mult_119.v common 7.84 vpr 63.63 MiB 0.05 7312 -1 -1 1 0.03 -1 -1 30392 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65156 32 32 418 338 1 182 93 17 17 289 -1 unnamed_device 24.7 MiB 0.49 1008 14373 5915 8161 297 63.6 MiB 0.12 0.00 3.76639 -134.285 -3.76639 3.76639 0.33 0.000782573 0.000725614 0.0562358 0.0521638 -1 -1 -1 -1 42 2357 30 6.95648e+06 419795 744469. 2576.02 5.40 0.336258 0.290782 27202 183097 -1 2106 20 1769 2470 198773 43978 3.72356 3.72356 -143.045 -3.72356 0 0 949917. 3286.91 0.04 0.08 0.14 -1 -1 0.04 0.0304509 0.0265932 85 92 28 28 92 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_120.v common 7.27 vpr 63.56 MiB 0.04 7128 -1 -1 1 0.03 -1 -1 30148 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65084 32 32 376 318 1 154 75 17 17 289 -1 unnamed_device 24.5 MiB 2.10 788 8607 3571 4894 142 63.6 MiB 0.09 0.00 2.94085 -114.746 -2.94085 2.94085 0.33 0.000713205 0.000662552 0.0414309 0.038531 -1 -1 -1 -1 34 2334 39 6.95648e+06 159232 618332. 2139.56 3.12 0.282429 0.243136 25762 151098 -1 1768 64 3392 4836 664245 272373 3.37362 3.37362 -130.543 -3.37362 0 0 787024. 2723.27 0.03 0.29 0.12 -1 -1 0.03 0.0739877 0.0634063 62 96 0 0 96 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_121.v common 8.27 vpr 64.46 MiB 0.05 7000 -1 -1 1 0.03 -1 -1 30348 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66012 32 32 401 316 1 188 94 17 17 289 -1 unnamed_device 25.0 MiB 0.77 1163 14578 4502 8146 1930 64.5 MiB 0.13 0.00 3.02515 -120.461 -3.02515 3.02515 0.33 0.000763621 0.000708978 0.0550876 0.0510326 -1 -1 -1 -1 38 2720 24 6.95648e+06 434271 678818. 2348.85 5.60 0.350026 0.302466 26626 170182 -1 2254 21 1648 2439 188931 40647 3.28132 3.28132 -131.597 -3.28132 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0314833 0.0274757 89 65 61 32 64 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_122.v common 4.40 vpr 64.71 MiB 0.05 7420 -1 -1 1 0.03 -1 -1 30792 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66268 32 32 500 382 1 222 101 17 17 289 -1 unnamed_device 25.0 MiB 1.00 1172 16081 5219 8297 2565 64.7 MiB 0.16 0.00 4.55644 -167.51 -4.55644 4.55644 0.33 0.000918369 0.000853658 0.0659489 0.0611756 -1 -1 -1 -1 42 3191 26 6.95648e+06 535600 744469. 2576.02 1.40 0.220628 0.193047 27202 183097 -1 2692 24 2813 4296 363308 73326 4.74021 4.74021 -177.029 -4.74021 0 0 949917. 3286.91 0.04 0.12 0.15 -1 -1 0.04 0.0425785 0.0370625 108 96 64 32 96 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_123.v common 3.89 vpr 63.56 MiB 0.04 6924 -1 -1 1 0.03 -1 -1 30152 -1 -1 12 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65084 30 32 246 229 1 115 74 17 17 289 -1 unnamed_device 24.0 MiB 0.31 487 9219 3932 4969 318 63.6 MiB 0.07 0.00 2.20646 -79.82 -2.20646 2.20646 0.33 0.000526981 0.000490756 0.033147 0.0308633 -1 -1 -1 -1 34 1402 21 6.95648e+06 173708 618332. 2139.56 1.80 0.193704 0.166313 25762 151098 -1 1112 20 754 1006 83380 21795 2.10948 2.10948 -84.4848 -2.10948 0 0 787024. 2723.27 0.03 0.05 0.12 -1 -1 0.03 0.021054 0.0182373 45 56 0 0 53 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_124.v common 6.01 vpr 63.31 MiB 0.04 6884 -1 -1 1 0.03 -1 -1 30416 -1 -1 12 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64828 30 32 296 244 1 141 74 17 17 289 -1 unnamed_device 24.3 MiB 1.22 714 11544 4893 6197 454 63.3 MiB 0.10 0.00 2.83685 -102.626 -2.83685 2.83685 0.33 0.000610989 0.000567908 0.0477614 0.044451 -1 -1 -1 -1 40 1762 24 6.95648e+06 173708 706193. 2443.58 2.95 0.279609 0.240749 26914 176310 -1 1496 21 1107 1674 190010 50002 3.09792 3.09792 -113.332 -3.09792 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0255234 0.0221883 58 34 60 30 30 30 + fixed_k6_frac_2ripple_N8_22nm.xml mult_125.v common 9.51 vpr 63.36 MiB 0.05 6876 -1 -1 1 0.03 -1 -1 30036 -1 -1 12 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64884 32 32 314 256 1 161 76 17 17 289 -1 unnamed_device 24.2 MiB 0.16 675 9356 3688 5198 470 63.4 MiB 0.09 0.00 2.98911 -115.235 -2.98911 2.98911 0.33 0.000645409 0.000600029 0.0399621 0.0371964 -1 -1 -1 -1 44 2328 48 6.95648e+06 173708 787024. 2723.27 7.46 0.332472 0.286341 27778 195446 -1 1719 22 1464 2394 196534 47359 3.50852 3.50852 -124.354 -3.50852 0 0 997811. 3452.63 0.04 0.08 0.15 -1 -1 0.04 0.0277999 0.0242654 66 34 64 32 32 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_126.v common 6.28 vpr 63.65 MiB 0.04 6996 -1 -1 1 0.03 -1 -1 30392 -1 -1 23 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65176 25 32 251 214 1 132 80 17 17 289 -1 unnamed_device 24.4 MiB 0.17 539 11776 4899 6026 851 63.6 MiB 0.11 0.00 3.0295 -88.2249 -3.0295 3.0295 0.33 0.000532627 0.000495578 0.0485384 0.0452027 -1 -1 -1 -1 32 1899 49 6.95648e+06 332941 586450. 2029.24 4.36 0.265617 0.228504 25474 144626 -1 1321 27 1201 1717 130920 30562 2.94452 2.94452 -95.9196 -2.94452 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0266542 0.0229613 61 34 50 25 25 25 + fixed_k6_frac_2ripple_N8_22nm.xml mult_127.v common 4.89 vpr 63.81 MiB 0.05 7120 -1 -1 1 0.03 -1 -1 30548 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65344 32 32 432 346 1 185 78 17 17 289 -1 unnamed_device 24.8 MiB 0.64 818 10868 4497 5970 401 63.8 MiB 0.12 0.00 3.72219 -135.01 -3.72219 3.72219 0.33 0.000804735 0.000747637 0.0553397 0.0514399 -1 -1 -1 -1 44 2570 26 6.95648e+06 202660 787024. 2723.27 2.33 0.297276 0.256946 27778 195446 -1 1944 21 1780 3078 212057 49868 3.97716 3.97716 -145.956 -3.97716 0 0 997811. 3452.63 0.04 0.08 0.16 -1 -1 0.04 0.0328404 0.0286191 78 94 32 32 94 32 + fixed_k6_frac_2ripple_N8_22nm.xml mult_128.v common 7.56 vpr 63.79 MiB 0.04 7132 -1 -1 1 0.03 -1 -1 30332 -1 -1 36 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65316 31 32 421 339 1 185 99 17 17 289 -1 unnamed_device 24.8 MiB 0.55 878 14463 4941 7263 2259 63.8 MiB 0.12 0.00 3.03435 -112.639 -3.03435 3.03435 0.33 0.000780343 0.000723256 0.0524601 0.0484966 -1 -1 -1 -1 38 2434 27 6.95648e+06 521125 678818. 2348.85 5.08 0.334828 0.288285 26626 170182 -1 1920 20 1828 2468 184133 41900 3.28932 3.28932 -125.898 -3.28932 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0306365 0.026736 93 94 29 29 93 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_001.v common 10.40 vpr 63.82 MiB 0.02 7312 -1 -1 1 0.03 -1 -1 30876 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65356 32 32 438 350 1 287 89 17 17 289 -1 unnamed_device 24.9 MiB 0.36 1233 11375 4637 6444 294 63.8 MiB 0.12 0.00 4.40054 -159.031 -4.40054 4.40054 0.33 0.000808814 0.000751192 0.0495967 0.0460748 -1 -1 -1 -1 42 3320 45 6.99608e+06 367892 744469. 2576.02 8.08 0.40144 0.344773 27202 183097 -1 2539 23 2414 2701 243477 63902 4.71111 4.71111 -173.083 -4.71111 0 0 949917. 3286.91 0.04 0.10 0.14 -1 -1 0.04 0.0359306 0.0313085 126 96 32 32 96 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_002.v common 6.30 vpr 63.62 MiB 0.05 7140 -1 -1 1 0.03 -1 -1 30596 -1 -1 25 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65152 30 32 409 330 1 257 87 17 17 289 -1 unnamed_device 24.6 MiB 0.35 1064 13335 4865 6427 2043 63.6 MiB 0.13 0.00 4.36632 -144.157 -4.36632 4.36632 0.34 0.000756055 0.000702402 0.0554984 0.0515527 -1 -1 -1 -1 50 2976 46 6.99608e+06 367892 902133. 3121.57 3.97 0.3417 0.294858 28642 213929 -1 2223 24 2360 3313 277236 67322 4.14654 4.14654 -145.435 -4.14654 0 0 1.08113e+06 3740.92 0.04 0.10 0.17 -1 -1 0.04 0.0346117 0.0301218 114 91 30 30 89 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_003.v common 3.87 vpr 64.24 MiB 0.05 7096 -1 -1 1 0.03 -1 -1 30464 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65784 32 32 387 309 1 241 85 17 17 289 -1 unnamed_device 24.6 MiB 0.50 1235 15523 5650 7863 2010 64.2 MiB 0.15 0.00 3.64599 -137.543 -3.64599 3.64599 0.33 0.000738812 0.000686248 0.0642401 0.0596489 -1 -1 -1 -1 40 3140 38 6.99608e+06 309029 706193. 2443.58 1.39 0.196641 0.172918 26914 176310 -1 2560 23 1930 2360 218707 46060 4.07156 4.07156 -152.256 -4.07156 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0329047 0.0286835 100 65 54 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_004.v common 4.56 vpr 63.96 MiB 0.04 7188 -1 -1 1 0.03 -1 -1 30420 -1 -1 20 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65500 29 32 343 267 1 201 81 17 17 289 -1 unnamed_device 24.4 MiB 0.38 847 11981 3747 5797 2437 64.0 MiB 0.11 0.00 3.84635 -127.029 -3.84635 3.84635 0.33 0.000686096 0.000637966 0.0493909 0.0459572 -1 -1 -1 -1 48 2100 31 6.99608e+06 294314 865456. 2994.66 2.34 0.265243 0.22956 28354 207349 -1 1595 22 1728 2558 187627 48523 3.83472 3.83472 -132.764 -3.83472 0 0 1.05005e+06 3633.38 0.04 0.08 0.16 -1 -1 0.04 0.0295574 0.0257762 88 34 87 29 29 29 + fixed_k6_frac_2uripple_N8_22nm.xml mult_005.v common 10.50 vpr 64.21 MiB 0.05 6980 -1 -1 1 0.03 -1 -1 30364 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65748 32 32 376 288 1 218 81 17 17 289 -1 unnamed_device 24.7 MiB 0.47 950 14256 5222 7183 1851 64.2 MiB 0.15 0.00 4.28634 -151.902 -4.28634 4.28634 0.33 0.000735617 0.00068335 0.0628814 0.0583893 -1 -1 -1 -1 46 3062 42 6.99608e+06 250167 828058. 2865.25 8.00 0.352625 0.305623 28066 200906 -1 2158 26 2420 3893 296717 68511 4.29595 4.29595 -160.488 -4.29595 0 0 1.01997e+06 3529.29 0.04 0.11 0.16 -1 -1 0.04 0.0367054 0.0320095 95 34 96 32 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_006.v common 3.51 vpr 63.67 MiB 0.05 7192 -1 -1 1 0.03 -1 -1 30516 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65200 32 32 402 316 1 251 93 17 17 289 -1 unnamed_device 24.6 MiB 0.32 1320 17313 6726 9274 1313 63.7 MiB 0.16 0.00 3.71319 -137.448 -3.71319 3.71319 0.33 0.000778887 0.000723269 0.0660236 0.0612872 -1 -1 -1 -1 40 3233 25 6.99608e+06 426755 706193. 2443.58 1.16 0.194054 0.170793 26914 176310 -1 2612 29 2337 3584 347075 89466 3.65466 3.65466 -141.846 -3.65466 0 0 926341. 3205.33 0.04 0.13 0.14 -1 -1 0.04 0.0404468 0.0350768 114 64 63 32 63 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_007.v common 3.06 vpr 63.43 MiB 0.05 6972 -1 -1 1 0.03 -1 -1 30628 -1 -1 17 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64948 27 32 269 226 1 156 76 17 17 289 -1 unnamed_device 24.4 MiB 0.35 793 10636 4431 5599 606 63.4 MiB 0.09 0.00 3.0735 -101.918 -3.0735 3.0735 0.33 0.000570738 0.00053129 0.039728 0.0369982 -1 -1 -1 -1 36 1866 21 6.99608e+06 250167 648988. 2245.63 0.94 0.126687 0.11096 26050 158493 -1 1715 21 1382 1942 186836 39004 3.21292 3.21292 -113.803 -3.21292 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.02326 0.0201542 68 34 54 27 27 27 + fixed_k6_frac_2uripple_N8_22nm.xml mult_008.v common 8.70 vpr 64.03 MiB 0.02 7064 -1 -1 1 0.03 -1 -1 30204 -1 -1 30 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65564 31 32 317 242 1 178 93 17 17 289 -1 unnamed_device 24.5 MiB 0.15 1053 15633 4964 8757 1912 64.0 MiB 0.13 0.00 2.8156 -108.673 -2.8156 2.8156 0.33 0.000668843 0.000615614 0.0519293 0.0481645 -1 -1 -1 -1 38 2615 40 6.99608e+06 441471 678818. 2348.85 6.73 0.343267 0.295958 26626 170182 -1 2136 20 1256 2021 140486 31526 2.80397 2.80397 -112.866 -2.80397 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.0264193 0.0230796 85 4 115 31 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_009.v common 3.53 vpr 63.40 MiB 0.05 7128 -1 -1 1 0.03 -1 -1 30252 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64920 31 32 338 292 1 222 82 17 17 289 -1 unnamed_device 24.3 MiB 0.41 1044 12364 5106 6915 343 63.4 MiB 0.11 0.00 3.45374 -122.079 -3.45374 3.45374 0.33 0.000660521 0.000613578 0.0482938 0.044865 -1 -1 -1 -1 40 2374 21 6.99608e+06 279598 706193. 2443.58 1.25 0.17565 0.153001 26914 176310 -1 1998 23 1672 2101 151278 37085 3.32481 3.32481 -123.573 -3.32481 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0291901 0.025326 93 85 0 0 84 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_010.v common 8.26 vpr 63.40 MiB 0.05 6936 -1 -1 1 0.03 -1 -1 30336 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64924 32 32 314 256 1 187 78 17 17 289 -1 unnamed_device 24.2 MiB 0.33 927 11864 4660 6078 1126 63.4 MiB 0.11 0.00 3.17594 -123.164 -3.17594 3.17594 0.33 0.000644947 0.000599869 0.0482166 0.0448437 -1 -1 -1 -1 38 2523 30 6.99608e+06 206020 678818. 2348.85 6.08 0.289749 0.250197 26626 170182 -1 2071 21 1797 2277 186821 39445 3.43777 3.43777 -134.767 -3.43777 0 0 902133. 3121.57 0.03 0.07 0.13 -1 -1 0.03 0.0264717 0.0230761 75 34 64 32 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_011.v common 3.66 vpr 63.59 MiB 0.05 7052 -1 -1 1 0.03 -1 -1 30216 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65116 30 32 325 273 1 197 80 17 17 289 -1 unnamed_device 24.6 MiB 0.40 907 10916 3962 5331 1623 63.6 MiB 0.10 0.00 3.56495 -123.942 -3.56495 3.56495 0.33 0.000651363 0.000605652 0.0437044 0.0406188 -1 -1 -1 -1 38 2537 27 6.99608e+06 264882 678818. 2348.85 1.36 0.175213 0.152456 26626 170182 -1 1998 23 2038 2743 238850 68052 3.72141 3.72141 -139.11 -3.72141 0 0 902133. 3121.57 0.03 0.10 0.13 -1 -1 0.03 0.030142 0.0262821 86 63 30 30 60 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_012.v common 7.37 vpr 63.97 MiB 0.04 6988 -1 -1 1 0.03 -1 -1 30464 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65508 32 32 331 280 1 210 82 17 17 289 -1 unnamed_device 24.3 MiB 0.36 1146 9694 2399 6092 1203 64.0 MiB 0.09 0.00 3.0953 -120.741 -3.0953 3.0953 0.33 0.000658633 0.00061218 0.0384871 0.0357874 -1 -1 -1 -1 38 2566 46 6.99608e+06 264882 678818. 2348.85 5.11 0.323446 0.277602 26626 170182 -1 2137 22 1380 1520 128231 27765 3.32967 3.32967 -128.514 -3.32967 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.028121 0.024473 85 65 25 25 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_013.v common 10.33 vpr 64.25 MiB 0.05 7132 -1 -1 1 0.03 -1 -1 30292 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65788 32 32 386 305 1 228 84 17 17 289 -1 unnamed_device 24.6 MiB 0.37 1223 5757 1291 4117 349 64.2 MiB 0.08 0.00 3.67909 -136.815 -3.67909 3.67909 0.33 0.000747186 0.000694764 0.0269459 0.0250951 -1 -1 -1 -1 44 3022 29 6.99608e+06 294314 787024. 2723.27 8.13 0.373844 0.320879 27778 195446 -1 2583 29 1963 2747 287361 87533 3.58136 3.58136 -141.043 -3.58136 0 0 997811. 3452.63 0.03 0.08 0.11 -1 -1 0.03 0.0211478 0.0186697 98 58 64 32 57 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_014.v common 5.15 vpr 64.36 MiB 0.05 7128 -1 -1 1 0.03 -1 -1 30488 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65904 32 32 407 319 1 254 86 17 17 289 -1 unnamed_device 24.7 MiB 0.46 1134 14828 6172 8162 494 64.4 MiB 0.15 0.00 4.40309 -158.947 -4.40309 4.40309 0.33 0.000774448 0.000719571 0.0637749 0.0592571 -1 -1 -1 -1 46 3077 41 6.99608e+06 323745 828058. 2865.25 2.70 0.321075 0.278128 28066 200906 -1 2272 24 2522 3282 213090 49799 4.60601 4.60601 -172.223 -4.60601 0 0 1.01997e+06 3529.29 0.04 0.09 0.16 -1 -1 0.04 0.0357789 0.0312134 106 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_015.v common 4.52 vpr 63.78 MiB 0.04 7076 -1 -1 1 0.03 -1 -1 30588 -1 -1 15 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65308 29 32 272 228 1 159 76 17 17 289 -1 unnamed_device 24.1 MiB 0.50 613 11276 4686 6006 584 63.8 MiB 0.09 0.00 3.01741 -100.665 -3.01741 3.01741 0.33 0.000575008 0.000534903 0.0426344 0.0396912 -1 -1 -1 -1 46 1461 21 6.99608e+06 220735 828058. 2865.25 2.13 0.208311 0.179973 28066 200906 -1 1230 21 1060 1538 108598 28268 2.94952 2.94952 -104.567 -2.94952 0 0 1.01997e+06 3529.29 0.04 0.06 0.18 -1 -1 0.04 0.0240065 0.0208437 67 29 58 29 24 24 + fixed_k6_frac_2uripple_N8_22nm.xml mult_016.v common 5.70 vpr 64.27 MiB 0.05 7136 -1 -1 1 0.03 -1 -1 30308 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65808 32 32 401 315 1 244 84 17 17 289 -1 unnamed_device 24.7 MiB 1.24 1279 12711 5262 7205 244 64.3 MiB 0.13 0.00 3.55059 -132.497 -3.55059 3.55059 0.36 0.000770108 0.000715452 0.0560539 0.0520678 -1 -1 -1 -1 46 2879 20 6.99608e+06 294314 828058. 2865.25 2.46 0.273978 0.237341 28066 200906 -1 2421 23 2380 3740 245886 54523 3.60011 3.60011 -143.637 -3.60011 0 0 1.01997e+06 3529.29 0.04 0.10 0.16 -1 -1 0.04 0.0341191 0.0297451 108 63 64 32 62 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_017.v common 4.77 vpr 63.76 MiB 0.02 7160 -1 -1 1 0.03 -1 -1 30316 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65288 32 32 383 303 1 227 84 17 17 289 -1 unnamed_device 24.7 MiB 0.40 1158 9966 2544 6871 551 63.8 MiB 0.11 0.00 3.23714 -123.773 -3.23714 3.23714 0.34 0.000747831 0.000695384 0.0433814 0.0403347 -1 -1 -1 -1 40 2794 37 6.99608e+06 294314 706193. 2443.58 2.49 0.281413 0.24312 26914 176310 -1 2333 24 2014 2580 220082 47588 3.49952 3.49952 -139.157 -3.49952 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0342117 0.0298083 97 57 64 32 56 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_018.v common 7.84 vpr 63.98 MiB 0.04 6988 -1 -1 1 0.03 -1 -1 30124 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65512 32 32 339 284 1 218 83 17 17 289 -1 unnamed_device 24.3 MiB 0.39 1038 13763 4757 7523 1483 64.0 MiB 0.12 0.00 2.91805 -112.667 -2.91805 2.91805 0.34 0.000678419 0.000631255 0.0539119 0.0500977 -1 -1 -1 -1 38 2748 41 6.99608e+06 279598 678818. 2348.85 5.53 0.341909 0.294484 26626 170182 -1 2104 24 1769 2313 184199 43480 2.87452 2.87452 -122.134 -2.87452 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0311518 0.0271111 90 65 29 29 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_019.v common 4.10 vpr 63.39 MiB 0.04 6692 -1 -1 1 0.03 -1 -1 30144 -1 -1 13 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64916 30 32 226 208 1 139 75 17 17 289 -1 unnamed_device 23.8 MiB 0.31 610 9713 4034 5326 353 63.4 MiB 0.07 0.00 2.38636 -86.3307 -2.38636 2.38636 0.34 0.000500002 0.000463147 0.0326193 0.0302368 -1 -1 -1 -1 46 1325 26 6.99608e+06 191304 828058. 2865.25 2.00 0.176865 0.15203 28066 200906 -1 1088 19 759 823 74906 20042 2.15343 2.15343 -84.2172 -2.15343 0 0 1.01997e+06 3529.29 0.04 0.05 0.16 -1 -1 0.04 0.0193691 0.0168648 54 34 24 24 30 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_020.v common 4.97 vpr 63.65 MiB 0.04 7040 -1 -1 1 0.03 -1 -1 30340 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65180 31 32 335 280 1 209 82 17 17 289 -1 unnamed_device 24.6 MiB 0.96 1075 13788 3779 8977 1032 63.7 MiB 0.13 0.00 3.57949 -130.712 -3.57949 3.57949 0.33 0.000660605 0.000614432 0.0536299 0.049828 -1 -1 -1 -1 42 2411 23 6.99608e+06 279598 744469. 2576.02 2.10 0.26131 0.226214 27202 183097 -1 1995 24 1435 1739 153105 33973 3.47181 3.47181 -134.833 -3.47181 0 0 949917. 3286.91 0.04 0.08 0.15 -1 -1 0.04 0.030547 0.0265767 92 64 31 31 62 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_021.v common 4.43 vpr 64.23 MiB 0.04 7168 -1 -1 1 0.03 -1 -1 30172 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65772 32 32 366 283 1 215 97 17 17 289 -1 unnamed_device 24.7 MiB 0.31 958 14971 4356 8257 2358 64.2 MiB 0.13 0.00 4.00213 -141.214 -4.00213 4.00213 0.34 0.000727574 0.000676096 0.0518197 0.0481102 -1 -1 -1 -1 40 2774 47 6.99608e+06 485618 706193. 2443.58 2.09 0.207999 0.182008 26914 176310 -1 2148 21 1903 2841 256990 74288 4.3382 4.3382 -152.268 -4.3382 0 0 926341. 3205.33 0.04 0.10 0.14 -1 -1 0.04 0.0298727 0.026075 103 34 91 32 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_022.v common 5.19 vpr 63.86 MiB 0.05 7284 -1 -1 1 0.03 -1 -1 30560 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65396 32 32 460 375 1 304 91 17 17 289 -1 unnamed_device 24.9 MiB 0.47 1465 14983 5290 7359 2334 63.9 MiB 0.16 0.00 4.08533 -143.635 -4.08533 4.08533 0.34 0.000839968 0.000780835 0.0651483 0.0605954 -1 -1 -1 -1 48 3159 24 6.99608e+06 397324 865456. 2994.66 2.67 0.319591 0.276412 28354 207349 -1 2635 18 2010 2295 201415 50295 3.93832 3.93832 -148.233 -3.93832 0 0 1.05005e+06 3633.38 0.04 0.09 0.16 -1 -1 0.04 0.0309936 0.0270963 132 124 0 0 125 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_023.v common 2.82 vpr 63.16 MiB 0.04 6748 -1 -1 1 0.03 -1 -1 30556 -1 -1 16 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64676 26 32 198 186 1 125 74 17 17 289 -1 unnamed_device 23.7 MiB 0.28 507 10149 4254 5314 581 63.2 MiB 0.10 0.00 2.61785 -73.794 -2.61785 2.61785 0.33 0.00052234 0.000485184 0.0446462 0.041496 -1 -1 -1 -1 36 1335 24 6.99608e+06 235451 648988. 2245.63 0.79 0.116157 0.102295 26050 158493 -1 1082 18 603 752 57594 14524 2.30737 2.30737 -76.2676 -2.30737 0 0 828058. 2865.25 0.03 0.04 0.13 -1 -1 0.03 0.0165843 0.0144907 53 30 26 26 22 22 + fixed_k6_frac_2uripple_N8_22nm.xml mult_024.v common 8.97 vpr 63.15 MiB 0.04 6928 -1 -1 1 0.03 -1 -1 30204 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64668 32 32 333 251 1 181 78 17 17 289 -1 unnamed_device 24.3 MiB 0.84 917 12030 4510 6313 1207 63.2 MiB 0.12 0.00 3.49024 -127.709 -3.49024 3.49024 0.33 0.000882113 0.000820402 0.0535002 0.0496594 -1 -1 -1 -1 44 2529 42 6.99608e+06 206020 787024. 2723.27 6.18 0.337561 0.291936 27778 195446 -1 2012 21 1781 2845 248153 51952 3.59331 3.59331 -135.697 -3.59331 0 0 997811. 3452.63 0.04 0.09 0.17 -1 -1 0.04 0.0283213 0.0247197 77 3 122 32 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_025.v common 3.10 vpr 63.30 MiB 0.04 6792 -1 -1 1 0.03 -1 -1 30324 -1 -1 10 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64820 32 32 199 182 1 119 74 17 17 289 -1 unnamed_device 23.8 MiB 0.10 483 10149 4264 5662 223 63.3 MiB 0.07 0.00 2.06111 -78.8783 -2.06111 2.06111 0.33 0.000470117 0.000436332 0.0323224 0.0300753 -1 -1 -1 -1 38 1529 29 6.99608e+06 147157 678818. 2348.85 1.28 0.128971 0.11246 26626 170182 -1 1007 21 760 1055 79631 23832 2.16462 2.16462 -81.4663 -2.16462 0 0 902133. 3121.57 0.03 0.05 0.14 -1 -1 0.03 0.01939 0.0169146 44 3 53 32 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_026.v common 9.67 vpr 64.11 MiB 0.04 7176 -1 -1 1 0.03 -1 -1 30640 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65644 32 32 376 288 1 218 82 17 17 289 -1 unnamed_device 24.4 MiB 0.67 862 13254 4989 6640 1625 64.1 MiB 0.13 0.00 3.90745 -142.129 -3.90745 3.90745 0.33 0.000742935 0.000691102 0.0578214 0.0537936 -1 -1 -1 -1 48 2797 33 6.99608e+06 264882 865456. 2994.66 7.00 0.394047 0.341018 28354 207349 -1 2123 24 2193 3066 243821 55411 3.95702 3.95702 -152.274 -3.95702 0 0 1.05005e+06 3633.38 0.04 0.10 0.16 -1 -1 0.04 0.0337562 0.0293573 91 34 96 32 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_027.v common 3.77 vpr 63.96 MiB 0.05 7020 -1 -1 1 0.03 -1 -1 30128 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65492 32 32 337 253 1 188 96 17 17 289 -1 unnamed_device 24.4 MiB 0.16 851 16521 5783 7472 3266 64.0 MiB 0.13 0.00 2.8296 -108.404 -2.8296 2.8296 0.33 0.000663253 0.000613064 0.0546262 0.0506363 -1 -1 -1 -1 44 2483 39 6.99608e+06 470902 787024. 2723.27 1.67 0.209553 0.182771 27778 195446 -1 1770 27 1742 2741 189351 49528 3.21107 3.21107 -117.017 -3.21107 0 0 997811. 3452.63 0.04 0.09 0.15 -1 -1 0.04 0.0347236 0.0301239 90 3 124 32 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_028.v common 3.79 vpr 64.17 MiB 0.02 7160 -1 -1 1 0.03 -1 -1 30540 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65708 32 32 407 319 1 256 86 17 17 289 -1 unnamed_device 24.5 MiB 0.24 1322 15017 5510 7576 1931 64.2 MiB 0.08 0.00 3.86605 -145.07 -3.86605 3.86605 0.25 0.000346046 0.000318705 0.0297716 0.0274421 -1 -1 -1 -1 44 3264 25 6.99608e+06 323745 787024. 2723.27 1.92 0.161827 0.139405 27778 195446 -1 2626 21 2253 3054 221532 46925 4.00102 4.00102 -154.525 -4.00102 0 0 997811. 3452.63 0.04 0.09 0.15 -1 -1 0.04 0.0317512 0.0277425 109 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_029.v common 7.81 vpr 63.17 MiB 0.04 6872 -1 -1 1 0.03 -1 -1 30124 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64688 32 32 294 246 1 176 78 17 17 289 -1 unnamed_device 24.1 MiB 1.09 821 11864 4931 6712 221 63.2 MiB 0.10 0.00 3.0484 -115.003 -3.0484 3.0484 0.33 0.000614963 0.000571911 0.0461468 0.0429201 -1 -1 -1 -1 36 2705 31 6.99608e+06 206020 648988. 2245.63 4.85 0.287731 0.247988 26050 158493 -1 2012 30 1917 2870 259449 74285 2.93362 2.93362 -122.054 -2.93362 0 0 828058. 2865.25 0.03 0.11 0.13 -1 -1 0.03 0.0338007 0.0292784 70 34 54 32 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_030.v common 3.57 vpr 63.93 MiB 0.05 6880 -1 -1 1 0.03 -1 -1 30140 -1 -1 16 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65460 30 32 296 244 1 178 78 17 17 289 -1 unnamed_device 24.3 MiB 0.29 860 11366 2963 7867 536 63.9 MiB 0.10 0.00 3.57469 -127.359 -3.57469 3.57469 0.33 0.000623231 0.000578015 0.0445633 0.0413265 -1 -1 -1 -1 44 2397 21 6.99608e+06 235451 787024. 2723.27 1.36 0.151965 0.132755 27778 195446 -1 2028 22 1540 2403 243365 51174 3.57816 3.57816 -132.672 -3.57816 0 0 997811. 3452.63 0.04 0.08 0.15 -1 -1 0.04 0.0262396 0.0228028 77 34 60 30 30 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_031.v common 4.55 vpr 63.23 MiB 0.05 7048 -1 -1 1 0.03 -1 -1 30368 -1 -1 17 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64748 28 32 278 232 1 163 77 17 17 289 -1 unnamed_device 24.1 MiB 0.30 898 11813 3304 7441 1068 63.2 MiB 0.10 0.00 3.56439 -121.03 -3.56439 3.56439 0.33 0.000582792 0.00054258 0.0443042 0.0412529 -1 -1 -1 -1 42 2263 47 6.99608e+06 250167 744469. 2576.02 2.35 0.24824 0.213732 27202 183097 -1 1927 24 1129 1983 181139 37303 3.45416 3.45416 -123.961 -3.45416 0 0 949917. 3286.91 0.04 0.08 0.15 -1 -1 0.04 0.0269335 0.0233457 73 34 56 28 28 28 + fixed_k6_frac_2uripple_N8_22nm.xml mult_032.v common 5.09 vpr 63.59 MiB 0.03 6936 -1 -1 1 0.03 -1 -1 30364 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65112 32 32 283 225 1 160 75 17 17 289 -1 unnamed_device 24.2 MiB 0.19 658 8607 3534 4778 295 63.6 MiB 0.08 0.00 2.86245 -111.625 -2.86245 2.86245 0.33 0.000612623 0.000570035 0.0354802 0.0330406 -1 -1 -1 -1 48 2152 45 6.99608e+06 161872 865456. 2994.66 3.06 0.26661 0.22941 28354 207349 -1 1453 24 1616 2481 170879 44950 3.31722 3.31722 -126.481 -3.31722 0 0 1.05005e+06 3633.38 0.04 0.09 0.16 -1 -1 0.04 0.0331615 0.0287083 65 3 96 32 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_033.v common 4.48 vpr 63.78 MiB 0.04 6876 -1 -1 1 0.03 -1 -1 30356 -1 -1 17 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65308 31 32 303 249 1 185 80 17 17 289 -1 unnamed_device 24.1 MiB 0.31 931 8164 1984 5844 336 63.8 MiB 0.09 0.00 3.07241 -116.632 -3.07241 3.07241 0.33 0.000629647 0.000585322 0.0319694 0.0297402 -1 -1 -1 -1 42 2276 47 6.99608e+06 250167 744469. 2576.02 2.31 0.238365 0.204744 27202 183097 -1 1983 33 1815 2313 237486 80594 3.13782 3.13782 -123.466 -3.13782 0 0 949917. 3286.91 0.04 0.12 0.15 -1 -1 0.04 0.0372429 0.0321892 75 34 61 31 31 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_034.v common 4.57 vpr 63.24 MiB 0.04 7032 -1 -1 1 0.03 -1 -1 30208 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64760 29 32 312 264 1 193 80 17 17 289 -1 unnamed_device 24.3 MiB 0.34 875 13496 5646 7286 564 63.2 MiB 0.12 0.00 3.06925 -107.545 -3.06925 3.06925 0.33 0.000617915 0.00057375 0.05088 0.0472143 -1 -1 -1 -1 40 1986 40 6.99608e+06 279598 706193. 2443.58 2.44 0.296185 0.254768 26914 176310 -1 1682 15 971 1222 93623 23070 2.79322 2.79322 -105.795 -2.79322 0 0 926341. 3205.33 0.04 0.05 0.14 -1 -1 0.04 0.0201918 0.0177216 84 61 29 29 57 29 + fixed_k6_frac_2uripple_N8_22nm.xml mult_035.v common 13.52 vpr 64.36 MiB 0.05 7072 -1 -1 1 0.03 -1 -1 30460 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65904 32 32 423 310 1 240 88 17 17 289 -1 unnamed_device 24.7 MiB 0.38 1079 13933 4200 7835 1898 64.4 MiB 0.17 0.00 3.9326 -143.656 -3.9326 3.9326 0.33 0.000824976 0.000766953 0.061964 0.0576308 -1 -1 -1 -1 46 3608 42 6.99608e+06 353176 828058. 2865.25 11.05 0.447343 0.386372 28066 200906 -1 2517 31 2758 4232 375544 110521 4.14652 4.14652 -160.694 -4.14652 0 0 1.01997e+06 3529.29 0.04 0.15 0.16 -1 -1 0.04 0.046735 0.0405306 107 29 128 32 27 27 + fixed_k6_frac_2uripple_N8_22nm.xml mult_036.v common 3.89 vpr 63.63 MiB 0.05 7100 -1 -1 1 0.03 -1 -1 30428 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65160 32 32 403 317 1 252 85 17 17 289 -1 unnamed_device 24.6 MiB 0.41 1225 15151 5738 7342 2071 63.6 MiB 0.15 0.00 3.78892 -139.23 -3.78892 3.78892 0.33 0.000773515 0.000718177 0.065942 0.061192 -1 -1 -1 -1 44 3270 36 6.99608e+06 309029 787024. 2723.27 1.47 0.216832 0.19018 27778 195446 -1 2539 23 2787 3809 295060 66564 3.94501 3.94501 -150.443 -3.94501 0 0 997811. 3452.63 0.04 0.11 0.15 -1 -1 0.04 0.0359185 0.0313909 106 65 62 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_037.v common 4.01 vpr 64.11 MiB 0.04 6960 -1 -1 1 0.03 -1 -1 30472 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65644 31 32 353 302 1 223 83 17 17 289 -1 unnamed_device 24.4 MiB 0.39 1181 11963 3282 7214 1467 64.1 MiB 0.11 0.00 3.52079 -125.291 -3.52079 3.52079 0.33 0.000678614 0.000630484 0.0472992 0.0439754 -1 -1 -1 -1 40 2511 46 6.99608e+06 294314 706193. 2443.58 1.46 0.203371 0.17663 26914 176310 -1 2189 66 2603 2767 560070 320397 3.28976 3.28976 -128.757 -3.28976 0 0 926341. 3205.33 0.04 0.32 0.14 -1 -1 0.04 0.072024 0.0615975 96 90 0 0 89 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_038.v common 4.94 vpr 63.64 MiB 0.05 7208 -1 -1 1 0.03 -1 -1 30408 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65172 31 32 391 309 1 242 84 17 17 289 -1 unnamed_device 24.7 MiB 0.41 1213 14541 5681 6716 2144 63.6 MiB 0.14 0.00 3.77179 -135.31 -3.77179 3.77179 0.33 0.000753857 0.00069532 0.0623439 0.0579012 -1 -1 -1 -1 42 2844 34 6.99608e+06 309029 744469. 2576.02 2.52 0.330459 0.285707 27202 183097 -1 2397 28 2069 2926 348031 111297 3.74266 3.74266 -139.573 -3.74266 0 0 949917. 3286.91 0.04 0.14 0.15 -1 -1 0.04 0.0388007 0.0336595 104 64 60 30 62 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_039.v common 10.62 vpr 63.93 MiB 0.05 7240 -1 -1 1 0.03 -1 -1 30488 -1 -1 28 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65460 31 32 455 371 1 302 91 17 17 289 -1 unnamed_device 24.9 MiB 0.44 1389 16411 5514 8222 2675 63.9 MiB 0.16 0.00 4.89563 -166.703 -4.89563 4.89563 0.33 0.000835975 0.000773994 0.0702882 0.0652111 -1 -1 -1 -1 40 3564 50 6.99608e+06 412039 706193. 2443.58 8.05 0.431302 0.371984 26914 176310 -1 2939 28 2915 3450 389607 130271 4.87371 4.87371 -170.849 -4.87371 0 0 926341. 3205.33 0.04 0.16 0.14 -1 -1 0.04 0.0431369 0.0373231 133 124 0 0 124 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_040.v common 6.12 vpr 63.58 MiB 0.05 7260 -1 -1 1 0.03 -1 -1 30444 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65108 31 32 413 333 1 257 86 17 17 289 -1 unnamed_device 24.5 MiB 0.78 1185 15206 6378 8330 498 63.6 MiB 0.15 0.00 5.03902 -164.233 -5.03902 5.03902 0.33 0.000769528 0.000714121 0.0650072 0.0603 -1 -1 -1 -1 46 3022 29 6.99608e+06 338461 828058. 2865.25 3.31 0.361541 0.312836 28066 200906 -1 2318 25 1594 2044 156819 45322 4.57525 4.57525 -158.26 -4.57525 0 0 1.01997e+06 3529.29 0.04 0.09 0.16 -1 -1 0.04 0.0368817 0.0321657 116 90 31 31 89 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_041.v common 5.08 vpr 64.26 MiB 0.05 7100 -1 -1 1 0.03 -1 -1 30476 -1 -1 22 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65804 31 32 391 309 1 240 85 17 17 289 -1 unnamed_device 24.7 MiB 0.38 1210 13663 4967 6739 1957 64.3 MiB 0.13 0.00 3.06556 -117.402 -3.06556 3.06556 0.33 0.000752223 0.000698252 0.0579802 0.0538506 -1 -1 -1 -1 46 3103 34 6.99608e+06 323745 828058. 2865.25 2.68 0.314905 0.272454 28066 200906 -1 2507 20 2211 3004 249407 52246 3.28747 3.28747 -129.838 -3.28747 0 0 1.01997e+06 3529.29 0.04 0.09 0.16 -1 -1 0.04 0.0301843 0.0263855 104 64 60 31 62 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_042.v common 13.03 vpr 63.73 MiB 0.05 7244 -1 -1 1 0.03 -1 -1 30912 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65256 32 32 407 319 1 252 86 17 17 289 -1 unnamed_device 24.6 MiB 0.51 1090 16907 7321 9114 472 63.7 MiB 0.16 0.00 3.84815 -144.105 -3.84815 3.84815 0.33 0.000782806 0.000727461 0.071641 0.0665573 -1 -1 -1 -1 42 3318 46 6.99608e+06 323745 744469. 2576.02 10.46 0.418148 0.363014 27202 183097 -1 2456 29 2732 3557 313631 77793 4.36892 4.36892 -165.543 -4.36892 0 0 949917. 3286.91 0.04 0.12 0.15 -1 -1 0.04 0.0404369 0.035038 106 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_043.v common 9.40 vpr 64.26 MiB 0.05 7360 -1 -1 1 0.03 -1 -1 30788 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65800 32 32 496 380 1 313 90 17 17 289 -1 unnamed_device 25.2 MiB 0.50 1582 11547 3145 7670 732 64.3 MiB 0.14 0.00 4.28794 -157.671 -4.28794 4.28794 0.33 0.00091818 0.000852836 0.0560066 0.0520578 -1 -1 -1 -1 44 3927 41 6.99608e+06 382608 787024. 2723.27 6.80 0.416576 0.359937 27778 195446 -1 3352 24 3362 4855 381230 80754 4.89085 4.89085 -176.763 -4.89085 0 0 997811. 3452.63 0.04 0.13 0.15 -1 -1 0.04 0.0423614 0.0368919 137 96 62 32 96 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_044.v common 3.76 vpr 63.28 MiB 0.04 6884 -1 -1 1 0.03 -1 -1 30568 -1 -1 15 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64800 31 32 305 250 1 181 78 17 17 289 -1 unnamed_device 24.1 MiB 0.45 758 11200 4201 5342 1657 63.3 MiB 0.10 0.00 3.1794 -117.688 -3.1794 3.1794 0.35 0.000628631 0.000584859 0.0446433 0.0415406 -1 -1 -1 -1 36 2371 48 6.99608e+06 220735 648988. 2245.63 1.44 0.19246 0.167113 26050 158493 -1 1845 22 1567 1937 183251 45997 3.52577 3.52577 -134.68 -3.52577 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0269076 0.0233698 73 34 62 31 31 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_045.v common 13.62 vpr 63.70 MiB 0.05 7044 -1 -1 1 0.03 -1 -1 30372 -1 -1 22 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65228 31 32 395 311 1 243 85 17 17 289 -1 unnamed_device 24.7 MiB 0.33 1264 15337 5156 8096 2085 63.7 MiB 0.15 0.00 4.23864 -148.597 -4.23864 4.23864 0.33 0.000768898 0.000706789 0.0652161 0.0605805 -1 -1 -1 -1 38 3641 44 6.99608e+06 323745 678818. 2348.85 11.32 0.417276 0.360627 26626 170182 -1 2833 23 2196 2792 260601 56320 4.85171 4.85171 -170.818 -4.85171 0 0 902133. 3121.57 0.03 0.10 0.14 -1 -1 0.03 0.0334179 0.0290829 103 64 62 31 62 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_046.v common 3.14 vpr 63.77 MiB 0.05 7044 -1 -1 1 0.03 -1 -1 30584 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65304 32 32 397 313 1 246 86 17 17 289 -1 unnamed_device 24.8 MiB 0.41 1347 8402 2001 5733 668 63.8 MiB 0.05 0.00 3.81055 -142.574 -3.81055 3.81055 0.25 0.000336004 0.000309179 0.0170588 0.0157311 -1 -1 -1 -1 40 3432 36 6.99608e+06 323745 706193. 2443.58 1.03 0.0891664 0.0771678 26914 176310 -1 2890 31 2264 3295 357060 123535 3.81296 3.81296 -149.166 -3.81296 0 0 926341. 3205.33 0.04 0.15 0.14 -1 -1 0.04 0.0424149 0.0367718 104 63 62 32 62 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_047.v common 4.21 vpr 63.47 MiB 0.04 7112 -1 -1 1 0.04 -1 -1 30512 -1 -1 14 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64992 32 32 345 257 1 187 78 17 17 289 -1 unnamed_device 24.4 MiB 0.68 832 10702 4443 5841 418 63.5 MiB 0.11 0.00 3.56519 -132.867 -3.56519 3.56519 0.33 0.000704219 0.000654163 0.048219 0.0448291 -1 -1 -1 -1 56 2277 24 6.99608e+06 206020 973134. 3367.25 1.59 0.190842 0.166744 29794 239141 -1 1937 27 2095 3645 337705 74497 3.91706 3.91706 -143.593 -3.91706 0 0 1.19926e+06 4149.71 0.05 0.11 0.19 -1 -1 0.05 0.0354836 0.0308241 79 3 128 32 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_048.v common 9.62 vpr 64.41 MiB 0.05 7200 -1 -1 1 0.03 -1 -1 30320 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65956 32 32 424 343 1 267 88 17 17 289 -1 unnamed_device 24.7 MiB 0.45 1196 16078 5210 8739 2129 64.4 MiB 0.15 0.00 3.19794 -120.555 -3.19794 3.19794 0.33 0.000784056 0.000727815 0.0674043 0.0626243 -1 -1 -1 -1 42 3006 33 6.99608e+06 353176 744469. 2576.02 7.19 0.401264 0.347396 27202 183097 -1 2381 21 2021 2400 190118 43709 3.46811 3.46811 -133.89 -3.46811 0 0 949917. 3286.91 0.04 0.08 0.15 -1 -1 0.04 0.032564 0.0284548 117 96 25 25 96 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_049.v common 9.89 vpr 63.93 MiB 0.05 7164 -1 -1 1 0.03 -1 -1 30364 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65464 32 32 395 311 1 240 88 17 17 289 -1 unnamed_device 24.9 MiB 0.50 1355 15883 5014 8759 2110 63.9 MiB 0.15 0.00 3.76489 -144.803 -3.76489 3.76489 0.33 0.000757717 0.000704258 0.0647293 0.0601456 -1 -1 -1 -1 40 2989 23 6.99608e+06 353176 706193. 2443.58 7.41 0.344352 0.298695 26914 176310 -1 2713 21 2055 2888 248140 53331 3.78186 3.78186 -148.725 -3.78186 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.031652 0.0276577 105 61 64 32 60 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_050.v common 4.30 vpr 63.68 MiB 0.05 7052 -1 -1 1 0.03 -1 -1 30560 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65212 32 32 405 318 1 253 85 17 17 289 -1 unnamed_device 24.7 MiB 0.39 1171 15337 5447 7981 1909 63.7 MiB 0.15 0.00 3.07656 -118.058 -3.07656 3.07656 0.33 0.000779701 0.000724396 0.0666384 0.0618594 -1 -1 -1 -1 40 3130 45 6.99608e+06 309029 706193. 2443.58 1.87 0.246647 0.215528 26914 176310 -1 2631 23 2456 3259 284073 68165 3.84862 3.84862 -143.469 -3.84862 0 0 926341. 3205.33 0.04 0.10 0.14 -1 -1 0.04 0.0341741 0.0298054 107 65 63 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_051.v common 4.47 vpr 64.39 MiB 0.02 7060 -1 -1 1 0.03 -1 -1 30556 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65940 32 32 376 288 1 218 82 17 17 289 -1 unnamed_device 24.7 MiB 0.64 892 12542 4016 6442 2084 64.4 MiB 0.13 0.00 3.9044 -142.23 -3.9044 3.9044 0.33 0.000739024 0.000687767 0.0545598 0.0507856 -1 -1 -1 -1 44 2861 41 6.99608e+06 264882 787024. 2723.27 1.92 0.221841 0.193698 27778 195446 -1 1956 23 2159 3226 228570 53004 3.98502 3.98502 -152.849 -3.98502 0 0 997811. 3452.63 0.04 0.09 0.15 -1 -1 0.04 0.0325373 0.0283624 91 34 96 32 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_052.v common 4.21 vpr 64.38 MiB 0.05 7100 -1 -1 1 0.05 -1 -1 30728 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65928 32 32 407 319 1 251 85 17 17 289 -1 unnamed_device 24.7 MiB 0.49 1160 16639 7254 8927 458 64.4 MiB 0.16 0.00 3.8714 -145.637 -3.8714 3.8714 0.33 0.000774283 0.000719599 0.0719261 0.06686 -1 -1 -1 -1 40 2847 50 6.99608e+06 309029 706193. 2443.58 1.77 0.255392 0.223441 26914 176310 -1 2551 21 2310 2845 228083 49366 4.11832 4.11832 -161.685 -4.11832 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0316749 0.0276445 104 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_053.v common 12.43 vpr 64.02 MiB 0.03 7440 -1 -1 1 0.04 -1 -1 30528 -1 -1 27 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65556 31 32 449 367 1 289 90 17 17 289 -1 unnamed_device 25.1 MiB 0.50 1406 16974 6072 8496 2406 64.0 MiB 0.17 0.00 4.14707 -135.35 -4.14707 4.14707 0.33 0.000825582 0.00076759 0.0723417 0.0672302 -1 -1 -1 -1 38 4058 42 6.99608e+06 397324 678818. 2348.85 9.87 0.407115 0.35134 26626 170182 -1 2935 43 3008 3777 484671 188448 4.08301 4.08301 -147.767 -4.08301 0 0 902133. 3121.57 0.03 0.21 0.14 -1 -1 0.03 0.0601157 0.051583 129 122 0 0 122 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_054.v common 3.83 vpr 64.32 MiB 0.05 7264 -1 -1 1 0.03 -1 -1 30572 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65864 32 32 432 346 1 281 89 17 17 289 -1 unnamed_device 24.8 MiB 0.43 1477 10385 3449 5403 1533 64.3 MiB 0.11 0.00 3.80435 -145.876 -3.80435 3.80435 0.33 0.000801121 0.000744189 0.0464363 0.0432058 -1 -1 -1 -1 42 3764 37 6.99608e+06 367892 744469. 2576.02 1.38 0.191362 0.166763 27202 183097 -1 3202 22 2895 4116 346811 72549 4.34222 4.34222 -159.031 -4.34222 0 0 949917. 3286.91 0.04 0.11 0.15 -1 -1 0.04 0.034831 0.0303065 122 94 32 32 94 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_055.v common 3.46 vpr 63.96 MiB 0.04 6932 -1 -1 1 0.03 -1 -1 30664 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65492 32 32 312 255 1 191 79 17 17 289 -1 unnamed_device 24.2 MiB 0.29 1019 11740 3220 7426 1094 64.0 MiB 0.11 0.00 3.06141 -123.807 -3.06141 3.06141 0.33 0.000646201 0.000601867 0.0465961 0.0433862 -1 -1 -1 -1 44 2270 22 6.99608e+06 220735 787024. 2723.27 1.24 0.171204 0.149654 27778 195446 -1 1959 23 1518 1936 154638 32462 3.11882 3.11882 -128.288 -3.11882 0 0 997811. 3452.63 0.04 0.07 0.15 -1 -1 0.04 0.0282354 0.0245253 77 34 63 32 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_056.v common 4.75 vpr 64.22 MiB 0.04 7012 -1 -1 1 0.03 -1 -1 30528 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65760 32 32 370 314 1 243 84 17 17 289 -1 unnamed_device 24.7 MiB 0.47 1098 12711 4124 6028 2559 64.2 MiB 0.12 0.00 4.08138 -143.409 -4.08138 4.08138 0.33 0.000709189 0.000658986 0.0517897 0.0481329 -1 -1 -1 -1 44 2641 27 6.99608e+06 294314 787024. 2723.27 2.34 0.270222 0.233458 27778 195446 -1 2072 21 1635 1964 188526 42743 3.78125 3.78125 -139.288 -3.78125 0 0 997811. 3452.63 0.06 0.08 0.16 -1 -1 0.06 0.029846 0.0261248 101 94 0 0 94 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_057.v common 5.43 vpr 64.63 MiB 0.05 7288 -1 -1 1 0.03 -1 -1 30748 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66184 32 32 469 351 1 285 87 17 17 289 -1 unnamed_device 25.0 MiB 0.46 1462 15639 5345 8285 2009 64.6 MiB 0.17 0.00 4.55019 -169.568 -4.55019 4.55019 0.33 0.00088293 0.000819817 0.0749434 0.0696725 -1 -1 -1 -1 48 3608 29 6.99608e+06 338461 865456. 2994.66 2.93 0.37693 0.326519 28354 207349 -1 3073 23 2907 4073 361174 76217 4.97376 4.97376 -188.092 -4.97376 0 0 1.05005e+06 3633.38 0.05 0.14 0.16 -1 -1 0.05 0.0468519 0.0410374 120 65 96 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_058.v common 3.46 vpr 64.14 MiB 0.05 7020 -1 -1 1 0.03 -1 -1 30452 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65680 32 32 368 284 1 217 81 17 17 289 -1 unnamed_device 24.4 MiB 0.33 1150 10406 2663 6912 831 64.1 MiB 0.11 0.00 3.0863 -123.131 -3.0863 3.0863 0.33 0.000731919 0.000680326 0.0460015 0.0428011 -1 -1 -1 -1 40 2677 45 6.99608e+06 250167 706193. 2443.58 1.26 0.213587 0.185553 26914 176310 -1 2417 21 1907 2581 206525 43073 3.34247 3.34247 -139.495 -3.34247 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0302511 0.0264343 89 34 92 32 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_059.v common 7.78 vpr 63.87 MiB 0.05 6956 -1 -1 1 0.03 -1 -1 30448 -1 -1 29 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65404 30 32 296 244 1 177 91 17 17 289 -1 unnamed_device 24.2 MiB 0.26 982 15187 4181 9701 1305 63.9 MiB 0.12 0.00 3.56849 -130.181 -3.56849 3.56849 0.33 0.0006172 0.000573715 0.0484221 0.0449762 -1 -1 -1 -1 38 2323 22 6.99608e+06 426755 678818. 2348.85 5.61 0.285125 0.245689 26626 170182 -1 1977 23 1488 2290 168004 36419 3.57811 3.57811 -132.722 -3.57811 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0272893 0.023676 84 34 60 30 30 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_060.v common 4.73 vpr 64.29 MiB 0.05 7428 -1 -1 1 0.04 -1 -1 30844 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65828 32 32 531 413 1 346 93 17 17 289 -1 unnamed_device 25.1 MiB 0.52 1807 10173 2721 6729 723 64.3 MiB 0.13 0.00 5.55195 -196.111 -5.55195 5.55195 0.33 0.000947418 0.000881113 0.0495816 0.0459909 -1 -1 -1 -1 44 4754 40 6.99608e+06 426755 787024. 2723.27 2.16 0.243112 0.211157 27778 195446 -1 3583 22 3154 3940 357351 71681 5.465 5.465 -201.029 -5.465 0 0 997811. 3452.63 0.04 0.12 0.15 -1 -1 0.04 0.0407024 0.0355127 150 127 32 32 128 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_061.v common 4.82 vpr 64.38 MiB 0.04 7172 -1 -1 1 0.03 -1 -1 30444 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65928 32 32 376 288 1 217 81 17 17 289 -1 unnamed_device 24.9 MiB 0.35 961 13556 5169 6178 2209 64.4 MiB 0.13 0.00 3.71615 -138.628 -3.71615 3.71615 0.33 0.000744201 0.000691096 0.0603014 0.0560372 -1 -1 -1 -1 44 2743 27 6.99608e+06 250167 787024. 2723.27 2.52 0.322042 0.279003 27778 195446 -1 2126 24 2370 3082 234474 52248 3.95576 3.95576 -152.11 -3.95576 0 0 997811. 3452.63 0.04 0.09 0.15 -1 -1 0.04 0.0336091 0.0293099 91 34 96 32 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_062.v common 9.26 vpr 63.25 MiB 0.05 6884 -1 -1 1 0.03 -1 -1 30288 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64768 32 32 283 225 1 158 90 17 17 289 -1 unnamed_device 24.3 MiB 0.24 790 11346 4250 5848 1248 63.2 MiB 0.10 0.00 2.96575 -116.07 -2.96575 2.96575 0.33 0.000590553 0.000546587 0.0368235 0.0342005 -1 -1 -1 -1 40 2250 34 6.99608e+06 382608 706193. 2443.58 7.14 0.27932 0.240952 26914 176310 -1 1833 20 1502 2351 197557 46698 2.99332 2.99332 -124.086 -2.99332 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0242068 0.0210706 72 3 96 32 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_063.v common 12.82 vpr 64.32 MiB 0.05 7268 -1 -1 1 0.03 -1 -1 30972 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65868 32 32 438 320 1 256 85 17 17 289 -1 unnamed_device 24.6 MiB 0.36 1355 14035 4364 7633 2038 64.3 MiB 0.15 0.00 4.58319 -167.11 -4.58319 4.58319 0.33 0.000853507 0.0007947 0.0670749 0.0624381 -1 -1 -1 -1 44 3476 26 6.99608e+06 309029 787024. 2723.27 10.48 0.392798 0.339843 27778 195446 -1 2849 23 2793 3970 327121 66774 4.86136 4.86136 -181.546 -4.86136 0 0 997811. 3452.63 0.04 0.11 0.16 -1 -1 0.04 0.0386162 0.0336896 109 34 128 32 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_064.v common 3.19 vpr 63.52 MiB 0.04 6932 -1 -1 1 0.03 -1 -1 30292 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65040 32 32 283 225 1 156 75 17 17 289 -1 unnamed_device 24.1 MiB 0.29 646 10977 4602 6063 312 63.5 MiB 0.10 0.00 2.86245 -113.355 -2.86245 2.86245 0.33 0.000610986 0.000568716 0.0447257 0.0416235 -1 -1 -1 -1 42 2111 23 6.99608e+06 161872 744469. 2576.02 1.06 0.166021 0.144921 27202 183097 -1 1660 22 1575 2366 162507 39999 3.25507 3.25507 -124.891 -3.25507 0 0 949917. 3286.91 0.04 0.07 0.15 -1 -1 0.04 0.0261284 0.0227473 63 3 96 32 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_065.v common 3.87 vpr 63.89 MiB 0.04 6848 -1 -1 1 0.03 -1 -1 30144 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65428 30 32 296 244 1 177 80 17 17 289 -1 unnamed_device 24.2 MiB 0.30 886 12120 5122 6572 426 63.9 MiB 0.10 0.00 3.0492 -112.371 -3.0492 3.0492 0.33 0.000616186 0.000573296 0.0456481 0.0424824 -1 -1 -1 -1 40 2312 44 6.99608e+06 264882 706193. 2443.58 1.71 0.18641 0.162078 26914 176310 -1 1874 23 1731 2330 218099 51475 3.30147 3.30147 -123.146 -3.30147 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0273702 0.023789 74 34 60 30 30 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_066.v common 11.43 vpr 64.19 MiB 0.05 7260 -1 -1 1 0.03 -1 -1 30512 -1 -1 25 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65728 29 32 393 319 1 240 86 17 17 289 -1 unnamed_device 24.5 MiB 0.40 1231 14828 5539 7167 2122 64.2 MiB 0.14 0.00 3.52589 -122.812 -3.52589 3.52589 0.33 0.00073433 0.000681789 0.0606837 0.0563789 -1 -1 -1 -1 38 3483 25 6.99608e+06 367892 678818. 2348.85 9.08 0.331469 0.287412 26626 170182 -1 2762 20 2184 2966 249408 53951 3.62541 3.62541 -130.616 -3.62541 0 0 902133. 3121.57 0.03 0.09 0.14 -1 -1 0.03 0.0295916 0.0258818 111 88 29 29 85 29 + fixed_k6_frac_2uripple_N8_22nm.xml mult_067.v common 3.73 vpr 64.57 MiB 0.04 7140 -1 -1 1 0.03 -1 -1 30748 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66124 32 32 407 319 1 250 84 17 17 289 -1 unnamed_device 24.9 MiB 0.69 1211 14724 6283 7977 464 64.6 MiB 0.14 0.00 4.47024 -165.899 -4.47024 4.47024 0.33 0.000767102 0.000712252 0.0646944 0.0600997 -1 -1 -1 -1 42 3024 26 6.99608e+06 294314 744469. 2576.02 1.09 0.192098 0.168941 27202 183097 -1 2573 24 2506 3356 266293 59389 5.06801 5.06801 -179.766 -5.06801 0 0 949917. 3286.91 0.04 0.10 0.15 -1 -1 0.04 0.0352599 0.0307328 107 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_068.v common 11.50 vpr 64.34 MiB 0.04 7076 -1 -1 1 0.03 -1 -1 30708 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65880 32 32 407 319 1 249 85 17 17 289 -1 unnamed_device 24.7 MiB 0.65 1172 12919 4604 6148 2167 64.3 MiB 0.13 0.00 4.42939 -158.789 -4.42939 4.42939 0.33 0.000774464 0.000719772 0.0564178 0.0524188 -1 -1 -1 -1 40 3444 27 6.99608e+06 309029 706193. 2443.58 8.86 0.2994 0.259602 26914 176310 -1 2788 24 2828 3865 336925 75590 4.63711 4.63711 -173.441 -4.63711 0 0 926341. 3205.33 0.04 0.11 0.14 -1 -1 0.04 0.0359209 0.0313037 105 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_069.v common 3.32 vpr 64.06 MiB 0.04 7048 -1 -1 1 0.03 -1 -1 30516 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65596 32 32 345 287 1 213 82 17 17 289 -1 unnamed_device 24.4 MiB 0.33 835 10406 3047 5683 1676 64.1 MiB 0.10 0.00 3.63509 -130.038 -3.63509 3.63509 0.33 0.000681139 0.000633319 0.0421399 0.0391932 -1 -1 -1 -1 44 2396 37 6.99608e+06 264882 787024. 2723.27 1.14 0.165427 0.14414 27778 195446 -1 1729 21 1451 1668 132017 31686 3.45606 3.45606 -130.953 -3.45606 0 0 997811. 3452.63 0.04 0.07 0.15 -1 -1 0.04 0.0277954 0.0242268 87 65 32 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_070.v common 8.39 vpr 64.08 MiB 0.05 7020 -1 -1 1 0.03 -1 -1 30488 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65616 31 32 353 302 1 225 83 17 17 289 -1 unnamed_device 24.4 MiB 0.41 1053 11423 4503 5667 1253 64.1 MiB 0.11 0.00 3.65747 -128.394 -3.65747 3.65747 0.33 0.000677889 0.000629821 0.0455293 0.0422794 -1 -1 -1 -1 38 2920 39 6.99608e+06 294314 678818. 2348.85 6.09 0.321089 0.276899 26626 170182 -1 2268 23 1829 2407 209185 50188 3.77096 3.77096 -138.357 -3.77096 0 0 902133. 3121.57 0.03 0.09 0.15 -1 -1 0.03 0.0303531 0.0264339 98 90 0 0 89 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_071.v common 5.03 vpr 64.06 MiB 0.05 7092 -1 -1 1 0.03 -1 -1 30396 -1 -1 23 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65600 30 32 374 297 1 226 85 17 17 289 -1 unnamed_device 24.3 MiB 0.42 990 12733 4591 5781 2361 64.1 MiB 0.11 0.00 3.2948 -115.864 -3.2948 3.2948 0.33 0.000718725 0.000667694 0.0518642 0.0481849 -1 -1 -1 -1 50 2446 24 6.99608e+06 338461 902133. 3121.57 2.62 0.275226 0.238098 28642 213929 -1 1972 24 1918 2622 194283 48559 3.19707 3.19707 -121.425 -3.19707 0 0 1.08113e+06 3740.92 0.04 0.09 0.17 -1 -1 0.04 0.0328931 0.028642 100 60 60 30 57 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_072.v common 3.45 vpr 63.32 MiB 0.05 7124 -1 -1 1 0.04 -1 -1 30496 -1 -1 25 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64840 28 32 332 260 1 195 85 17 17 289 -1 unnamed_device 24.4 MiB 0.31 1003 6409 2401 3531 477 63.3 MiB 0.07 0.00 3.82205 -126.533 -3.82205 3.82205 0.33 0.00066289 0.000617122 0.0254201 0.0237124 -1 -1 -1 -1 38 2515 23 6.99608e+06 367892 678818. 2348.85 1.22 0.152501 0.132161 26626 170182 -1 2269 28 2236 3241 337187 98313 4.23992 4.23992 -143.46 -4.23992 0 0 902133. 3121.57 0.03 0.13 0.13 -1 -1 0.03 0.0342635 0.0297172 88 34 84 28 28 28 + fixed_k6_frac_2uripple_N8_22nm.xml mult_073.v common 4.81 vpr 63.40 MiB 0.04 6928 -1 -1 1 0.03 -1 -1 30212 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64920 30 32 325 273 1 204 80 17 17 289 -1 unnamed_device 24.3 MiB 0.39 1052 14012 5462 6811 1739 63.4 MiB 0.12 0.00 3.90653 -137.71 -3.90653 3.90653 0.33 0.000650497 0.000605108 0.0555379 0.0516654 -1 -1 -1 -1 44 2316 24 6.99608e+06 264882 787024. 2723.27 2.41 0.277551 0.240219 27778 195446 -1 2071 44 2896 3928 469909 173674 3.6477 3.6477 -132.698 -3.6477 0 0 997811. 3452.63 0.04 0.19 0.15 -1 -1 0.04 0.0489188 0.0420951 86 63 30 30 60 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_074.v common 4.70 vpr 63.59 MiB 0.05 7036 -1 -1 1 0.03 -1 -1 30320 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65112 32 32 361 308 1 237 84 17 17 289 -1 unnamed_device 24.4 MiB 0.40 1052 13626 4473 6882 2271 63.6 MiB 0.13 0.00 3.46859 -125.68 -3.46859 3.46859 0.33 0.000693365 0.000644071 0.0542717 0.0503975 -1 -1 -1 -1 44 2754 30 6.99608e+06 294314 787024. 2723.27 2.37 0.272055 0.235196 27778 195446 -1 2112 25 1909 2369 196518 45972 3.56566 3.56566 -129.624 -3.56566 0 0 997811. 3452.63 0.04 0.08 0.15 -1 -1 0.04 0.0326833 0.0283321 98 91 0 0 91 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_075.v common 12.77 vpr 64.00 MiB 0.05 7088 -1 -1 1 0.03 -1 -1 30356 -1 -1 32 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65540 31 32 335 251 1 188 95 17 17 289 -1 unnamed_device 24.4 MiB 0.12 1086 8951 1843 6533 575 64.0 MiB 0.09 0.00 3.66159 -136.78 -3.66159 3.66159 0.33 0.000692277 0.000643565 0.0309451 0.0287827 -1 -1 -1 -1 36 3215 42 6.99608e+06 470902 648988. 2245.63 10.76 0.306813 0.264055 26050 158493 -1 2709 23 2080 3455 329792 68821 4.06506 4.06506 -158.269 -4.06506 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0305595 0.0265935 92 4 124 31 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_076.v common 4.01 vpr 64.38 MiB 0.05 7196 -1 -1 1 0.03 -1 -1 30608 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65920 32 32 407 319 1 249 87 17 17 289 -1 unnamed_device 24.7 MiB 0.31 1238 8727 2205 5715 807 64.4 MiB 0.10 0.00 4.31154 -156.569 -4.31154 4.31154 0.33 0.000773857 0.000719495 0.0379417 0.0352146 -1 -1 -1 -1 38 3518 32 6.99608e+06 338461 678818. 2348.85 1.70 0.175096 0.152396 26626 170182 -1 2958 25 2711 3680 352834 74473 4.68111 4.68111 -171.74 -4.68111 0 0 902133. 3121.57 0.03 0.12 0.13 -1 -1 0.03 0.0363705 0.0315752 105 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_077.v common 9.72 vpr 63.94 MiB 0.05 7144 -1 -1 1 0.03 -1 -1 30380 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65476 32 32 407 319 1 248 85 17 17 289 -1 unnamed_device 24.9 MiB 0.40 1289 7711 1655 5862 194 63.9 MiB 0.10 0.00 4.54847 -159.975 -4.54847 4.54847 0.33 0.000776719 0.000721466 0.0349948 0.0325352 -1 -1 -1 -1 46 3139 42 6.99608e+06 309029 828058. 2865.25 7.43 0.26383 0.227743 28066 200906 -1 2534 21 2174 2990 224195 48442 4.42484 4.42484 -162.7 -4.42484 0 0 1.01997e+06 3529.29 0.04 0.09 0.16 -1 -1 0.04 0.0320405 0.0280087 106 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_078.v common 4.02 vpr 63.72 MiB 0.05 7140 -1 -1 1 0.03 -1 -1 30380 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65248 32 32 399 315 1 250 86 17 17 289 -1 unnamed_device 24.7 MiB 0.42 1229 15017 6317 8415 285 63.7 MiB 0.15 0.00 3.80163 -136.823 -3.80163 3.80163 0.33 0.000763786 0.0007091 0.063708 0.059041 -1 -1 -1 -1 48 3288 34 6.99608e+06 323745 865456. 2994.66 1.63 0.225777 0.197283 28354 207349 -1 2629 24 2392 3459 274832 60108 3.65631 3.65631 -138.78 -3.65631 0 0 1.05005e+06 3633.38 0.04 0.10 0.16 -1 -1 0.04 0.0349164 0.0304211 104 65 60 30 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_079.v common 8.45 vpr 63.25 MiB 0.04 6940 -1 -1 1 0.03 -1 -1 30408 -1 -1 17 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64764 30 32 296 244 1 177 79 17 17 289 -1 unnamed_device 24.1 MiB 0.32 778 10726 3078 5744 1904 63.2 MiB 0.10 0.00 3.0932 -110.285 -3.0932 3.0932 0.33 0.000609563 0.0005667 0.0407636 0.0378909 -1 -1 -1 -1 38 2358 25 6.99608e+06 250167 678818. 2348.85 6.33 0.27111 0.234205 26626 170182 -1 1969 21 1586 2235 207800 45573 3.25447 3.25447 -123.974 -3.25447 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0254469 0.0221535 73 34 60 30 30 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_080.v common 8.71 vpr 64.29 MiB 0.05 7044 -1 -1 1 0.03 -1 -1 30364 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65836 30 32 383 303 1 235 83 17 17 289 -1 unnamed_device 24.7 MiB 0.38 1198 14303 4504 8197 1602 64.3 MiB 0.14 0.00 4.62177 -156.286 -4.62177 4.62177 0.33 0.00073958 0.000687065 0.0614497 0.0571291 -1 -1 -1 -1 38 3098 34 6.99608e+06 309029 678818. 2348.85 6.34 0.361023 0.311836 26626 170182 -1 2603 19 2214 3134 240633 52283 4.62344 4.62344 -161.886 -4.62344 0 0 902133. 3121.57 0.03 0.09 0.14 -1 -1 0.03 0.0285404 0.0249821 102 63 60 30 60 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_081.v common 5.44 vpr 64.70 MiB 0.05 7348 -1 -1 1 0.03 -1 -1 30836 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66248 32 32 469 381 1 310 92 17 17 289 -1 unnamed_device 25.2 MiB 0.51 1367 17066 6261 7659 3146 64.7 MiB 0.17 0.00 3.84145 -141.159 -3.84145 3.84145 0.33 0.000858102 0.000789642 0.073149 0.0678167 -1 -1 -1 -1 44 3471 31 6.99608e+06 412039 787024. 2723.27 2.90 0.40828 0.351831 27778 195446 -1 2604 24 2452 2454 245595 54086 4.18436 4.18436 -156.682 -4.18436 0 0 997811. 3452.63 0.04 0.10 0.16 -1 -1 0.04 0.0384835 0.0333526 131 127 0 0 128 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_082.v common 10.02 vpr 64.46 MiB 0.05 7248 -1 -1 1 0.03 -1 -1 30404 -1 -1 27 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66012 31 32 425 341 1 275 90 17 17 289 -1 unnamed_device 24.7 MiB 0.31 1437 16773 6336 8301 2136 64.5 MiB 0.16 0.00 4.60434 -161.745 -4.60434 4.60434 0.33 0.000787224 0.000728766 0.0682812 0.0632831 -1 -1 -1 -1 40 3248 44 6.99608e+06 397324 706193. 2443.58 7.70 0.401766 0.348029 26914 176310 -1 2879 27 2815 3209 274207 63997 4.70011 4.70011 -175.963 -4.70011 0 0 926341. 3205.33 0.04 0.11 0.14 -1 -1 0.04 0.0392778 0.0341809 123 94 31 31 93 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_083.v common 10.11 vpr 64.49 MiB 0.05 7200 -1 -1 1 0.03 -1 -1 30424 -1 -1 26 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66040 30 32 404 328 1 249 88 17 17 289 -1 unnamed_device 24.8 MiB 0.44 1290 16078 5476 8260 2342 64.5 MiB 0.15 0.00 3.94798 -134.698 -3.94798 3.94798 0.33 0.00075472 0.000701506 0.0650728 0.0604328 -1 -1 -1 -1 38 3699 50 6.99608e+06 382608 678818. 2348.85 7.70 0.362394 0.313683 26626 170182 -1 2877 22 2387 3283 295935 61517 4.08295 4.08295 -145.145 -4.08295 0 0 902133. 3121.57 0.03 0.10 0.13 -1 -1 0.03 0.0323806 0.0282071 115 92 26 26 90 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_084.v common 4.95 vpr 64.28 MiB 0.05 7036 -1 -1 1 0.03 -1 -1 30536 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65820 32 32 407 319 1 252 86 17 17 289 -1 unnamed_device 24.6 MiB 0.55 1082 16340 6115 7803 2422 64.3 MiB 0.16 0.00 4.40309 -157.188 -4.40309 4.40309 0.33 0.000767629 0.000712393 0.06944 0.0644746 -1 -1 -1 -1 48 2788 24 6.99608e+06 323745 865456. 2994.66 2.58 0.302258 0.262448 28354 207349 -1 2190 22 2252 3161 243747 55744 4.31451 4.31451 -161.378 -4.31451 0 0 1.05005e+06 3633.38 0.04 0.05 0.12 -1 -1 0.04 0.0183885 0.0163605 106 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_085.v common 8.73 vpr 64.32 MiB 0.05 7284 -1 -1 1 0.03 -1 -1 30408 -1 -1 24 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65864 29 32 387 316 1 237 85 17 17 289 -1 unnamed_device 24.7 MiB 0.39 1136 14407 5309 6841 2257 64.3 MiB 0.14 0.00 3.78993 -126.124 -3.78993 3.78993 0.33 0.000727388 0.000672346 0.0590029 0.0547488 -1 -1 -1 -1 40 2739 24 6.99608e+06 353176 706193. 2443.58 6.41 0.330385 0.285917 26914 176310 -1 2295 21 1823 2364 164646 38555 3.94926 3.94926 -135.748 -3.94926 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0301539 0.0263058 112 88 26 26 85 29 + fixed_k6_frac_2uripple_N8_22nm.xml mult_086.v common 4.11 vpr 63.30 MiB 0.04 6884 -1 -1 1 0.03 -1 -1 30340 -1 -1 11 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 32 32 283 225 1 156 75 17 17 289 -1 unnamed_device 24.0 MiB 0.81 681 8449 3101 4017 1331 63.3 MiB 0.08 0.00 2.97675 -115.556 -2.97675 2.97675 0.33 0.000609113 0.000567154 0.034761 0.0323995 -1 -1 -1 -1 40 2015 22 6.99608e+06 161872 706193. 2443.58 1.51 0.154691 0.134541 26914 176310 -1 1564 21 1492 2404 184961 44312 3.38322 3.38322 -128.569 -3.38322 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0253596 0.0221005 63 3 96 32 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_087.v common 16.82 vpr 64.24 MiB 0.04 7244 -1 -1 1 0.03 -1 -1 30352 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65780 32 32 407 319 1 251 86 17 17 289 -1 unnamed_device 24.6 MiB 0.37 1402 14639 4592 8222 1825 64.2 MiB 0.15 0.00 4.56303 -166.491 -4.56303 4.56303 0.33 0.000775904 0.000720669 0.0630173 0.0584844 -1 -1 -1 -1 42 3624 49 6.99608e+06 323745 744469. 2576.02 14.44 0.422448 0.365628 27202 183097 -1 3052 21 2570 3455 325051 65286 4.70141 4.70141 -173.966 -4.70141 0 0 949917. 3286.91 0.04 0.10 0.15 -1 -1 0.04 0.0320483 0.0280248 107 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_088.v common 12.45 vpr 64.62 MiB 0.04 7100 -1 -1 1 0.03 -1 -1 30460 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66168 32 32 407 319 1 255 85 17 17 289 -1 unnamed_device 25.0 MiB 0.43 1237 14965 4903 7618 2444 64.6 MiB 0.15 0.00 4.56457 -162.619 -4.56457 4.56457 0.33 0.000774997 0.000720235 0.0652887 0.0606453 -1 -1 -1 -1 42 3671 47 6.99608e+06 309029 744469. 2576.02 10.08 0.437858 0.378639 27202 183097 -1 2945 21 2804 3814 326883 70625 4.82171 4.82171 -172.436 -4.82171 0 0 949917. 3286.91 0.04 0.10 0.14 -1 -1 0.04 0.0319763 0.0279523 106 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_089.v common 7.72 vpr 63.46 MiB 0.04 7044 -1 -1 1 0.03 -1 -1 30540 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64988 32 32 315 267 1 194 83 17 17 289 -1 unnamed_device 24.2 MiB 0.30 823 9263 2228 5919 1116 63.5 MiB 0.09 0.00 3.07279 -105.936 -3.07279 3.07279 0.33 0.000645687 0.000600834 0.0352044 0.0327666 -1 -1 -1 -1 40 2068 31 6.99608e+06 279598 706193. 2443.58 5.49 0.340565 0.292055 26914 176310 -1 1701 35 1699 2056 226840 75889 3.35937 3.35937 -118.282 -3.35937 0 0 926341. 3205.33 0.04 0.11 0.14 -1 -1 0.04 0.0393208 0.0339286 83 55 32 32 54 27 + fixed_k6_frac_2uripple_N8_22nm.xml mult_090.v common 3.38 vpr 63.73 MiB 0.04 6928 -1 -1 1 0.03 -1 -1 30464 -1 -1 12 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65260 31 32 275 220 1 154 75 17 17 289 -1 unnamed_device 24.4 MiB 0.21 892 9081 2898 5263 920 63.7 MiB 0.08 0.00 2.85145 -112.771 -2.85145 2.85145 0.33 0.000597063 0.000555651 0.036441 0.0339425 -1 -1 -1 -1 40 1900 31 6.99608e+06 176588 706193. 2443.58 1.33 0.163122 0.141777 26914 176310 -1 1704 22 1549 2351 180631 44051 3.18092 3.18092 -121.965 -3.18092 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0254923 0.0221496 65 4 93 31 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_091.v common 4.93 vpr 64.24 MiB 0.05 7120 -1 -1 1 0.03 -1 -1 30292 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65784 32 32 381 303 1 231 85 17 17 289 -1 unnamed_device 24.7 MiB 0.33 995 14965 6303 8282 380 64.2 MiB 0.14 0.00 3.64189 -128.664 -3.64189 3.64189 0.33 0.000744459 0.000691602 0.0624 0.0579953 -1 -1 -1 -1 44 2942 31 6.99608e+06 309029 787024. 2723.27 2.65 0.338658 0.293008 27778 195446 -1 2123 22 2026 2519 188842 43794 3.95726 3.95726 -139.001 -3.95726 0 0 997811. 3452.63 0.04 0.08 0.15 -1 -1 0.04 0.0314701 0.0274671 98 59 60 32 58 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_092.v common 4.61 vpr 63.79 MiB 0.05 7212 -1 -1 1 0.03 -1 -1 30344 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65320 32 32 406 330 1 255 86 17 17 289 -1 unnamed_device 24.7 MiB 0.40 1155 12749 5301 7120 328 63.8 MiB 0.12 0.00 4.47109 -149.966 -4.47109 4.47109 0.33 0.000763914 0.000709017 0.0540725 0.0501743 -1 -1 -1 -1 48 2696 23 6.99608e+06 323745 865456. 2994.66 2.27 0.294193 0.254562 28354 207349 -1 2111 18 1765 2239 148155 35853 4.50881 4.50881 -151.241 -4.50881 0 0 1.05005e+06 3633.38 0.04 0.07 0.16 -1 -1 0.04 0.028034 0.0246028 112 88 28 28 88 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_093.v common 12.54 vpr 63.57 MiB 0.05 7108 -1 -1 1 0.03 -1 -1 30512 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65100 32 32 399 285 1 218 98 17 17 289 -1 unnamed_device 24.6 MiB 0.20 1377 12698 2989 8567 1142 63.6 MiB 0.12 0.00 4.19628 -153.613 -4.19628 4.19628 0.33 0.000807185 0.00074279 0.0482803 0.0447953 -1 -1 -1 -1 42 3255 23 6.99608e+06 500333 744469. 2576.02 10.36 0.376311 0.325492 27202 183097 -1 2830 22 2389 3909 336109 69803 4.5968 4.5968 -170.835 -4.5968 0 0 949917. 3286.91 0.04 0.11 0.15 -1 -1 0.04 0.0336775 0.0293768 105 3 156 32 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_094.v common 7.00 vpr 63.53 MiB 0.05 7188 -1 -1 1 0.03 -1 -1 30544 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65052 30 32 371 295 1 223 84 17 17 289 -1 unnamed_device 24.4 MiB 0.50 982 14175 5175 6617 2383 63.5 MiB 0.13 0.00 3.2336 -115.379 -3.2336 3.2336 0.33 0.000714839 0.00066431 0.0582844 0.0542003 -1 -1 -1 -1 36 3398 45 6.99608e+06 323745 648988. 2245.63 4.61 0.285475 0.248329 26050 158493 -1 2310 23 2253 3134 245038 55928 3.57132 3.57132 -131.719 -3.57132 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0316423 0.0275483 100 59 60 30 56 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_095.v common 3.45 vpr 63.41 MiB 0.04 6932 -1 -1 1 0.03 -1 -1 30748 -1 -1 17 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64928 27 32 269 226 1 150 76 17 17 289 -1 unnamed_device 24.4 MiB 0.33 828 10476 2923 6606 947 63.4 MiB 0.09 0.00 3.51759 -116.055 -3.51759 3.51759 0.33 0.00056741 0.000528795 0.0392047 0.0365092 -1 -1 -1 -1 30 1940 28 6.99608e+06 250167 556674. 1926.21 1.35 0.173409 0.150081 25186 138497 -1 1680 20 1180 1785 125507 27743 3.37581 3.37581 -119.954 -3.37581 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0225739 0.0196155 71 34 54 27 27 27 + fixed_k6_frac_2uripple_N8_22nm.xml mult_096.v common 6.99 vpr 64.59 MiB 0.05 7360 -1 -1 1 0.03 -1 -1 30564 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66140 32 32 493 378 1 313 91 17 17 289 -1 unnamed_device 25.1 MiB 0.42 1492 16411 6100 7697 2614 64.6 MiB 0.18 0.00 4.57134 -160.625 -4.57134 4.57134 0.33 0.000911256 0.00084626 0.0764421 0.0710434 -1 -1 -1 -1 54 3582 33 6.99608e+06 397324 949917. 3286.91 4.50 0.428916 0.370043 29506 232905 -1 2767 24 2560 3729 282156 63406 4.55301 4.55301 -161.557 -4.55301 0 0 1.17392e+06 4061.99 0.04 0.11 0.18 -1 -1 0.04 0.0411328 0.0357344 137 95 62 31 95 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_097.v common 9.80 vpr 63.95 MiB 0.05 7324 -1 -1 1 0.03 -1 -1 30556 -1 -1 27 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65484 31 32 455 371 1 302 90 17 17 289 -1 unnamed_device 25.0 MiB 0.44 1471 13356 4164 7296 1896 63.9 MiB 0.13 0.00 4.83158 -162.389 -4.83158 4.83158 0.33 0.000835656 0.000771972 0.0583394 0.0542085 -1 -1 -1 -1 40 3357 23 6.99608e+06 397324 706193. 2443.58 7.43 0.392623 0.338874 26914 176310 -1 2879 22 2451 2905 261456 55826 4.70364 4.70364 -168.557 -4.70364 0 0 926341. 3205.33 0.04 0.10 0.14 -1 -1 0.04 0.0352839 0.0307098 134 124 0 0 124 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_098.v common 4.40 vpr 64.02 MiB 0.05 7012 -1 -1 1 0.03 -1 -1 30424 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65560 32 32 355 304 1 233 83 17 17 289 -1 unnamed_device 24.3 MiB 0.44 1102 13043 5395 7392 256 64.0 MiB 0.12 0.00 3.66969 -130.168 -3.66969 3.66969 0.33 0.000686732 0.00063782 0.0523777 0.0486204 -1 -1 -1 -1 42 2692 42 6.99608e+06 279598 744469. 2576.02 2.06 0.209917 0.182805 27202 183097 -1 2196 23 1852 2250 193271 46212 3.53736 3.53736 -137.832 -3.53736 0 0 949917. 3286.91 0.04 0.08 0.15 -1 -1 0.04 0.0301993 0.0262786 98 89 0 0 89 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_099.v common 5.59 vpr 64.14 MiB 0.05 6940 -1 -1 1 0.03 -1 -1 30512 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65680 32 32 364 282 1 217 81 17 17 289 -1 unnamed_device 24.4 MiB 0.38 925 12856 5327 7124 405 64.1 MiB 0.13 0.00 3.82615 -136.118 -3.82615 3.82615 0.33 0.000726815 0.00067544 0.055428 0.0515353 -1 -1 -1 -1 48 2764 31 6.99608e+06 250167 865456. 2994.66 3.30 0.311611 0.26973 28354 207349 -1 2084 24 2208 3031 243937 56807 4.19962 4.19962 -148.887 -4.19962 0 0 1.05005e+06 3633.38 0.04 0.09 0.16 -1 -1 0.04 0.0326687 0.0284555 88 34 90 30 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_100.v common 4.11 vpr 63.81 MiB 0.04 7216 -1 -1 1 0.03 -1 -1 30728 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65344 31 32 443 336 1 261 86 17 17 289 -1 unnamed_device 24.7 MiB 0.52 1325 11804 3560 6038 2206 63.8 MiB 0.13 0.00 3.92545 -144.431 -3.92545 3.92545 0.33 0.000840506 0.000782502 0.0557503 0.0518985 -1 -1 -1 -1 38 3486 42 6.99608e+06 338461 678818. 2348.85 1.66 0.236539 0.20578 26626 170182 -1 2751 21 2431 3240 241681 52418 4.39712 4.39712 -166.254 -4.39712 0 0 902133. 3121.57 0.03 0.10 0.14 -1 -1 0.03 0.0345848 0.0301547 117 64 87 31 62 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_101.v common 4.75 vpr 63.52 MiB 0.05 7264 -1 -1 1 0.04 -1 -1 30408 -1 -1 23 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65040 30 32 373 297 1 228 85 17 17 289 -1 unnamed_device 24.4 MiB 0.44 1206 13477 4531 6953 1993 63.5 MiB 0.13 0.00 3.74367 -132.253 -3.74367 3.74367 0.33 0.000719791 0.00066916 0.0547673 0.0509122 -1 -1 -1 -1 44 2895 25 6.99608e+06 338461 787024. 2723.27 2.38 0.281536 0.243742 27778 195446 -1 2424 19 1616 2330 170737 36982 3.71286 3.71286 -134.409 -3.71286 0 0 997811. 3452.63 0.04 0.07 0.15 -1 -1 0.04 0.0273469 0.0238845 99 61 58 30 58 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_102.v common 4.87 vpr 63.64 MiB 0.04 7016 -1 -1 1 0.03 -1 -1 30472 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65164 32 32 407 319 1 252 87 17 17 289 -1 unnamed_device 24.6 MiB 0.32 1123 16023 6720 8873 430 63.6 MiB 0.16 0.00 4.43684 -158.443 -4.43684 4.43684 0.33 0.000787457 0.000732047 0.0679509 0.0630974 -1 -1 -1 -1 50 3055 30 6.99608e+06 338461 902133. 3121.57 2.56 0.312864 0.271781 28642 213929 -1 2505 20 2207 2741 196823 45094 4.32121 4.32121 -161.091 -4.32121 0 0 1.08113e+06 3740.92 0.04 0.08 0.17 -1 -1 0.04 0.0306376 0.0267673 105 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_103.v common 8.07 vpr 63.64 MiB 0.05 7056 -1 -1 1 0.03 -1 -1 30448 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65168 32 32 405 318 1 253 85 17 17 289 -1 unnamed_device 24.6 MiB 0.39 1415 15337 5616 8436 1285 63.6 MiB 0.14 0.00 3.0955 -123.55 -3.0955 3.0955 0.33 0.00076674 0.000712295 0.0661456 0.0614431 -1 -1 -1 -1 40 2973 25 6.99608e+06 309029 706193. 2443.58 5.72 0.370882 0.318658 26914 176310 -1 2620 23 2150 2820 218958 48809 3.61382 3.61382 -140.947 -3.61382 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.033936 0.0295804 107 65 63 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_104.v common 3.63 vpr 63.34 MiB 0.04 6976 -1 -1 1 0.03 -1 -1 30580 -1 -1 17 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64864 29 32 287 238 1 170 78 17 17 289 -1 unnamed_device 24.2 MiB 0.32 666 8876 3323 4226 1327 63.3 MiB 0.08 0.00 3.1285 -107.074 -3.1285 3.1285 0.33 0.000610837 0.000568394 0.0342537 0.0319048 -1 -1 -1 -1 34 2262 30 6.99608e+06 250167 618332. 2139.56 1.55 0.16008 0.138268 25762 151098 -1 1537 21 1619 2035 155412 38445 3.36877 3.36877 -119.496 -3.36877 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0244987 0.0212967 72 34 58 29 29 29 + fixed_k6_frac_2uripple_N8_22nm.xml mult_105.v common 6.89 vpr 63.38 MiB 0.04 6968 -1 -1 1 0.03 -1 -1 30096 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64900 32 32 334 290 1 208 81 17 17 289 -1 unnamed_device 24.3 MiB 0.43 1166 6206 1355 4310 541 63.4 MiB 0.07 0.00 4.11048 -136.015 -4.11048 4.11048 0.33 0.000659925 0.000613867 0.0257308 0.0239495 -1 -1 -1 -1 40 2270 30 6.99608e+06 250167 706193. 2443.58 4.65 0.290196 0.248394 26914 176310 -1 2122 18 1181 1410 111217 24820 3.80255 3.80255 -133.933 -3.80255 0 0 926341. 3205.33 0.04 0.06 0.14 -1 -1 0.04 0.0241211 0.0210959 90 82 0 0 82 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_106.v common 3.73 vpr 64.18 MiB 0.04 7172 -1 -1 1 0.03 -1 -1 30372 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65716 31 32 365 281 1 217 82 17 17 289 -1 unnamed_device 24.5 MiB 0.32 1147 12898 4995 5597 2306 64.2 MiB 0.13 0.00 3.86834 -142 -3.86834 3.86834 0.33 0.000720904 0.000669682 0.0547434 0.0509063 -1 -1 -1 -1 36 3082 32 6.99608e+06 279598 648988. 2245.63 1.53 0.179425 0.157376 26050 158493 -1 2514 23 2327 3090 280116 62616 4.42821 4.42821 -161.244 -4.42821 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.0318403 0.0277092 91 34 93 31 31 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_107.v common 3.26 vpr 63.38 MiB 0.02 7008 -1 -1 1 0.03 -1 -1 30424 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64896 29 32 297 254 1 181 80 17 17 289 -1 unnamed_device 24.2 MiB 0.31 830 9712 4017 5322 373 63.4 MiB 0.08 0.00 3.34864 -106.469 -3.34864 3.34864 0.33 0.000603881 0.000561558 0.0360932 0.0335729 -1 -1 -1 -1 40 1948 31 6.99608e+06 279598 706193. 2443.58 1.18 0.16134 0.13969 26914 176310 -1 1672 20 1255 1552 125286 28838 3.00031 3.00031 -107.625 -3.00031 0 0 926341. 3205.33 0.04 0.06 0.14 -1 -1 0.04 0.0241952 0.0210568 79 56 29 29 52 26 + fixed_k6_frac_2uripple_N8_22nm.xml mult_108.v common 3.50 vpr 63.43 MiB 0.04 6912 -1 -1 1 0.03 -1 -1 30260 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64948 32 32 314 256 1 188 79 17 17 289 -1 unnamed_device 24.2 MiB 0.36 895 9881 4069 5606 206 63.4 MiB 0.09 0.00 3.0973 -120.067 -3.0973 3.0973 0.33 0.000650451 0.00059899 0.040462 0.0376572 -1 -1 -1 -1 40 2218 28 6.99608e+06 220735 706193. 2443.58 1.25 0.172399 0.149807 26914 176310 -1 1932 28 2074 2680 291160 87479 3.45207 3.45207 -131.583 -3.45207 0 0 926341. 3205.33 0.04 0.11 0.14 -1 -1 0.04 0.0330448 0.0286302 75 34 64 32 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_109.v common 8.37 vpr 63.55 MiB 0.05 7192 -1 -1 1 0.03 -1 -1 30488 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65076 31 32 387 307 1 238 84 17 17 289 -1 unnamed_device 24.6 MiB 0.38 1114 13626 4545 6760 2321 63.6 MiB 0.13 0.00 3.60415 -130.344 -3.60415 3.60415 0.33 0.000744748 0.000692384 0.0583437 0.0540895 -1 -1 -1 -1 38 3132 24 6.99608e+06 309029 678818. 2348.85 6.02 0.349426 0.301337 26626 170182 -1 2521 25 2729 3732 309316 66755 3.77881 3.77881 -142.753 -3.77881 0 0 902133. 3121.57 0.04 0.11 0.13 -1 -1 0.04 0.0354489 0.0308797 103 64 58 31 62 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_110.v common 4.67 vpr 63.27 MiB 0.04 7044 -1 -1 1 0.03 -1 -1 30296 -1 -1 17 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64788 31 32 308 262 1 191 80 17 17 289 -1 unnamed_device 24.1 MiB 0.35 749 8680 2080 5317 1283 63.3 MiB 0.08 0.00 3.32114 -110.04 -3.32114 3.32114 0.33 0.000626753 0.000583282 0.0337338 0.031417 -1 -1 -1 -1 40 2432 48 6.99608e+06 250167 706193. 2443.58 2.51 0.266129 0.228389 26914 176310 -1 1516 20 1169 1502 112339 29831 3.10792 3.10792 -114.94 -3.10792 0 0 926341. 3205.33 0.04 0.06 0.14 -1 -1 0.04 0.0247478 0.0215702 80 55 31 31 53 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_111.v common 3.49 vpr 64.49 MiB 0.05 7052 -1 -1 1 0.03 -1 -1 30452 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66036 32 32 383 307 1 232 85 17 17 289 -1 unnamed_device 24.9 MiB 0.41 1097 14035 5866 7813 356 64.5 MiB 0.13 0.00 3.64125 -130.197 -3.64125 3.64125 0.33 0.000735643 0.000683183 0.0582656 0.0540201 -1 -1 -1 -1 44 2644 37 6.99608e+06 309029 787024. 2723.27 1.21 0.188576 0.16524 27778 195446 -1 2227 21 1685 2220 176554 44126 3.82396 3.82396 -138.43 -3.82396 0 0 997811. 3452.63 0.04 0.08 0.15 -1 -1 0.04 0.030419 0.0265708 102 65 52 26 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_112.v common 3.92 vpr 63.90 MiB 0.05 7264 -1 -1 1 0.03 -1 -1 30472 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65436 31 32 422 339 1 272 88 17 17 289 -1 unnamed_device 24.8 MiB 0.42 1424 15103 5056 7808 2239 63.9 MiB 0.15 0.00 4.24588 -151.83 -4.24588 4.24588 0.33 0.000788099 0.000731914 0.0637956 0.0591968 -1 -1 -1 -1 38 3816 42 6.99608e+06 367892 678818. 2348.85 1.54 0.2123 0.185979 26626 170182 -1 2995 20 2675 3652 293854 62663 4.19435 4.19435 -157.692 -4.19435 0 0 902133. 3121.57 0.03 0.10 0.13 -1 -1 0.03 0.0314511 0.0275132 119 93 31 31 92 31 + fixed_k6_frac_2uripple_N8_22nm.xml mult_113.v common 3.59 vpr 64.04 MiB 0.02 7004 -1 -1 1 0.03 -1 -1 30356 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65580 32 32 333 279 1 208 82 17 17 289 -1 unnamed_device 24.4 MiB 0.38 1167 13076 3755 7840 1481 64.0 MiB 0.12 0.00 3.22189 -126.373 -3.22189 3.22189 0.33 0.000671703 0.000618407 0.0512917 0.0475594 -1 -1 -1 -1 38 2940 44 6.99608e+06 264882 678818. 2348.85 1.37 0.173524 0.151669 26626 170182 -1 2358 19 1606 2229 178314 38534 3.34676 3.34676 -129.325 -3.34676 0 0 902133. 3121.57 0.03 0.07 0.13 -1 -1 0.03 0.0253234 0.0221217 85 61 32 32 60 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_114.v common 4.53 vpr 63.43 MiB 0.04 6888 -1 -1 1 0.03 -1 -1 30116 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64952 32 32 339 283 1 211 82 17 17 289 -1 unnamed_device 24.3 MiB 0.36 917 12364 4451 6156 1757 63.4 MiB 0.11 0.00 3.63989 -128.991 -3.63989 3.63989 0.33 0.00067204 0.000624224 0.0490385 0.0456041 -1 -1 -1 -1 42 2577 26 6.99608e+06 264882 744469. 2576.02 2.33 0.265612 0.229418 27202 183097 -1 1974 21 1463 1798 131008 32318 3.79276 3.79276 -142.235 -3.79276 0 0 949917. 3286.91 0.04 0.07 0.14 -1 -1 0.04 0.0275013 0.0239151 86 63 32 32 62 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_115.v common 4.89 vpr 64.24 MiB 0.05 7052 -1 -1 1 0.03 -1 -1 30824 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65780 32 32 407 319 1 252 85 17 17 289 -1 unnamed_device 24.6 MiB 0.46 1053 14779 6303 8069 407 64.2 MiB 0.14 0.00 3.86605 -144.554 -3.86605 3.86605 0.34 0.000782082 0.000726439 0.064062 0.0595437 -1 -1 -1 -1 42 2949 31 6.99608e+06 309029 744469. 2576.02 2.40 0.325984 0.282394 27202 183097 -1 2358 35 3119 3889 357320 103377 4.49422 4.49422 -160.492 -4.49422 0 0 949917. 3286.91 0.04 0.16 0.15 -1 -1 0.04 0.0486163 0.0421807 104 65 64 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_116.v common 6.67 vpr 63.59 MiB 0.05 7200 -1 -1 1 0.03 -1 -1 30564 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65112 29 32 367 293 1 220 84 17 17 289 -1 unnamed_device 24.5 MiB 0.36 1112 11430 4239 5486 1705 63.6 MiB 0.11 0.00 3.04335 -110.206 -3.04335 3.04335 0.33 0.00071264 0.000659741 0.0475405 0.0441043 -1 -1 -1 -1 34 3058 35 6.99608e+06 338461 618332. 2139.56 4.45 0.300304 0.259023 25762 151098 -1 2487 20 1867 2516 191914 44595 3.32957 3.32957 -122.999 -3.32957 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0281985 0.0246124 101 62 56 29 58 29 + fixed_k6_frac_2uripple_N8_22nm.xml mult_117.v common 5.16 vpr 64.54 MiB 0.05 7184 -1 -1 1 0.03 -1 -1 30644 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66088 32 32 469 381 1 309 91 17 17 289 -1 unnamed_device 25.1 MiB 0.50 1451 17635 5731 9015 2889 64.5 MiB 0.18 0.00 4.9146 -172.985 -4.9146 4.9146 0.33 0.00087653 0.000815367 0.0780793 0.0726099 -1 -1 -1 -1 40 4076 36 6.99608e+06 397324 706193. 2443.58 2.78 0.372763 0.323318 26914 176310 -1 3032 23 2765 3245 274464 62366 5.7329 5.7329 -198.714 -5.7329 0 0 926341. 3205.33 0.03 0.07 0.10 -1 -1 0.03 0.0212485 0.0187799 132 127 0 0 128 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_118.v common 6.87 vpr 63.40 MiB 0.05 6944 -1 -1 1 0.03 -1 -1 30284 -1 -1 12 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64924 31 32 259 212 1 144 75 17 17 289 -1 unnamed_device 24.0 MiB 0.62 607 9713 4010 5428 275 63.4 MiB 0.08 0.00 2.81885 -98.97 -2.81885 2.81885 0.33 0.000575674 0.000536061 0.0374874 0.0349437 -1 -1 -1 -1 38 2098 34 6.99608e+06 176588 678818. 2348.85 4.44 0.271254 0.233014 26626 170182 -1 1443 21 1111 1735 127673 30370 3.01357 3.01357 -112.125 -3.01357 0 0 902133. 3121.57 0.03 0.06 0.14 -1 -1 0.03 0.0234998 0.0204335 58 4 85 31 0 0 + fixed_k6_frac_2uripple_N8_22nm.xml mult_119.v common 3.52 vpr 64.43 MiB 0.05 7172 -1 -1 1 0.03 -1 -1 30376 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65972 32 32 418 338 1 263 88 17 17 289 -1 unnamed_device 24.7 MiB 0.42 1359 11398 3557 5731 2110 64.4 MiB 0.12 0.00 5.04803 -172.38 -5.04803 5.04803 0.33 0.000780354 0.000723043 0.0485146 0.044973 -1 -1 -1 -1 40 3155 22 6.99608e+06 353176 706193. 2443.58 1.19 0.17027 0.148957 26914 176310 -1 2710 19 2175 2753 212420 48162 5.1428 5.1428 -179.938 -5.1428 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0301615 0.0264338 116 92 28 28 92 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_120.v common 3.66 vpr 63.61 MiB 0.05 6972 -1 -1 1 0.03 -1 -1 30212 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65136 32 32 376 318 1 253 85 17 17 289 -1 unnamed_device 24.7 MiB 0.33 1363 12919 3301 8408 1210 63.6 MiB 0.12 0.00 4.84457 -169.467 -4.84457 4.84457 0.33 0.000715491 0.000664778 0.0524487 0.048752 -1 -1 -1 -1 40 2927 35 6.99608e+06 309029 706193. 2443.58 1.41 0.184312 0.161389 26914 176310 -1 2583 19 1964 2496 210190 44670 4.57244 4.57244 -168.117 -4.57244 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0276474 0.0241734 106 96 0 0 96 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_121.v common 4.71 vpr 64.34 MiB 0.05 7136 -1 -1 1 0.03 -1 -1 30368 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65880 32 32 401 316 1 247 84 17 17 289 -1 unnamed_device 24.7 MiB 0.40 1273 11430 2735 7883 812 64.3 MiB 0.12 0.00 3.06535 -120.949 -3.06535 3.06535 0.33 0.000767302 0.000712492 0.0506583 0.0470908 -1 -1 -1 -1 48 2726 23 6.99608e+06 294314 865456. 2994.66 2.34 0.304307 0.262906 28354 207349 -1 2367 19 1849 2363 192474 41467 3.33352 3.33352 -133.864 -3.33352 0 0 1.05005e+06 3633.38 0.04 0.08 0.16 -1 -1 0.04 0.0301874 0.0265562 106 65 61 32 64 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_122.v common 6.56 vpr 64.23 MiB 0.05 7348 -1 -1 1 0.03 -1 -1 30844 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65772 32 32 500 382 1 313 90 17 17 289 -1 unnamed_device 25.1 MiB 0.42 1558 16371 6860 9161 350 64.2 MiB 0.18 0.00 4.95718 -180.528 -4.95718 4.95718 0.33 0.000910849 0.000846094 0.0786323 0.0730906 -1 -1 -1 -1 48 3713 39 6.99608e+06 382608 865456. 2994.66 4.09 0.421883 0.365011 28354 207349 -1 3127 20 2716 3190 247954 51922 5.20145 5.20145 -192.805 -5.20145 0 0 1.05005e+06 3633.38 0.04 0.10 0.16 -1 -1 0.04 0.0359504 0.0313815 135 96 64 32 96 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_123.v common 4.48 vpr 63.45 MiB 0.04 6844 -1 -1 1 0.03 -1 -1 30272 -1 -1 15 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64972 30 32 246 229 1 154 77 17 17 289 -1 unnamed_device 24.1 MiB 0.37 673 11813 4967 6489 357 63.4 MiB 0.09 0.00 2.73285 -88.3724 -2.73285 2.73285 0.33 0.00052833 0.000491483 0.0399646 0.0371788 -1 -1 -1 -1 34 1844 41 6.99608e+06 220735 618332. 2139.56 2.38 0.223529 0.191866 25762 151098 -1 1384 23 944 1026 86494 22293 2.49192 2.49192 -91.1717 -2.49192 0 0 787024. 2723.27 0.03 0.05 0.12 -1 -1 0.03 0.0230907 0.0199596 63 56 0 0 53 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_124.v common 4.06 vpr 63.48 MiB 0.04 6876 -1 -1 1 0.03 -1 -1 30376 -1 -1 15 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65000 30 32 296 244 1 166 77 17 17 289 -1 unnamed_device 24.4 MiB 1.25 929 9531 3380 4860 1291 63.5 MiB 0.09 0.00 3.3357 -125.078 -3.3357 3.3357 0.33 0.000612485 0.00056956 0.0380584 0.0354083 -1 -1 -1 -1 34 2116 36 6.99608e+06 220735 618332. 2139.56 0.99 0.170432 0.147817 25762 151098 -1 1872 23 1437 2213 177634 38425 3.22746 3.22746 -126.25 -3.22746 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0274119 0.0238139 71 34 60 30 30 30 + fixed_k6_frac_2uripple_N8_22nm.xml mult_125.v common 7.67 vpr 63.27 MiB 0.05 6916 -1 -1 1 0.03 -1 -1 29972 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64792 32 32 314 256 1 192 79 17 17 289 -1 unnamed_device 24.1 MiB 0.29 977 9712 3440 4415 1857 63.3 MiB 0.10 0.00 3.66805 -136.494 -3.66805 3.66805 0.33 0.000650321 0.000604019 0.0395889 0.0368294 -1 -1 -1 -1 40 2433 22 6.99608e+06 220735 706193. 2443.58 5.50 0.266423 0.229838 26914 176310 -1 2153 20 1581 2658 235058 49999 3.89796 3.89796 -146.656 -3.89796 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0257713 0.022516 79 34 64 32 32 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_126.v common 4.64 vpr 63.20 MiB 0.04 7036 -1 -1 1 0.03 -1 -1 30424 -1 -1 22 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64712 25 32 251 214 1 150 79 17 17 289 -1 unnamed_device 23.9 MiB 0.25 607 12078 4755 5660 1663 63.2 MiB 0.09 0.00 3.12056 -91.8868 -3.12056 3.12056 0.33 0.000531453 0.00049474 0.0399246 0.0371827 -1 -1 -1 -1 32 1987 31 6.99608e+06 323745 586450. 2029.24 2.63 0.197275 0.170019 25474 144626 -1 1509 27 1245 1649 131909 34400 3.34547 3.34547 -104.918 -3.34547 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0266051 0.0229034 68 34 50 25 25 25 + fixed_k6_frac_2uripple_N8_22nm.xml mult_127.v common 5.37 vpr 64.42 MiB 0.05 7268 -1 -1 1 0.03 -1 -1 30488 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65968 32 32 432 346 1 281 89 17 17 289 -1 unnamed_device 24.9 MiB 0.41 1430 12959 4623 6643 1693 64.4 MiB 0.13 0.00 3.9197 -146.037 -3.9197 3.9197 0.33 0.000800581 0.00074218 0.0552623 0.0512356 -1 -1 -1 -1 46 3516 33 6.99608e+06 367892 828058. 2865.25 2.96 0.319006 0.275492 28066 200906 -1 2812 23 2700 3814 266002 56598 4.00832 4.00832 -153.409 -4.00832 0 0 1.01997e+06 3529.29 0.04 0.10 0.16 -1 -1 0.04 0.0356336 0.0310296 123 94 32 32 94 32 + fixed_k6_frac_2uripple_N8_22nm.xml mult_128.v common 4.71 vpr 63.79 MiB 0.05 7216 -1 -1 1 0.03 -1 -1 30324 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65316 31 32 421 339 1 269 88 17 17 289 -1 unnamed_device 24.7 MiB 0.43 1192 15883 5243 8300 2340 63.8 MiB 0.15 0.00 4.14948 -144.339 -4.14948 4.14948 0.33 0.000789462 0.000730795 0.066979 0.0622799 -1 -1 -1 -1 42 3008 22 6.99608e+06 367892 744469. 2576.02 2.28 0.302599 0.262528 27202 183097 -1 2395 21 2438 3262 209832 52536 4.18815 4.18815 -150.114 -4.18815 0 0 949917. 3286.91 0.04 0.09 0.14 -1 -1 0.04 0.0322685 0.028196 119 94 29 29 93 31 + fixed_k6_frac_N8_22nm.xml mult_001.v common 4.81 vpr 63.90 MiB 0.05 6836 -1 -1 14 0.26 -1 -1 32860 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65432 32 32 280 312 1 202 85 17 17 289 -1 unnamed_device 24.2 MiB 0.98 1109 12919 3309 7379 2231 63.9 MiB 0.14 0.00 8.68737 -169.668 -8.68737 8.68737 0.33 0.000911159 0.000844917 0.0673517 0.062427 -1 -1 -1 -1 38 3295 50 6.79088e+06 282912 678818. 2348.85 1.77 0.287052 0.249537 25966 169698 -1 2371 16 1283 3559 167737 41698 7.55107 7.55107 -160.865 -7.55107 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0322044 0.0284153 136 186 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_002.v common 4.95 vpr 63.23 MiB 0.05 6800 -1 -1 14 0.28 -1 -1 32684 -1 -1 25 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64748 30 32 277 309 1 211 87 17 17 289 -1 unnamed_device 24.2 MiB 0.54 1267 5271 1047 3781 443 63.2 MiB 0.06 0.00 7.99505 -161.479 -7.99505 7.99505 0.32 0.00089723 0.00083248 0.0281806 0.0262047 -1 -1 -1 -1 36 3371 21 6.79088e+06 336800 648988. 2245.63 2.34 0.292296 0.250417 25390 158009 -1 2990 16 1383 3642 211328 48590 7.28928 7.28928 -157.269 -7.28928 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0318795 0.0281117 140 189 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_003.v common 5.56 vpr 63.68 MiB 0.05 6856 -1 -1 11 0.21 -1 -1 32768 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65208 32 32 274 306 1 198 84 17 17 289 -1 unnamed_device 23.9 MiB 0.62 1234 12711 4362 6553 1796 63.7 MiB 0.13 0.00 6.93533 -144.489 -6.93533 6.93533 0.33 0.000900392 0.000834539 0.0661293 0.0613616 -1 -1 -1 -1 46 2868 32 6.79088e+06 269440 828058. 2865.25 2.76 0.335933 0.290814 27406 200422 -1 2349 17 1287 4024 196793 48150 5.78973 5.78973 -132.886 -5.78973 0 0 1.01997e+06 3529.29 0.04 0.08 0.16 -1 -1 0.04 0.0330675 0.0291265 135 180 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_004.v common 4.17 vpr 63.22 MiB 0.04 6708 -1 -1 12 0.33 -1 -1 32832 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64736 29 32 269 301 1 190 84 17 17 289 -1 unnamed_device 24.2 MiB 0.74 1236 4659 953 3372 334 63.2 MiB 0.06 0.00 7.43355 -143.783 -7.43355 7.43355 0.33 0.000897895 0.000833134 0.0273203 0.0253622 -1 -1 -1 -1 32 3572 47 6.79088e+06 309856 586450. 2029.24 1.25 0.169508 0.14643 24814 144142 -1 2915 30 2028 6755 458581 145449 6.65913 6.65913 -143.976 -6.65913 0 0 744469. 2576.02 0.03 0.17 0.12 -1 -1 0.03 0.0504289 0.0437148 138 184 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_005.v common 9.39 vpr 63.38 MiB 0.04 6748 -1 -1 13 0.30 -1 -1 32996 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64896 32 32 317 349 1 250 94 17 17 289 -1 unnamed_device 24.4 MiB 0.41 1493 11170 3067 7181 922 63.4 MiB 0.13 0.00 8.29087 -172.948 -8.29087 8.29087 0.33 0.00102939 0.000954223 0.0583474 0.0541073 -1 -1 -1 -1 30 4589 47 6.79088e+06 404160 556674. 1926.21 6.84 0.421155 0.363793 24526 138013 -1 3575 26 2102 6021 381434 103836 7.30036 7.30036 -171.682 -7.30036 0 0 706193. 2443.58 0.03 0.13 0.08 -1 -1 0.03 0.0483942 0.0423266 168 223 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_006.v common 4.61 vpr 63.36 MiB 0.04 6808 -1 -1 12 0.27 -1 -1 32880 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64884 32 32 299 331 1 219 87 17 17 289 -1 unnamed_device 24.2 MiB 0.94 1442 12567 3090 7387 2090 63.4 MiB 0.13 0.00 7.64186 -157.172 -7.64186 7.64186 0.33 0.000955053 0.000884882 0.0664316 0.0615697 -1 -1 -1 -1 32 4702 48 6.79088e+06 309856 586450. 2029.24 1.53 0.22033 0.19311 24814 144142 -1 3211 15 1463 4024 240208 54697 6.41977 6.41977 -153.563 -6.41977 0 0 744469. 2576.02 0.03 0.08 0.11 -1 -1 0.03 0.0320579 0.0283167 150 205 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_007.v common 5.54 vpr 63.09 MiB 0.05 6624 -1 -1 12 0.18 -1 -1 32400 -1 -1 22 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 27 32 210 242 1 161 81 17 17 289 -1 unnamed_device 23.6 MiB 0.45 993 8481 2265 4943 1273 63.1 MiB 0.08 0.00 7.30279 -138.67 -7.30279 7.30279 0.33 0.000699675 0.000649942 0.036676 0.034071 -1 -1 -1 -1 28 3050 44 6.79088e+06 296384 531479. 1839.03 3.11 0.252804 0.218141 23950 126010 -1 2503 24 1347 3634 269580 82956 6.53393 6.53393 -137.563 -6.53393 0 0 648988. 2245.63 0.03 0.10 0.10 -1 -1 0.03 0.0339703 0.0297735 104 131 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_008.v common 4.15 vpr 63.64 MiB 0.05 6736 -1 -1 11 0.18 -1 -1 32736 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65172 31 32 264 296 1 193 86 17 17 289 -1 unnamed_device 23.9 MiB 0.60 1220 9536 2544 6437 555 63.6 MiB 0.10 0.00 6.78954 -136.027 -6.78954 6.78954 0.33 0.000844903 0.000781822 0.0460384 0.0425566 -1 -1 -1 -1 38 3274 32 6.79088e+06 309856 678818. 2348.85 1.50 0.224921 0.194565 25966 169698 -1 2633 17 1198 3613 193992 44793 5.78203 5.78203 -131.142 -5.78203 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0310318 0.0272896 124 173 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_009.v common 5.81 vpr 62.96 MiB 0.04 6700 -1 -1 12 0.17 -1 -1 32412 -1 -1 24 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64476 31 32 234 266 1 187 87 17 17 289 -1 unnamed_device 23.8 MiB 0.51 1103 7575 1793 5121 661 63.0 MiB 0.08 0.00 6.52023 -135.713 -6.52023 6.52023 0.35 0.000756294 0.000700716 0.0326885 0.0303111 -1 -1 -1 -1 30 3267 41 6.79088e+06 323328 556674. 1926.21 3.19 0.276678 0.237525 24526 138013 -1 2430 50 1409 3732 367799 182772 5.73585 5.73585 -134.463 -5.73585 0 0 706193. 2443.58 0.03 0.20 0.11 -1 -1 0.03 0.0641465 0.0552778 114 143 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_010.v common 4.08 vpr 62.90 MiB 0.02 6656 -1 -1 13 0.19 -1 -1 32760 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64412 32 32 253 285 1 177 81 17 17 289 -1 unnamed_device 23.9 MiB 0.59 964 9881 2449 5471 1961 62.9 MiB 0.10 0.00 7.44343 -160.726 -7.44343 7.44343 0.33 0.000812184 0.000753142 0.0490888 0.045547 -1 -1 -1 -1 34 2926 43 6.79088e+06 229024 618332. 2139.56 1.50 0.235164 0.203703 25102 150614 -1 2429 19 1204 3143 175522 45804 6.73414 6.73414 -163.495 -6.73414 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.032064 0.0280919 108 159 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_011.v common 5.38 vpr 63.07 MiB 0.04 6756 -1 -1 12 0.17 -1 -1 32640 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64588 30 32 217 249 1 155 81 17 17 289 -1 unnamed_device 23.6 MiB 0.74 887 11981 3996 5650 2335 63.1 MiB 0.10 0.00 7.21145 -142.381 -7.21145 7.21145 0.33 0.000699524 0.000647546 0.0505264 0.0468224 -1 -1 -1 -1 32 2534 42 6.79088e+06 255968 586450. 2029.24 2.71 0.262926 0.227112 24814 144142 -1 2064 21 872 2179 162453 52680 6.45892 6.45892 -142.501 -6.45892 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0295991 0.0258727 99 129 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_012.v common 4.66 vpr 63.30 MiB 0.04 6636 -1 -1 12 0.14 -1 -1 32668 -1 -1 15 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64824 32 32 227 259 1 164 79 17 17 289 -1 unnamed_device 23.8 MiB 0.52 1004 12923 3731 8262 930 63.3 MiB 0.12 0.00 6.226 -149.44 -6.226 6.226 0.33 0.000709084 0.000657033 0.0571181 0.0528846 -1 -1 -1 -1 36 2486 20 6.79088e+06 202080 648988. 2245.63 2.18 0.275149 0.23811 25390 158009 -1 2129 18 930 2391 130665 32322 5.4384 5.4384 -139.481 -5.4384 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0271899 0.0238993 97 133 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_013.v common 5.21 vpr 63.26 MiB 0.05 6692 -1 -1 13 0.26 -1 -1 32952 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64780 32 32 306 338 1 226 90 17 17 289 -1 unnamed_device 24.1 MiB 0.39 1463 8532 2160 5623 749 63.3 MiB 0.10 0.00 8.63185 -177.41 -8.63185 8.63185 0.33 0.000976184 0.000903372 0.0456174 0.0422551 -1 -1 -1 -1 44 3332 32 6.79088e+06 350272 787024. 2723.27 2.68 0.384476 0.33119 27118 194962 -1 2994 16 1268 3779 210980 47490 7.42915 7.42915 -164.245 -7.42915 0 0 997811. 3452.63 0.04 0.08 0.15 -1 -1 0.04 0.0346376 0.0305698 156 212 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_014.v common 5.44 vpr 63.29 MiB 0.04 6904 -1 -1 14 0.31 -1 -1 33076 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64812 32 32 302 334 1 225 86 17 17 289 -1 unnamed_device 24.2 MiB 0.62 1377 7457 1608 5646 203 63.3 MiB 0.09 0.00 9.03039 -181.471 -9.03039 9.03039 0.33 0.000979411 0.000908296 0.0427564 0.0396379 -1 -1 -1 -1 40 3145 20 6.79088e+06 296384 706193. 2443.58 2.64 0.381271 0.327437 26254 175826 -1 2934 17 1375 3830 201851 48603 7.76252 7.76252 -170.837 -7.76252 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0361726 0.0318875 152 208 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_015.v common 3.53 vpr 62.89 MiB 0.03 6628 -1 -1 11 0.17 -1 -1 32360 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64404 29 32 238 270 1 177 84 17 17 289 -1 unnamed_device 23.7 MiB 0.49 1042 5025 988 3816 221 62.9 MiB 0.06 0.00 6.69192 -131.231 -6.69192 6.69192 0.33 0.000745503 0.000691162 0.0235381 0.0218114 -1 -1 -1 -1 28 3129 43 6.79088e+06 309856 531479. 1839.03 1.18 0.138854 0.1203 23950 126010 -1 2508 17 1150 2822 162516 39468 6.00113 6.00113 -131.802 -6.00113 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0278059 0.0244696 112 153 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_016.v common 14.95 vpr 64.11 MiB 0.04 6796 -1 -1 12 0.27 -1 -1 32956 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65648 32 32 306 338 1 233 87 17 17 289 -1 unnamed_device 24.4 MiB 0.81 1509 6807 1439 4953 415 64.1 MiB 0.09 0.00 7.73928 -164.565 -7.73928 7.73928 0.33 0.000993093 0.000920464 0.0403153 0.0373678 -1 -1 -1 -1 40 3827 36 6.79088e+06 309856 706193. 2443.58 11.96 0.456408 0.392313 26254 175826 -1 3357 18 1604 4876 274736 61718 6.57657 6.57657 -153.848 -6.57657 0 0 926341. 3205.33 0.04 0.10 0.14 -1 -1 0.04 0.0389625 0.0343413 149 212 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_017.v common 7.45 vpr 63.91 MiB 0.05 6748 -1 -1 13 0.26 -1 -1 32808 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65444 32 32 311 343 1 227 87 17 17 289 -1 unnamed_device 24.1 MiB 0.63 1468 11031 2585 6788 1658 63.9 MiB 0.12 0.00 8.3348 -172.052 -8.3348 8.3348 0.33 0.00118405 0.00109737 0.0615491 0.0570483 -1 -1 -1 -1 36 3553 17 6.79088e+06 309856 648988. 2245.63 4.72 0.37316 0.322184 25390 158009 -1 3061 16 1267 3949 221610 51269 7.1002 7.1002 -162.719 -7.1002 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0348501 0.0307413 151 217 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_018.v common 4.59 vpr 62.79 MiB 0.04 6648 -1 -1 12 0.15 -1 -1 32476 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64300 32 32 230 262 1 173 82 17 17 289 -1 unnamed_device 23.6 MiB 0.68 1015 10940 3266 6141 1533 62.8 MiB 0.10 0.00 6.52694 -145.684 -6.52694 6.52694 0.33 0.000747361 0.000692161 0.0489093 0.0453163 -1 -1 -1 -1 28 2747 31 6.79088e+06 242496 531479. 1839.03 1.98 0.254626 0.220596 23950 126010 -1 2366 15 943 2401 129588 33315 5.82544 5.82544 -145.323 -5.82544 0 0 648988. 2245.63 0.03 0.06 0.10 -1 -1 0.03 0.0252927 0.0223618 102 136 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_019.v common 3.61 vpr 62.89 MiB 0.04 6580 -1 -1 10 0.10 -1 -1 32096 -1 -1 17 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64396 30 32 176 208 1 134 79 17 17 289 -1 unnamed_device 23.2 MiB 0.18 808 5825 1331 4265 229 62.9 MiB 0.05 0.00 5.11835 -121.559 -5.11835 5.11835 0.33 0.000572334 0.000532126 0.0215714 0.0200333 -1 -1 -1 -1 32 1891 48 6.79088e+06 229024 586450. 2029.24 1.66 0.211817 0.180979 24814 144142 -1 1614 19 597 1421 82912 20173 4.64491 4.64491 -116.935 -4.64491 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0221362 0.0193013 72 88 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_020.v common 3.48 vpr 63.03 MiB 0.04 6668 -1 -1 13 0.17 -1 -1 32632 -1 -1 17 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64540 31 32 226 258 1 171 80 17 17 289 -1 unnamed_device 23.6 MiB 0.38 953 12120 4090 5761 2269 63.0 MiB 0.11 0.00 7.15981 -153.125 -7.15981 7.15981 0.33 0.000735264 0.000681576 0.05435 0.0503255 -1 -1 -1 -1 32 3062 42 6.79088e+06 229024 586450. 2029.24 1.14 0.193994 0.169514 24814 144142 -1 2292 18 1070 2672 160657 38840 6.24408 6.24408 -146.246 -6.24408 0 0 744469. 2576.02 0.03 0.07 0.11 -1 -1 0.03 0.0278289 0.0244707 101 135 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_021.v common 5.33 vpr 63.43 MiB 0.05 6712 -1 -1 13 0.28 -1 -1 32796 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64952 32 32 302 334 1 213 86 17 17 289 -1 unnamed_device 24.3 MiB 0.41 1342 8024 1797 5388 839 63.4 MiB 0.09 0.00 7.50553 -159.844 -7.50553 7.50553 0.33 0.000960347 0.000890545 0.0447691 0.0415213 -1 -1 -1 -1 38 3373 36 6.79088e+06 296384 678818. 2348.85 2.75 0.398608 0.34186 25966 169698 -1 2830 22 1480 4495 211223 49712 7.12123 7.12123 -157.501 -7.12123 0 0 902133. 3121.57 0.03 0.09 0.13 -1 -1 0.03 0.0424422 0.0371513 147 208 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_022.v common 5.09 vpr 63.30 MiB 0.05 6868 -1 -1 13 0.28 -1 -1 33132 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64820 32 32 299 331 1 222 89 17 17 289 -1 unnamed_device 24.2 MiB 0.86 1425 14147 3890 7598 2659 63.3 MiB 0.15 0.00 8.09607 -168.948 -8.09607 8.09607 0.33 0.000954619 0.000885606 0.0728542 0.0673264 -1 -1 -1 -1 36 4085 32 6.79088e+06 336800 648988. 2245.63 1.98 0.258405 0.226471 25390 158009 -1 3238 19 1483 4284 268890 61672 7.23431 7.23431 -162.827 -7.23431 0 0 828058. 2865.25 0.03 0.10 0.13 -1 -1 0.03 0.038722 0.0338991 148 205 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_023.v common 3.76 vpr 62.80 MiB 0.04 6440 -1 -1 9 0.09 -1 -1 32016 -1 -1 19 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64304 26 32 149 181 1 118 77 17 17 289 -1 unnamed_device 23.3 MiB 0.24 603 6760 1914 4426 420 62.8 MiB 0.05 0.00 4.50212 -92.1526 -4.50212 4.50212 0.33 0.000501612 0.000466787 0.0225774 0.0210229 -1 -1 -1 -1 28 1932 23 6.79088e+06 255968 531479. 1839.03 1.83 0.159098 0.136116 23950 126010 -1 1424 13 631 1475 84974 22551 3.88841 3.88841 -91.3045 -3.88841 0 0 648988. 2245.63 0.03 0.04 0.10 -1 -1 0.03 0.0149427 0.0131455 65 73 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_024.v common 6.18 vpr 63.35 MiB 0.04 6688 -1 -1 13 0.30 -1 -1 32720 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64872 32 32 304 336 1 217 88 17 17 289 -1 unnamed_device 24.2 MiB 0.68 1462 12178 3094 7370 1714 63.4 MiB 0.13 0.00 8.73407 -173.546 -8.73407 8.73407 0.37 0.000961399 0.000891442 0.0627213 0.0581106 -1 -1 -1 -1 38 3597 46 6.79088e+06 323328 678818. 2348.85 3.29 0.383785 0.33128 25966 169698 -1 3064 17 1445 4054 227005 52676 7.3508 7.3508 -165.208 -7.3508 0 0 902133. 3121.57 0.03 0.09 0.13 -1 -1 0.03 0.0353576 0.0311348 144 210 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_025.v common 3.80 vpr 62.79 MiB 0.04 6392 -1 -1 8 0.09 -1 -1 31052 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64292 32 32 155 187 1 120 85 17 17 289 -1 unnamed_device 23.6 MiB 0.19 727 10873 3499 5687 1687 62.8 MiB 0.07 0.00 4.82525 -102.052 -4.82525 4.82525 0.33 0.000512433 0.000476386 0.0317464 0.029542 -1 -1 -1 -1 28 1854 37 6.79088e+06 282912 531479. 1839.03 1.86 0.162756 0.140627 23950 126010 -1 1550 28 618 1338 119781 52084 4.0172 4.0172 -101.848 -4.0172 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0264126 0.0228372 67 61 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_026.v common 4.00 vpr 63.06 MiB 0.04 6740 -1 -1 15 0.23 -1 -1 33104 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64572 32 32 253 285 1 199 83 17 17 289 -1 unnamed_device 23.9 MiB 0.62 1296 6743 1681 4625 437 63.1 MiB 0.08 0.00 9.4422 -182.793 -9.4422 9.4422 0.33 0.000843977 0.000783609 0.0347189 0.0322541 -1 -1 -1 -1 40 3052 18 6.79088e+06 255968 706193. 2443.58 1.33 0.194662 0.168671 26254 175826 -1 2704 15 1171 3157 176564 41263 8.18116 8.18116 -172.327 -8.18116 0 0 926341. 3205.33 0.04 0.08 0.15 -1 -1 0.04 0.0289404 0.02557 123 159 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_027.v common 5.93 vpr 63.96 MiB 0.04 6824 -1 -1 12 0.25 -1 -1 32688 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65492 32 32 309 341 1 216 89 17 17 289 -1 unnamed_device 24.2 MiB 1.00 1272 13751 3809 7437 2505 64.0 MiB 0.15 0.00 7.14682 -155.585 -7.14682 7.14682 0.33 0.000978261 0.000904636 0.0732506 0.0679032 -1 -1 -1 -1 40 3240 30 6.79088e+06 336800 706193. 2443.58 2.74 0.398762 0.344204 26254 175826 -1 2809 19 1427 4291 234666 56865 6.38052 6.38052 -149.172 -6.38052 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0389882 0.0342541 151 215 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_028.v common 6.33 vpr 63.17 MiB 0.04 6868 -1 -1 13 0.27 -1 -1 32892 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64684 32 32 289 321 1 208 84 17 17 289 -1 unnamed_device 24.1 MiB 0.68 1313 6489 1272 4749 468 63.2 MiB 0.08 0.00 8.00997 -164.035 -8.00997 8.00997 0.33 0.000919534 0.00085318 0.0363854 0.0337507 -1 -1 -1 -1 32 3573 25 6.79088e+06 269440 586450. 2029.24 3.58 0.326336 0.28021 24814 144142 -1 3105 16 1369 3835 224424 52181 7.19511 7.19511 -160.321 -7.19511 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0323186 0.0284393 139 195 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_029.v common 4.63 vpr 62.95 MiB 0.04 6508 -1 -1 12 0.17 -1 -1 32232 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64456 32 32 239 271 1 182 82 17 17 289 -1 unnamed_device 23.8 MiB 0.58 1120 10584 3269 5494 1821 62.9 MiB 0.10 0.00 6.52615 -147.714 -6.52615 6.52615 0.33 0.000758148 0.000699469 0.0479924 0.0443957 -1 -1 -1 -1 36 2991 41 6.79088e+06 242496 648988. 2245.63 2.14 0.27883 0.241216 25390 158009 -1 2248 16 1011 2706 140781 34640 5.57489 5.57489 -137.277 -5.57489 0 0 828058. 2865.25 0.03 0.06 0.12 -1 -1 0.03 0.0268922 0.0237504 107 145 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_030.v common 7.02 vpr 63.11 MiB 0.05 6576 -1 -1 11 0.15 -1 -1 32672 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64628 30 32 213 245 1 161 83 17 17 289 -1 unnamed_device 23.7 MiB 0.55 1005 13223 3879 7825 1519 63.1 MiB 0.11 0.00 6.13985 -137.36 -6.13985 6.13985 0.33 0.000687048 0.000636713 0.053222 0.0493595 -1 -1 -1 -1 26 3104 43 6.79088e+06 282912 503264. 1741.40 4.49 0.301425 0.260458 23662 119890 -1 2572 23 1394 3540 281251 76532 5.6462 5.6462 -137.649 -5.6462 0 0 618332. 2139.56 0.03 0.10 0.10 -1 -1 0.03 0.0313317 0.0272966 98 125 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_031.v common 7.15 vpr 62.69 MiB 0.04 6544 -1 -1 11 0.16 -1 -1 32616 -1 -1 22 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64192 28 32 221 253 1 178 82 17 17 289 -1 unnamed_device 23.5 MiB 0.21 1126 7914 1996 4934 984 62.7 MiB 0.08 0.00 6.82363 -132.775 -6.82363 6.82363 0.33 0.000724147 0.000671296 0.0351091 0.0325687 -1 -1 -1 -1 28 3543 40 6.79088e+06 296384 531479. 1839.03 5.03 0.280772 0.241619 23950 126010 -1 2769 22 1498 4001 278214 70374 5.73164 5.73164 -130.904 -5.73164 0 0 648988. 2245.63 0.03 0.10 0.10 -1 -1 0.03 0.0317906 0.0277797 112 139 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_032.v common 4.46 vpr 63.16 MiB 0.02 6520 -1 -1 12 0.20 -1 -1 32448 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64672 32 32 273 305 1 204 89 17 17 289 -1 unnamed_device 24.0 MiB 0.69 1131 11771 3066 7020 1685 63.2 MiB 0.12 0.00 7.0576 -156.361 -7.0576 7.0576 0.33 0.000858927 0.000796456 0.0538048 0.0498078 -1 -1 -1 -1 36 3599 39 6.79088e+06 336800 648988. 2245.63 1.74 0.245789 0.212697 25390 158009 -1 2657 34 1369 3468 255318 91552 6.21374 6.21374 -157.657 -6.21374 0 0 828058. 2865.25 0.03 0.13 0.13 -1 -1 0.03 0.0529057 0.0458318 129 179 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_033.v common 3.37 vpr 63.55 MiB 0.05 6580 -1 -1 11 0.16 -1 -1 32620 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65076 31 32 238 270 1 176 84 17 17 289 -1 unnamed_device 24.0 MiB 0.46 1014 14175 4994 6836 2345 63.6 MiB 0.13 0.00 6.44343 -144.652 -6.44343 6.44343 0.34 0.000763873 0.000707689 0.0624211 0.057782 -1 -1 -1 -1 32 2805 21 6.79088e+06 282912 586450. 2029.24 0.92 0.177915 0.156399 24814 144142 -1 2186 16 1084 2785 143541 35599 5.73934 5.73934 -139.012 -5.73934 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0268574 0.0236483 112 147 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_034.v common 4.33 vpr 63.07 MiB 0.04 6688 -1 -1 10 0.14 -1 -1 32712 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64584 29 32 221 253 1 158 80 17 17 289 -1 unnamed_device 23.6 MiB 0.54 929 8164 2019 4453 1692 63.1 MiB 0.08 0.00 6.41353 -129.081 -6.41353 6.41353 0.33 0.000719962 0.000666017 0.0369556 0.0342557 -1 -1 -1 -1 28 2690 49 6.79088e+06 255968 531479. 1839.03 1.96 0.246823 0.212597 23950 126010 -1 2269 16 942 2713 163736 39157 5.49212 5.49212 -128.922 -5.49212 0 0 648988. 2245.63 0.03 0.06 0.10 -1 -1 0.03 0.0258453 0.0228518 105 136 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_035.v common 6.98 vpr 64.08 MiB 0.05 6964 -1 -1 13 0.32 -1 -1 33264 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65620 32 32 333 365 1 236 88 17 17 289 -1 unnamed_device 24.5 MiB 0.87 1538 8473 2090 5585 798 64.1 MiB 0.11 0.00 7.89367 -169.355 -7.89367 7.89367 0.32 0.00105155 0.000961999 0.0506197 0.0467413 -1 -1 -1 -1 34 4020 27 6.79088e+06 323328 618332. 2139.56 3.90 0.380273 0.326894 25102 150614 -1 3461 16 1485 4845 295987 65584 6.80691 6.80691 -160.242 -6.80691 0 0 787024. 2723.27 0.03 0.10 0.12 -1 -1 0.03 0.0372427 0.0328932 165 239 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_036.v common 5.34 vpr 63.24 MiB 0.04 6776 -1 -1 13 0.31 -1 -1 33024 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64760 32 32 297 329 1 229 86 17 17 289 -1 unnamed_device 24.1 MiB 0.65 1519 8213 1890 5805 518 63.2 MiB 0.10 0.00 8.03086 -175.155 -8.03086 8.03086 0.34 0.000973627 0.000897384 0.0473783 0.0438215 -1 -1 -1 -1 38 4050 26 6.79088e+06 296384 678818. 2348.85 2.45 0.338538 0.291943 25966 169698 -1 3033 17 1410 4133 207469 48237 6.70957 6.70957 -162.53 -6.70957 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0357961 0.0315361 157 203 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_037.v common 7.77 vpr 62.89 MiB 0.04 6624 -1 -1 12 0.15 -1 -1 32688 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64400 31 32 234 266 1 168 81 17 17 289 -1 unnamed_device 23.7 MiB 0.42 1173 9181 2840 5625 716 62.9 MiB 0.09 0.00 7.12922 -153.395 -7.12922 7.12922 0.33 0.000739439 0.000685518 0.0414766 0.0384293 -1 -1 -1 -1 28 3632 34 6.79088e+06 242496 531479. 1839.03 5.43 0.290324 0.249823 23950 126010 -1 2906 20 1198 3556 301557 84131 6.24408 6.24408 -150.154 -6.24408 0 0 648988. 2245.63 0.03 0.10 0.10 -1 -1 0.03 0.0307241 0.0268857 104 143 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_038.v common 4.86 vpr 63.91 MiB 0.05 6812 -1 -1 12 0.25 -1 -1 33100 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65448 31 32 310 342 1 213 86 17 17 289 -1 unnamed_device 24.2 MiB 0.71 1387 6701 1411 4730 560 63.9 MiB 0.09 0.00 7.32615 -154.085 -7.32615 7.32615 0.33 0.000987699 0.000912477 0.0399118 0.0369891 -1 -1 -1 -1 36 3945 37 6.79088e+06 309856 648988. 2245.63 1.81 0.251567 0.217242 25390 158009 -1 3244 55 2490 8670 812970 348709 6.46662 6.46662 -149.793 -6.46662 0 0 828058. 2865.25 0.03 0.33 0.12 -1 -1 0.03 0.090939 0.0781248 149 219 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_039.v common 10.97 vpr 63.80 MiB 0.05 6824 -1 -1 14 0.34 -1 -1 33192 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65336 31 32 284 316 1 219 86 17 17 289 -1 unnamed_device 24.1 MiB 0.33 1328 9725 2611 6288 826 63.8 MiB 0.11 0.00 7.88253 -166.911 -7.88253 7.88253 0.33 0.00093482 0.000862489 0.0527225 0.0488473 -1 -1 -1 -1 30 4307 44 6.79088e+06 309856 556674. 1926.21 8.42 0.355521 0.306508 24526 138013 -1 3232 21 1752 4892 253919 60276 7.03868 7.03868 -161.554 -7.03868 0 0 706193. 2443.58 0.03 0.10 0.11 -1 -1 0.03 0.040449 0.035437 148 193 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_040.v common 5.10 vpr 63.00 MiB 0.05 6812 -1 -1 13 0.26 -1 -1 32968 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64508 31 32 271 303 1 207 88 17 17 289 -1 unnamed_device 23.8 MiB 0.70 1315 8668 2127 5563 978 63.0 MiB 0.10 0.00 7.76266 -161.854 -7.76266 7.76266 0.36 0.000886869 0.000823176 0.043069 0.0399739 -1 -1 -1 -1 40 2926 30 6.79088e+06 336800 706193. 2443.58 2.24 0.303799 0.261812 26254 175826 -1 2680 15 1194 3251 169710 40437 7.03518 7.03518 -156.627 -7.03518 0 0 926341. 3205.33 0.04 0.07 0.14 -1 -1 0.04 0.0296984 0.0262316 132 180 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_041.v common 4.83 vpr 63.26 MiB 0.05 6812 -1 -1 12 0.24 -1 -1 32880 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64776 31 32 280 312 1 199 86 17 17 289 -1 unnamed_device 24.2 MiB 0.50 1283 6701 1434 4376 891 63.3 MiB 0.08 0.00 7.42221 -153.479 -7.42221 7.42221 0.32 0.000900214 0.000834456 0.0356264 0.0330788 -1 -1 -1 -1 40 2952 20 6.79088e+06 309856 706193. 2443.58 2.23 0.299168 0.257209 26254 175826 -1 2659 26 1263 3993 281613 97969 6.29791 6.29791 -146.779 -6.29791 0 0 926341. 3205.33 0.04 0.13 0.14 -1 -1 0.04 0.0455842 0.039686 137 189 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_042.v common 7.25 vpr 63.00 MiB 0.05 6876 -1 -1 12 0.19 -1 -1 32700 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64516 32 32 264 296 1 183 80 17 17 289 -1 unnamed_device 23.9 MiB 0.57 1264 9196 2598 5316 1282 63.0 MiB 0.10 0.00 6.93022 -143.316 -6.93022 6.93022 0.33 0.000834137 0.000773915 0.0487998 0.045253 -1 -1 -1 -1 30 3306 23 6.79088e+06 215552 556674. 1926.21 4.67 0.293542 0.253618 24526 138013 -1 2560 18 1180 3214 172104 40604 5.90727 5.90727 -142.241 -5.90727 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0317986 0.0279371 113 170 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_043.v common 5.91 vpr 63.48 MiB 0.05 6996 -1 -1 14 0.43 -1 -1 32556 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65000 32 32 339 371 1 248 91 17 17 289 -1 unnamed_device 24.4 MiB 0.90 1602 8659 2030 5898 731 63.5 MiB 0.11 0.00 7.91497 -174.85 -7.91497 7.91497 0.33 0.0010788 0.000992866 0.0500863 0.0463511 -1 -1 -1 -1 38 4443 43 6.79088e+06 363744 678818. 2348.85 2.63 0.271381 0.236132 25966 169698 -1 3446 20 1719 5526 287370 65177 6.83492 6.83492 -167.544 -6.83492 0 0 902133. 3121.57 0.03 0.11 0.13 -1 -1 0.03 0.0448889 0.0393689 176 245 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_044.v common 5.83 vpr 63.52 MiB 0.05 6656 -1 -1 11 0.19 -1 -1 32392 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65040 31 32 246 278 1 183 84 17 17 289 -1 unnamed_device 23.9 MiB 0.49 1157 13626 3930 7643 2053 63.5 MiB 0.13 0.00 6.65148 -142.293 -6.65148 6.65148 0.33 0.000809178 0.000751119 0.0634383 0.0588532 -1 -1 -1 -1 30 3276 34 6.79088e+06 282912 556674. 1926.21 3.36 0.299375 0.259289 24526 138013 -1 2584 18 1176 3130 158043 38059 5.82893 5.82893 -136.102 -5.82893 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0311612 0.027358 116 155 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_045.v common 5.74 vpr 63.01 MiB 0.05 6860 -1 -1 13 0.27 -1 -1 32740 -1 -1 22 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64524 31 32 268 300 1 190 85 17 17 289 -1 unnamed_device 23.8 MiB 0.65 1249 7153 1789 4799 565 63.0 MiB 0.08 0.00 7.9707 -154.438 -7.9707 7.9707 0.33 0.000902129 0.000837055 0.0381137 0.0353825 -1 -1 -1 -1 30 3114 19 6.79088e+06 296384 556674. 1926.21 3.06 0.24713 0.213201 24526 138013 -1 2624 16 1098 3463 171533 40477 7.04976 7.04976 -149.925 -7.04976 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0314718 0.027756 136 177 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_046.v common 4.80 vpr 63.96 MiB 0.04 6684 -1 -1 12 0.26 -1 -1 32792 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65496 32 32 318 350 1 216 89 17 17 289 -1 unnamed_device 24.2 MiB 0.76 1361 13553 4254 7083 2216 64.0 MiB 0.15 0.00 7.17828 -151.705 -7.17828 7.17828 0.33 0.000998828 0.000924351 0.0727689 0.0673307 -1 -1 -1 -1 40 3416 19 6.79088e+06 336800 706193. 2443.58 1.78 0.267213 0.233125 26254 175826 -1 2882 28 1358 4508 350079 135154 6.24408 6.24408 -144.797 -6.24408 0 0 926341. 3205.33 0.04 0.16 0.14 -1 -1 0.04 0.053004 0.0460775 157 224 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_047.v common 4.55 vpr 63.10 MiB 0.04 6752 -1 -1 13 0.24 -1 -1 32764 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64612 32 32 273 305 1 200 86 17 17 289 -1 unnamed_device 23.9 MiB 0.72 1177 11237 3060 7384 793 63.1 MiB 0.12 0.00 7.35653 -155.277 -7.35653 7.35653 0.33 0.000901237 0.000836065 0.0569169 0.0527596 -1 -1 -1 -1 36 3262 44 6.79088e+06 296384 648988. 2245.63 1.80 0.264228 0.229283 25390 158009 -1 2623 15 1146 3087 169785 41112 6.70957 6.70957 -152.844 -6.70957 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0309105 0.0273586 132 179 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_048.v common 5.20 vpr 63.70 MiB 0.05 6940 -1 -1 13 0.22 -1 -1 32812 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65228 32 32 269 301 1 197 84 17 17 289 -1 unnamed_device 23.9 MiB 0.89 1328 10149 2413 6744 992 63.7 MiB 0.11 0.00 7.43285 -161.585 -7.43285 7.43285 0.33 0.000878023 0.000814177 0.0517155 0.0479139 -1 -1 -1 -1 36 3310 29 6.79088e+06 269440 648988. 2245.63 2.25 0.31207 0.269035 25390 158009 -1 2741 17 1140 3528 204361 47067 6.63466 6.63466 -154.456 -6.63466 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0320718 0.0281758 128 175 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_049.v common 5.72 vpr 63.09 MiB 0.05 6816 -1 -1 12 0.26 -1 -1 33040 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64608 32 32 298 330 1 219 86 17 17 289 -1 unnamed_device 24.1 MiB 0.81 1404 5378 1088 4017 273 63.1 MiB 0.07 0.00 7.39103 -163.625 -7.39103 7.39103 0.33 0.000965557 0.000893519 0.0323925 0.0300249 -1 -1 -1 -1 34 3880 49 6.79088e+06 296384 618332. 2139.56 2.79 0.276693 0.239019 25102 150614 -1 3074 17 1313 3854 220317 50774 6.37282 6.37282 -158.574 -6.37282 0 0 787024. 2723.27 0.03 0.09 0.12 -1 -1 0.03 0.0359425 0.0316717 152 204 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_050.v common 3.78 vpr 63.23 MiB 0.04 6708 -1 -1 13 0.27 -1 -1 32744 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64752 32 32 299 331 1 225 90 17 17 289 -1 unnamed_device 24.2 MiB 0.51 1438 9336 2506 6142 688 63.2 MiB 0.11 0.00 7.68075 -166.023 -7.68075 7.68075 0.32 0.000962319 0.000891464 0.0500421 0.046318 -1 -1 -1 -1 36 3668 21 6.79088e+06 350272 648988. 2245.63 1.12 0.155951 0.137099 25390 158009 -1 3080 19 1386 3875 212749 49742 6.63117 6.63117 -156.359 -6.63117 0 0 828058. 2865.25 0.03 0.09 0.12 -1 -1 0.03 0.0384879 0.0338418 152 205 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_051.v common 7.94 vpr 63.06 MiB 0.05 6748 -1 -1 14 0.26 -1 -1 32984 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64576 32 32 259 291 1 195 82 17 17 289 -1 unnamed_device 23.9 MiB 0.57 1254 13610 3870 7325 2415 63.1 MiB 0.14 0.00 8.41627 -176.633 -8.41627 8.41627 0.33 0.000863145 0.000800848 0.0693712 0.0643736 -1 -1 -1 -1 32 3387 27 6.79088e+06 242496 586450. 2029.24 5.22 0.373365 0.323374 24814 144142 -1 2778 21 1455 3850 227872 52426 7.28235 7.28235 -168.282 -7.28235 0 0 744469. 2576.02 0.03 0.09 0.11 -1 -1 0.03 0.0366844 0.0321393 126 165 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_052.v common 5.48 vpr 63.12 MiB 0.02 6816 -1 -1 13 0.27 -1 -1 32776 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64640 32 32 293 325 1 211 86 17 17 289 -1 unnamed_device 24.1 MiB 0.50 1312 5567 1124 4063 380 63.1 MiB 0.07 0.00 8.00898 -165.145 -8.00898 8.00898 0.33 0.000925732 0.000858275 0.0309782 0.0287508 -1 -1 -1 -1 30 3659 31 6.79088e+06 296384 556674. 1926.21 2.94 0.297311 0.255326 24526 138013 -1 2852 17 1489 4163 209470 49932 6.94908 6.94908 -160.33 -6.94908 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0338466 0.0298174 135 199 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_053.v common 4.53 vpr 63.38 MiB 0.05 6820 -1 -1 13 0.29 -1 -1 32948 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64900 31 32 311 343 1 228 86 17 17 289 -1 unnamed_device 24.2 MiB 0.58 1456 6323 1397 4320 606 63.4 MiB 0.08 0.00 8.37905 -182.193 -8.37905 8.37905 0.33 0.00099007 0.000917283 0.0373243 0.034563 -1 -1 -1 -1 40 3377 21 6.79088e+06 309856 706193. 2443.58 1.82 0.241475 0.209503 26254 175826 -1 3098 18 1427 4031 222932 51342 7.26121 7.26121 -169.076 -7.26121 0 0 926341. 3205.33 0.04 0.09 0.14 -1 -1 0.04 0.0386774 0.0340998 157 220 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_054.v common 10.96 vpr 63.90 MiB 0.04 6820 -1 -1 12 0.30 -1 -1 32628 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65436 32 32 324 356 1 232 87 17 17 289 -1 unnamed_device 24.1 MiB 0.44 1456 7767 1866 5549 352 63.9 MiB 0.10 0.00 7.6266 -169.637 -7.6266 7.6266 0.33 0.0010076 0.000934629 0.0449071 0.0416468 -1 -1 -1 -1 38 3768 50 6.79088e+06 309856 678818. 2348.85 8.32 0.504653 0.433974 25966 169698 -1 3126 24 1583 4396 279996 88954 6.78104 6.78104 -163.098 -6.78104 0 0 902133. 3121.57 0.03 0.13 0.13 -1 -1 0.03 0.0486561 0.0426166 161 230 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_055.v common 4.83 vpr 63.15 MiB 0.04 6684 -1 -1 11 0.13 -1 -1 32364 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64668 32 32 216 248 1 159 80 17 17 289 -1 unnamed_device 23.8 MiB 0.34 1031 8680 2573 4834 1273 63.2 MiB 0.08 0.00 5.63516 -137.165 -5.63516 5.63516 0.33 0.000690292 0.000639955 0.037302 0.0345879 -1 -1 -1 -1 30 2550 48 6.79088e+06 215552 556674. 1926.21 2.58 0.257277 0.221673 24526 138013 -1 2087 17 940 2514 123785 29666 5.14506 5.14506 -132.651 -5.14506 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0250996 0.0220816 91 122 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_056.v common 5.83 vpr 62.98 MiB 0.05 6636 -1 -1 13 0.19 -1 -1 32764 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64492 32 32 245 277 1 185 83 17 17 289 -1 unnamed_device 24.0 MiB 0.55 1241 7463 1935 5158 370 63.0 MiB 0.08 0.00 8.60404 -173.554 -8.60404 8.60404 0.32 0.000806225 0.000747553 0.0366197 0.034001 -1 -1 -1 -1 30 3318 24 6.79088e+06 255968 556674. 1926.21 3.31 0.224793 0.194075 24526 138013 -1 2498 16 1089 2892 146841 35098 7.21437 7.21437 -160.874 -7.21437 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0282868 0.0249504 112 151 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_057.v common 9.93 vpr 64.30 MiB 0.05 6944 -1 -1 14 0.43 -1 -1 32900 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65840 32 32 361 393 1 259 92 17 17 289 -1 unnamed_device 24.7 MiB 0.72 1675 9821 2468 6259 1094 64.3 MiB 0.12 0.00 8.49462 -180.844 -8.49462 8.49462 0.33 0.00113116 0.00104754 0.0584007 0.0540699 -1 -1 -1 -1 32 5477 46 6.79088e+06 377216 586450. 2029.24 6.32 0.463813 0.400212 24814 144142 -1 4152 58 4745 16433 1624290 646059 8.00188 8.00188 -180.946 -8.00188 0 0 744469. 2576.02 0.03 0.62 0.12 -1 -1 0.03 0.121806 0.104932 187 267 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_058.v common 10.53 vpr 63.98 MiB 0.04 6704 -1 -1 13 0.29 -1 -1 32716 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65520 32 32 318 350 1 241 87 17 17 289 -1 unnamed_device 24.2 MiB 0.84 1487 7767 1947 5218 602 64.0 MiB 0.10 0.00 8.22734 -178.902 -8.22734 8.22734 0.33 0.00102069 0.000944389 0.0456956 0.0422793 -1 -1 -1 -1 38 3659 39 6.79088e+06 309856 678818. 2348.85 7.56 0.417916 0.359543 25966 169698 -1 3023 15 1365 3944 210000 48527 7.21775 7.21775 -169.049 -7.21775 0 0 902133. 3121.57 0.03 0.08 0.14 -1 -1 0.03 0.0342655 0.0307227 157 224 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_059.v common 5.10 vpr 63.11 MiB 0.05 6756 -1 -1 11 0.16 -1 -1 32768 -1 -1 20 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64620 30 32 223 255 1 161 82 17 17 289 -1 unnamed_device 23.6 MiB 0.23 1032 11652 3561 6012 2079 63.1 MiB 0.10 0.00 6.80744 -144.555 -6.80744 6.80744 0.33 0.000721931 0.000669404 0.0501219 0.0464078 -1 -1 -1 -1 30 2467 32 6.79088e+06 269440 556674. 1926.21 2.75 0.238931 0.207113 24526 138013 -1 2100 69 1121 3150 400293 245054 6.15793 6.15793 -139.593 -6.15793 0 0 706193. 2443.58 0.03 0.26 0.11 -1 -1 0.03 0.0818465 0.0701746 105 135 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_060.v common 14.01 vpr 64.14 MiB 0.05 7004 -1 -1 15 0.43 -1 -1 32936 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65684 32 32 335 367 1 239 88 17 17 289 -1 unnamed_device 24.4 MiB 0.73 1544 13933 3835 7935 2163 64.1 MiB 0.16 0.00 9.05735 -181.389 -9.05735 9.05735 0.33 0.0010897 0.0010089 0.0822513 0.0761646 -1 -1 -1 -1 36 4461 40 6.79088e+06 323328 648988. 2245.63 10.83 0.529397 0.459274 25390 158009 -1 3705 20 2048 6013 348277 79637 7.80516 7.80516 -174.055 -7.80516 0 0 828058. 2865.25 0.03 0.12 0.14 -1 -1 0.03 0.0452124 0.039673 171 241 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_061.v common 4.45 vpr 63.40 MiB 0.05 6776 -1 -1 13 0.31 -1 -1 33380 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64924 32 32 301 333 1 221 85 17 17 289 -1 unnamed_device 24.3 MiB 0.67 1285 14593 4923 7671 1999 63.4 MiB 0.16 0.00 8.1371 -171.694 -8.1371 8.1371 0.32 0.000975831 0.000903759 0.0806874 0.0745676 -1 -1 -1 -1 38 3622 29 6.79088e+06 282912 678818. 2348.85 1.51 0.25861 0.226529 25966 169698 -1 2728 18 1442 4162 205985 49944 6.89412 6.89412 -160.489 -6.89412 0 0 902133. 3121.57 0.03 0.11 0.14 -1 -1 0.03 0.0449969 0.0394025 153 207 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_062.v common 4.80 vpr 63.30 MiB 0.04 6604 -1 -1 11 0.13 -1 -1 32696 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 32 32 238 270 1 167 80 17 17 289 -1 unnamed_device 23.8 MiB 0.34 1009 10056 3067 4893 2096 63.3 MiB 0.10 0.00 6.37179 -142.275 -6.37179 6.37179 0.33 0.000734437 0.000680156 0.0458146 0.0424751 -1 -1 -1 -1 30 2840 28 6.79088e+06 215552 556674. 1926.21 2.68 0.234692 0.203008 24526 138013 -1 2125 20 991 2582 119455 29953 5.78618 5.78618 -138.331 -5.78618 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0297151 0.0260505 100 144 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_063.v common 5.58 vpr 63.25 MiB 0.05 6996 -1 -1 12 0.29 -1 -1 32852 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64772 32 32 308 340 1 219 87 17 17 289 -1 unnamed_device 24.1 MiB 0.66 1325 7383 1744 5323 316 63.3 MiB 0.09 0.00 7.53207 -158.926 -7.53207 7.53207 0.33 0.000977112 0.000904639 0.0414335 0.0383399 -1 -1 -1 -1 32 3701 26 6.79088e+06 309856 586450. 2029.24 2.73 0.326213 0.280113 24814 144142 -1 3042 21 1546 4900 283450 65176 6.78448 6.78448 -154.303 -6.78448 0 0 744469. 2576.02 0.03 0.11 0.11 -1 -1 0.03 0.04223 0.0369493 153 214 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_064.v common 9.78 vpr 63.03 MiB 0.04 6536 -1 -1 12 0.20 -1 -1 32400 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64540 32 32 253 285 1 185 81 17 17 289 -1 unnamed_device 23.9 MiB 0.61 1071 11806 3980 5921 1905 63.0 MiB 0.12 0.00 7.26787 -152.288 -7.26787 7.26787 0.35 0.000837852 0.000777549 0.0598064 0.0554472 -1 -1 -1 -1 38 3135 33 6.79088e+06 229024 678818. 2348.85 7.08 0.407428 0.351237 25966 169698 -1 2307 23 1255 3203 190897 69295 6.12227 6.12227 -147.94 -6.12227 0 0 902133. 3121.57 0.03 0.10 0.13 -1 -1 0.03 0.0383608 0.0335534 117 159 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_065.v common 5.09 vpr 63.27 MiB 0.04 6624 -1 -1 12 0.18 -1 -1 32624 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64788 30 32 227 259 1 163 81 17 17 289 -1 unnamed_device 23.7 MiB 0.48 1008 12681 3842 6606 2233 63.3 MiB 0.11 0.00 7.61611 -150.935 -7.61611 7.61611 0.33 0.000740601 0.000686592 0.0566827 0.052529 -1 -1 -1 -1 28 2927 41 6.79088e+06 255968 531479. 1839.03 2.62 0.28327 0.24531 23950 126010 -1 2223 26 957 2573 172326 59032 6.39636 6.39636 -142.016 -6.39636 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0373839 0.03262 105 139 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_066.v common 5.17 vpr 63.33 MiB 0.05 6820 -1 -1 12 0.28 -1 -1 32776 -1 -1 24 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64852 29 32 292 324 1 205 85 17 17 289 -1 unnamed_device 24.3 MiB 0.82 1169 8827 2126 5790 911 63.3 MiB 0.10 0.00 7.58186 -143.004 -7.58186 7.58186 0.33 0.000947225 0.00087725 0.0488742 0.0452332 -1 -1 -1 -1 38 3070 20 6.79088e+06 323328 678818. 2348.85 2.20 0.307692 0.265163 25966 169698 -1 2455 17 1224 3792 169022 41962 6.67037 6.67037 -134.514 -6.67037 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0358273 0.0316187 146 207 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_067.v common 10.39 vpr 63.26 MiB 0.05 6784 -1 -1 14 0.31 -1 -1 32928 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64780 32 32 316 348 1 239 91 17 17 289 -1 unnamed_device 24.1 MiB 0.75 1436 9883 2559 6260 1064 63.3 MiB 0.11 0.00 8.37627 -177.668 -8.37627 8.37627 0.33 0.00102335 0.000945849 0.053509 0.0493915 -1 -1 -1 -1 38 3568 28 6.79088e+06 363744 678818. 2348.85 7.41 0.465471 0.399634 25966 169698 -1 2772 16 1466 3793 176168 42813 7.22556 7.22556 -164.638 -7.22556 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0360681 0.0318568 162 222 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_068.v common 6.31 vpr 63.04 MiB 0.05 6784 -1 -1 12 0.23 -1 -1 32776 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64552 32 32 286 318 1 205 85 17 17 289 -1 unnamed_device 24.0 MiB 0.49 1224 9013 2168 6000 845 63.0 MiB 0.10 0.00 7.44347 -157.943 -7.44347 7.44347 0.33 0.000927757 0.000860257 0.0489686 0.0454083 -1 -1 -1 -1 34 3606 37 6.79088e+06 282912 618332. 2139.56 3.71 0.354403 0.305071 25102 150614 -1 3000 27 1363 3962 256348 74806 6.70957 6.70957 -159.826 -6.70957 0 0 787024. 2723.27 0.03 0.12 0.12 -1 -1 0.03 0.0478882 0.0417302 139 192 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_069.v common 7.16 vpr 63.10 MiB 0.04 6616 -1 -1 12 0.14 -1 -1 32672 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64616 32 32 221 253 1 163 80 17 17 289 -1 unnamed_device 23.7 MiB 0.44 1085 9712 2427 5883 1402 63.1 MiB 0.09 0.00 6.80924 -144.135 -6.80924 6.80924 0.32 0.000704869 0.000652808 0.0427125 0.0396101 -1 -1 -1 -1 28 3185 50 6.79088e+06 215552 531479. 1839.03 4.81 0.256062 0.221587 23950 126010 -1 2400 20 1002 2675 158002 36999 5.82549 5.82549 -141.272 -5.82549 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0287501 0.0251635 97 127 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_070.v common 5.94 vpr 63.07 MiB 0.05 6700 -1 -1 12 0.21 -1 -1 32368 -1 -1 22 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64580 31 32 261 293 1 180 85 17 17 289 -1 unnamed_device 23.9 MiB 1.05 1140 10687 2660 6586 1441 63.1 MiB 0.11 0.00 7.22443 -151.71 -7.22443 7.22443 0.33 0.000839917 0.000779586 0.0512138 0.047527 -1 -1 -1 -1 28 3163 38 6.79088e+06 296384 531479. 1839.03 2.90 0.302748 0.260653 23950 126010 -1 2648 20 1290 3731 198920 48077 6.86709 6.86709 -153.469 -6.86709 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0345138 0.0301492 119 170 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_071.v common 3.96 vpr 63.12 MiB 0.04 6784 -1 -1 11 0.19 -1 -1 32724 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64636 30 32 277 309 1 199 84 17 17 289 -1 unnamed_device 24.0 MiB 0.89 1255 13809 3998 7521 2290 63.1 MiB 0.14 0.00 6.87806 -142.39 -6.87806 6.87806 0.33 0.000875847 0.000811341 0.0697867 0.0645547 -1 -1 -1 -1 32 3092 21 6.79088e+06 296384 586450. 2029.24 1.07 0.214974 0.188601 24814 144142 -1 2729 16 1229 3485 191409 44437 5.99343 5.99343 -139.565 -5.99343 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0316148 0.0278481 131 189 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_072.v common 4.77 vpr 63.00 MiB 0.05 6812 -1 -1 11 0.19 -1 -1 32680 -1 -1 24 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64512 28 32 251 283 1 187 84 17 17 289 -1 unnamed_device 23.9 MiB 0.44 1153 5391 1194 3833 364 63.0 MiB 0.06 0.00 6.91816 -129.642 -6.91816 6.91816 0.33 0.000826765 0.000767166 0.0276981 0.0257484 -1 -1 -1 -1 36 3146 46 6.79088e+06 323328 648988. 2245.63 2.42 0.306058 0.261045 25390 158009 -1 2718 20 1315 3832 225836 52120 6.07609 6.07609 -129.624 -6.07609 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.0341018 0.0297936 128 169 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_073.v common 3.69 vpr 63.24 MiB 0.05 6628 -1 -1 13 0.18 -1 -1 32676 -1 -1 20 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64756 30 32 223 255 1 170 82 17 17 289 -1 unnamed_device 23.7 MiB 0.95 1060 8448 2176 5000 1272 63.2 MiB 0.08 0.00 7.32615 -145.008 -7.32615 7.32615 0.33 0.00071794 0.000665956 0.0369399 0.0342821 -1 -1 -1 -1 32 2604 17 6.79088e+06 269440 586450. 2029.24 0.80 0.140025 0.122368 24814 144142 -1 2252 15 952 2397 129531 31404 6.54502 6.54502 -142.337 -6.54502 0 0 744469. 2576.02 0.03 0.06 0.11 -1 -1 0.03 0.024179 0.0213412 106 135 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_074.v common 3.88 vpr 63.61 MiB 0.05 6708 -1 -1 12 0.19 -1 -1 32548 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65136 32 32 269 301 1 194 86 17 17 289 -1 unnamed_device 23.9 MiB 0.64 1231 5000 892 3780 328 63.6 MiB 0.06 0.00 6.96348 -154.812 -6.96348 6.96348 0.33 0.000870245 0.000807749 0.0262261 0.0243447 -1 -1 -1 -1 32 3430 50 6.79088e+06 296384 586450. 2029.24 1.22 0.198309 0.170878 24814 144142 -1 2840 29 1231 3394 239216 79833 6.19713 6.19713 -151.962 -6.19713 0 0 744469. 2576.02 0.03 0.12 0.12 -1 -1 0.03 0.0479447 0.0415644 126 175 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_075.v common 5.15 vpr 63.15 MiB 0.05 6756 -1 -1 13 0.28 -1 -1 32724 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64668 31 32 283 315 1 195 86 17 17 289 -1 unnamed_device 24.1 MiB 0.76 1175 5567 1287 3850 430 63.2 MiB 0.07 0.00 8.34042 -167.633 -8.34042 8.34042 0.33 0.000924128 0.000856982 0.0308644 0.028639 -1 -1 -1 -1 40 2639 17 6.79088e+06 309856 706193. 2443.58 2.29 0.321168 0.275387 26254 175826 -1 2457 18 1037 3056 153014 36737 7.1002 7.1002 -153.74 -7.1002 0 0 926341. 3205.33 0.04 0.07 0.15 -1 -1 0.04 0.034941 0.0307128 139 192 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_076.v common 5.34 vpr 63.34 MiB 0.04 6716 -1 -1 14 0.26 -1 -1 32816 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64864 32 32 308 340 1 226 88 17 17 289 -1 unnamed_device 24.2 MiB 0.61 1243 10228 2670 6115 1443 63.3 MiB 0.12 0.00 8.43541 -175.495 -8.43541 8.43541 0.33 0.00100252 0.000922617 0.0565449 0.0523751 -1 -1 -1 -1 38 3543 40 6.79088e+06 323328 678818. 2348.85 2.63 0.356158 0.307349 25966 169698 -1 2476 16 1292 3786 181094 45356 7.42577 7.42577 -167.169 -7.42577 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0356041 0.0314521 157 214 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_077.v common 5.02 vpr 63.20 MiB 0.04 6824 -1 -1 14 0.26 -1 -1 32776 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64712 32 32 277 309 1 207 86 17 17 289 -1 unnamed_device 24.2 MiB 0.60 1382 8591 2239 5858 494 63.2 MiB 0.10 0.00 7.91581 -161.259 -7.91581 7.91581 0.33 0.000913371 0.000847226 0.0450635 0.0417541 -1 -1 -1 -1 38 3350 25 6.79088e+06 296384 678818. 2348.85 2.32 0.2926 0.252355 25966 169698 -1 2787 16 1251 3857 189868 44623 7.15279 7.15279 -153.16 -7.15279 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0321713 0.0284014 138 183 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_078.v common 9.96 vpr 63.31 MiB 0.05 6804 -1 -1 13 0.33 -1 -1 33256 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64832 32 32 288 320 1 206 87 17 17 289 -1 unnamed_device 24.2 MiB 0.89 1331 8151 2072 5746 333 63.3 MiB 0.10 0.00 8.38 -168.86 -8.38 8.38 0.33 0.000943148 0.000873875 0.045061 0.0417111 -1 -1 -1 -1 34 3609 46 6.79088e+06 309856 618332. 2139.56 6.86 0.435258 0.374369 25102 150614 -1 3094 19 1370 4079 226548 53121 7.38651 7.38651 -164.616 -7.38651 0 0 787024. 2723.27 0.03 0.09 0.12 -1 -1 0.03 0.0382084 0.0335779 143 194 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_079.v common 4.61 vpr 62.87 MiB 0.05 6580 -1 -1 13 0.18 -1 -1 32768 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64376 30 32 230 262 1 175 81 17 17 289 -1 unnamed_device 23.7 MiB 0.48 982 13381 4037 6934 2410 62.9 MiB 0.12 0.00 7.22527 -147.019 -7.22527 7.22527 0.33 0.00074938 0.00069446 0.0602326 0.055877 -1 -1 -1 -1 28 2970 44 6.79088e+06 255968 531479. 1839.03 2.19 0.279815 0.242703 23950 126010 -1 2231 18 1043 2450 133050 33745 6.44429 6.44429 -144.964 -6.44429 0 0 648988. 2245.63 0.03 0.07 0.11 -1 -1 0.03 0.0284316 0.0249874 108 142 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_080.v common 5.64 vpr 63.34 MiB 0.05 6888 -1 -1 13 0.43 -1 -1 32832 -1 -1 26 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64856 30 32 294 326 1 220 88 17 17 289 -1 unnamed_device 24.2 MiB 0.57 1395 7498 1653 5129 716 63.3 MiB 0.09 0.00 8.2853 -169.603 -8.2853 8.2853 0.33 0.00097552 0.000905614 0.0412919 0.0382766 -1 -1 -1 -1 28 4261 34 6.79088e+06 350272 531479. 1839.03 2.78 0.31891 0.273631 23950 126010 -1 3422 22 2093 5503 337775 78176 7.28928 7.28928 -165.703 -7.28928 0 0 648988. 2245.63 0.03 0.11 0.10 -1 -1 0.03 0.0427407 0.0373263 151 206 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_081.v common 5.40 vpr 64.07 MiB 0.05 6908 -1 -1 14 0.28 -1 -1 31520 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65612 32 32 276 308 1 199 83 17 17 289 -1 unnamed_device 24.5 MiB 0.90 1132 7103 1545 5006 552 64.1 MiB 0.09 0.00 8.27386 -174.899 -8.27386 8.27386 0.33 0.000902579 0.000836106 0.0393125 0.0363759 -1 -1 -1 -1 36 3199 32 6.79088e+06 255968 648988. 2245.63 2.29 0.310159 0.265987 25390 158009 -1 2662 35 1621 5192 379680 129797 7.00297 7.00297 -164.011 -7.00297 0 0 828058. 2865.25 0.03 0.16 0.13 -1 -1 0.03 0.0571102 0.0494071 135 182 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_082.v common 8.10 vpr 63.20 MiB 0.05 6976 -1 -1 12 0.25 -1 -1 32928 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64716 31 32 293 325 1 211 86 17 17 289 -1 unnamed_device 24.1 MiB 0.53 1281 8213 1967 5572 674 63.2 MiB 0.10 0.00 7.68132 -158.366 -7.68132 7.68132 0.33 0.000939658 0.000869088 0.0445254 0.0412715 -1 -1 -1 -1 32 3678 30 6.79088e+06 309856 586450. 2029.24 5.45 0.341698 0.293863 24814 144142 -1 2790 16 1349 3755 203552 47642 6.61998 6.61998 -151.181 -6.61998 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0330598 0.0291348 142 202 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_083.v common 5.27 vpr 63.71 MiB 0.05 6832 -1 -1 13 0.23 -1 -1 32764 -1 -1 25 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65244 30 32 273 305 1 201 87 17 17 289 -1 unnamed_device 23.9 MiB 0.81 1266 8727 2204 5659 864 63.7 MiB 0.09 0.00 8.03765 -152.208 -8.03765 8.03765 0.33 0.000878137 0.000815042 0.0433981 0.0402654 -1 -1 -1 -1 36 3140 23 6.79088e+06 336800 648988. 2245.63 2.40 0.318687 0.273936 25390 158009 -1 2698 17 1237 3595 192222 45748 7.24201 7.24201 -144.571 -7.24201 0 0 828058. 2865.25 0.03 0.08 0.12 -1 -1 0.03 0.032065 0.0281553 131 185 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_084.v common 12.99 vpr 63.37 MiB 0.04 6824 -1 -1 14 0.35 -1 -1 32960 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64888 32 32 310 342 1 232 91 17 17 289 -1 unnamed_device 24.5 MiB 0.49 1498 14779 3940 8610 2229 63.4 MiB 0.16 0.00 8.78569 -181.367 -8.78569 8.78569 0.27 0.00101329 0.000938978 0.0771719 0.0715108 -1 -1 -1 -1 38 3802 33 6.79088e+06 363744 678818. 2348.85 10.22 0.500505 0.433088 25966 169698 -1 3117 19 1530 4384 214236 50943 7.6329 7.6329 -170.452 -7.6329 0 0 902133. 3121.57 0.03 0.09 0.13 -1 -1 0.03 0.0407719 0.0358779 162 216 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_085.v common 5.12 vpr 63.34 MiB 0.04 6824 -1 -1 11 0.28 -1 -1 32884 -1 -1 22 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64860 29 32 259 291 1 189 83 17 17 289 -1 unnamed_device 24.1 MiB 0.51 1103 12863 3351 7854 1658 63.3 MiB 0.13 0.00 6.83813 -139.291 -6.83813 6.83813 0.33 0.000862981 0.000800295 0.0653163 0.0604886 -1 -1 -1 -1 40 2512 24 6.79088e+06 296384 706193. 2443.58 2.46 0.365863 0.31521 26254 175826 -1 2316 20 1090 3243 165576 38894 6.02914 6.02914 -132.157 -6.02914 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0364515 0.031988 131 174 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_086.v common 4.24 vpr 63.29 MiB 0.04 6536 -1 -1 13 0.16 -1 -1 32700 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64804 32 32 225 257 1 170 83 17 17 289 -1 unnamed_device 23.8 MiB 0.58 1119 10343 2882 5841 1620 63.3 MiB 0.10 0.00 6.77763 -154.451 -6.77763 6.77763 0.33 0.000729285 0.00067561 0.0448097 0.0415109 -1 -1 -1 -1 26 2968 36 6.79088e+06 255968 503264. 1741.40 1.65 0.244932 0.211678 23662 119890 -1 2510 31 1404 3767 295483 99221 6.16563 6.16563 -158.519 -6.16563 0 0 618332. 2139.56 0.03 0.13 0.12 -1 -1 0.03 0.0422449 0.0367401 104 131 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_087.v common 7.08 vpr 63.12 MiB 0.05 6776 -1 -1 14 0.21 -1 -1 32908 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64632 32 32 273 305 1 205 85 17 17 289 -1 unnamed_device 24.1 MiB 0.67 1241 11431 3395 6141 1895 63.1 MiB 0.12 0.00 8.52913 -173.723 -8.52913 8.52913 0.33 0.000885988 0.000821345 0.058335 0.0540797 -1 -1 -1 -1 32 4162 37 6.79088e+06 282912 586450. 2029.24 4.33 0.354511 0.306537 24814 144142 -1 2821 17 1297 3640 219633 52204 7.34742 7.34742 -163.806 -7.34742 0 0 744469. 2576.02 0.03 0.08 0.11 -1 -1 0.03 0.0322644 0.0283908 129 179 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_088.v common 5.51 vpr 64.09 MiB 0.05 6752 -1 -1 15 0.36 -1 -1 33216 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65624 32 32 322 354 1 232 88 17 17 289 -1 unnamed_device 24.5 MiB 0.75 1495 10033 2575 6237 1221 64.1 MiB 0.12 0.00 9.25665 -194.092 -9.25665 9.25665 0.33 0.0010266 0.000949362 0.0576422 0.0533302 -1 -1 -1 -1 32 4223 37 6.79088e+06 323328 586450. 2029.24 2.42 0.369859 0.319415 24814 144142 -1 3285 32 2123 6273 441373 142817 8.14545 8.14545 -186.928 -8.14545 0 0 744469. 2576.02 0.03 0.17 0.11 -1 -1 0.03 0.0604694 0.052604 159 228 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_089.v common 4.36 vpr 63.18 MiB 0.04 6712 -1 -1 11 0.16 -1 -1 32416 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64692 32 32 218 250 1 157 84 17 17 289 -1 unnamed_device 23.8 MiB 0.67 1029 5025 982 3746 297 63.2 MiB 0.05 0.00 6.84197 -140.879 -6.84197 6.84197 0.33 0.000694109 0.000643529 0.0216987 0.0201305 -1 -1 -1 -1 26 2806 49 6.79088e+06 269440 503264. 1741.40 1.89 0.22196 0.190283 23662 119890 -1 2371 23 976 2606 182933 54587 5.82898 5.82898 -138.605 -5.82898 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.031599 0.0275821 95 124 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_090.v common 7.60 vpr 63.54 MiB 0.05 6528 -1 -1 12 0.19 -1 -1 32568 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65068 31 32 244 276 1 179 81 17 17 289 -1 unnamed_device 23.9 MiB 0.45 1104 12681 4157 6767 1757 63.5 MiB 0.12 0.00 7.05298 -155.026 -7.05298 7.05298 0.33 0.000797273 0.000739492 0.0609662 0.056511 -1 -1 -1 -1 30 2861 44 6.79088e+06 242496 556674. 1926.21 5.18 0.360421 0.310331 24526 138013 -1 2451 19 1154 3071 151638 37096 6.11878 6.11878 -146.061 -6.11878 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0313953 0.0275053 114 153 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_091.v common 4.06 vpr 63.32 MiB 0.05 6704 -1 -1 12 0.30 -1 -1 33024 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64844 32 32 301 333 1 215 87 17 17 289 -1 unnamed_device 24.2 MiB 0.79 1427 6231 1272 4743 216 63.3 MiB 0.08 0.00 7.7149 -166.644 -7.7149 7.7149 0.33 0.000979712 0.000908696 0.0359609 0.033292 -1 -1 -1 -1 38 3174 20 6.79088e+06 309856 678818. 2348.85 1.17 0.181623 0.157879 25966 169698 -1 2823 18 1302 3872 198942 46146 6.54081 6.54081 -156.391 -6.54081 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0378817 0.0335005 150 207 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_092.v common 13.32 vpr 63.09 MiB 0.05 6768 -1 -1 12 0.24 -1 -1 32840 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64604 32 32 278 310 1 205 85 17 17 289 -1 unnamed_device 24.1 MiB 0.47 1285 14221 4062 8541 1618 63.1 MiB 0.14 0.00 7.44011 -156.924 -7.44011 7.44011 0.33 0.000846666 0.000780831 0.0720378 0.066763 -1 -1 -1 -1 30 4150 48 6.79088e+06 282912 556674. 1926.21 10.70 0.419408 0.362334 24526 138013 -1 3067 18 1305 3866 212616 49512 6.58771 6.58771 -155.259 -6.58771 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.034097 0.0299933 134 184 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_093.v common 4.52 vpr 63.53 MiB 0.05 6860 -1 -1 14 0.44 -1 -1 33344 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65052 32 32 333 365 1 242 88 17 17 289 -1 unnamed_device 24.4 MiB 0.59 1490 7693 1758 5111 824 63.5 MiB 0.10 0.00 9.31566 -186.677 -9.31566 9.31566 0.33 0.00111888 0.00103854 0.0476259 0.0441256 -1 -1 -1 -1 38 3796 46 6.79088e+06 323328 678818. 2348.85 1.51 0.298793 0.25827 25966 169698 -1 3135 31 1592 4768 286039 99374 8.13426 8.13426 -174.685 -8.13426 0 0 902133. 3121.57 0.03 0.14 0.13 -1 -1 0.03 0.0623708 0.0543783 176 239 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_094.v common 3.83 vpr 63.18 MiB 0.04 6848 -1 -1 11 0.23 -1 -1 32428 -1 -1 23 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64692 30 32 261 293 1 199 85 17 17 289 -1 unnamed_device 23.9 MiB 0.82 1205 12547 4027 6207 2313 63.2 MiB 0.12 0.00 7.01297 -142.368 -7.01297 7.01297 0.33 0.000863753 0.000801183 0.0618139 0.0573513 -1 -1 -1 -1 38 2929 19 6.79088e+06 309856 678818. 2348.85 0.97 0.184287 0.16208 25966 169698 -1 2315 17 1166 3313 152888 37500 6.11529 6.11529 -135.504 -6.11529 0 0 902133. 3121.57 0.03 0.07 0.13 -1 -1 0.03 0.0316688 0.0278429 132 173 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_095.v common 4.17 vpr 63.45 MiB 0.04 6636 -1 -1 11 0.19 -1 -1 32432 -1 -1 20 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64968 27 32 217 249 1 154 79 17 17 289 -1 unnamed_device 24.0 MiB 0.56 868 10388 2844 5648 1896 63.4 MiB 0.09 0.00 6.37607 -119.571 -6.37607 6.37607 0.35 0.000705292 0.00065367 0.0462171 0.0428512 -1 -1 -1 -1 26 2518 40 6.79088e+06 269440 503264. 1741.40 1.64 0.268796 0.231378 23662 119890 -1 2008 20 1027 2936 168388 51079 6.33007 6.33007 -127.381 -6.33007 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.029124 0.0254802 102 138 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_096.v common 6.07 vpr 63.75 MiB 0.05 7056 -1 -1 13 0.41 -1 -1 32924 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65284 32 32 373 405 1 266 98 17 17 289 -1 unnamed_device 24.8 MiB 0.74 1698 5948 1049 4667 232 63.8 MiB 0.08 0.00 8.52361 -166.46 -8.52361 8.52361 0.33 0.00118247 0.00109422 0.0362886 0.0336347 -1 -1 -1 -1 38 4644 40 6.79088e+06 458048 678818. 2348.85 3.02 0.422082 0.362435 25966 169698 -1 3521 18 1852 5771 282170 66301 7.26465 7.26465 -156.827 -7.26465 0 0 902133. 3121.57 0.03 0.11 0.13 -1 -1 0.03 0.0460341 0.0406558 198 279 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_097.v common 3.60 vpr 63.09 MiB 0.05 6908 -1 -1 14 0.26 -1 -1 33308 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64600 31 32 269 301 1 198 84 17 17 289 -1 unnamed_device 23.9 MiB 0.49 1207 9051 2068 5958 1025 63.1 MiB 0.10 0.00 8.50925 -167.426 -8.50925 8.50925 0.33 0.000883406 0.000820471 0.0471229 0.0437746 -1 -1 -1 -1 32 3140 26 6.79088e+06 282912 586450. 2029.24 1.06 0.217201 0.188527 24814 144142 -1 2527 15 1107 2883 157363 37227 7.18209 7.18209 -154.708 -7.18209 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0292526 0.0258155 130 178 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_098.v common 4.71 vpr 62.82 MiB 0.05 6688 -1 -1 12 0.16 -1 -1 32308 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64324 32 32 228 260 1 185 90 17 17 289 -1 unnamed_device 23.6 MiB 0.38 1226 10743 2595 6334 1814 62.8 MiB 0.10 0.00 6.92428 -158.096 -6.92428 6.92428 0.33 0.000749043 0.000693337 0.0428142 0.0396718 -1 -1 -1 -1 30 3315 48 6.79088e+06 350272 556674. 1926.21 2.44 0.2726 0.234926 24526 138013 -1 2575 18 1126 3087 159659 39198 6.32669 6.32669 -155.349 -6.32669 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0283132 0.0249198 115 134 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_099.v common 6.61 vpr 63.12 MiB 0.04 6832 -1 -1 13 0.29 -1 -1 32724 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64636 32 32 265 297 1 193 83 17 17 289 -1 unnamed_device 23.9 MiB 0.93 1270 7823 1943 5077 803 63.1 MiB 0.09 0.00 7.58824 -158.796 -7.58824 7.58824 0.33 0.000887944 0.000824247 0.0421325 0.0391305 -1 -1 -1 -1 30 3430 24 6.79088e+06 255968 556674. 1926.21 3.56 0.306734 0.264102 24526 138013 -1 2736 21 1213 3393 166054 40071 6.87058 6.87058 -160.786 -6.87058 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0380319 0.0333523 128 171 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_100.v common 7.27 vpr 64.02 MiB 0.05 6896 -1 -1 13 0.30 -1 -1 33400 -1 -1 27 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65560 31 32 325 357 1 242 90 17 17 289 -1 unnamed_device 24.5 MiB 0.73 1522 8331 1984 5596 751 64.0 MiB 0.10 0.00 7.27804 -161.51 -7.27804 7.27804 0.33 0.00102811 0.000952598 0.0472571 0.0438457 -1 -1 -1 -1 32 4522 46 6.79088e+06 363744 586450. 2029.24 4.35 0.392403 0.338839 24814 144142 -1 3497 18 1604 4431 257861 59814 6.41628 6.41628 -157.158 -6.41628 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0397314 0.0348925 166 234 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_101.v common 12.01 vpr 62.97 MiB 0.04 6816 -1 -1 11 0.23 -1 -1 32736 -1 -1 25 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64484 30 32 287 319 1 197 87 17 17 289 -1 unnamed_device 24.0 MiB 0.66 1312 9303 2374 5865 1064 63.0 MiB 0.10 0.00 6.99068 -140.536 -6.99068 6.99068 0.33 0.000926582 0.000859143 0.0485473 0.0450113 -1 -1 -1 -1 32 3690 38 6.79088e+06 336800 586450. 2029.24 9.28 0.389098 0.335527 24814 144142 -1 3147 19 1463 4778 279942 62734 6.32243 6.32243 -140.27 -6.32243 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0366625 0.0321059 141 199 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_102.v common 5.26 vpr 63.71 MiB 0.05 6896 -1 -1 15 0.32 -1 -1 32944 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65236 32 32 297 329 1 214 86 17 17 289 -1 unnamed_device 24.0 MiB 0.83 1326 7079 1560 4853 666 63.7 MiB 0.09 0.00 9.00617 -182.979 -9.00617 9.00617 0.33 0.000964078 0.000893786 0.0397184 0.0368522 -1 -1 -1 -1 38 3224 25 6.79088e+06 296384 678818. 2348.85 2.24 0.293332 0.252539 25966 169698 -1 2690 20 1325 4147 207511 48921 7.67642 7.67642 -167.923 -7.67642 0 0 902133. 3121.57 0.03 0.09 0.13 -1 -1 0.03 0.0396747 0.0347898 144 203 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_103.v common 4.22 vpr 63.23 MiB 0.04 6784 -1 -1 13 0.31 -1 -1 33012 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64744 32 32 311 343 1 227 89 17 17 289 -1 unnamed_device 24.1 MiB 0.96 1381 8207 2007 5565 635 63.2 MiB 0.10 0.00 8.44531 -178.879 -8.44531 8.44531 0.32 0.00100094 0.000925971 0.0458229 0.0423907 -1 -1 -1 -1 36 3518 20 6.79088e+06 336800 648988. 2245.63 1.09 0.209823 0.182491 25390 158009 -1 3075 16 1389 4316 226478 53721 7.22196 7.22196 -163.325 -7.22196 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0368514 0.0326269 157 217 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_104.v common 3.13 vpr 62.80 MiB 0.04 6624 -1 -1 12 0.17 -1 -1 32240 -1 -1 24 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64304 29 32 236 268 1 177 85 17 17 289 -1 unnamed_device 23.6 MiB 0.37 1035 4549 950 3116 483 62.8 MiB 0.05 0.00 7.43237 -149.74 -7.43237 7.43237 0.33 0.000750383 0.000695885 0.0221577 0.0205512 -1 -1 -1 -1 34 2592 28 6.79088e+06 323328 618332. 2139.56 0.94 0.14653 0.126903 25102 150614 -1 2135 15 970 2281 117748 29065 6.54507 6.54507 -143.212 -6.54507 0 0 787024. 2723.27 0.03 0.06 0.12 -1 -1 0.03 0.0251975 0.0222644 111 151 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_105.v common 4.47 vpr 62.86 MiB 0.04 6628 -1 -1 11 0.16 -1 -1 32508 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64368 32 32 231 263 1 179 82 17 17 289 -1 unnamed_device 23.7 MiB 0.37 1138 10584 2774 6541 1269 62.9 MiB 0.10 0.00 6.86878 -147.506 -6.86878 6.86878 0.33 0.000728686 0.000674719 0.0462127 0.0427958 -1 -1 -1 -1 32 2828 23 6.79088e+06 242496 586450. 2029.24 2.18 0.242196 0.209497 24814 144142 -1 2484 16 1136 2758 148849 36371 6.02493 6.02493 -144.93 -6.02493 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0253142 0.02231 105 137 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_106.v common 4.49 vpr 63.25 MiB 0.05 6776 -1 -1 13 0.30 -1 -1 32868 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64768 31 32 294 326 1 208 84 17 17 289 -1 unnamed_device 24.1 MiB 0.74 1335 5940 1384 4010 546 63.2 MiB 0.08 0.00 8.22261 -162.461 -8.22261 8.22261 0.33 0.000958534 0.000887833 0.0349597 0.032425 -1 -1 -1 -1 38 3226 20 6.79088e+06 282912 678818. 2348.85 1.60 0.221761 0.191537 25966 169698 -1 2773 16 1267 3957 205157 46535 7.00286 7.00286 -152.288 -7.00286 0 0 902133. 3121.57 0.03 0.08 0.13 -1 -1 0.03 0.0340311 0.0300272 147 203 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_107.v common 4.96 vpr 63.26 MiB 0.04 6744 -1 -1 10 0.17 -1 -1 32720 -1 -1 22 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64780 29 32 221 253 1 161 83 17 17 289 -1 unnamed_device 23.8 MiB 0.51 1029 8903 2038 5829 1036 63.3 MiB 0.08 0.00 5.95352 -122.736 -5.95352 5.95352 0.33 0.000719487 0.000667604 0.0383043 0.0355777 -1 -1 -1 -1 30 2514 29 6.79088e+06 296384 556674. 1926.21 2.56 0.199837 0.173265 24526 138013 -1 2094 22 1123 3222 153946 38109 5.31643 5.31643 -118.348 -5.31643 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0314622 0.0274132 101 136 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_108.v common 4.16 vpr 62.80 MiB 0.03 6680 -1 -1 14 0.18 -1 -1 32760 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64308 32 32 240 272 1 185 82 17 17 289 -1 unnamed_device 23.9 MiB 0.87 1020 10050 3334 5019 1697 62.8 MiB 0.10 0.00 7.94044 -166.708 -7.94044 7.94044 0.33 0.000770983 0.00071481 0.0464999 0.0430668 -1 -1 -1 -1 34 3304 48 6.79088e+06 242496 618332. 2139.56 1.34 0.200928 0.174764 25102 150614 -1 2358 15 1078 2734 149420 36639 6.90224 6.90224 -159.415 -6.90224 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0265293 0.0234262 107 146 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_109.v common 4.96 vpr 63.14 MiB 0.04 6768 -1 -1 12 0.30 -1 -1 32932 -1 -1 22 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64656 31 32 292 324 1 204 85 17 17 289 -1 unnamed_device 24.0 MiB 0.63 1374 12361 3220 7493 1648 63.1 MiB 0.13 0.00 7.85332 -163.753 -7.85332 7.85332 0.32 0.000943808 0.00087438 0.0664752 0.0616266 -1 -1 -1 -1 40 2877 20 6.79088e+06 296384 706193. 2443.58 2.13 0.309603 0.268303 26254 175826 -1 2757 16 1222 3666 194084 45727 6.63461 6.63461 -154.035 -6.63461 0 0 926341. 3205.33 0.04 0.08 0.14 -1 -1 0.04 0.0336426 0.0296544 146 201 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_110.v common 8.92 vpr 62.66 MiB 0.04 6688 -1 -1 12 0.15 -1 -1 32324 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64164 31 32 229 261 1 179 82 17 17 289 -1 unnamed_device 23.6 MiB 0.56 1082 11474 3598 6091 1785 62.7 MiB 0.10 0.00 6.38374 -142.464 -6.38374 6.38374 0.32 0.000717201 0.000664074 0.049104 0.045494 -1 -1 -1 -1 30 2894 37 6.79088e+06 255968 556674. 1926.21 6.47 0.322184 0.278384 24526 138013 -1 2287 15 1022 2494 135483 32331 5.5837 5.5837 -136.366 -5.5837 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.024613 0.0217666 102 138 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_111.v common 9.23 vpr 63.73 MiB 0.05 6760 -1 -1 12 0.19 -1 -1 32744 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65256 32 32 282 314 1 191 85 17 17 289 -1 unnamed_device 23.9 MiB 0.67 1179 11059 3003 5984 2072 63.7 MiB 0.11 0.00 6.96264 -148.597 -6.96264 6.96264 0.33 0.000887095 0.000816722 0.0561797 0.0520398 -1 -1 -1 -1 38 2891 25 6.79088e+06 282912 678818. 2348.85 6.53 0.376782 0.325116 25966 169698 -1 2330 16 1087 3411 155781 37718 6.02924 6.02924 -142.083 -6.02924 0 0 902133. 3121.57 0.03 0.07 0.13 -1 -1 0.03 0.0313075 0.0275548 128 188 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_112.v common 12.55 vpr 63.27 MiB 0.05 6816 -1 -1 13 0.27 -1 -1 33016 -1 -1 20 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64792 31 32 269 301 1 199 83 17 17 289 -1 unnamed_device 24.2 MiB 0.77 1329 6563 1489 4408 666 63.3 MiB 0.08 0.00 7.45713 -162.809 -7.45713 7.45713 0.32 0.000896397 0.000831988 0.0363307 0.0337294 -1 -1 -1 -1 34 3674 46 6.79088e+06 269440 618332. 2139.56 9.65 0.412722 0.35332 25102 150614 -1 2854 19 1273 3636 193645 45510 6.74179 6.74179 -154.534 -6.74179 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0356777 0.0313082 134 178 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_113.v common 4.91 vpr 62.95 MiB 0.04 6632 -1 -1 11 0.16 -1 -1 32236 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64456 32 32 237 269 1 182 85 17 17 289 -1 unnamed_device 24.0 MiB 0.33 1202 9013 2485 5811 717 62.9 MiB 0.09 0.00 6.61001 -140.281 -6.61001 6.61001 0.33 0.000753207 0.000697058 0.0395691 0.0366226 -1 -1 -1 -1 30 3057 31 6.79088e+06 282912 556674. 1926.21 2.62 0.261151 0.225133 24526 138013 -1 2509 21 1278 3494 180022 44038 5.99337 5.99337 -142.084 -5.99337 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0319592 0.0279754 113 143 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_114.v common 8.55 vpr 63.09 MiB 0.04 6676 -1 -1 13 0.19 -1 -1 32508 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64604 32 32 259 291 1 188 84 17 17 289 -1 unnamed_device 23.9 MiB 0.73 1206 7038 1679 4998 361 63.1 MiB 0.08 0.00 7.91581 -171.122 -7.91581 7.91581 0.33 0.000850387 0.000789787 0.035875 0.0332954 -1 -1 -1 -1 34 3024 47 6.79088e+06 269440 618332. 2139.56 5.86 0.356987 0.306191 25102 150614 -1 2703 15 1129 3124 173137 40776 6.79921 6.79921 -163.72 -6.79921 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0281769 0.0248585 118 165 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_115.v common 4.17 vpr 63.42 MiB 0.04 6796 -1 -1 13 0.25 -1 -1 32984 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64940 32 32 277 309 1 203 85 17 17 289 -1 unnamed_device 24.4 MiB 0.81 1340 13849 4059 7638 2152 63.4 MiB 0.14 0.00 7.74106 -161.688 -7.74106 7.74106 0.33 0.000906466 0.00084094 0.0714624 0.0662797 -1 -1 -1 -1 34 3696 38 6.79088e+06 282912 618332. 2139.56 1.21 0.234614 0.20537 25102 150614 -1 2984 20 1482 4209 226980 52735 6.74184 6.74184 -158.649 -6.74184 0 0 787024. 2723.27 0.03 0.09 0.12 -1 -1 0.03 0.037172 0.0325816 135 183 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_116.v common 3.73 vpr 62.84 MiB 0.02 6852 -1 -1 11 0.19 -1 -1 32724 -1 -1 22 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64348 29 32 245 277 1 177 83 17 17 289 -1 unnamed_device 23.8 MiB 0.78 1157 5123 995 3767 361 62.8 MiB 0.06 0.00 6.94423 -133.135 -6.94423 6.94423 0.33 0.000806669 0.000748931 0.0257236 0.0238846 -1 -1 -1 -1 32 2916 44 6.79088e+06 296384 586450. 2029.24 1.03 0.173537 0.149419 24814 144142 -1 2391 17 970 2607 152962 35422 5.92733 5.92733 -128.575 -5.92733 0 0 744469. 2576.02 0.03 0.07 0.11 -1 -1 0.03 0.0291138 0.0255056 118 160 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_117.v common 5.52 vpr 63.38 MiB 0.02 6832 -1 -1 14 0.31 -1 -1 33412 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64904 32 32 316 348 1 230 88 17 17 289 -1 unnamed_device 24.4 MiB 0.66 1539 8863 2496 5734 633 63.4 MiB 0.11 0.00 9.3377 -191.962 -9.3377 9.3377 0.33 0.00102805 0.000952358 0.0511829 0.0474053 -1 -1 -1 -1 38 4275 39 6.79088e+06 323328 678818. 2348.85 2.77 0.298443 0.259929 25966 169698 -1 3171 19 1623 4678 239957 56463 8.10266 8.10266 -183.664 -8.10266 0 0 902133. 3121.57 0.03 0.06 0.09 -1 -1 0.03 0.0244365 0.0220818 160 222 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_118.v common 4.14 vpr 62.79 MiB 0.04 6528 -1 -1 12 0.20 -1 -1 32564 -1 -1 21 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64300 31 32 230 262 1 183 84 17 17 289 -1 unnamed_device 23.6 MiB 0.91 1205 5940 1316 4245 379 62.8 MiB 0.07 0.00 7.26911 -156.196 -7.26911 7.26911 0.33 0.000745814 0.000683065 0.027898 0.0257944 -1 -1 -1 -1 36 2848 45 6.79088e+06 282912 648988. 2245.63 1.28 0.168515 0.145874 25390 158009 -1 2415 23 982 2424 191924 68284 6.04387 6.04387 -142.272 -6.04387 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0335654 0.0293471 110 139 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_119.v common 4.55 vpr 63.12 MiB 0.04 6872 -1 -1 13 0.27 -1 -1 32872 -1 -1 20 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64636 32 32 282 314 1 208 84 17 17 289 -1 unnamed_device 24.1 MiB 0.78 1242 7038 1540 5290 208 63.1 MiB 0.09 0.00 7.77503 -156.916 -7.77503 7.77503 0.32 0.000906821 0.000841319 0.0386202 0.0357882 -1 -1 -1 -1 34 4030 33 6.79088e+06 269440 618332. 2139.56 1.65 0.20245 0.175386 25102 150614 -1 3009 17 1371 3948 234203 58378 6.78797 6.78797 -156.333 -6.78797 0 0 787024. 2723.27 0.03 0.09 0.12 -1 -1 0.03 0.0336052 0.0296034 136 188 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_120.v common 4.55 vpr 63.10 MiB 0.02 6668 -1 -1 13 0.18 -1 -1 32676 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64616 32 32 235 267 1 174 85 17 17 289 -1 unnamed_device 24.0 MiB 0.42 1119 12361 3329 7454 1578 63.1 MiB 0.11 0.00 7.36852 -166.004 -7.36852 7.36852 0.33 0.000750919 0.000696197 0.0528367 0.0489875 -1 -1 -1 -1 36 2782 17 6.79088e+06 282912 648988. 2245.63 2.17 0.273945 0.237408 25390 158009 -1 2366 14 955 2497 138037 32540 6.58432 6.58432 -158.848 -6.58432 0 0 828058. 2865.25 0.03 0.06 0.12 -1 -1 0.03 0.0242351 0.0214443 107 141 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_121.v common 5.48 vpr 63.56 MiB 0.05 6808 -1 -1 12 0.22 -1 -1 32888 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65088 32 32 265 297 1 192 85 17 17 289 -1 unnamed_device 23.8 MiB 1.07 1078 14593 5070 7208 2315 63.6 MiB 0.15 0.00 7.08202 -153.624 -7.08202 7.08202 0.33 0.000866045 0.000801126 0.0729181 0.0675734 -1 -1 -1 -1 42 2583 22 6.79088e+06 282912 744469. 2576.02 2.28 0.325852 0.282352 26542 182613 -1 2078 15 1025 2965 145160 36401 5.91852 5.91852 -141.174 -5.91852 0 0 949917. 3286.91 0.04 0.07 0.15 -1 -1 0.04 0.0306689 0.0271112 125 171 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_122.v common 5.02 vpr 64.26 MiB 0.05 6984 -1 -1 15 0.47 -1 -1 32836 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65804 32 32 344 376 1 252 91 17 17 289 -1 unnamed_device 24.5 MiB 0.82 1721 10291 2790 6459 1042 64.3 MiB 0.13 0.00 9.60297 -194.922 -9.60297 9.60297 0.33 0.00110901 0.00102616 0.0611384 0.0564551 -1 -1 -1 -1 42 4077 36 6.79088e+06 363744 744469. 2576.02 1.74 0.307497 0.267143 26542 182613 -1 3640 20 1754 5126 293896 67289 8.5682 8.5682 -189.066 -8.5682 0 0 949917. 3286.91 0.04 0.11 0.14 -1 -1 0.04 0.0473704 0.0417152 182 250 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_123.v common 3.54 vpr 62.94 MiB 0.04 6504 -1 -1 10 0.10 -1 -1 32224 -1 -1 14 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64452 30 32 173 205 1 124 76 17 17 289 -1 unnamed_device 23.8 MiB 0.55 814 7916 2022 5146 748 62.9 MiB 0.06 0.00 5.07591 -117.021 -5.07591 5.07591 0.33 0.000556588 0.000517267 0.0295808 0.0275036 -1 -1 -1 -1 28 1926 21 6.79088e+06 188608 531479. 1839.03 1.21 0.158898 0.137185 23950 126010 -1 1643 25 628 1506 131678 57568 4.39315 4.39315 -115.966 -4.39315 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0267001 0.0231589 66 85 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_124.v common 4.50 vpr 63.43 MiB 0.05 6576 -1 -1 13 0.19 -1 -1 32536 -1 -1 20 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64956 30 32 229 261 1 170 82 17 17 289 -1 unnamed_device 23.7 MiB 0.63 1030 10406 2484 6940 982 63.4 MiB 0.10 0.00 7.481 -156.718 -7.481 7.481 0.33 0.000747858 0.000693459 0.0466835 0.0432931 -1 -1 -1 -1 30 2680 28 6.79088e+06 269440 556674. 1926.21 1.92 0.27302 0.235713 24526 138013 -1 2199 16 1003 2647 121064 30639 6.58089 6.58089 -149.195 -6.58089 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0261214 0.0230669 106 141 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_125.v common 3.48 vpr 63.65 MiB 0.05 6608 -1 -1 12 0.19 -1 -1 32680 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65176 32 32 261 293 1 196 87 17 17 289 -1 unnamed_device 24.0 MiB 0.39 1140 5847 1128 4559 160 63.6 MiB 0.07 0.00 7.22443 -157.808 -7.22443 7.22443 0.33 0.00083611 0.000772658 0.0289365 0.0268323 -1 -1 -1 -1 38 2865 18 6.79088e+06 309856 678818. 2348.85 1.09 0.1574 0.136817 25966 169698 -1 2344 15 1119 2912 137783 34388 6.20488 6.20488 -146.963 -6.20488 0 0 902133. 3121.57 0.03 0.06 0.13 -1 -1 0.03 0.0279653 0.0246802 124 167 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_126.v common 2.80 vpr 62.90 MiB 0.05 6624 -1 -1 9 0.13 -1 -1 32464 -1 -1 22 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64408 25 32 184 216 1 136 79 17 17 289 -1 unnamed_device 23.3 MiB 0.34 633 10388 2522 7186 680 62.9 MiB 0.08 0.00 5.41354 -99.1152 -5.41354 5.41354 0.33 0.000609407 0.000565619 0.0395647 0.0366983 -1 -1 -1 -1 30 1900 24 6.79088e+06 296384 556674. 1926.21 0.61 0.11496 0.101035 24526 138013 -1 1504 17 753 2056 95152 24751 4.73334 4.73334 -93.756 -4.73334 0 0 706193. 2443.58 0.03 0.05 0.11 -1 -1 0.03 0.0221958 0.0194339 87 111 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_127.v common 12.76 vpr 63.91 MiB 0.05 6924 -1 -1 12 0.26 -1 -1 32812 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65448 32 32 302 334 1 229 91 17 17 289 -1 unnamed_device 24.2 MiB 0.57 1440 10903 2983 6870 1050 63.9 MiB 0.12 0.00 7.96326 -172.047 -7.96326 7.96326 0.33 0.000949308 0.000880167 0.0548773 0.0508363 -1 -1 -1 -1 36 4299 44 6.79088e+06 363744 648988. 2245.63 9.96 0.468566 0.403799 25390 158009 -1 3533 30 1661 4602 446950 162873 6.87412 6.87412 -167.682 -6.87412 0 0 828058. 2865.25 0.03 0.18 0.13 -1 -1 0.03 0.0535375 0.0464206 150 208 -1 -1 -1 -1 + fixed_k6_frac_N8_22nm.xml mult_128.v common 5.12 vpr 63.84 MiB 0.05 6852 -1 -1 14 0.30 -1 -1 33068 -1 -1 22 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65372 31 32 295 327 1 217 85 17 17 289 -1 unnamed_device 24.1 MiB 0.80 1298 12547 3521 7087 1939 63.8 MiB 0.14 0.00 8.85795 -180.418 -8.85795 8.85795 0.33 0.000959376 0.000888171 0.0688498 0.0637664 -1 -1 -1 -1 36 3867 42 6.79088e+06 296384 648988. 2245.63 2.06 0.301572 0.262851 25390 158009 -1 3059 14 1297 3781 207595 49610 7.85554 7.85554 -171.603 -7.85554 0 0 828058. 2865.25 0.03 0.08 0.13 -1 -1 0.03 0.03191 0.0282802 151 204 -1 -1 -1 -1 + fixed_k6_frac_ripple_N8_22nm.xml mult_001.v common 5.26 vpr 63.53 MiB 0.05 7088 -1 -1 1 0.03 -1 -1 30844 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65052 32 32 438 350 1 202 102 17 17 289 -1 unnamed_device 24.5 MiB 1.32 1173 19380 5768 11364 2248 63.5 MiB 0.20 0.00 4.3249 -149.702 -4.3249 4.3249 0.33 0.000811279 0.000753784 0.0687624 0.0638184 -1 -1 -1 -1 28 3018 24 6.87369e+06 531006 531479. 1839.03 2.01 0.259164 0.225824 24610 126494 -1 2573 23 2226 3696 248527 60851 3.9957 3.9957 -158.946 -3.9957 0 0 648988. 2245.63 0.03 0.10 0.10 -1 -1 0.03 0.0344776 0.0298382 155 96 32 32 96 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_002.v common 5.41 vpr 63.32 MiB 0.05 7288 -1 -1 1 0.03 -1 -1 30764 -1 -1 24 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64844 30 32 409 330 1 192 86 17 17 289 -1 unnamed_device 24.2 MiB 2.95 1037 12749 4416 6197 2136 63.3 MiB 0.14 0.00 4.18449 -135.92 -4.18449 4.18449 0.33 0.000755725 0.000701569 0.0532811 0.0494806 -1 -1 -1 -1 32 2593 34 6.87369e+06 335372 586450. 2029.24 0.65 0.154987 0.136111 25474 144626 -1 1987 22 1738 2948 159843 42253 3.7121 3.7121 -137.584 -3.7121 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0313519 0.0271426 141 91 30 30 89 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_003.v common 5.86 vpr 63.40 MiB 0.04 7016 -1 -1 1 0.03 -1 -1 30396 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64924 32 32 387 309 1 191 100 17 17 289 -1 unnamed_device 24.2 MiB 1.88 956 11236 2509 8271 456 63.4 MiB 0.12 0.00 3.90225 -131.619 -3.90225 3.90225 0.33 0.000744741 0.000689856 0.0382774 0.0354108 -1 -1 -1 -1 30 2723 23 6.87369e+06 503058 556674. 1926.21 2.11 0.21242 0.183514 25186 138497 -1 1903 20 1204 1919 105931 27350 3.5308 3.5308 -130.065 -3.5308 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0279831 0.0243071 145 65 54 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_004.v common 5.10 vpr 63.88 MiB 0.05 7120 -1 -1 1 0.03 -1 -1 30516 -1 -1 24 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65412 29 32 343 267 1 185 85 17 17 289 -1 unnamed_device 24.3 MiB 1.42 806 10129 2681 6744 704 63.9 MiB 0.12 0.00 4.1666 -126.584 -4.1666 4.1666 0.33 0.00068131 0.000633817 0.0393621 0.0366116 -1 -1 -1 -1 28 2493 35 6.87369e+06 335372 531479. 1839.03 1.84 0.234786 0.202584 24610 126494 -1 1891 22 1805 3039 180959 49611 3.9737 3.9737 -138.547 -3.9737 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0286713 0.0248769 137 34 87 29 29 29 + fixed_k6_frac_ripple_N8_22nm.xml mult_005.v common 4.98 vpr 63.45 MiB 0.05 6992 -1 -1 1 0.03 -1 -1 30296 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64968 32 32 376 288 1 202 87 17 17 289 -1 unnamed_device 24.3 MiB 2.14 1130 16599 5608 8958 2033 63.4 MiB 0.18 0.00 4.1996 -149.083 -4.1996 4.1996 0.33 0.00073734 0.000684806 0.0662542 0.0615204 -1 -1 -1 -1 32 2917 20 6.87369e+06 321398 586450. 2029.24 0.89 0.178051 0.157001 25474 144626 -1 2452 23 2051 3759 257889 61761 3.8924 3.8924 -152.904 -3.8924 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0319398 0.0277356 147 34 96 32 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_006.v common 4.76 vpr 63.61 MiB 0.05 7132 -1 -1 1 0.03 -1 -1 30436 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65140 32 32 402 316 1 200 103 17 17 289 -1 unnamed_device 24.4 MiB 1.27 1073 13358 3590 8746 1022 63.6 MiB 0.14 0.00 3.55395 -121.936 -3.55395 3.55395 0.33 0.000795177 0.000739798 0.0449682 0.0416544 -1 -1 -1 -1 32 2445 22 6.87369e+06 544980 586450. 2029.24 1.58 0.264236 0.228112 25474 144626 -1 1944 20 1364 2271 128939 31801 2.71436 2.71436 -113.804 -2.71436 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0370062 0.0319781 154 64 63 32 63 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_007.v common 5.18 vpr 63.36 MiB 0.04 7068 -1 -1 1 0.03 -1 -1 30540 -1 -1 20 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64884 27 32 269 226 1 146 79 17 17 289 -1 unnamed_device 23.7 MiB 1.93 818 12923 4188 6960 1775 63.4 MiB 0.12 0.00 3.47075 -103.849 -3.47075 3.47075 0.33 0.000565726 0.000526652 0.0450654 0.0419682 -1 -1 -1 -1 32 1806 29 6.87369e+06 279477 586450. 2029.24 1.47 0.222765 0.191829 25474 144626 -1 1564 22 1168 2001 119983 28902 2.91296 2.91296 -103.344 -2.91296 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0235488 0.0203495 103 34 54 27 27 27 + fixed_k6_frac_ripple_N8_22nm.xml mult_008.v common 4.45 vpr 63.29 MiB 0.05 7088 -1 -1 1 0.03 -1 -1 30228 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64808 31 32 317 242 1 187 98 17 17 289 -1 unnamed_device 24.3 MiB 0.95 1064 16523 5156 8498 2869 63.3 MiB 0.15 0.00 3.60031 -116.511 -3.60031 3.60031 0.33 0.000663238 0.000617113 0.0508271 0.0472604 -1 -1 -1 -1 28 2632 24 6.87369e+06 489084 531479. 1839.03 1.67 0.225051 0.195326 24610 126494 -1 2297 23 1433 2289 171838 42417 3.01526 3.01526 -120.844 -3.01526 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0288725 0.0250545 142 4 115 31 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_009.v common 5.02 vpr 63.35 MiB 0.04 7176 -1 -1 1 0.03 -1 -1 30148 -1 -1 17 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64868 31 32 338 292 1 154 80 17 17 289 -1 unnamed_device 24.2 MiB 2.61 905 12464 3382 7695 1387 63.3 MiB 0.12 0.00 3.10152 -108.193 -3.10152 3.10152 0.33 0.000663547 0.000617045 0.0498722 0.0463691 -1 -1 -1 -1 32 2003 19 6.87369e+06 237555 586450. 2029.24 0.55 0.12467 0.110225 25474 144626 -1 1620 20 799 1319 90137 21367 2.64556 2.64556 -109.368 -2.64556 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0251465 0.0217963 103 85 0 0 84 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_010.v common 7.32 vpr 63.35 MiB 0.04 6928 -1 -1 1 0.03 -1 -1 30308 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64868 32 32 314 256 1 168 82 17 17 289 -1 unnamed_device 24.2 MiB 3.67 748 10406 2959 5800 1647 63.3 MiB 0.11 0.00 3.57485 -123.065 -3.57485 3.57485 0.33 0.000645469 0.000600314 0.0398091 0.0370373 -1 -1 -1 -1 36 1964 23 6.87369e+06 251529 648988. 2245.63 1.81 0.226571 0.19549 26050 158493 -1 1534 21 1385 2247 138435 36105 3.11526 3.11526 -121.379 -3.11526 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0257017 0.0222887 114 34 64 32 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_011.v common 4.95 vpr 63.20 MiB 0.04 6984 -1 -1 1 0.03 -1 -1 30120 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64720 30 32 325 273 1 160 81 17 17 289 -1 unnamed_device 24.1 MiB 2.62 841 14081 4773 7499 1809 63.2 MiB 0.14 0.00 3.54295 -117.69 -3.54295 3.54295 0.33 0.000645628 0.000600647 0.0540321 0.0502922 -1 -1 -1 -1 32 1866 20 6.87369e+06 265503 586450. 2029.24 0.55 0.128182 0.113546 25474 144626 -1 1510 21 1200 1797 104511 26797 2.84266 2.84266 -114.89 -2.84266 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.025834 0.0223925 109 63 30 30 60 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_012.v common 5.00 vpr 63.23 MiB 0.05 6948 -1 -1 1 0.03 -1 -1 30472 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64752 32 32 331 280 1 161 96 17 17 289 -1 unnamed_device 24.0 MiB 1.62 993 13236 3578 8478 1180 63.2 MiB 0.13 0.00 3.48455 -118.435 -3.48455 3.48455 0.33 0.000657594 0.000609717 0.0418718 0.0388044 -1 -1 -1 -1 32 2209 21 6.87369e+06 447163 586450. 2029.24 1.52 0.228799 0.197263 25474 144626 -1 1846 20 1139 1945 130898 31151 2.66236 2.66236 -110.707 -2.66236 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0247864 0.0214565 116 65 25 25 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_013.v common 6.98 vpr 63.43 MiB 0.05 7136 -1 -1 1 0.03 -1 -1 30300 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64948 32 32 386 305 1 195 99 17 17 289 -1 unnamed_device 24.3 MiB 4.17 977 19707 6512 10053 3142 63.4 MiB 0.19 0.00 3.59605 -124.924 -3.59605 3.59605 0.33 0.000752772 0.000698734 0.0673029 0.0623739 -1 -1 -1 -1 32 2684 25 6.87369e+06 489084 586450. 2029.24 0.89 0.184587 0.162448 25474 144626 -1 1988 22 1700 3033 190056 47138 3.04926 3.04926 -122.204 -3.04926 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0305515 0.0264851 148 58 64 32 57 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_014.v common 4.77 vpr 63.57 MiB 0.04 7136 -1 -1 1 0.03 -1 -1 30620 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65092 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 24.6 MiB 2.29 1058 12716 3264 8633 819 63.6 MiB 0.14 0.00 4.31515 -148.889 -4.31515 4.31515 0.33 0.000775213 0.000718685 0.0439802 0.0407688 -1 -1 -1 -1 32 2751 23 6.87369e+06 531006 586450. 2029.24 0.62 0.135531 0.119007 25474 144626 -1 2205 20 1743 2805 176774 44094 3.8404 3.8404 -149.841 -3.8404 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0292548 0.0254377 155 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_015.v common 5.01 vpr 63.45 MiB 0.04 6964 -1 -1 1 0.03 -1 -1 30636 -1 -1 19 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64968 29 32 272 228 1 148 80 17 17 289 -1 unnamed_device 23.8 MiB 2.11 766 10916 3110 7027 779 63.4 MiB 0.10 0.00 3.43775 -106.489 -3.43775 3.43775 0.33 0.000576045 0.000536395 0.0382874 0.0356587 -1 -1 -1 -1 30 1870 21 6.87369e+06 265503 556674. 1926.21 1.10 0.168886 0.146226 25186 138497 -1 1402 21 948 1689 98024 23925 2.62936 2.62936 -100.67 -2.62936 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.023053 0.0199574 102 29 58 29 24 24 + fixed_k6_frac_ripple_N8_22nm.xml mult_016.v common 6.94 vpr 63.45 MiB 0.04 7144 -1 -1 1 0.03 -1 -1 30520 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64972 32 32 401 315 1 200 86 17 17 289 -1 unnamed_device 24.3 MiB 2.82 1115 15773 4732 9095 1946 63.4 MiB 0.16 0.00 3.52575 -125.388 -3.52575 3.52575 0.33 0.000772531 0.000717559 0.0662559 0.0615514 -1 -1 -1 -1 32 2906 30 6.87369e+06 307425 586450. 2029.24 2.19 0.312394 0.27116 25474 144626 -1 2427 22 2029 3433 234126 57574 3.09026 3.09026 -131.793 -3.09026 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0317234 0.0275455 145 63 64 32 62 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_017.v common 6.95 vpr 63.33 MiB 0.05 7144 -1 -1 1 0.03 -1 -1 30240 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64852 32 32 383 303 1 193 103 17 17 289 -1 unnamed_device 24.3 MiB 4.17 1033 10225 2381 7241 603 63.3 MiB 0.09 0.00 3.55695 -125.38 -3.55695 3.55695 0.33 0.000527944 0.000479759 0.0252969 0.0231207 -1 -1 -1 -1 30 2330 25 6.87369e+06 544980 556674. 1926.21 0.98 0.116663 0.100789 25186 138497 -1 1916 23 1479 2321 129964 32109 2.88996 2.88996 -119.301 -2.88996 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0315576 0.0273331 148 57 64 32 56 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_018.v common 5.64 vpr 63.15 MiB 0.04 6840 -1 -1 1 0.03 -1 -1 30132 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64664 32 32 339 284 1 165 94 17 17 289 -1 unnamed_device 24.2 MiB 2.29 861 16495 5119 8899 2477 63.1 MiB 0.15 0.00 2.97721 -105.51 -2.97721 2.97721 0.33 0.000672635 0.00062511 0.0542118 0.0503248 -1 -1 -1 -1 32 2032 26 6.87369e+06 419215 586450. 2029.24 1.52 0.22393 0.194297 25474 144626 -1 1525 20 1283 2179 122131 32242 2.16112 2.16112 -94.0688 -2.16112 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0255937 0.0221844 118 65 29 29 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_019.v common 3.20 vpr 63.31 MiB 0.04 6716 -1 -1 1 0.03 -1 -1 30272 -1 -1 15 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64832 30 32 226 208 1 119 77 17 17 289 -1 unnamed_device 23.7 MiB 0.83 708 9042 3454 4658 930 63.3 MiB 0.07 0.00 2.76391 -93.2661 -2.76391 2.76391 0.33 0.00050239 0.000467889 0.0294658 0.0274435 -1 -1 -1 -1 32 1457 18 6.87369e+06 209608 586450. 2029.24 0.68 0.101206 0.0883047 25474 144626 -1 1255 15 579 856 60701 14448 1.84342 1.84342 -82.8045 -1.84342 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.0155573 0.0135349 73 34 24 24 30 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_020.v common 4.00 vpr 63.68 MiB 0.04 6924 -1 -1 1 0.03 -1 -1 30368 -1 -1 19 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65208 31 32 335 280 1 165 82 17 17 289 -1 unnamed_device 24.0 MiB 1.56 906 13610 3953 7473 2184 63.7 MiB 0.13 0.00 4.23969 -129.95 -4.23969 4.23969 0.34 0.000673921 0.00062764 0.053103 0.0494269 -1 -1 -1 -1 32 2070 22 6.87369e+06 265503 586450. 2029.24 0.57 0.131366 0.116249 25474 144626 -1 1697 15 912 1358 93698 21781 3.1389 3.1389 -120.145 -3.1389 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.02054 0.017889 113 64 31 31 62 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_021.v common 3.75 vpr 63.82 MiB 0.04 7052 -1 -1 1 0.03 -1 -1 30136 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65352 32 32 366 283 1 197 102 17 17 289 -1 unnamed_device 24.2 MiB 1.07 1119 17952 5305 10368 2279 63.8 MiB 0.16 0.00 4.22105 -144.562 -4.22105 4.22105 0.33 0.000731228 0.000679596 0.0578907 0.0537838 -1 -1 -1 -1 32 2576 22 6.87369e+06 531006 586450. 2029.24 0.81 0.165502 0.145799 25474 144626 -1 2079 21 1588 2325 140087 33143 3.5888 3.5888 -137.535 -3.5888 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0287367 0.024956 151 34 91 32 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_022.v common 6.10 vpr 63.68 MiB 0.05 7192 -1 -1 1 0.03 -1 -1 30620 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65204 32 32 460 375 1 199 104 17 17 289 -1 unnamed_device 24.6 MiB 2.80 1172 19624 5698 11079 2847 63.7 MiB 0.15 0.00 3.80272 -131.055 -3.80272 3.80272 0.35 0.000381998 0.000351029 0.0474611 0.0436295 -1 -1 -1 -1 28 3282 32 6.87369e+06 558954 531479. 1839.03 1.38 0.160013 0.139393 24610 126494 -1 2623 24 1856 3107 291154 78871 3.855 3.855 -141.694 -3.855 0 0 648988. 2245.63 0.03 0.11 0.10 -1 -1 0.03 0.0364804 0.0313998 154 124 0 0 125 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_023.v common 4.68 vpr 63.19 MiB 0.04 6700 -1 -1 1 0.02 -1 -1 30708 -1 -1 18 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64708 26 32 198 186 1 109 76 17 17 289 -1 unnamed_device 23.7 MiB 1.66 596 9676 3280 4936 1460 63.2 MiB 0.07 0.00 2.74191 -77.6931 -2.74191 2.74191 0.33 0.000442931 0.000412026 0.0281821 0.0262149 -1 -1 -1 -1 32 1249 22 6.87369e+06 251529 586450. 2029.24 1.29 0.154764 0.133132 25474 144626 -1 1132 20 607 999 75312 18294 2.03582 2.03582 -76.1177 -2.03582 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.0171715 0.0148634 70 30 26 26 22 22 + fixed_k6_frac_ripple_N8_22nm.xml mult_024.v common 4.99 vpr 63.30 MiB 0.04 6932 -1 -1 1 0.03 -1 -1 30112 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64820 32 32 333 251 1 196 87 17 17 289 -1 unnamed_device 24.3 MiB 1.41 1189 15831 5026 8598 2207 63.3 MiB 0.16 0.00 4.1666 -144.095 -4.1666 4.1666 0.33 0.000685072 0.000637317 0.0587906 0.0546903 -1 -1 -1 -1 32 2811 25 6.87369e+06 321398 586450. 2029.24 1.67 0.263581 0.229024 25474 144626 -1 2285 22 1540 2599 177810 42595 3.8734 3.8734 -148.875 -3.8734 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.028838 0.0250352 143 3 122 32 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_025.v common 3.53 vpr 63.11 MiB 0.04 6796 -1 -1 1 0.03 -1 -1 30424 -1 -1 13 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64628 32 32 199 182 1 122 77 17 17 289 -1 unnamed_device 23.7 MiB 0.60 804 11324 4283 5922 1119 63.1 MiB 0.09 0.00 2.37678 -91.0069 -2.37678 2.37678 0.33 0.000467352 0.000434509 0.0338642 0.0314978 -1 -1 -1 -1 30 1526 21 6.87369e+06 181660 556674. 1926.21 1.26 0.142626 0.124141 25186 138497 -1 1276 15 532 689 48402 11520 1.93872 1.93872 -87.9909 -1.93872 0 0 706193. 2443.58 0.03 0.04 0.11 -1 -1 0.03 0.0146748 0.012876 72 3 53 32 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_026.v common 5.40 vpr 63.95 MiB 0.05 7080 -1 -1 1 0.03 -1 -1 30516 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65480 32 32 376 288 1 202 101 17 17 289 -1 unnamed_device 24.2 MiB 0.88 969 13261 3427 8940 894 63.9 MiB 0.14 0.00 4.32457 -145.823 -4.32457 4.32457 0.33 0.000742868 0.000691175 0.0440029 0.040873 -1 -1 -1 -1 28 3100 47 6.87369e+06 517032 531479. 1839.03 2.61 0.269503 0.233255 24610 126494 -1 2411 23 2057 3269 242126 62287 4.5109 4.5109 -157.957 -4.5109 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0315161 0.0273367 155 34 96 32 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_027.v common 4.00 vpr 63.46 MiB 0.04 7080 -1 -1 1 0.03 -1 -1 30120 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64980 32 32 337 253 1 198 101 17 17 289 -1 unnamed_device 24.4 MiB 0.92 1115 9736 2184 6547 1005 63.5 MiB 0.11 0.00 3.53495 -124.767 -3.53495 3.53495 0.33 0.000708282 0.000654294 0.0311928 0.0289347 -1 -1 -1 -1 30 2469 22 6.87369e+06 517032 556674. 1926.21 1.28 0.189028 0.163454 25186 138497 -1 2002 21 1395 2409 133701 32486 2.89016 2.89016 -117.09 -2.89016 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0278384 0.0241707 151 3 124 32 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_028.v common 6.37 vpr 64.21 MiB 0.05 7124 -1 -1 1 0.03 -1 -1 30532 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65752 32 32 407 319 1 202 104 17 17 289 -1 unnamed_device 24.7 MiB 1.13 1055 13036 3588 8403 1045 64.2 MiB 0.14 0.00 4.2809 -144.104 -4.2809 4.2809 0.33 0.00078174 0.000726997 0.0437557 0.0405274 -1 -1 -1 -1 28 3474 41 6.87369e+06 558954 531479. 1839.03 3.28 0.255436 0.22109 24610 126494 -1 2528 22 2162 3811 289506 69194 4.03971 4.03971 -155.286 -4.03971 0 0 648988. 2245.63 0.03 0.10 0.10 -1 -1 0.03 0.0313674 0.0271723 156 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_029.v common 4.15 vpr 63.41 MiB 0.04 6824 -1 -1 1 0.03 -1 -1 30108 -1 -1 16 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64928 32 32 294 246 1 157 80 17 17 289 -1 unnamed_device 24.0 MiB 1.15 754 11948 3802 6412 1734 63.4 MiB 0.11 0.00 3.07332 -107.198 -3.07332 3.07332 0.33 0.000611879 0.000569338 0.0445328 0.0414247 -1 -1 -1 -1 30 1864 23 6.87369e+06 223581 556674. 1926.21 1.25 0.186041 0.161539 25186 138497 -1 1405 19 817 1358 76499 19622 2.60936 2.60936 -106.109 -2.60936 0 0 706193. 2443.58 0.03 0.05 0.11 -1 -1 0.03 0.022867 0.0198891 104 34 54 32 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_030.v common 3.52 vpr 63.02 MiB 0.04 6976 -1 -1 1 0.03 -1 -1 30088 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64532 30 32 296 244 1 160 81 17 17 289 -1 unnamed_device 24.0 MiB 1.13 897 12156 3930 6335 1891 63.0 MiB 0.12 0.00 3.54295 -120.85 -3.54295 3.54295 0.33 0.000612449 0.000569801 0.0444946 0.0413873 -1 -1 -1 -1 32 1986 25 6.87369e+06 265503 586450. 2029.24 0.56 0.119501 0.105273 25474 144626 -1 1615 22 1274 1935 140595 32787 2.83966 2.83966 -116.899 -2.83966 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0256004 0.0221305 109 34 60 30 30 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_031.v common 4.51 vpr 63.31 MiB 0.05 6888 -1 -1 1 0.03 -1 -1 30252 -1 -1 20 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64828 28 32 278 232 1 150 80 17 17 289 -1 unnamed_device 23.6 MiB 1.22 721 12808 3405 8634 769 63.3 MiB 0.12 0.00 3.48175 -105.896 -3.48175 3.48175 0.33 0.00058427 0.00054379 0.0457607 0.0426031 -1 -1 -1 -1 32 1911 27 6.87369e+06 279477 586450. 2029.24 1.47 0.196705 0.170264 25474 144626 -1 1576 20 1146 1943 113904 29907 3.02426 3.02426 -108.885 -3.02426 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0226945 0.0194929 104 34 56 28 28 28 + fixed_k6_frac_ripple_N8_22nm.xml mult_032.v common 4.26 vpr 63.03 MiB 0.04 6784 -1 -1 1 0.03 -1 -1 30372 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64544 32 32 283 225 1 168 83 17 17 289 -1 unnamed_device 23.9 MiB 1.55 989 9983 2839 5973 1171 63.0 MiB 0.10 0.00 3.52575 -126.669 -3.52575 3.52575 0.33 0.00061684 0.00057454 0.0357093 0.0332609 -1 -1 -1 -1 32 2281 37 6.87369e+06 265503 586450. 2029.24 0.85 0.141467 0.123329 25474 144626 -1 1904 21 1562 2639 186474 42654 2.76766 2.76766 -121.308 -2.76766 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0248173 0.0215091 115 3 96 32 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_033.v common 3.22 vpr 63.66 MiB 0.05 6980 -1 -1 1 0.03 -1 -1 30248 -1 -1 32 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65188 31 32 303 249 1 163 95 17 17 289 -1 unnamed_device 24.0 MiB 0.78 845 15215 4592 7994 2629 63.7 MiB 0.14 0.00 3.50375 -117.395 -3.50375 3.50375 0.33 0.000626809 0.000582838 0.0461669 0.0429028 -1 -1 -1 -1 32 2080 22 6.87369e+06 447163 586450. 2029.24 0.58 0.119837 0.105722 25474 144626 -1 1622 23 1301 2198 133984 33915 2.85066 2.85066 -110.644 -2.85066 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0269005 0.0233078 119 34 61 31 31 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_034.v common 5.52 vpr 63.15 MiB 0.03 6928 -1 -1 1 0.03 -1 -1 30152 -1 -1 33 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64664 29 32 312 264 1 154 94 17 17 289 -1 unnamed_device 23.9 MiB 2.36 922 12022 3402 7419 1201 63.1 MiB 0.11 0.00 2.87654 -95.4564 -2.87654 2.87654 0.33 0.000623822 0.000578701 0.0370939 0.034354 -1 -1 -1 -1 32 1829 20 6.87369e+06 461137 586450. 2029.24 1.44 0.215994 0.185864 25474 144626 -1 1603 17 984 1705 94014 23813 2.02952 2.02952 -88.5363 -2.02952 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0211425 0.0183988 113 61 29 29 57 29 + fixed_k6_frac_ripple_N8_22nm.xml mult_035.v common 7.64 vpr 63.77 MiB 0.05 7220 -1 -1 1 0.03 -1 -1 30468 -1 -1 44 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65296 32 32 423 310 1 231 108 17 17 289 -1 unnamed_device 24.6 MiB 3.57 1381 15014 3841 9925 1248 63.8 MiB 0.18 0.00 4.3249 -150.33 -4.3249 4.3249 0.33 0.000825805 0.000767983 0.0507851 0.0471516 -1 -1 -1 -1 30 3511 27 6.87369e+06 614849 556674. 1926.21 2.08 0.281162 0.243186 25186 138497 -1 2575 20 1818 3209 163285 41563 3.7891 3.7891 -147.969 -3.7891 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0314331 0.027317 184 29 128 32 27 27 + fixed_k6_frac_ripple_N8_22nm.xml mult_036.v common 6.09 vpr 64.02 MiB 0.05 7152 -1 -1 1 0.03 -1 -1 30548 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65556 32 32 403 317 1 200 103 17 17 289 -1 unnamed_device 24.5 MiB 2.69 1161 9984 2299 6887 798 64.0 MiB 0.12 0.00 3.66173 -128.462 -3.66173 3.66173 0.33 0.000770426 0.000715526 0.0351384 0.0325169 -1 -1 -1 -1 28 2693 27 6.87369e+06 544980 531479. 1839.03 1.55 0.243432 0.209689 24610 126494 -1 2360 19 1925 2873 182260 45046 2.99616 2.99616 -129.298 -2.99616 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0280704 0.024391 154 65 62 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_037.v common 6.30 vpr 63.27 MiB 0.05 7076 -1 -1 1 0.03 -1 -1 30508 -1 -1 31 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64784 31 32 353 302 1 160 94 17 17 289 -1 unnamed_device 24.0 MiB 3.14 911 12661 3506 8208 947 63.3 MiB 0.12 0.00 3.47075 -118.785 -3.47075 3.47075 0.33 0.000679038 0.000629437 0.0422431 0.0391776 -1 -1 -1 -1 28 2101 21 6.87369e+06 433189 531479. 1839.03 1.35 0.218656 0.18868 24610 126494 -1 1923 21 1291 2156 139833 35089 3.06856 3.06856 -119.491 -3.06856 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0268995 0.0232219 116 90 0 0 89 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_038.v common 5.13 vpr 63.39 MiB 0.05 7148 -1 -1 1 0.03 -1 -1 30360 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64908 31 32 391 309 1 195 86 17 17 289 -1 unnamed_device 24.2 MiB 2.27 1158 14639 5381 7464 1794 63.4 MiB 0.17 0.00 3.53495 -122.885 -3.53495 3.53495 0.33 0.000742938 0.00068998 0.0600531 0.0557636 -1 -1 -1 -1 32 2673 35 6.87369e+06 321398 586450. 2029.24 0.89 0.196215 0.171741 25474 144626 -1 2240 20 1617 2629 179174 42111 3.13256 3.13256 -125.645 -3.13256 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0288827 0.02511 141 64 60 30 62 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_039.v common 7.79 vpr 63.59 MiB 0.05 7320 -1 -1 1 0.03 -1 -1 30488 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65112 31 32 455 371 1 198 86 17 17 289 -1 unnamed_device 24.5 MiB 4.95 1142 15773 5258 8448 2067 63.6 MiB 0.18 0.00 4.89027 -151.644 -4.89027 4.89027 0.33 0.000842905 0.000783698 0.0723096 0.0672411 -1 -1 -1 -1 32 2743 25 6.87369e+06 321398 586450. 2029.24 0.85 0.198848 0.174671 25474 144626 -1 2290 21 1515 2578 168142 40772 3.93805 3.93805 -146.284 -3.93805 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0339905 0.0293838 145 124 0 0 124 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_040.v common 5.06 vpr 63.49 MiB 0.05 7368 -1 -1 1 0.03 -1 -1 30396 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65012 31 32 413 333 1 195 86 17 17 289 -1 unnamed_device 24.3 MiB 2.30 1128 14828 4607 8159 2062 63.5 MiB 0.16 0.00 4.75154 -140.784 -4.75154 4.75154 0.33 0.000769914 0.00071479 0.0628438 0.0583902 -1 -1 -1 -1 32 2551 25 6.87369e+06 321398 586450. 2029.24 0.82 0.18006 0.158284 25474 144626 -1 2220 21 1303 2088 161115 37012 3.94425 3.94425 -140.414 -3.94425 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0303522 0.0263299 142 90 31 31 89 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_041.v common 5.03 vpr 63.96 MiB 0.05 7096 -1 -1 1 0.03 -1 -1 30328 -1 -1 36 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65496 31 32 391 309 1 195 99 17 17 289 -1 unnamed_device 24.3 MiB 2.30 1085 13323 3719 8474 1130 64.0 MiB 0.15 0.00 3.56305 -121.781 -3.56305 3.56305 0.33 0.000750292 0.000695055 0.0469947 0.043466 -1 -1 -1 -1 32 2458 26 6.87369e+06 503058 586450. 2029.24 0.83 0.164638 0.143846 25474 144626 -1 1962 21 1734 3018 163687 41150 2.98326 2.98326 -115.348 -2.98326 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0302449 0.0263189 149 64 60 31 62 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_042.v common 8.17 vpr 64.33 MiB 0.05 7208 -1 -1 1 0.03 -1 -1 30508 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65876 32 32 407 319 1 202 103 17 17 289 -1 unnamed_device 24.8 MiB 1.74 1175 14322 3573 9808 941 64.3 MiB 0.15 0.00 4.3428 -150.925 -4.3428 4.3428 0.33 0.0007668 0.000712902 0.0478086 0.0443512 -1 -1 -1 -1 26 3596 47 6.87369e+06 544980 503264. 1741.40 4.48 0.334679 0.288774 24322 120374 -1 2764 34 2811 4835 447833 101045 4.5329 4.5329 -163.977 -4.5329 0 0 618332. 2139.56 0.03 0.14 0.10 -1 -1 0.03 0.0446884 0.0385203 156 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_043.v common 5.61 vpr 64.00 MiB 0.05 7292 -1 -1 1 0.03 -1 -1 30764 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65540 32 32 496 380 1 234 106 17 17 289 -1 unnamed_device 25.0 MiB 2.89 1315 14106 3332 9481 1293 64.0 MiB 0.18 0.00 4.3641 -148.76 -4.3641 4.3641 0.33 0.000913768 0.000848271 0.0544141 0.0505209 -1 -1 -1 -1 32 3325 28 6.87369e+06 586901 586450. 2029.24 0.69 0.170009 0.149215 25474 144626 -1 2623 22 2115 3321 198523 50434 4.0397 4.0397 -157.94 -4.0397 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.037404 0.0324118 186 96 62 32 96 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_044.v common 4.39 vpr 62.99 MiB 0.04 6960 -1 -1 1 0.03 -1 -1 30552 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64500 31 32 305 250 1 164 81 17 17 289 -1 unnamed_device 23.9 MiB 2.07 945 8306 2134 5368 804 63.0 MiB 0.09 0.00 3.51475 -122.042 -3.51475 3.51475 0.33 0.0006264 0.000583386 0.0316576 0.0294713 -1 -1 -1 -1 32 2051 21 6.87369e+06 251529 586450. 2029.24 0.57 0.104635 0.0918106 25474 144626 -1 1786 20 1319 2146 137058 32821 2.95396 2.95396 -122.035 -2.95396 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0242233 0.0209804 112 34 62 31 31 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_045.v common 6.08 vpr 63.50 MiB 0.05 7044 -1 -1 1 0.03 -1 -1 30364 -1 -1 37 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65020 31 32 395 311 1 198 100 17 17 289 -1 unnamed_device 24.3 MiB 2.52 1141 14252 4144 9071 1037 63.5 MiB 0.09 0.00 4.25237 -142.758 -4.25237 4.25237 0.25 0.000341151 0.000314555 0.0227021 0.0207956 -1 -1 -1 -1 24 3254 41 6.87369e+06 517032 470940. 1629.55 1.86 0.143876 0.124419 24034 113901 -1 2755 26 2306 4068 333658 75153 4.34226 4.34226 -156.783 -4.34226 0 0 586450. 2029.24 0.03 0.11 0.09 -1 -1 0.03 0.0357319 0.0308863 152 64 62 31 62 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_046.v common 4.43 vpr 63.36 MiB 0.05 7244 -1 -1 1 0.03 -1 -1 30700 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64876 32 32 397 313 1 198 99 17 17 289 -1 unnamed_device 24.2 MiB 1.72 1312 16515 4792 9681 2042 63.4 MiB 0.18 0.00 3.52701 -124.222 -3.52701 3.52701 0.33 0.000756812 0.000701615 0.0574427 0.053151 -1 -1 -1 -1 30 2985 27 6.87369e+06 489084 556674. 1926.21 0.80 0.151833 0.133736 25186 138497 -1 2612 18 1625 2936 191570 44956 2.88196 2.88196 -120.53 -2.88196 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0264691 0.023027 150 63 62 32 62 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_047.v common 4.30 vpr 63.34 MiB 0.05 7036 -1 -1 1 0.03 -1 -1 30464 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64864 32 32 345 257 1 202 87 17 17 289 -1 unnamed_device 24.3 MiB 1.76 1031 15447 4347 9193 1907 63.3 MiB 0.17 0.00 4.1996 -144.506 -4.1996 4.1996 0.33 0.000706404 0.000657267 0.0588578 0.0547481 -1 -1 -1 -1 32 2895 23 6.87369e+06 321398 586450. 2029.24 0.66 0.143471 0.127238 25474 144626 -1 2288 23 2010 3668 237373 58414 3.8954 3.8954 -150.851 -3.8954 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0303079 0.0263189 147 3 128 32 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_048.v common 6.83 vpr 63.51 MiB 0.05 7088 -1 -1 1 0.03 -1 -1 30368 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65036 32 32 424 343 1 195 104 17 17 289 -1 unnamed_device 24.5 MiB 3.47 1164 18648 5381 11612 1655 63.5 MiB 0.18 0.00 3.52575 -126.751 -3.52575 3.52575 0.33 0.000780876 0.000725701 0.0634594 0.0587907 -1 -1 -1 -1 26 2648 39 6.87369e+06 558954 503264. 1741.40 1.44 0.252115 0.219239 24322 120374 -1 2239 22 1531 2600 178956 42448 3.24386 3.24386 -130.882 -3.24386 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0319613 0.027676 150 96 25 25 96 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_049.v common 6.86 vpr 63.62 MiB 0.05 7152 -1 -1 1 0.03 -1 -1 30368 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65148 32 32 395 311 1 198 103 17 17 289 -1 unnamed_device 24.5 MiB 3.34 1149 18178 5141 10104 2933 63.6 MiB 0.18 0.00 3.65105 -129.099 -3.65105 3.65105 0.33 0.000765584 0.000711031 0.0596975 0.0554178 -1 -1 -1 -1 28 2782 22 6.87369e+06 544980 531479. 1839.03 1.60 0.255503 0.22208 24610 126494 -1 2328 21 1707 3104 208619 51126 3.38476 3.38476 -123.951 -3.38476 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0304756 0.0264434 152 61 64 32 60 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_050.v common 6.72 vpr 64.06 MiB 0.05 7156 -1 -1 1 0.03 -1 -1 30428 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65596 32 32 405 318 1 201 104 17 17 289 -1 unnamed_device 24.5 MiB 2.76 1197 19868 5863 11669 2336 64.1 MiB 0.20 0.00 3.61805 -127.892 -3.61805 3.61805 0.33 0.000769266 0.000714418 0.0653848 0.0605519 -1 -1 -1 -1 28 3033 24 6.87369e+06 558954 531479. 1839.03 1.97 0.277699 0.241262 24610 126494 -1 2594 22 2007 3268 239230 57218 3.27506 3.27506 -132.18 -3.27506 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0318897 0.027649 156 65 63 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_051.v common 2.89 vpr 64.03 MiB 0.02 7140 -1 -1 1 0.04 -1 -1 30520 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65564 32 32 376 288 1 202 104 17 17 289 -1 unnamed_device 24.3 MiB 0.93 1150 19136 5645 11051 2440 64.0 MiB 0.10 0.00 4.23385 -148.233 -4.23385 4.23385 0.25 0.000325426 0.00029842 0.0272704 0.0250031 -1 -1 -1 -1 32 2791 24 6.87369e+06 558954 586450. 2029.24 0.41 0.0701023 0.0617397 25474 144626 -1 2250 23 1968 3230 221453 52196 3.7891 3.7891 -147.336 -3.7891 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0315215 0.0273298 156 34 96 32 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_052.v common 6.51 vpr 64.16 MiB 0.05 7244 -1 -1 1 0.03 -1 -1 30784 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65700 32 32 407 319 1 202 105 17 17 289 -1 unnamed_device 24.6 MiB 2.62 1145 20606 5563 13214 1829 64.2 MiB 0.20 0.00 4.1996 -142.893 -4.1996 4.1996 0.33 0.000773114 0.000717867 0.0667821 0.0618703 -1 -1 -1 -1 34 2517 29 6.87369e+06 572927 618332. 2139.56 1.95 0.30449 0.263883 25762 151098 -1 2214 22 1811 2978 183813 46622 3.9067 3.9067 -149.325 -3.9067 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0313269 0.0271562 157 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_053.v common 7.82 vpr 63.69 MiB 0.05 7392 -1 -1 1 0.03 -1 -1 30528 -1 -1 37 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65220 31 32 449 367 1 195 100 17 17 289 -1 unnamed_device 24.7 MiB 3.63 1067 17268 4540 10656 2072 63.7 MiB 0.18 0.00 4.21489 -136.932 -4.21489 4.21489 0.33 0.000816805 0.00075948 0.0633587 0.0588092 -1 -1 -1 -1 28 2944 45 6.87369e+06 517032 531479. 1839.03 2.23 0.282827 0.244694 24610 126494 -1 2339 23 1738 3165 206482 53460 3.9517 3.9517 -143.502 -3.9517 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0344513 0.0296899 150 122 0 0 122 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_054.v common 5.85 vpr 63.50 MiB 0.05 7316 -1 -1 1 0.03 -1 -1 30500 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65028 32 32 432 346 1 200 86 17 17 289 -1 unnamed_device 24.5 MiB 3.00 977 15206 4627 8348 2231 63.5 MiB 0.18 0.00 4.13359 -139.261 -4.13359 4.13359 0.33 0.000801664 0.000744812 0.0674847 0.0627362 -1 -1 -1 -1 32 2526 24 6.87369e+06 307425 586450. 2029.24 0.86 0.19636 0.172662 25474 144626 -1 1990 23 1804 3312 200299 52957 3.8577 3.8577 -135.551 -3.8577 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0340735 0.0294956 145 94 32 32 94 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_055.v common 3.60 vpr 63.97 MiB 0.04 6920 -1 -1 1 0.03 -1 -1 30536 -1 -1 32 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65508 32 32 312 255 1 167 96 17 17 289 -1 unnamed_device 24.3 MiB 1.10 1016 18711 5995 10716 2000 64.0 MiB 0.16 0.00 3.51475 -124.294 -3.51475 3.51475 0.33 0.00064829 0.00060234 0.0570841 0.0530298 -1 -1 -1 -1 32 2259 23 6.87369e+06 447163 586450. 2029.24 0.59 0.133174 0.118065 25474 144626 -1 1921 22 1272 2038 123442 30900 2.93496 2.93496 -120.324 -2.93496 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0260661 0.0225776 121 34 63 32 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_056.v common 6.03 vpr 63.30 MiB 0.04 7116 -1 -1 1 0.03 -1 -1 30376 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64820 32 32 370 314 1 166 82 17 17 289 -1 unnamed_device 24.3 MiB 2.68 980 13788 4117 8145 1526 63.3 MiB 0.15 0.00 3.56085 -129.056 -3.56085 3.56085 0.33 0.000703297 0.000652593 0.0566934 0.0526315 -1 -1 -1 -1 30 2359 21 6.87369e+06 251529 556674. 1926.21 1.44 0.219007 0.190404 25186 138497 -1 1930 22 1354 2129 140760 32404 2.88186 2.88186 -125.993 -2.88186 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0291445 0.0252505 113 94 0 0 94 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_057.v common 5.19 vpr 64.33 MiB 0.05 7288 -1 -1 1 0.03 -1 -1 30756 -1 -1 45 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65872 32 32 469 351 1 236 109 17 17 289 -1 unnamed_device 24.7 MiB 2.02 1293 21169 6274 12082 2813 64.3 MiB 0.23 0.00 4.97494 -166.843 -4.97494 4.97494 0.33 0.000882017 0.000820159 0.0759104 0.070462 -1 -1 -1 -1 30 3582 41 6.87369e+06 628823 556674. 1926.21 1.11 0.204819 0.180426 25186 138497 -1 2562 21 2320 4021 231110 55879 4.45015 4.45015 -165.961 -4.45015 0 0 706193. 2443.58 0.03 0.09 0.11 -1 -1 0.03 0.0349673 0.0302986 189 65 96 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_058.v common 6.00 vpr 63.45 MiB 0.04 7028 -1 -1 1 0.03 -1 -1 30484 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64968 32 32 368 284 1 198 99 17 17 289 -1 unnamed_device 24.3 MiB 2.58 1148 10359 2435 7032 892 63.4 MiB 0.12 0.00 3.57485 -127.562 -3.57485 3.57485 0.33 0.000715182 0.000666935 0.0354636 0.0329477 -1 -1 -1 -1 32 2542 20 6.87369e+06 489084 586450. 2029.24 1.53 0.209861 0.181299 25474 144626 -1 2038 20 1385 2121 129429 31025 3.05446 3.05446 -125.324 -3.05446 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0279555 0.0243171 150 34 92 32 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_059.v common 3.96 vpr 63.70 MiB 0.05 6880 -1 -1 1 0.03 -1 -1 30436 -1 -1 31 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65228 30 32 296 244 1 160 93 17 17 289 -1 unnamed_device 24.1 MiB 0.64 720 11853 2709 7510 1634 63.7 MiB 0.10 0.00 3.58505 -114.7 -3.58505 3.58505 0.33 0.000617614 0.000575341 0.0369468 0.0342607 -1 -1 -1 -1 30 2038 29 6.87369e+06 433189 556674. 1926.21 1.56 0.202629 0.174747 25186 138497 -1 1489 21 1124 1783 90984 26180 2.85866 2.85866 -114.937 -2.85866 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0245392 0.0212702 116 34 60 30 30 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_060.v common 9.15 vpr 64.46 MiB 0.04 7340 -1 -1 1 0.03 -1 -1 30928 -1 -1 47 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66012 32 32 531 413 1 236 111 17 17 289 -1 unnamed_device 24.8 MiB 5.26 1366 22455 6404 13102 2949 64.5 MiB 0.26 0.00 4.9499 -168.803 -4.9499 4.9499 0.33 0.000954056 0.000882917 0.0845981 0.078235 -1 -1 -1 -1 34 3215 23 6.87369e+06 656770 618332. 2139.56 1.86 0.319832 0.277476 25762 151098 -1 2604 20 2032 3456 237878 58558 4.58025 4.58025 -170.602 -4.58025 0 0 787024. 2723.27 0.03 0.10 0.12 -1 -1 0.03 0.035929 0.0311514 190 127 32 32 128 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_061.v common 5.39 vpr 63.95 MiB 0.04 7060 -1 -1 1 0.03 -1 -1 30460 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65480 32 32 376 288 1 202 104 17 17 289 -1 unnamed_device 24.2 MiB 2.88 1096 19624 5769 11241 2614 63.9 MiB 0.18 0.00 4.2308 -146.72 -4.2308 4.2308 0.33 0.000746072 0.000692515 0.0625144 0.0579168 -1 -1 -1 -1 32 2571 23 6.87369e+06 558954 586450. 2029.24 0.60 0.151855 0.134453 25474 144626 -1 2045 21 1677 2570 158948 39079 3.8456 3.8456 -143.771 -3.8456 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0292746 0.0254061 156 34 96 32 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_062.v common 4.22 vpr 63.06 MiB 0.04 6872 -1 -1 1 0.03 -1 -1 30288 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64576 32 32 283 225 1 168 98 17 17 289 -1 unnamed_device 23.9 MiB 0.88 899 18098 6040 9605 2453 63.1 MiB 0.15 0.00 3.61805 -127.303 -3.61805 3.61805 0.33 0.000613324 0.000570955 0.0511833 0.0475788 -1 -1 -1 -1 32 2263 22 6.87369e+06 475111 586450. 2029.24 1.52 0.202656 0.176277 25474 144626 -1 1761 21 1383 2192 151668 36597 2.95696 2.95696 -121.662 -2.95696 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0244908 0.0212215 123 3 96 32 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_063.v common 6.60 vpr 64.32 MiB 0.05 7212 -1 -1 1 0.03 -1 -1 30864 -1 -1 45 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65868 32 32 438 320 1 236 109 17 17 289 -1 unnamed_device 24.6 MiB 2.54 1291 20389 5708 11832 2849 64.3 MiB 0.22 0.00 4.94208 -168.29 -4.94208 4.94208 0.33 0.000850867 0.000791664 0.0695921 0.0646805 -1 -1 -1 -1 32 3292 27 6.87369e+06 628823 586450. 2029.24 2.04 0.327614 0.283956 25474 144626 -1 2625 22 2526 4446 310721 73337 4.56925 4.56925 -171.748 -4.56925 0 0 744469. 2576.02 0.03 0.11 0.12 -1 -1 0.03 0.0352502 0.0305223 189 34 128 32 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_064.v common 4.15 vpr 63.11 MiB 0.04 6780 -1 -1 1 0.03 -1 -1 30408 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64624 32 32 283 225 1 168 82 17 17 289 -1 unnamed_device 24.0 MiB 1.56 947 13610 4549 7009 2052 63.1 MiB 0.13 0.00 3.54365 -126.361 -3.54365 3.54365 0.33 0.000611131 0.000568901 0.0486451 0.0452949 -1 -1 -1 -1 32 2213 19 6.87369e+06 251529 586450. 2029.24 0.78 0.13438 0.118327 25474 144626 -1 1884 21 1485 2490 174745 40690 2.95696 2.95696 -125.229 -2.95696 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0245192 0.0212342 114 3 96 32 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_065.v common 5.23 vpr 63.18 MiB 0.05 6880 -1 -1 1 0.03 -1 -1 30368 -1 -1 33 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64696 30 32 296 244 1 162 95 17 17 289 -1 unnamed_device 24.1 MiB 1.94 847 12839 3221 8494 1124 63.2 MiB 0.12 0.00 3.53801 -116.955 -3.53801 3.53801 0.33 0.000621705 0.00057914 0.0385308 0.0358153 -1 -1 -1 -1 26 2367 31 6.87369e+06 461137 503264. 1741.40 1.48 0.188074 0.162548 24322 120374 -1 1900 21 1392 2297 159121 39320 3.08556 3.08556 -121.668 -3.08556 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0246692 0.0213 118 34 60 30 30 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_066.v common 6.21 vpr 63.55 MiB 0.05 7276 -1 -1 1 0.03 -1 -1 30404 -1 -1 35 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65080 29 32 393 319 1 184 96 17 17 289 -1 unnamed_device 24.4 MiB 2.83 979 10827 2630 7177 1020 63.6 MiB 0.12 0.00 3.61805 -112.96 -3.61805 3.61805 0.33 0.000739424 0.000687465 0.038744 0.0359292 -1 -1 -1 -1 30 2274 23 6.87369e+06 489084 556674. 1926.21 1.55 0.229251 0.197547 25186 138497 -1 1721 19 1105 1908 90980 24484 2.75066 2.75066 -107.331 -2.75066 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0268335 0.0233232 141 88 29 29 85 29 + fixed_k6_frac_ripple_N8_22nm.xml mult_067.v common 5.75 vpr 63.53 MiB 0.05 7208 -1 -1 1 0.03 -1 -1 30672 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65052 32 32 407 319 1 202 87 17 17 289 -1 unnamed_device 24.3 MiB 2.33 930 7959 1713 5891 355 63.5 MiB 0.11 0.00 4.2388 -145.479 -4.2388 4.2388 0.33 0.000774665 0.000717646 0.0344985 0.0319606 -1 -1 -1 -1 30 2629 23 6.87369e+06 321398 556674. 1926.21 1.57 0.209352 0.180755 25186 138497 -1 1871 18 1718 2679 166549 40728 3.648 3.648 -141.73 -3.648 0 0 706193. 2443.58 0.03 0.09 0.11 -1 -1 0.03 0.0328073 0.0285125 147 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_068.v common 6.64 vpr 64.01 MiB 0.05 7196 -1 -1 1 0.03 -1 -1 30632 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65548 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 24.2 MiB 3.99 1011 13192 3264 8603 1325 64.0 MiB 0.14 0.00 4.2699 -143.724 -4.2699 4.2699 0.33 0.00077125 0.000716466 0.0452503 0.0419891 -1 -1 -1 -1 30 2526 23 6.87369e+06 531006 556674. 1926.21 0.76 0.136836 0.120435 25186 138497 -1 2010 23 1859 3223 181999 45116 3.6168 3.6168 -139.905 -3.6168 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0327175 0.0283991 155 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_069.v common 6.15 vpr 63.31 MiB 0.05 6876 -1 -1 1 0.03 -1 -1 30508 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64832 32 32 345 287 1 168 98 17 17 289 -1 unnamed_device 24.3 MiB 2.81 851 13373 3762 8362 1249 63.3 MiB 0.13 0.00 3.52575 -122.419 -3.52575 3.52575 0.33 0.000677114 0.00062979 0.0424472 0.0393624 -1 -1 -1 -1 28 2227 24 6.87369e+06 475111 531479. 1839.03 1.49 0.226038 0.195381 24610 126494 -1 1950 20 1530 2375 156947 39894 3.06826 3.06826 -126.732 -3.06826 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0256709 0.0222476 123 65 32 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_070.v common 6.33 vpr 63.30 MiB 0.04 7152 -1 -1 1 0.03 -1 -1 30404 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64820 31 32 353 302 1 160 81 17 17 289 -1 unnamed_device 24.0 MiB 3.69 827 10756 2825 7453 478 63.3 MiB 0.12 0.00 3.47075 -117.032 -3.47075 3.47075 0.33 0.000679326 0.000631773 0.0437401 0.0406649 -1 -1 -1 -1 32 2165 24 6.87369e+06 251529 586450. 2029.24 0.79 0.148224 0.129447 25474 144626 -1 1750 20 1158 2048 129806 32062 3.06026 3.06026 -122.031 -3.06026 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0255626 0.0221395 109 90 0 0 89 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_071.v common 5.99 vpr 63.61 MiB 0.05 7140 -1 -1 1 0.03 -1 -1 30484 -1 -1 35 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65132 30 32 374 297 1 189 97 17 17 289 -1 unnamed_device 24.6 MiB 2.51 1035 12529 3126 8556 847 63.6 MiB 0.13 0.00 3.62905 -119.689 -3.62905 3.62905 0.33 0.000721992 0.00066963 0.0429819 0.0398325 -1 -1 -1 -1 26 2897 29 6.87369e+06 489084 503264. 1741.40 1.60 0.206091 0.178504 24322 120374 -1 2445 19 1503 2481 179127 44386 3.19986 3.19986 -127.798 -3.19986 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.02689 0.0233994 144 60 60 30 57 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_072.v common 4.10 vpr 63.40 MiB 0.05 7120 -1 -1 1 0.03 -1 -1 30368 -1 -1 35 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64920 28 32 332 260 1 180 95 17 17 289 -1 unnamed_device 24.4 MiB 1.43 952 17807 6266 8549 2992 63.4 MiB 0.17 0.00 4.2699 -127.29 -4.2699 4.2699 0.33 0.000662328 0.000615428 0.0567935 0.0527895 -1 -1 -1 -1 28 2387 24 6.87369e+06 489084 531479. 1839.03 0.78 0.138312 0.122399 24610 126494 -1 2002 19 1529 2511 177079 42805 3.6088 3.6088 -128.236 -3.6088 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0248427 0.0215994 139 34 84 28 28 28 + fixed_k6_frac_ripple_N8_22nm.xml mult_073.v common 4.96 vpr 63.31 MiB 0.05 6904 -1 -1 1 0.03 -1 -1 30216 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64832 30 32 325 273 1 161 81 17 17 289 -1 unnamed_device 24.1 MiB 2.52 898 13556 4057 8084 1415 63.3 MiB 0.13 0.00 3.50375 -117.529 -3.50375 3.50375 0.33 0.000649845 0.000604665 0.0520676 0.0484313 -1 -1 -1 -1 32 2221 20 6.87369e+06 265503 586450. 2029.24 0.57 0.12622 0.111731 25474 144626 -1 1795 21 1299 2260 159091 36914 2.82066 2.82066 -114.863 -2.82066 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0259517 0.0224026 110 63 30 30 60 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_074.v common 5.86 vpr 63.38 MiB 0.05 6912 -1 -1 1 0.03 -1 -1 30336 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64900 32 32 361 308 1 163 82 17 17 289 -1 unnamed_device 24.1 MiB 3.19 824 8804 2201 5514 1089 63.4 MiB 0.10 0.00 3.47695 -115.33 -3.47695 3.47695 0.35 0.000696267 0.000647352 0.0366908 0.0341135 -1 -1 -1 -1 32 2099 23 6.87369e+06 251529 586450. 2029.24 0.78 0.143621 0.124944 25474 144626 -1 1776 19 1093 1793 115987 28998 2.75366 2.75366 -112.872 -2.75366 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0255783 0.022153 110 91 0 0 91 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_075.v common 5.31 vpr 63.30 MiB 0.05 6988 -1 -1 1 0.03 -1 -1 30560 -1 -1 37 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64820 31 32 335 251 1 197 100 17 17 289 -1 unnamed_device 24.2 MiB 1.06 991 14716 4289 7447 2980 63.3 MiB 0.15 0.00 4.33776 -141.816 -4.33776 4.33776 0.33 0.000687017 0.000638658 0.0458856 0.0426159 -1 -1 -1 -1 32 2959 25 6.87369e+06 517032 586450. 2029.24 2.34 0.255198 0.220501 25474 144626 -1 2182 19 1695 2697 192713 48610 4.135 4.135 -147.74 -4.135 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.025545 0.0222187 151 4 124 31 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_076.v common 7.48 vpr 64.03 MiB 0.04 7212 -1 -1 1 0.03 -1 -1 30664 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65564 32 32 407 319 1 202 103 17 17 289 -1 unnamed_device 24.2 MiB 3.76 1231 19383 5317 12294 1772 64.0 MiB 0.19 0.00 4.22285 -147.062 -4.22285 4.22285 0.33 0.000778242 0.000721253 0.0651145 0.0602538 -1 -1 -1 -1 32 3199 26 6.87369e+06 544980 586450. 2029.24 1.79 0.297577 0.258264 25474 144626 -1 2441 20 1822 3196 212930 49914 3.6718 3.6718 -147.592 -3.6718 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0294434 0.0255651 156 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_077.v common 9.80 vpr 64.23 MiB 0.05 7144 -1 -1 1 0.03 -1 -1 30392 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65776 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 24.7 MiB 3.75 944 19618 5376 10136 4106 64.2 MiB 0.17 0.00 4.27923 -143.211 -4.27923 4.27923 0.33 0.000774509 0.0007197 0.0666149 0.0617933 -1 -1 -1 -1 38 3009 25 6.87369e+06 531006 678818. 2348.85 4.02 0.314785 0.273663 26626 170182 -1 2070 27 1946 3321 223670 64347 4.2823 4.2823 -145.755 -4.2823 0 0 902133. 3121.57 0.03 0.10 0.13 -1 -1 0.03 0.0374599 0.0324198 155 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_078.v common 7.72 vpr 63.89 MiB 0.05 7072 -1 -1 1 0.03 -1 -1 30584 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65428 32 32 399 315 1 198 103 17 17 289 -1 unnamed_device 24.1 MiB 2.62 1101 18901 5395 10733 2773 63.9 MiB 0.21 0.00 4.21489 -141.08 -4.21489 4.21489 0.34 0.000756944 0.000702815 0.0701323 0.0650259 -1 -1 -1 -1 28 3533 26 6.87369e+06 544980 531479. 1839.03 3.09 0.290448 0.252681 24610 126494 -1 2557 21 1759 3006 236786 55479 3.9567 3.9567 -147.253 -3.9567 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0301146 0.0261642 152 65 60 30 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_079.v common 4.51 vpr 63.10 MiB 0.05 6936 -1 -1 1 0.03 -1 -1 30356 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64612 30 32 296 244 1 160 81 17 17 289 -1 unnamed_device 24.0 MiB 2.16 811 8131 2113 5235 783 63.1 MiB 0.09 0.00 3.50375 -115.041 -3.50375 3.50375 0.33 0.000615393 0.000572913 0.0305644 0.028444 -1 -1 -1 -1 32 2043 19 6.87369e+06 265503 586450. 2029.24 0.57 0.100501 0.0881055 25474 144626 -1 1701 21 1175 1973 126862 31034 2.96496 2.96496 -117.093 -2.96496 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0246417 0.0213432 110 34 60 30 30 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_080.v common 6.26 vpr 63.30 MiB 0.05 7148 -1 -1 1 0.03 -1 -1 30376 -1 -1 24 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64824 30 32 383 303 1 192 86 17 17 289 -1 unnamed_device 24.2 MiB 3.02 998 9536 2273 6581 682 63.3 MiB 0.11 0.00 4.25789 -139.005 -4.25789 4.25789 0.33 0.00074191 0.000689601 0.0393657 0.036587 -1 -1 -1 -1 30 2333 22 6.87369e+06 335372 556674. 1926.21 1.39 0.205752 0.178308 25186 138497 -1 1885 21 1596 2515 141236 34135 3.5228 3.5228 -133.425 -3.5228 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0291919 0.0253499 140 63 60 30 60 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_081.v common 7.45 vpr 64.23 MiB 0.05 7276 -1 -1 1 0.03 -1 -1 30852 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65776 32 32 469 381 1 202 107 17 17 289 -1 unnamed_device 24.5 MiB 4.70 1135 19588 6002 11154 2432 64.2 MiB 0.20 0.00 4.29189 -148.036 -4.29189 4.29189 0.33 0.000855811 0.000795502 0.0686583 0.0637435 -1 -1 -1 -1 32 2822 34 6.87369e+06 600875 586450. 2029.24 0.73 0.182253 0.160272 25474 144626 -1 2236 22 1970 3411 223133 54425 3.6088 3.6088 -140.997 -3.6088 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0345331 0.029776 158 127 0 0 128 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_082.v common 4.92 vpr 64.15 MiB 0.05 7216 -1 -1 1 0.03 -1 -1 30460 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65688 31 32 425 341 1 197 98 17 17 289 -1 unnamed_device 24.6 MiB 1.21 1141 19448 6231 10726 2491 64.1 MiB 0.20 0.00 4.30289 -146.351 -4.30289 4.30289 0.33 0.000781644 0.000725131 0.0711285 0.0659157 -1 -1 -1 -1 32 2686 23 6.87369e+06 489084 586450. 2029.24 1.71 0.299615 0.260246 25474 144626 -1 2052 23 1877 3089 196870 47667 3.5338 3.5338 -136.163 -3.5338 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0337744 0.0293123 150 94 31 31 93 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_083.v common 5.70 vpr 63.40 MiB 0.05 7272 -1 -1 1 0.03 -1 -1 30480 -1 -1 34 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64924 30 32 404 328 1 188 96 17 17 289 -1 unnamed_device 24.2 MiB 2.01 1065 18054 5358 10040 2656 63.4 MiB 0.18 0.00 3.59605 -119.759 -3.59605 3.59605 0.33 0.00081945 0.000766068 0.0646998 0.0600821 -1 -1 -1 -1 28 2564 22 6.87369e+06 475111 531479. 1839.03 1.77 0.270153 0.234929 24610 126494 -1 2063 19 1593 2688 167070 41776 3.09326 3.09326 -120.307 -3.09326 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.028226 0.0245706 142 92 26 26 90 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_084.v common 8.39 vpr 63.37 MiB 0.05 7016 -1 -1 1 0.03 -1 -1 30532 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64892 32 32 407 319 1 202 87 17 17 289 -1 unnamed_device 24.2 MiB 4.63 949 16407 6991 7912 1504 63.4 MiB 0.16 0.00 4.2354 -145.24 -4.2354 4.2354 0.33 0.000772793 0.000717614 0.068577 0.063707 -1 -1 -1 -1 34 3451 45 6.87369e+06 321398 618332. 2139.56 1.82 0.246696 0.215458 25762 151098 -1 2227 24 2270 3978 255976 66268 4.3046 4.3046 -154.958 -4.3046 0 0 787024. 2723.27 0.03 0.10 0.12 -1 -1 0.03 0.0339345 0.0293887 147 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_085.v common 4.41 vpr 63.30 MiB 0.02 7256 -1 -1 1 0.03 -1 -1 30404 -1 -1 36 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 29 32 387 316 1 181 97 17 17 289 -1 unnamed_device 24.2 MiB 1.95 1023 13861 3933 8688 1240 63.3 MiB 0.14 0.00 3.54105 -111.845 -3.54105 3.54105 0.33 0.000741536 0.000681895 0.0479005 0.0443245 -1 -1 -1 -1 26 2429 23 6.87369e+06 503058 503264. 1741.40 0.61 0.134169 0.117894 24322 120374 -1 2203 20 1527 2550 176515 42383 3.15456 3.15456 -118.618 -3.15456 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0281841 0.0244437 138 88 26 26 85 29 + fixed_k6_frac_ripple_N8_22nm.xml mult_086.v common 3.46 vpr 63.24 MiB 0.04 6860 -1 -1 1 0.03 -1 -1 30432 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64760 32 32 283 225 1 168 82 17 17 289 -1 unnamed_device 24.1 MiB 0.94 998 12542 3592 7114 1836 63.2 MiB 0.12 0.00 3.53195 -129.235 -3.53195 3.53195 0.33 0.00061261 0.000570281 0.045175 0.0420068 -1 -1 -1 -1 32 2144 29 6.87369e+06 251529 586450. 2029.24 0.80 0.145624 0.127554 25474 144626 -1 1833 21 1429 2173 145252 34704 2.83966 2.83966 -125.078 -2.83966 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.024812 0.0215335 114 3 96 32 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_087.v common 7.24 vpr 63.44 MiB 0.05 7148 -1 -1 1 0.03 -1 -1 30560 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64960 32 32 407 319 1 202 102 17 17 289 -1 unnamed_device 24.5 MiB 4.63 1144 20094 6405 10924 2765 63.4 MiB 0.20 0.00 4.3249 -149.538 -4.3249 4.3249 0.33 0.000774111 0.000719268 0.0678336 0.0628953 -1 -1 -1 -1 32 2698 22 6.87369e+06 531006 586450. 2029.24 0.65 0.159596 0.14127 25474 144626 -1 2153 21 1807 2822 188316 44685 3.7701 3.7701 -144.194 -3.7701 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0307341 0.026714 155 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_088.v common 8.13 vpr 64.19 MiB 0.04 7160 -1 -1 1 0.03 -1 -1 30364 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65732 32 32 407 319 1 202 87 17 17 289 -1 unnamed_device 24.4 MiB 4.07 922 15639 5289 7574 2776 64.2 MiB 0.17 0.00 4.25669 -145.061 -4.25669 4.25669 0.33 0.000769819 0.000714718 0.0652538 0.060608 -1 -1 -1 -1 36 2458 23 6.87369e+06 321398 648988. 2245.63 2.05 0.288458 0.250238 26050 158493 -1 1854 23 1816 2924 196456 49015 3.9064 3.9064 -141.863 -3.9064 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0334663 0.0289911 147 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_089.v common 6.80 vpr 63.20 MiB 0.04 7088 -1 -1 1 0.03 -1 -1 30388 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64716 32 32 315 267 1 158 95 17 17 289 -1 unnamed_device 24.0 MiB 3.39 889 10031 2536 7112 383 63.2 MiB 0.10 0.00 3.48439 -117.289 -3.48439 3.48439 0.33 0.000640293 0.000593722 0.0322523 0.0298511 -1 -1 -1 -1 26 2494 50 6.87369e+06 433189 503264. 1741.40 1.64 0.200857 0.173039 24322 120374 -1 1966 20 1201 2057 156416 39138 3.11686 3.11686 -121.082 -3.11686 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0243627 0.0211048 113 55 32 32 54 27 + fixed_k6_frac_ripple_N8_22nm.xml mult_090.v common 4.63 vpr 63.03 MiB 0.04 6844 -1 -1 1 0.03 -1 -1 30368 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64540 31 32 275 220 1 164 81 17 17 289 -1 unnamed_device 24.0 MiB 1.27 803 9881 3236 5024 1621 63.0 MiB 0.10 0.00 3.51475 -118.433 -3.51475 3.51475 0.33 0.000597747 0.00055629 0.0354749 0.033044 -1 -1 -1 -1 32 2096 40 6.87369e+06 251529 586450. 2029.24 1.55 0.211704 0.182305 25474 144626 -1 1532 18 1217 1842 116950 29454 2.79866 2.79866 -115.806 -2.79866 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0213854 0.0185917 112 4 93 31 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_091.v common 6.30 vpr 63.29 MiB 0.02 7120 -1 -1 1 0.03 -1 -1 30436 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64808 32 32 381 303 1 194 99 17 17 289 -1 unnamed_device 24.3 MiB 2.81 1004 18339 5620 10081 2638 63.3 MiB 0.18 0.00 4.21143 -138.827 -4.21143 4.21143 0.33 0.000741737 0.00068996 0.062068 0.0576067 -1 -1 -1 -1 32 2426 25 6.87369e+06 489084 586450. 2029.24 1.61 0.282514 0.244981 25474 144626 -1 1959 20 1356 2079 141662 34145 3.5578 3.5578 -130.794 -3.5578 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0282301 0.0245219 144 59 60 32 58 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_092.v common 4.76 vpr 63.29 MiB 0.05 7228 -1 -1 1 0.03 -1 -1 30304 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64812 32 32 406 330 1 191 98 17 17 289 -1 unnamed_device 24.1 MiB 1.15 1050 12248 3256 7931 1061 63.3 MiB 0.14 0.00 4.15685 -135.946 -4.15685 4.15685 0.33 0.000763552 0.000709219 0.0441766 0.0409039 -1 -1 -1 -1 32 2666 23 6.87369e+06 475111 586450. 2029.24 1.72 0.268884 0.23177 25474 144626 -1 2174 20 1394 2281 155652 37795 3.62136 3.62136 -135.059 -3.62136 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0292805 0.0254453 142 88 28 28 88 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_093.v common 5.17 vpr 63.54 MiB 0.05 7224 -1 -1 1 0.03 -1 -1 30512 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65068 32 32 399 285 1 232 104 17 17 289 -1 unnamed_device 24.5 MiB 1.03 1271 9620 2298 6733 589 63.5 MiB 0.12 0.00 4.95294 -165.009 -4.95294 4.95294 0.33 0.000789629 0.000732894 0.0337328 0.0312969 -1 -1 -1 -1 30 3638 27 6.87369e+06 558954 556674. 1926.21 2.21 0.243509 0.210437 25186 138497 -1 2627 24 2056 3393 262974 58927 4.63655 4.63655 -166.058 -4.63655 0 0 706193. 2443.58 0.03 0.10 0.11 -1 -1 0.03 0.0349807 0.0304049 183 3 156 32 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_094.v common 4.87 vpr 63.87 MiB 0.05 7208 -1 -1 1 0.03 -1 -1 30516 -1 -1 33 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65404 30 32 371 295 1 188 95 17 17 289 -1 unnamed_device 24.2 MiB 2.34 1029 17591 5227 9912 2452 63.9 MiB 0.17 0.00 3.60705 -119.897 -3.60705 3.60705 0.33 0.000714156 0.000661669 0.0605499 0.0561138 -1 -1 -1 -1 32 2394 25 6.87369e+06 461137 586450. 2029.24 0.60 0.14767 0.130513 25474 144626 -1 1856 21 1745 2872 173432 42352 2.82066 2.82066 -111.321 -2.82066 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0284957 0.0247426 141 59 60 30 56 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_095.v common 3.08 vpr 63.43 MiB 0.04 6892 -1 -1 1 0.03 -1 -1 30612 -1 -1 21 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64948 27 32 269 226 1 145 80 17 17 289 -1 unnamed_device 23.8 MiB 0.82 786 10744 3059 6908 777 63.4 MiB 0.10 0.00 3.50195 -104.382 -3.50195 3.50195 0.33 0.000761461 0.00071325 0.0379343 0.0353049 -1 -1 -1 -1 32 1632 21 6.87369e+06 293451 586450. 2029.24 0.53 0.105003 0.0922444 25474 144626 -1 1351 22 1085 1628 101745 24548 2.75666 2.75666 -102.107 -2.75666 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0236696 0.0204235 102 34 54 27 27 27 + fixed_k6_frac_ripple_N8_22nm.xml mult_096.v common 7.98 vpr 64.52 MiB 0.05 7356 -1 -1 1 0.03 -1 -1 30676 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66068 32 32 493 378 1 233 106 17 17 289 -1 unnamed_device 24.9 MiB 2.49 1329 20856 6846 10957 3053 64.5 MiB 0.25 0.00 4.2988 -145.63 -4.2988 4.2988 0.33 0.000908484 0.000841446 0.0786036 0.0727899 -1 -1 -1 -1 34 3745 25 6.87369e+06 586901 618332. 2139.56 3.37 0.35274 0.305335 25762 151098 -1 2842 22 2418 4406 318963 74864 3.8867 3.8867 -144.829 -3.8867 0 0 787024. 2723.27 0.03 0.11 0.12 -1 -1 0.03 0.0371682 0.0321277 184 95 62 31 95 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_097.v common 6.50 vpr 63.67 MiB 0.05 7332 -1 -1 1 0.03 -1 -1 30600 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65196 31 32 455 371 1 198 86 17 17 289 -1 unnamed_device 24.6 MiB 3.72 969 10292 2693 6968 631 63.7 MiB 0.13 0.00 5.04825 -153.046 -5.04825 5.04825 0.33 0.000827217 0.000769784 0.0476629 0.0443182 -1 -1 -1 -1 32 2736 33 6.87369e+06 321398 586450. 2029.24 0.87 0.187222 0.162903 25474 144626 -1 2049 21 1361 2091 140337 35584 4.10255 4.10255 -146.565 -4.10255 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0331857 0.0287172 144 124 0 0 124 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_098.v common 6.54 vpr 63.22 MiB 0.05 7160 -1 -1 1 0.03 -1 -1 30384 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64740 32 32 355 304 1 161 82 17 17 289 -1 unnamed_device 24.0 MiB 3.38 829 11474 3124 7170 1180 63.2 MiB 0.12 0.00 3.7386 -117.206 -3.7386 3.7386 0.33 0.000685433 0.000636355 0.0463019 0.0430183 -1 -1 -1 -1 28 2287 21 6.87369e+06 251529 531479. 1839.03 1.33 0.220296 0.190693 24610 126494 -1 1926 19 1177 1801 142449 34364 2.91816 2.91816 -119.998 -2.91816 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0250793 0.0217538 108 89 0 0 89 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_099.v common 4.87 vpr 63.93 MiB 0.04 7076 -1 -1 1 0.03 -1 -1 30512 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65468 32 32 364 282 1 196 98 17 17 289 -1 unnamed_device 24.3 MiB 0.95 1139 19448 6515 10263 2670 63.9 MiB 0.19 0.00 4.23385 -144.907 -4.23385 4.23385 0.33 0.000718442 0.000667695 0.0646027 0.0599307 -1 -1 -1 -1 30 2683 24 6.87369e+06 475111 556674. 1926.21 1.99 0.240566 0.209858 25186 138497 -1 2068 18 1240 1851 106675 25903 3.7591 3.7591 -139.692 -3.7591 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0256673 0.0224159 147 34 90 30 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_100.v common 5.89 vpr 63.70 MiB 0.05 7236 -1 -1 1 0.03 -1 -1 30620 -1 -1 41 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65224 31 32 443 336 1 224 104 17 17 289 -1 unnamed_device 24.6 MiB 1.86 1123 19624 5641 10531 3452 63.7 MiB 0.20 0.00 4.2198 -139.247 -4.2198 4.2198 0.33 0.000845561 0.00078707 0.0707762 0.0657697 -1 -1 -1 -1 32 2899 20 6.87369e+06 572927 586450. 2029.24 2.00 0.327886 0.284164 25474 144626 -1 2170 21 1811 2926 180297 45437 3.8796 3.8796 -138.104 -3.8796 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0335445 0.0290398 177 64 87 31 62 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_101.v common 5.73 vpr 63.35 MiB 0.05 7296 -1 -1 1 0.03 -1 -1 30396 -1 -1 37 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64872 30 32 373 297 1 188 99 17 17 289 -1 unnamed_device 24.3 MiB 1.69 1033 18795 5927 9774 3094 63.4 MiB 0.19 0.00 3.58505 -115.362 -3.58505 3.58505 0.33 0.000722756 0.000671595 0.0616 0.0572047 -1 -1 -1 -1 28 2699 26 6.87369e+06 517032 531479. 1839.03 2.10 0.263132 0.2286 24610 126494 -1 2197 25 1835 3179 208579 51177 3.14156 3.14156 -118.269 -3.14156 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0328167 0.0283615 144 61 58 30 58 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_102.v common 6.58 vpr 64.07 MiB 0.04 7100 -1 -1 1 0.03 -1 -1 30484 -1 -1 46 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65604 32 32 407 319 1 202 110 17 17 289 -1 unnamed_device 24.5 MiB 2.48 1104 21939 6697 12218 3024 64.1 MiB 0.21 0.00 4.26989 -146.214 -4.26989 4.26989 0.33 0.000780945 0.000725395 0.0672548 0.0623564 -1 -1 -1 -1 28 3104 28 6.87369e+06 642796 531479. 1839.03 2.18 0.277559 0.241293 24610 126494 -1 2421 21 2056 3450 244961 58711 4.14 4.14 -149.625 -4.14 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0310418 0.0269398 160 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_103.v common 5.36 vpr 63.46 MiB 0.05 7052 -1 -1 1 0.03 -1 -1 30516 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64984 32 32 405 318 1 201 106 17 17 289 -1 unnamed_device 24.5 MiB 2.78 1162 18856 5235 12009 1612 63.5 MiB 0.18 0.00 3.52575 -124.656 -3.52575 3.52575 0.33 0.000775346 0.000718795 0.0604867 0.0560114 -1 -1 -1 -1 28 2666 30 6.87369e+06 586901 531479. 1839.03 0.64 0.159673 0.140781 24610 126494 -1 2294 21 1638 2584 168506 39834 3.04356 3.04356 -125.841 -3.04356 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0306125 0.0265386 157 65 63 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_104.v common 4.13 vpr 63.04 MiB 0.04 7080 -1 -1 1 0.03 -1 -1 30480 -1 -1 20 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64552 29 32 287 238 1 155 81 17 17 289 -1 unnamed_device 24.0 MiB 1.54 803 12331 3349 7158 1824 63.0 MiB 0.11 0.00 3.53195 -114.094 -3.53195 3.53195 0.33 0.000594501 0.000553827 0.043937 0.0409176 -1 -1 -1 -1 32 1796 25 6.87369e+06 279477 586450. 2029.24 0.75 0.134583 0.118008 25474 144626 -1 1473 20 1118 1664 106970 25049 2.85686 2.85686 -113.062 -2.85686 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0234324 0.0202674 107 34 58 29 29 29 + fixed_k6_frac_ripple_N8_22nm.xml mult_105.v common 4.94 vpr 63.16 MiB 0.05 7012 -1 -1 1 0.03 -1 -1 30156 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64672 32 32 334 290 1 154 81 17 17 289 -1 unnamed_device 23.9 MiB 2.39 889 8831 2178 6177 476 63.2 MiB 0.09 0.00 3.7565 -114.859 -3.7565 3.7565 0.35 0.000651672 0.000605737 0.0348874 0.03242 -1 -1 -1 -1 32 1843 20 6.87369e+06 237555 586450. 2029.24 0.75 0.131586 0.114593 25474 144626 -1 1566 21 937 1352 87466 21450 2.92726 2.92726 -110.063 -2.92726 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0259282 0.0224473 102 82 0 0 82 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_106.v common 4.31 vpr 63.90 MiB 0.05 7120 -1 -1 1 0.03 -1 -1 30416 -1 -1 39 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65432 31 32 365 281 1 197 102 17 17 289 -1 unnamed_device 24.2 MiB 1.63 1141 17714 5354 10065 2295 63.9 MiB 0.18 0.00 4.28537 -144.975 -4.28537 4.28537 0.33 0.000731564 0.000672837 0.0560893 0.0519217 -1 -1 -1 -1 26 2765 25 6.87369e+06 544980 503264. 1741.40 0.79 0.15216 0.134256 24322 120374 -1 2394 19 1730 2896 192147 49140 4.132 4.132 -151.551 -4.132 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0270606 0.0235739 152 34 93 31 31 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_107.v common 6.62 vpr 63.02 MiB 0.04 6984 -1 -1 1 0.03 -1 -1 30376 -1 -1 32 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64536 29 32 297 254 1 148 93 17 17 289 -1 unnamed_device 24.0 MiB 3.08 711 17523 7364 8811 1348 63.0 MiB 0.15 0.00 3.48301 -104.839 -3.48301 3.48301 0.33 0.000678214 0.000625626 0.0515323 0.0476674 -1 -1 -1 -1 32 1844 23 6.87369e+06 447163 586450. 2029.24 1.68 0.233039 0.20087 25474 144626 -1 1430 20 1023 1684 109422 28099 2.93196 2.93196 -102.499 -2.93196 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0229676 0.0198241 108 56 29 29 52 26 + fixed_k6_frac_ripple_N8_22nm.xml mult_108.v common 6.96 vpr 63.40 MiB 0.04 6776 -1 -1 1 0.03 -1 -1 30224 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64920 32 32 314 256 1 168 82 17 17 289 -1 unnamed_device 24.2 MiB 3.37 907 12186 5086 6820 280 63.4 MiB 0.12 0.00 3.52575 -126.076 -3.52575 3.52575 0.33 0.000649434 0.000604341 0.0461654 0.0429588 -1 -1 -1 -1 36 2041 25 6.87369e+06 251529 648988. 2245.63 1.85 0.23834 0.205904 26050 158493 -1 1676 22 1442 2340 168113 41738 2.92396 2.92396 -119.414 -2.92396 0 0 828058. 2865.25 0.03 0.04 0.09 -1 -1 0.03 0.0144365 0.0126743 114 34 64 32 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_109.v common 5.17 vpr 63.38 MiB 0.05 7156 -1 -1 1 0.03 -1 -1 30364 -1 -1 38 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64900 31 32 387 307 1 193 101 17 17 289 -1 unnamed_device 24.3 MiB 2.39 1125 17726 4908 10315 2503 63.4 MiB 0.18 0.00 3.65725 -124.867 -3.65725 3.65725 0.33 0.000743512 0.000690673 0.0587036 0.0544582 -1 -1 -1 -1 32 2384 23 6.87369e+06 531006 586450. 2029.24 0.81 0.170519 0.149952 25474 144626 -1 1941 22 1596 2436 147466 35647 3.03726 3.03726 -116.56 -3.03726 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0308456 0.0267553 148 64 58 31 62 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_110.v common 5.99 vpr 63.04 MiB 0.04 6960 -1 -1 1 0.03 -1 -1 30348 -1 -1 17 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64552 31 32 308 262 1 154 80 17 17 289 -1 unnamed_device 23.9 MiB 2.83 883 8336 2238 5700 398 63.0 MiB 0.09 0.00 3.07332 -106.515 -3.07332 3.07332 0.33 0.000623655 0.000580678 0.0321098 0.0298728 -1 -1 -1 -1 26 2205 20 6.87369e+06 237555 503264. 1741.40 1.36 0.194692 0.167731 24322 120374 -1 1778 19 1124 1815 137522 32680 2.99326 2.99326 -121.385 -2.99326 0 0 618332. 2139.56 0.03 0.06 0.10 -1 -1 0.03 0.0229435 0.0198963 103 55 31 31 53 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_111.v common 5.14 vpr 63.95 MiB 0.04 7136 -1 -1 1 0.03 -1 -1 30476 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65484 32 32 383 307 1 190 101 17 17 289 -1 unnamed_device 24.3 MiB 2.54 1053 18196 4930 10917 2349 63.9 MiB 0.18 0.00 3.63031 -121.705 -3.63031 3.63031 0.33 0.000734279 0.00068219 0.0594197 0.0550985 -1 -1 -1 -1 30 2320 47 6.87369e+06 517032 556674. 1926.21 0.66 0.172678 0.151644 25186 138497 -1 1771 20 975 1633 82292 21490 2.77866 2.77866 -111.157 -2.77866 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0283294 0.0246798 143 65 52 26 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_112.v common 6.47 vpr 63.70 MiB 0.05 7140 -1 -1 1 0.03 -1 -1 30252 -1 -1 39 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65224 31 32 422 339 1 196 102 17 17 289 -1 unnamed_device 24.7 MiB 3.07 1065 19142 5683 10528 2931 63.7 MiB 0.19 0.00 3.61805 -124.185 -3.61805 3.61805 0.33 0.000785502 0.000728936 0.0658729 0.0610449 -1 -1 -1 -1 30 2186 20 6.87369e+06 544980 556674. 1926.21 1.42 0.244531 0.213169 25186 138497 -1 1793 21 1543 2324 131571 32107 2.86186 2.86186 -116.026 -2.86186 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0312002 0.0270359 151 93 31 31 92 31 + fixed_k6_frac_ripple_N8_22nm.xml mult_113.v common 5.73 vpr 63.69 MiB 0.05 7088 -1 -1 1 0.03 -1 -1 30356 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65216 32 32 333 279 1 164 82 17 17 289 -1 unnamed_device 24.0 MiB 2.51 798 7024 1571 5156 297 63.7 MiB 0.09 0.00 3.12352 -109.491 -3.12352 3.12352 0.33 0.000661365 0.000614863 0.028191 0.0262299 -1 -1 -1 -1 30 2322 21 6.87369e+06 251529 556674. 1926.21 1.52 0.1809 0.155834 25186 138497 -1 1705 22 1146 1813 110801 27576 2.85996 2.85996 -114.1 -2.85996 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0273634 0.0236873 110 61 32 32 60 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_114.v common 5.16 vpr 63.17 MiB 0.05 6996 -1 -1 1 0.03 -1 -1 30108 -1 -1 17 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64688 32 32 339 283 1 166 81 17 17 289 -1 unnamed_device 24.0 MiB 2.74 790 5856 1196 3825 835 63.2 MiB 0.08 0.00 3.50375 -120.315 -3.50375 3.50375 0.33 0.000668234 0.000621218 0.0244303 0.0227368 -1 -1 -1 -1 32 2209 24 6.87369e+06 237555 586450. 2029.24 0.60 0.105262 0.0916136 25474 144626 -1 1787 20 1278 2173 147014 36806 3.08556 3.08556 -123.57 -3.08556 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0266142 0.0232005 112 63 32 32 62 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_115.v common 6.20 vpr 64.11 MiB 0.04 7136 -1 -1 1 0.03 -1 -1 30744 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65648 32 32 407 319 1 202 105 17 17 289 -1 unnamed_device 24.5 MiB 2.68 1057 10726 2598 7626 502 64.1 MiB 0.12 0.00 4.2308 -143.384 -4.2308 4.2308 0.33 0.000770852 0.000715948 0.0356999 0.0330459 -1 -1 -1 -1 26 2859 38 6.87369e+06 572927 503264. 1741.40 1.61 0.229156 0.197693 24322 120374 -1 2366 20 1992 3163 191996 48845 3.9957 3.9957 -155.719 -3.9957 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0295979 0.0257499 157 65 64 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_116.v common 5.61 vpr 63.23 MiB 0.05 7192 -1 -1 1 0.03 -1 -1 30632 -1 -1 34 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64752 29 32 367 293 1 185 95 17 17 289 -1 unnamed_device 24.2 MiB 2.12 929 7223 1505 5248 470 63.2 MiB 0.09 0.00 3.56305 -112.306 -3.56305 3.56305 0.33 0.000709598 0.00066013 0.0259172 0.0240869 -1 -1 -1 -1 32 2450 25 6.87369e+06 475111 586450. 2029.24 1.67 0.236998 0.203241 25474 144626 -1 1723 20 1187 1934 105365 27235 3.04926 3.04926 -112.724 -3.04926 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.027155 0.0235801 141 62 56 29 58 29 + fixed_k6_frac_ripple_N8_22nm.xml mult_117.v common 7.31 vpr 63.66 MiB 0.05 7240 -1 -1 1 0.03 -1 -1 30676 -1 -1 41 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65188 32 32 469 381 1 202 105 17 17 289 -1 unnamed_device 24.5 MiB 4.64 1148 19865 5788 12352 1725 63.7 MiB 0.20 0.00 4.2388 -147.714 -4.2388 4.2388 0.33 0.000862195 0.000800938 0.0716368 0.0664075 -1 -1 -1 -1 32 2766 22 6.87369e+06 572927 586450. 2029.24 0.65 0.17168 0.151682 25474 144626 -1 2252 21 1785 2897 183545 43998 3.6481 3.6481 -141.582 -3.6481 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0333568 0.0287883 157 127 0 0 128 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_118.v common 4.22 vpr 63.21 MiB 0.04 6808 -1 -1 1 0.03 -1 -1 30440 -1 -1 17 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64728 31 32 259 212 1 155 80 17 17 289 -1 unnamed_device 23.6 MiB 1.00 771 11948 3657 6485 1806 63.2 MiB 0.11 0.00 3.09052 -105.03 -3.09052 3.09052 0.33 0.000573463 0.000534012 0.0415517 0.0387278 -1 -1 -1 -1 32 1807 22 6.87369e+06 237555 586450. 2029.24 1.42 0.185585 0.161711 25474 144626 -1 1538 19 976 1534 98343 24653 2.87716 2.87716 -110.55 -2.87716 0 0 744469. 2576.02 0.03 0.05 0.12 -1 -1 0.03 0.0213093 0.0185046 104 4 85 31 0 0 + fixed_k6_frac_ripple_N8_22nm.xml mult_119.v common 4.33 vpr 63.55 MiB 0.05 7168 -1 -1 1 0.03 -1 -1 30412 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65076 32 32 418 338 1 194 101 17 17 289 -1 unnamed_device 24.3 MiB 1.55 1202 17256 4935 10275 2046 63.6 MiB 0.17 0.00 4.21805 -142.394 -4.21805 4.21805 0.33 0.000786939 0.000730724 0.0600142 0.0556031 -1 -1 -1 -1 32 2704 27 6.87369e+06 517032 586450. 2029.24 0.86 0.180988 0.158835 25474 144626 -1 2253 21 1613 2321 167558 38682 3.7331 3.7331 -143.417 -3.7331 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0313769 0.0272202 147 92 28 28 92 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_120.v common 6.76 vpr 63.42 MiB 0.05 7056 -1 -1 1 0.03 -1 -1 30148 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64944 32 32 376 318 1 168 82 17 17 289 -1 unnamed_device 24.3 MiB 3.97 908 7558 1836 5408 314 63.4 MiB 0.09 0.00 3.59615 -125.953 -3.59615 3.59615 0.33 0.000710981 0.000660498 0.0324529 0.030153 -1 -1 -1 -1 32 2031 27 6.87369e+06 251529 586450. 2029.24 0.95 0.153416 0.13275 25474 144626 -1 1719 22 1362 2002 124828 31033 2.89906 2.89906 -123.708 -2.89906 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0294741 0.025474 114 96 0 0 96 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_121.v common 5.27 vpr 63.42 MiB 0.05 7052 -1 -1 1 0.03 -1 -1 30400 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64940 32 32 401 316 1 199 103 17 17 289 -1 unnamed_device 24.2 MiB 2.59 1047 20106 6225 10936 2945 63.4 MiB 0.19 0.00 3.57101 -124.74 -3.57101 3.57101 0.33 0.000768123 0.000714366 0.0669362 0.0621563 -1 -1 -1 -1 28 2774 30 6.87369e+06 544980 531479. 1839.03 0.74 0.166014 0.14687 24610 126494 -1 2197 22 1770 2827 191011 48782 3.32186 3.32186 -131.581 -3.32186 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0318332 0.0276685 154 65 61 32 64 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_122.v common 8.06 vpr 64.39 MiB 0.05 7360 -1 -1 1 0.03 -1 -1 30796 -1 -1 47 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65932 32 32 500 382 1 236 111 17 17 289 -1 unnamed_device 24.7 MiB 4.16 1334 21391 6019 13210 2162 64.4 MiB 0.24 0.00 5.00794 -170.227 -5.00794 5.00794 0.33 0.000916929 0.000851788 0.0768448 0.0711612 -1 -1 -1 -1 34 3011 24 6.87369e+06 656770 618332. 2139.56 1.78 0.31193 0.271113 25762 151098 -1 2498 24 2178 3771 230476 57119 4.72775 4.72775 -176.408 -4.72775 0 0 787024. 2723.27 0.03 0.10 0.12 -1 -1 0.03 0.0407005 0.0352068 190 96 64 32 96 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_123.v common 5.11 vpr 63.17 MiB 0.04 6908 -1 -1 1 0.03 -1 -1 30152 -1 -1 15 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64688 30 32 246 229 1 118 77 17 17 289 -1 unnamed_device 23.7 MiB 2.05 600 5130 1094 3499 537 63.2 MiB 0.05 0.00 2.80201 -86.4967 -2.80201 2.80201 0.33 0.000526559 0.000490729 0.0181796 0.0169262 -1 -1 -1 -1 32 1340 20 6.87369e+06 209608 586450. 2029.24 1.33 0.160159 0.136701 25474 144626 -1 1125 17 501 729 39368 10821 1.96972 1.96972 -82.7331 -1.96972 0 0 744469. 2576.02 0.03 0.04 0.12 -1 -1 0.03 0.0179094 0.0155243 72 56 0 0 53 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_124.v common 3.83 vpr 63.54 MiB 0.03 7032 -1 -1 1 0.02 -1 -1 30524 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65068 30 32 296 244 1 158 81 17 17 289 -1 unnamed_device 23.9 MiB 0.88 853 13731 4499 7083 2149 63.5 MiB 0.13 0.00 3.55905 -117.205 -3.55905 3.55905 0.33 0.000619692 0.000576772 0.0502622 0.0467755 -1 -1 -1 -1 30 1784 22 6.87369e+06 265503 556674. 1926.21 1.16 0.207443 0.180195 25186 138497 -1 1505 20 1040 1546 87369 21376 3.00236 3.00236 -117.588 -3.00236 0 0 706193. 2443.58 0.04 0.06 0.11 -1 -1 0.04 0.0256121 0.0224304 109 34 60 30 30 30 + fixed_k6_frac_ripple_N8_22nm.xml mult_125.v common 5.41 vpr 63.29 MiB 0.04 6908 -1 -1 1 0.03 -1 -1 30116 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64804 32 32 314 256 1 168 82 17 17 289 -1 unnamed_device 24.1 MiB 1.55 813 13610 4570 6521 2519 63.3 MiB 0.14 0.00 3.54365 -123.01 -3.54365 3.54365 0.33 0.00064556 0.000599784 0.0513418 0.0477432 -1 -1 -1 -1 36 2314 26 6.87369e+06 251529 648988. 2245.63 1.95 0.247455 0.214353 26050 158493 -1 1792 25 1631 2926 208357 54408 3.10126 3.10126 -123.47 -3.10126 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0298074 0.0257994 114 34 64 32 32 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_126.v common 3.81 vpr 63.31 MiB 0.05 6860 -1 -1 1 0.03 -1 -1 30488 -1 -1 37 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64828 25 32 251 214 1 139 94 17 17 289 -1 unnamed_device 23.7 MiB 0.73 757 15004 4162 8299 2543 63.3 MiB 0.12 0.00 3.44875 -92.5459 -3.44875 3.44875 0.33 0.000535278 0.000498443 0.0393721 0.0365858 -1 -1 -1 -1 26 1811 20 6.87369e+06 517032 503264. 1741.40 1.32 0.175615 0.151497 24322 120374 -1 1614 19 1028 1796 118492 29292 3.05256 3.05256 -97.0385 -3.05256 0 0 618332. 2139.56 0.03 0.06 0.10 -1 -1 0.03 0.0197168 0.0170434 105 34 50 25 25 25 + fixed_k6_frac_ripple_N8_22nm.xml mult_127.v common 6.21 vpr 63.57 MiB 0.02 7224 -1 -1 1 0.03 -1 -1 30508 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65096 32 32 432 346 1 200 86 17 17 289 -1 unnamed_device 24.5 MiB 2.83 1089 15584 5798 8091 1695 63.6 MiB 0.18 0.00 4.16249 -143.194 -4.16249 4.16249 0.33 0.000800668 0.000743979 0.0684708 0.0636369 -1 -1 -1 -1 30 2528 23 6.87369e+06 307425 556674. 1926.21 1.47 0.254511 0.22214 25186 138497 -1 1986 21 1753 3227 174348 43308 3.5888 3.5888 -138.86 -3.5888 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0319715 0.0277482 145 94 32 32 94 32 + fixed_k6_frac_ripple_N8_22nm.xml mult_128.v common 5.21 vpr 63.52 MiB 0.05 7172 -1 -1 1 0.03 -1 -1 30328 -1 -1 40 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65044 31 32 421 339 1 195 103 17 17 289 -1 unnamed_device 24.5 MiB 2.41 1002 13358 3288 9107 963 63.5 MiB 0.14 0.00 3.58505 -119.642 -3.58505 3.58505 0.33 0.000778525 0.000720592 0.0458111 0.0423595 -1 -1 -1 -1 32 2449 24 6.87369e+06 558954 586450. 2029.24 0.83 0.170214 0.148376 25474 144626 -1 1860 24 1725 2749 157776 40053 2.91296 2.91296 -117.552 -2.91296 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.034854 0.0301927 151 94 29 29 93 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_001.v common 6.26 vpr 63.55 MiB 0.05 7208 -1 -1 1 0.03 -1 -1 30624 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65080 32 32 438 350 1 295 98 17 17 289 -1 unnamed_device 24.5 MiB 1.79 1609 19448 6610 10205 2633 63.6 MiB 0.23 0.00 5.23227 -178.837 -5.23227 5.23227 0.33 0.000808717 0.00075126 0.0727745 0.0675816 -1 -1 -1 -1 28 3596 27 6.89349e+06 479191 531479. 1839.03 2.42 0.305033 0.265635 24610 126494 -1 2977 19 2246 2696 184918 44142 4.63875 4.63875 -178.681 -4.63875 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0303782 0.0264725 190 96 32 32 96 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_002.v common 5.98 vpr 63.42 MiB 0.05 7244 -1 -1 1 0.03 -1 -1 30580 -1 -1 32 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64940 30 32 409 330 1 261 94 17 17 289 -1 unnamed_device 24.3 MiB 1.54 1379 16069 5630 7838 2601 63.4 MiB 0.18 0.00 5.28377 -164.85 -5.28377 5.28377 0.33 0.000759519 0.000705287 0.0599656 0.0556431 -1 -1 -1 -1 28 3766 28 6.89349e+06 451003 531479. 1839.03 2.40 0.27406 0.237956 24610 126494 -1 3080 26 2466 3555 324054 83675 5.04698 5.04698 -178.702 -5.04698 0 0 648988. 2245.63 0.03 0.12 0.10 -1 -1 0.03 0.037272 0.0323257 172 91 30 30 89 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_003.v common 4.72 vpr 63.52 MiB 0.05 7196 -1 -1 1 0.03 -1 -1 30532 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65048 32 32 387 309 1 253 94 17 17 289 -1 unnamed_device 24.4 MiB 1.39 1289 15643 4373 9722 1548 63.5 MiB 0.17 0.00 4.10566 -141.292 -4.10566 4.10566 0.33 0.000900432 0.000831399 0.0574687 0.0533419 -1 -1 -1 -1 26 3438 40 6.89349e+06 422815 503264. 1741.40 1.41 0.235827 0.205113 24322 120374 -1 2791 19 1731 2127 162157 38059 3.871 3.871 -144.587 -3.871 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0272732 0.0237211 163 65 54 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_004.v common 4.66 vpr 63.21 MiB 0.05 6992 -1 -1 1 0.03 -1 -1 30604 -1 -1 27 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64728 29 32 343 267 1 208 88 17 17 289 -1 unnamed_device 23.9 MiB 1.67 989 16078 5076 8679 2323 63.2 MiB 0.18 0.00 4.57595 -136.542 -4.57595 4.57595 0.33 0.000682641 0.00063496 0.0590998 0.0550032 -1 -1 -1 -1 34 2498 48 6.89349e+06 380534 618332. 2139.56 1.07 0.217524 0.189761 25762 151098 -1 2044 18 1424 2183 122921 30985 4.08516 4.08516 -140.547 -4.08516 0 0 787024. 2723.27 0.03 0.06 0.12 -1 -1 0.03 0.0245313 0.021391 145 34 87 29 29 29 + fixed_k6_frac_uripple_N8_22nm.xml mult_005.v common 6.76 vpr 63.31 MiB 0.05 6924 -1 -1 1 0.03 -1 -1 30264 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64828 32 32 376 288 1 233 90 17 17 289 -1 unnamed_device 24.2 MiB 2.04 1386 14964 4984 8283 1697 63.3 MiB 0.17 0.00 5.15821 -170.944 -5.15821 5.15821 0.33 0.000739974 0.00068763 0.0575676 0.0534945 -1 -1 -1 -1 30 3524 44 6.89349e+06 366440 556674. 1926.21 2.76 0.291466 0.253132 25186 138497 -1 2786 23 1905 3358 243354 53152 4.18259 4.18259 -162.697 -4.18259 0 0 706193. 2443.58 0.03 0.09 0.11 -1 -1 0.03 0.0331063 0.0287997 159 34 96 32 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_006.v common 5.20 vpr 63.25 MiB 0.05 7096 -1 -1 1 0.03 -1 -1 30560 -1 -1 43 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64764 32 32 402 316 1 257 107 17 17 289 -1 unnamed_device 24.1 MiB 1.99 1254 19335 5646 10220 3469 63.2 MiB 0.20 0.00 4.41171 -142.058 -4.41171 4.41171 0.33 0.000768682 0.000711603 0.0609939 0.0565 -1 -1 -1 -1 32 3731 45 6.89349e+06 606035 586450. 2029.24 1.19 0.204593 0.178694 25474 144626 -1 2600 18 1707 2686 168498 43418 3.46365 3.46365 -136.947 -3.46365 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0272256 0.0237109 179 64 63 32 63 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_007.v common 3.65 vpr 63.32 MiB 0.05 6936 -1 -1 1 0.03 -1 -1 30584 -1 -1 23 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64840 27 32 269 226 1 164 82 17 17 289 -1 unnamed_device 23.6 MiB 0.77 751 3998 892 2667 439 63.3 MiB 0.05 0.00 3.8152 -106.901 -3.8152 3.8152 0.33 0.000568814 0.00052959 0.0144699 0.0134924 -1 -1 -1 -1 28 1887 21 6.89349e+06 324158 531479. 1839.03 1.14 0.141477 0.120862 24610 126494 -1 1651 19 1348 1913 125552 31342 3.16556 3.16556 -110.098 -3.16556 0 0 648988. 2245.63 0.03 0.06 0.10 -1 -1 0.03 0.0211592 0.0183033 109 34 54 27 27 27 + fixed_k6_frac_uripple_N8_22nm.xml mult_008.v common 3.52 vpr 63.05 MiB 0.02 7088 -1 -1 1 0.03 -1 -1 30156 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64560 31 32 317 242 1 187 98 17 17 289 -1 unnamed_device 23.9 MiB 0.79 1086 16523 4545 9530 2448 63.0 MiB 0.15 0.00 3.3697 -112.289 -3.3697 3.3697 0.33 0.000660774 0.000614032 0.0506958 0.0470607 -1 -1 -1 -1 32 2443 21 6.89349e+06 493284 586450. 2029.24 0.78 0.146505 0.128768 25474 144626 -1 2019 19 1143 1954 114229 28334 2.77861 2.77861 -109.807 -2.77861 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0244802 0.0212692 142 4 115 31 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_009.v common 3.97 vpr 63.07 MiB 0.05 7220 -1 -1 1 0.03 -1 -1 30148 -1 -1 25 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64584 31 32 338 292 1 226 88 17 17 289 -1 unnamed_device 23.8 MiB 1.20 1157 14323 4572 7373 2378 63.1 MiB 0.15 0.00 4.06464 -134.474 -4.06464 4.06464 0.33 0.000664123 0.000617403 0.050763 0.047131 -1 -1 -1 -1 32 3057 29 6.89349e+06 352346 586450. 2029.24 0.90 0.156146 0.136589 25474 144626 -1 2346 20 1487 1827 150561 34363 3.3447 3.3447 -127.373 -3.3447 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0253661 0.0219819 135 85 0 0 84 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_010.v common 4.03 vpr 63.19 MiB 0.04 6788 -1 -1 1 0.03 -1 -1 30372 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64704 32 32 314 256 1 193 85 17 17 289 -1 unnamed_device 24.0 MiB 1.38 1003 14035 4618 7503 1914 63.2 MiB 0.14 0.00 3.73335 -131.185 -3.73335 3.73335 0.33 0.000647146 0.000601929 0.0507488 0.0472184 -1 -1 -1 -1 32 2284 18 6.89349e+06 295971 586450. 2029.24 0.79 0.144955 0.127653 25474 144626 -1 1851 20 1428 1868 112764 28259 3.03916 3.03916 -127.553 -3.03916 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.024669 0.0214198 123 34 64 32 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_011.v common 4.25 vpr 63.25 MiB 0.04 7060 -1 -1 1 0.03 -1 -1 30152 -1 -1 24 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64768 30 32 325 273 1 203 86 17 17 289 -1 unnamed_device 24.1 MiB 1.56 1076 12938 3904 7493 1541 63.2 MiB 0.13 0.00 4.2673 -138.551 -4.2673 4.2673 0.33 0.000653856 0.000608581 0.0463991 0.0431493 -1 -1 -1 -1 32 2432 22 6.89349e+06 338252 586450. 2029.24 0.79 0.143363 0.125724 25474 144626 -1 2054 23 1469 1930 132117 30148 3.4088 3.4088 -131.989 -3.4088 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0281375 0.0243505 131 63 30 30 60 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_012.v common 4.38 vpr 63.19 MiB 0.05 6892 -1 -1 1 0.03 -1 -1 30448 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64708 32 32 331 280 1 216 89 17 17 289 -1 unnamed_device 24.0 MiB 1.21 1208 10979 2976 6996 1007 63.2 MiB 0.12 0.00 3.8342 -127.838 -3.8342 3.8342 0.33 0.000664917 0.000619412 0.0387355 0.0360352 -1 -1 -1 -1 26 2959 38 6.89349e+06 352346 503264. 1741.40 1.31 0.196329 0.169881 24322 120374 -1 2412 23 1575 1837 145406 36246 3.23921 3.23921 -127.285 -3.23921 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0283855 0.0245949 129 65 25 25 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_013.v common 4.44 vpr 63.39 MiB 0.04 7136 -1 -1 1 0.03 -1 -1 30460 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64912 32 32 386 305 1 240 92 17 17 289 -1 unnamed_device 24.3 MiB 0.93 1321 18515 6156 9982 2377 63.4 MiB 0.20 0.00 4.0839 -143.379 -4.0839 4.0839 0.33 0.000756452 0.000703052 0.0701448 0.065168 -1 -1 -1 -1 32 2882 23 6.89349e+06 394628 586450. 2029.24 1.56 0.263566 0.229932 25474 144626 -1 2320 22 1764 2437 159060 37188 3.04555 3.04555 -129.006 -3.04555 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0307837 0.0266916 158 58 64 32 57 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_014.v common 6.08 vpr 63.27 MiB 0.02 7048 -1 -1 1 0.04 -1 -1 30564 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64792 32 32 407 319 1 264 95 17 17 289 -1 unnamed_device 24.1 MiB 1.67 1515 17807 5526 10110 2171 63.3 MiB 0.20 0.00 5.10149 -174.569 -5.10149 5.10149 0.33 0.000782436 0.000727452 0.066614 0.0618444 -1 -1 -1 -1 30 3629 24 6.89349e+06 436909 556674. 1926.21 2.48 0.289196 0.251456 25186 138497 -1 2863 21 2115 2749 198519 44318 4.32705 4.32705 -170.378 -4.32705 0 0 706193. 2443.58 0.03 0.09 0.16 -1 -1 0.03 0.0317348 0.0276811 174 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_015.v common 3.66 vpr 63.43 MiB 0.04 6956 -1 -1 1 0.03 -1 -1 30732 -1 -1 22 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64952 29 32 272 228 1 171 83 17 17 289 -1 unnamed_device 23.7 MiB 1.08 924 12323 4386 5618 2319 63.4 MiB 0.11 0.00 3.63755 -113.774 -3.63755 3.63755 0.33 0.00058211 0.000541802 0.0417248 0.0388655 -1 -1 -1 -1 34 1905 19 6.89349e+06 310065 618332. 2139.56 0.78 0.15071 0.13128 25762 151098 -1 1729 20 1044 1450 88575 22251 3.04066 3.04066 -110.817 -3.04066 0 0 787024. 2723.27 0.03 0.05 0.12 -1 -1 0.03 0.0223184 0.0193403 109 29 58 29 24 24 + fixed_k6_frac_uripple_N8_22nm.xml mult_016.v common 6.48 vpr 63.55 MiB 0.05 7148 -1 -1 1 0.03 -1 -1 30420 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65072 32 32 401 315 1 256 92 17 17 289 -1 unnamed_device 24.4 MiB 2.55 1531 16859 5081 9680 2098 63.5 MiB 0.19 0.00 4.39629 -154.321 -4.39629 4.39629 0.33 0.000772822 0.000715626 0.0653816 0.0605141 -1 -1 -1 -1 28 3515 23 6.89349e+06 394628 531479. 1839.03 1.93 0.270115 0.235025 24610 126494 -1 3014 19 2269 3616 246411 56974 3.545 3.545 -146.114 -3.545 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0287686 0.0250609 171 63 64 32 62 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_017.v common 4.97 vpr 63.30 MiB 0.05 7100 -1 -1 1 0.03 -1 -1 30364 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 32 32 383 303 1 236 91 17 17 289 -1 unnamed_device 24.1 MiB 1.03 1254 16207 4737 8805 2665 63.3 MiB 0.17 0.00 4.01964 -137.676 -4.01964 4.01964 0.33 0.000741677 0.000689473 0.061909 0.0571592 -1 -1 -1 -1 28 3072 22 6.89349e+06 380534 531479. 1839.03 1.89 0.25921 0.225126 24610 126494 -1 2498 22 1853 2314 184102 44239 3.20205 3.20205 -130.935 -3.20205 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0321546 0.0278227 157 57 64 32 56 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_018.v common 5.06 vpr 63.07 MiB 0.04 6992 -1 -1 1 0.03 -1 -1 30072 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64580 32 32 339 284 1 224 89 17 17 289 -1 unnamed_device 24.1 MiB 1.50 1258 13157 3692 8051 1414 63.1 MiB 0.14 0.00 3.60335 -129.041 -3.60335 3.60335 0.33 0.000674635 0.000627831 0.0468074 0.043497 -1 -1 -1 -1 30 2881 25 6.89349e+06 352346 556674. 1926.21 1.70 0.234358 0.203026 25186 138497 -1 2182 17 1104 1497 91335 21903 2.66425 2.66425 -116.788 -2.66425 0 0 706193. 2443.58 0.03 0.06 0.13 -1 -1 0.03 0.0230353 0.0201227 137 65 29 29 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_019.v common 3.68 vpr 63.17 MiB 0.04 6828 -1 -1 1 0.03 -1 -1 30192 -1 -1 18 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64688 30 32 226 208 1 143 80 17 17 289 -1 unnamed_device 23.7 MiB 0.90 838 11776 3393 6972 1411 63.2 MiB 0.09 0.00 3.10286 -101.836 -3.10286 3.10286 0.33 0.00050415 0.000469789 0.0360115 0.0335082 -1 -1 -1 -1 26 1682 19 6.89349e+06 253689 503264. 1741.40 1.05 0.135675 0.117914 24322 120374 -1 1556 18 769 879 68164 16574 2.31947 2.31947 -97.434 -2.31947 0 0 618332. 2139.56 0.03 0.05 0.10 -1 -1 0.03 0.0180737 0.0156881 80 34 24 24 30 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_020.v common 4.34 vpr 63.24 MiB 0.05 7008 -1 -1 1 0.03 -1 -1 30472 -1 -1 24 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64760 31 32 335 280 1 217 87 17 17 289 -1 unnamed_device 24.0 MiB 1.66 1174 11223 2879 7192 1152 63.2 MiB 0.12 0.00 4.32035 -143.77 -4.32035 4.32035 0.33 0.000660483 0.000614364 0.0406654 0.0378133 -1 -1 -1 -1 32 2540 22 6.89349e+06 338252 586450. 2029.24 0.81 0.139364 0.121872 25474 144626 -1 2136 18 1187 1646 106119 25575 3.445 3.445 -138.062 -3.445 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0254304 0.0221108 139 64 31 31 62 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_021.v common 4.32 vpr 63.16 MiB 0.04 6976 -1 -1 1 0.03 -1 -1 30256 -1 -1 42 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64676 32 32 366 283 1 228 106 17 17 289 -1 unnamed_device 24.1 MiB 1.27 1198 19606 5729 9767 4110 63.2 MiB 0.17 0.00 4.66313 -155.697 -4.66313 4.66313 0.33 0.000727903 0.000675351 0.0597099 0.0552916 -1 -1 -1 -1 32 3108 38 6.89349e+06 591941 586450. 2029.24 1.17 0.210355 0.183773 25474 144626 -1 2180 21 1904 2743 175307 45584 4.05824 4.05824 -148.746 -4.05824 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0292887 0.0254756 167 34 91 32 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_022.v common 5.36 vpr 63.60 MiB 0.05 7268 -1 -1 1 0.03 -1 -1 30592 -1 -1 35 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65124 32 32 460 375 1 309 99 17 17 289 -1 unnamed_device 24.7 MiB 1.66 1609 14007 4059 8631 1317 63.6 MiB 0.17 0.00 4.86614 -162.07 -4.86614 4.86614 0.33 0.000854167 0.000786331 0.0555371 0.051482 -1 -1 -1 -1 28 3944 22 6.89349e+06 493284 531479. 1839.03 1.76 0.29624 0.2556 24610 126494 -1 3132 20 2114 2494 168249 40994 4.22515 4.22515 -156.51 -4.22515 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0321457 0.0278313 196 124 0 0 125 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_023.v common 3.57 vpr 62.91 MiB 0.04 6696 -1 -1 1 0.03 -1 -1 30564 -1 -1 20 26 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64420 26 32 198 186 1 129 78 17 17 289 -1 unnamed_device 23.5 MiB 0.74 637 12030 3326 7451 1253 62.9 MiB 0.09 0.00 2.88461 -78.8989 -2.88461 2.88461 0.33 0.000440092 0.000409523 0.0332636 0.0309327 -1 -1 -1 -1 24 1634 41 6.89349e+06 281877 470940. 1629.55 1.11 0.150845 0.130427 24034 113901 -1 1317 22 772 957 74343 18260 2.29195 2.29195 -79.8024 -2.29195 0 0 586450. 2029.24 0.03 0.05 0.09 -1 -1 0.03 0.0186709 0.0161636 76 30 26 26 22 22 + fixed_k6_frac_uripple_N8_22nm.xml mult_024.v common 4.70 vpr 63.17 MiB 0.04 6932 -1 -1 1 0.03 -1 -1 30116 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64688 32 32 333 251 1 196 87 17 17 289 -1 unnamed_device 23.9 MiB 1.16 1167 15063 4427 8897 1739 63.2 MiB 0.16 0.00 4.12784 -142.508 -4.12784 4.12784 0.33 0.000685681 0.000637517 0.0559723 0.0519633 -1 -1 -1 -1 32 2813 22 6.89349e+06 324158 586450. 2029.24 1.64 0.233566 0.203102 25474 144626 -1 2117 19 1337 2275 135687 32011 3.58075 3.58075 -138.09 -3.58075 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0254392 0.0221542 143 3 122 32 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_025.v common 3.28 vpr 62.93 MiB 0.04 6744 -1 -1 1 0.03 -1 -1 30488 -1 -1 13 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64444 32 32 199 182 1 122 77 17 17 289 -1 unnamed_device 23.5 MiB 0.48 758 9857 3324 5266 1267 62.9 MiB 0.08 0.00 2.24722 -87.4594 -2.24722 2.24722 0.33 0.0004674 0.000434708 0.0296772 0.0276125 -1 -1 -1 -1 30 1512 19 6.89349e+06 183220 556674. 1926.21 1.08 0.134196 0.116634 25186 138497 -1 1235 17 463 698 44396 10589 1.75616 1.75616 -83.6758 -1.75616 0 0 706193. 2443.58 0.03 0.04 0.11 -1 -1 0.03 0.0160881 0.0140619 72 3 53 32 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_026.v common 4.17 vpr 63.77 MiB 0.05 7028 -1 -1 1 0.03 -1 -1 30608 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65304 32 32 376 288 1 233 91 17 17 289 -1 unnamed_device 24.2 MiB 1.17 1168 13555 3574 8990 991 63.8 MiB 0.15 0.00 4.73011 -159.818 -4.73011 4.73011 0.33 0.000749456 0.000697181 0.051715 0.0480754 -1 -1 -1 -1 32 3172 41 6.89349e+06 380534 586450. 2029.24 1.08 0.194557 0.17019 25474 144626 -1 2214 20 1861 2669 170127 41472 3.89866 3.89866 -151.991 -3.89866 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0284247 0.0247428 158 34 96 32 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_027.v common 4.46 vpr 63.38 MiB 0.05 6980 -1 -1 1 0.03 -1 -1 30132 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64896 32 32 337 253 1 198 101 17 17 289 -1 unnamed_device 24.4 MiB 0.78 1124 9031 1841 6476 714 63.4 MiB 0.10 0.00 3.4888 -121.478 -3.4888 3.4888 0.33 0.00069141 0.000643026 0.0288 0.026754 -1 -1 -1 -1 26 2703 32 6.89349e+06 521472 503264. 1741.40 1.83 0.210315 0.181529 24322 120374 -1 2329 25 1648 2587 172707 40900 2.78661 2.78661 -120.803 -2.78661 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0319214 0.0276231 151 3 124 32 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_028.v common 5.58 vpr 63.46 MiB 0.05 7156 -1 -1 1 0.03 -1 -1 30596 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64980 32 32 407 319 1 264 94 17 17 289 -1 unnamed_device 24.2 MiB 1.96 1502 17773 5551 9919 2303 63.5 MiB 0.20 0.00 4.64215 -161.497 -4.64215 4.64215 0.33 0.000770465 0.000715798 0.0666924 0.0619586 -1 -1 -1 -1 30 3264 28 6.89349e+06 422815 556674. 1926.21 1.64 0.252643 0.220499 25186 138497 -1 2552 19 2024 2797 142241 36192 3.75146 3.75146 -152.492 -3.75146 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0284633 0.0247982 173 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_029.v common 4.01 vpr 63.67 MiB 0.04 6824 -1 -1 1 0.03 -1 -1 30084 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65200 32 32 294 246 1 188 83 17 17 289 -1 unnamed_device 24.3 MiB 1.36 1108 13403 4630 7095 1678 63.7 MiB 0.14 0.00 3.59005 -129.708 -3.59005 3.59005 0.33 0.000617113 0.000574729 0.0480705 0.044737 -1 -1 -1 -1 32 2442 21 6.89349e+06 267783 586450. 2029.24 0.78 0.140603 0.12352 25474 144626 -1 1997 19 1132 1634 114303 26570 2.74266 2.74266 -122.977 -2.74266 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0228836 0.0198641 116 34 54 32 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_030.v common 4.79 vpr 63.05 MiB 0.04 6980 -1 -1 1 0.03 -1 -1 30136 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64568 30 32 296 244 1 182 83 17 17 289 -1 unnamed_device 24.0 MiB 1.57 1078 12323 3284 7488 1551 63.1 MiB 0.13 0.00 4.27029 -140.501 -4.27029 4.27029 0.33 0.000623398 0.000580978 0.0441116 0.0410269 -1 -1 -1 -1 30 2160 33 6.89349e+06 295971 556674. 1926.21 1.38 0.194503 0.168642 25186 138497 -1 1847 19 1187 1829 102616 25341 3.3695 3.3695 -127.916 -3.3695 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0229967 0.0200005 120 34 60 30 30 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_031.v common 4.71 vpr 63.27 MiB 0.05 6880 -1 -1 1 0.03 -1 -1 30212 -1 -1 22 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64792 28 32 278 232 1 173 82 17 17 289 -1 unnamed_device 23.9 MiB 1.38 1003 14500 4764 7501 2235 63.3 MiB 0.14 0.00 4.23389 -129.733 -4.23389 4.23389 0.33 0.000582815 0.000542217 0.0495344 0.046131 -1 -1 -1 -1 32 2137 21 6.89349e+06 310065 586450. 2029.24 1.47 0.19217 0.167087 25474 144626 -1 1818 21 1106 1913 131028 30791 3.36645 3.36645 -121.548 -3.36645 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0235656 0.020402 116 34 56 28 28 28 + fixed_k6_frac_uripple_N8_22nm.xml mult_032.v common 4.02 vpr 63.31 MiB 0.05 6884 -1 -1 1 0.03 -1 -1 30284 -1 -1 19 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64828 32 32 283 225 1 168 83 17 17 289 -1 unnamed_device 23.6 MiB 1.31 995 9983 2978 5831 1174 63.3 MiB 0.11 0.00 3.60535 -128.599 -3.60535 3.60535 0.33 0.000608754 0.000566731 0.0364156 0.0339066 -1 -1 -1 -1 32 2253 45 6.89349e+06 267783 586450. 2029.24 0.90 0.160442 0.139464 25474 144626 -1 1932 18 1291 2131 173660 38323 2.91826 2.91826 -127.221 -2.91826 0 0 744469. 2576.02 0.04 0.08 0.12 -1 -1 0.04 0.0241167 0.0211408 115 3 96 32 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_033.v common 5.97 vpr 63.01 MiB 0.04 6968 -1 -1 1 0.03 -1 -1 30272 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64524 31 32 303 249 1 191 86 17 17 289 -1 unnamed_device 24.0 MiB 1.20 907 14828 6219 7954 655 63.0 MiB 0.15 0.00 3.98415 -131.57 -3.98415 3.98415 0.33 0.000637205 0.000592814 0.051749 0.0481409 -1 -1 -1 -1 28 3090 42 6.89349e+06 324158 531479. 1839.03 2.92 0.255636 0.221463 24610 126494 -1 2151 19 1466 2137 156655 40733 3.14066 3.14066 -126.902 -3.14066 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0235051 0.0204161 121 34 61 31 31 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_034.v common 4.43 vpr 63.15 MiB 0.05 7072 -1 -1 1 0.03 -1 -1 30212 -1 -1 25 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64664 29 32 312 264 1 201 86 17 17 289 -1 unnamed_device 23.9 MiB 1.17 1104 15773 5239 8417 2117 63.1 MiB 0.15 0.00 3.69355 -116.513 -3.69355 3.69355 0.33 0.000624524 0.000580633 0.0540431 0.0501986 -1 -1 -1 -1 28 2477 23 6.89349e+06 352346 531479. 1839.03 1.41 0.220149 0.191174 24610 126494 -1 2134 17 1208 1592 98897 24521 2.92316 2.92316 -114.783 -2.92316 0 0 648988. 2245.63 0.03 0.06 0.10 -1 -1 0.03 0.0213852 0.0185626 130 61 29 29 57 29 + fixed_k6_frac_uripple_N8_22nm.xml mult_035.v common 4.44 vpr 63.41 MiB 0.05 7212 -1 -1 1 0.03 -1 -1 30452 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64932 32 32 423 310 1 254 93 17 17 289 -1 unnamed_device 24.4 MiB 1.48 1413 16263 4557 9710 1996 63.4 MiB 0.19 0.00 4.60705 -160.408 -4.60705 4.60705 0.33 0.000831194 0.000773644 0.0667834 0.0621154 -1 -1 -1 -1 32 3577 21 6.89349e+06 408721 586450. 2029.24 0.96 0.193544 0.170406 25474 144626 -1 2751 24 1977 3326 230570 52809 4.13546 4.13546 -158.127 -4.13546 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0369246 0.0320388 182 29 128 32 27 27 + fixed_k6_frac_uripple_N8_22nm.xml mult_036.v common 4.59 vpr 63.32 MiB 0.04 7016 -1 -1 1 0.03 -1 -1 30476 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64840 32 32 403 317 1 260 95 17 17 289 -1 unnamed_device 24.2 MiB 1.72 1392 17591 5329 9738 2524 63.3 MiB 0.19 0.00 4.31284 -146.637 -4.31284 4.31284 0.33 0.000774603 0.000720274 0.0654588 0.060813 -1 -1 -1 -1 32 3241 22 6.89349e+06 436909 586450. 2029.24 0.88 0.183628 0.161716 25474 144626 -1 2696 24 2361 3229 210016 48755 3.71005 3.71005 -144.882 -3.71005 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0341768 0.029644 170 65 62 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_037.v common 4.63 vpr 63.12 MiB 0.05 7112 -1 -1 1 0.03 -1 -1 30520 -1 -1 26 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64636 31 32 353 302 1 229 89 17 17 289 -1 unnamed_device 24.1 MiB 1.01 1174 17117 5054 9590 2473 63.1 MiB 0.17 0.00 4.27349 -136.428 -4.27349 4.27349 0.33 0.000673424 0.000625974 0.0610171 0.0567298 -1 -1 -1 -1 28 2649 40 6.89349e+06 366440 531479. 1839.03 1.70 0.257642 0.22364 24610 126494 -1 2285 22 1476 1514 132852 31201 3.542 3.542 -133.017 -3.542 0 0 648988. 2245.63 0.03 0.07 0.11 -1 -1 0.03 0.0286726 0.0248909 141 90 0 0 89 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_038.v common 4.63 vpr 63.40 MiB 0.05 7044 -1 -1 1 0.03 -1 -1 30416 -1 -1 29 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64920 31 32 391 309 1 246 92 17 17 289 -1 unnamed_device 24.2 MiB 1.74 1212 16652 5219 8742 2691 63.4 MiB 0.18 0.00 4.34745 -142.274 -4.34745 4.34745 0.33 0.000744851 0.000691889 0.0624499 0.0579786 -1 -1 -1 -1 32 3054 24 6.89349e+06 408721 586450. 2029.24 0.94 0.181658 0.159628 25474 144626 -1 2387 19 1674 2467 156738 37808 3.3464 3.3464 -132.187 -3.3464 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0276911 0.0240751 165 64 60 30 62 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_039.v common 4.86 vpr 63.48 MiB 0.05 7328 -1 -1 1 0.03 -1 -1 30512 -1 -1 36 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65000 31 32 455 371 1 307 99 17 17 289 -1 unnamed_device 24.4 MiB 1.98 1619 14007 3983 8811 1213 63.5 MiB 0.16 0.00 5.61603 -182.894 -5.61603 5.61603 0.33 0.000832275 0.000774634 0.053946 0.0501431 -1 -1 -1 -1 32 3582 21 6.89349e+06 507378 586450. 2029.24 0.88 0.180924 0.15813 25474 144626 -1 2880 20 2016 2363 144419 35523 4.78054 4.78054 -173.054 -4.78054 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0322331 0.0279941 194 124 0 0 124 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_040.v common 6.35 vpr 63.35 MiB 0.05 7272 -1 -1 1 0.03 -1 -1 30360 -1 -1 32 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64868 31 32 413 333 1 269 95 17 17 289 -1 unnamed_device 24.3 MiB 2.26 1302 18023 6119 8529 3375 63.3 MiB 0.19 0.00 5.61203 -171.204 -5.61203 5.61203 0.34 0.000771287 0.000715793 0.0672472 0.0623553 -1 -1 -1 -1 36 3099 27 6.89349e+06 451003 648988. 2245.63 2.08 0.28886 0.250804 26050 158493 -1 2402 21 1937 2665 185598 45866 4.53014 4.53014 -156.122 -4.53014 0 0 828058. 2865.25 0.03 0.09 0.13 -1 -1 0.03 0.0313284 0.0272527 177 90 31 31 89 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_041.v common 5.27 vpr 63.21 MiB 0.04 7296 -1 -1 1 0.03 -1 -1 30352 -1 -1 30 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64724 31 32 391 309 1 249 93 17 17 289 -1 unnamed_device 24.1 MiB 1.91 1335 11643 3010 7631 1002 63.2 MiB 0.14 0.00 3.73835 -127.646 -3.73835 3.73835 0.33 0.000749903 0.000697828 0.0441064 0.0409674 -1 -1 -1 -1 28 3231 21 6.89349e+06 422815 531479. 1839.03 1.51 0.213146 0.185085 24610 126494 -1 2702 20 2118 2953 197736 47150 3.37841 3.37841 -130.818 -3.37841 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0287699 0.0250287 166 64 60 31 62 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_042.v common 6.67 vpr 63.38 MiB 0.05 7012 -1 -1 1 0.03 -1 -1 30460 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64904 32 32 407 319 1 264 95 17 17 289 -1 unnamed_device 24.2 MiB 1.69 1321 17375 4912 9885 2578 63.4 MiB 0.18 0.00 4.69935 -160.981 -4.69935 4.69935 0.33 0.000764524 0.0007107 0.0640032 0.0594802 -1 -1 -1 -1 32 3191 30 6.89349e+06 436909 586450. 2029.24 3.12 0.316059 0.274107 25474 144626 -1 2472 20 1782 2390 154709 37172 3.82836 3.82836 -151.701 -3.82836 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0292088 0.0254034 173 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_043.v common 7.30 vpr 63.67 MiB 0.05 7268 -1 -1 1 0.03 -1 -1 30584 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65196 32 32 496 380 1 321 102 17 17 289 -1 unnamed_device 24.7 MiB 2.27 1617 21046 7066 9863 4117 63.7 MiB 0.24 0.00 4.95684 -165.336 -4.95684 4.95684 0.33 0.000919816 0.000850276 0.0840658 0.0780089 -1 -1 -1 -1 38 3490 47 6.89349e+06 535566 678818. 2348.85 2.91 0.428733 0.371092 26626 170182 -1 2713 22 2547 3769 228342 56973 4.21169 4.21169 -161.117 -4.21169 0 0 902133. 3121.57 0.03 0.10 0.13 -1 -1 0.03 0.0384193 0.0333338 216 96 62 32 96 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_044.v common 4.61 vpr 62.87 MiB 0.03 6988 -1 -1 1 0.03 -1 -1 30472 -1 -1 22 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64376 31 32 305 250 1 192 85 17 17 289 -1 unnamed_device 23.8 MiB 1.39 1018 14035 3776 8207 2052 62.9 MiB 0.13 0.00 3.92826 -134.709 -3.92826 3.92826 0.33 0.000625157 0.000581642 0.0491373 0.0457311 -1 -1 -1 -1 28 2409 19 6.89349e+06 310065 531479. 1839.03 1.45 0.223622 0.193919 24610 126494 -1 2123 20 1578 2099 139534 33968 3.40031 3.40031 -134.27 -3.40031 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0244172 0.0211621 123 34 62 31 31 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_045.v common 5.18 vpr 63.95 MiB 0.05 7100 -1 -1 1 0.04 -1 -1 30336 -1 -1 32 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65480 31 32 395 311 1 251 95 17 17 289 -1 unnamed_device 24.2 MiB 1.68 1364 19319 7254 8544 3521 63.9 MiB 0.20 0.00 4.74758 -153.381 -4.74758 4.74758 0.33 0.000759544 0.000705631 0.0707404 0.0657061 -1 -1 -1 -1 38 3112 24 6.89349e+06 451003 678818. 2348.85 1.54 0.190311 0.167265 26626 170182 -1 2398 21 1510 1948 126010 30398 3.96829 3.96829 -144.498 -3.96829 0 0 902133. 3121.57 0.03 0.07 0.13 -1 -1 0.03 0.0298043 0.0258913 166 64 62 31 62 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_046.v common 6.73 vpr 63.22 MiB 0.05 7072 -1 -1 1 0.03 -1 -1 30732 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64740 32 32 397 313 1 254 95 17 17 289 -1 unnamed_device 24.1 MiB 1.85 1425 17591 5805 8903 2883 63.2 MiB 0.20 0.00 4.38803 -148.276 -4.38803 4.38803 0.33 0.000762318 0.000707989 0.0640892 0.0595205 -1 -1 -1 -1 32 3741 29 6.89349e+06 436909 586450. 2029.24 2.90 0.318736 0.276554 25474 144626 -1 2820 20 1566 2427 169423 39727 3.7225 3.7225 -145.272 -3.7225 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0298287 0.025975 167 63 62 32 62 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_047.v common 4.38 vpr 63.11 MiB 0.05 6928 -1 -1 1 0.03 -1 -1 30524 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64628 32 32 345 257 1 202 87 17 17 289 -1 unnamed_device 23.9 MiB 1.48 1031 15447 4315 9304 1828 63.1 MiB 0.17 0.00 4.33439 -148.096 -4.33439 4.33439 0.33 0.000714484 0.000664879 0.0588996 0.0547743 -1 -1 -1 -1 32 2938 21 6.89349e+06 324158 586450. 2029.24 0.94 0.168485 0.148438 25474 144626 -1 2281 21 1833 3376 204443 48930 3.7155 3.7155 -146.768 -3.7155 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.028405 0.0246727 147 3 128 32 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_048.v common 4.25 vpr 63.40 MiB 0.05 7216 -1 -1 1 0.03 -1 -1 30400 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64920 32 32 424 343 1 279 97 17 17 289 -1 unnamed_device 24.4 MiB 1.52 1376 9421 2058 6901 462 63.4 MiB 0.12 0.00 4.14194 -139.815 -4.14194 4.14194 0.33 0.000788364 0.000732582 0.0357386 0.0331929 -1 -1 -1 -1 32 3020 26 6.89349e+06 465097 586450. 2029.24 0.82 0.158831 0.138211 25474 144626 -1 2394 20 1699 2067 129434 33299 3.2054 3.2054 -127.276 -3.2054 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0303312 0.026392 179 96 25 25 96 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_049.v common 5.62 vpr 63.30 MiB 0.05 7244 -1 -1 1 0.03 -1 -1 30332 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 32 32 395 311 1 254 93 17 17 289 -1 unnamed_device 24.2 MiB 1.86 1242 14373 4261 8616 1496 63.3 MiB 0.17 0.00 4.68725 -151.204 -4.68725 4.68725 0.34 0.000762633 0.000709053 0.0550292 0.0510399 -1 -1 -1 -1 32 3448 32 6.89349e+06 408721 586450. 2029.24 1.78 0.275478 0.23914 25474 144626 -1 2472 20 1612 2362 155174 37470 3.7836 3.7836 -147.377 -3.7836 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0296358 0.0258275 167 61 64 32 60 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_050.v common 4.74 vpr 63.24 MiB 0.05 7072 -1 -1 1 0.03 -1 -1 30488 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64756 32 32 405 318 1 260 95 17 17 289 -1 unnamed_device 24.1 MiB 1.85 1252 12191 3101 7534 1556 63.2 MiB 0.15 0.00 3.78419 -129.469 -3.78419 3.78419 0.33 0.00079187 0.00072806 0.0472966 0.0437765 -1 -1 -1 -1 32 3311 28 6.89349e+06 436909 586450. 2029.24 0.95 0.172651 0.150769 25474 144626 -1 2402 21 1984 2798 176024 42671 3.03951 3.03951 -124.585 -3.03951 0 0 744469. 2576.02 0.03 0.08 0.11 -1 -1 0.03 0.0308384 0.026777 170 65 63 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_051.v common 5.15 vpr 63.29 MiB 0.05 7072 -1 -1 1 0.03 -1 -1 30544 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64812 32 32 376 288 1 233 91 17 17 289 -1 unnamed_device 24.2 MiB 1.38 1197 17227 4483 11076 1668 63.3 MiB 0.18 0.00 4.71221 -161.604 -4.71221 4.71221 0.33 0.00074126 0.000689748 0.0645954 0.0600582 -1 -1 -1 -1 28 3213 26 6.89349e+06 380534 531479. 1839.03 1.83 0.274765 0.239509 24610 126494 -1 2584 21 2043 3018 220047 52848 4.30576 4.30576 -164.821 -4.30576 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0294759 0.0256114 158 34 96 32 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_052.v common 4.10 vpr 63.45 MiB 0.05 7120 -1 -1 1 0.03 -1 -1 30676 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64968 32 32 407 319 1 264 94 17 17 289 -1 unnamed_device 24.2 MiB 1.24 1287 17560 5260 9766 2534 63.4 MiB 0.19 0.00 4.61695 -159.301 -4.61695 4.61695 0.33 0.000770336 0.000715521 0.0662211 0.0615105 -1 -1 -1 -1 32 3123 24 6.89349e+06 422815 586450. 2029.24 0.90 0.187256 0.165024 25474 144626 -1 2361 21 1963 2471 161919 38308 4.20126 4.20126 -157.145 -4.20126 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0304301 0.0264374 171 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_053.v common 4.45 vpr 63.45 MiB 0.05 7380 -1 -1 1 0.03 -1 -1 30600 -1 -1 34 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64976 31 32 449 367 1 300 97 17 17 289 -1 unnamed_device 24.4 MiB 1.43 1772 16969 4754 10043 2172 63.5 MiB 0.20 0.00 5.00444 -155.974 -5.00444 5.00444 0.33 0.000817193 0.000759438 0.065395 0.0607398 -1 -1 -1 -1 32 3750 28 6.89349e+06 479191 586450. 2029.24 1.01 0.210292 0.183782 25474 144626 -1 2954 19 1905 2321 158743 38562 4.32115 4.32115 -153.544 -4.32115 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0306875 0.0266628 190 122 0 0 122 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_054.v common 5.21 vpr 63.43 MiB 0.05 7208 -1 -1 1 0.03 -1 -1 30348 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64948 32 32 432 346 1 287 97 17 17 289 -1 unnamed_device 24.4 MiB 2.27 1518 19411 5751 10849 2811 63.4 MiB 0.21 0.00 4.63635 -157.089 -4.63635 4.63635 0.33 0.000802934 0.000746199 0.0726542 0.0674916 -1 -1 -1 -1 32 3638 25 6.89349e+06 465097 586450. 2029.24 0.93 0.197583 0.173877 25474 144626 -1 2817 21 2363 3370 191706 47832 3.99926 3.99926 -152.22 -3.99926 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0321177 0.0279026 186 94 32 32 94 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_055.v common 5.30 vpr 63.05 MiB 0.04 6820 -1 -1 1 0.03 -1 -1 30696 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64560 32 32 312 255 1 198 87 17 17 289 -1 unnamed_device 23.9 MiB 1.46 962 8535 2096 5846 593 63.0 MiB 0.10 0.00 3.77191 -131.209 -3.77191 3.77191 0.33 0.000639605 0.000595534 0.030471 0.0283584 -1 -1 -1 -1 28 2983 35 6.89349e+06 324158 531479. 1839.03 1.99 0.215215 0.185502 24610 126494 -1 2121 20 1382 1865 122483 31038 3.14066 3.14066 -129.07 -3.14066 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0247318 0.0214956 125 34 63 32 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_056.v common 4.12 vpr 63.29 MiB 0.04 6912 -1 -1 1 0.03 -1 -1 30396 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64804 32 32 370 314 1 249 90 17 17 289 -1 unnamed_device 24.2 MiB 1.29 1358 15567 4475 8819 2273 63.3 MiB 0.17 0.00 4.35745 -146.602 -4.35745 4.35745 0.33 0.000711092 0.000661316 0.0571496 0.0530748 -1 -1 -1 -1 32 3170 24 6.89349e+06 366440 586450. 2029.24 1.03 0.195182 0.170548 25474 144626 -1 2528 24 1646 1922 161672 37838 3.61169 3.61169 -140.917 -3.61169 0 0 744469. 2576.02 0.03 0.05 0.08 -1 -1 0.03 0.0165514 0.0144948 148 94 0 0 94 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_057.v common 6.55 vpr 64.16 MiB 0.03 7388 -1 -1 1 0.03 -1 -1 30796 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65700 32 32 469 351 1 298 98 17 17 289 -1 unnamed_device 24.4 MiB 1.61 1611 18773 6032 10434 2307 64.2 MiB 0.22 0.00 5.32819 -181.208 -5.32819 5.32819 0.33 0.000881779 0.000820461 0.0762387 0.0708878 -1 -1 -1 -1 28 4331 38 6.89349e+06 479191 531479. 1839.03 2.87 0.350662 0.305276 24610 126494 -1 3420 24 2912 4047 288452 71455 5.1581 5.1581 -193.815 -5.1581 0 0 648988. 2245.63 0.03 0.12 0.10 -1 -1 0.03 0.0398314 0.0344306 202 65 96 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_058.v common 3.99 vpr 63.18 MiB 0.05 7052 -1 -1 1 0.04 -1 -1 30548 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64692 32 32 368 284 1 225 90 17 17 289 -1 unnamed_device 24.1 MiB 1.17 1065 11748 3163 7762 823 63.2 MiB 0.14 0.00 3.82 -129.667 -3.82 3.82 0.33 0.000728354 0.000677457 0.0449102 0.0417487 -1 -1 -1 -1 34 2622 24 6.89349e+06 366440 618332. 2139.56 0.98 0.187458 0.163164 25762 151098 -1 2082 19 1560 2262 126074 32981 2.88186 2.88186 -122.428 -2.88186 0 0 787024. 2723.27 0.03 0.07 0.12 -1 -1 0.03 0.0269576 0.0234409 151 34 92 32 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_059.v common 4.18 vpr 62.98 MiB 0.04 7036 -1 -1 1 0.03 -1 -1 30400 -1 -1 34 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64492 30 32 296 244 1 183 96 17 17 289 -1 unnamed_device 23.9 MiB 0.99 1065 10827 2630 7184 1013 63.0 MiB 0.11 0.00 4.28819 -133.732 -4.28819 4.28819 0.33 0.000620962 0.000574826 0.0325883 0.0302153 -1 -1 -1 -1 26 2530 28 6.89349e+06 479191 503264. 1741.40 1.35 0.182638 0.157298 24322 120374 -1 2242 28 1520 2635 216315 62883 3.7564 3.7564 -135.697 -3.7564 0 0 618332. 2139.56 0.03 0.10 0.10 -1 -1 0.03 0.032201 0.0277818 129 34 60 30 30 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_060.v common 5.68 vpr 64.02 MiB 0.05 7436 -1 -1 1 0.04 -1 -1 30900 -1 -1 39 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65560 32 32 531 413 1 356 103 17 17 289 -1 unnamed_device 25.0 MiB 2.20 1973 21552 6975 11898 2679 64.0 MiB 0.29 0.00 6.49481 -214.549 -6.49481 6.49481 0.33 0.000956296 0.000887927 0.0879429 0.0816438 -1 -1 -1 -1 32 4754 49 6.89349e+06 549660 586450. 2029.24 1.33 0.302547 0.264497 25474 144626 -1 3626 19 2684 3381 245763 60782 5.74388 5.74388 -209.908 -5.74388 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0354734 0.0308974 230 127 32 32 128 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_061.v common 4.80 vpr 63.18 MiB 0.05 7076 -1 -1 1 0.03 -1 -1 30448 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64700 32 32 376 288 1 225 90 17 17 289 -1 unnamed_device 24.1 MiB 1.09 1228 16974 4785 10461 1728 63.2 MiB 0.18 0.00 4.44639 -155.101 -4.44639 4.44639 0.33 0.000747652 0.000695557 0.0652856 0.0606932 -1 -1 -1 -1 30 2788 24 6.89349e+06 366440 556674. 1926.21 1.59 0.240185 0.210238 25186 138497 -1 2313 21 1772 2460 154590 35823 3.9319 3.9319 -147.023 -3.9319 0 0 706193. 2443.58 0.03 0.08 0.11 -1 -1 0.03 0.0298267 0.0259619 155 34 96 32 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_062.v common 3.24 vpr 63.30 MiB 0.04 6752 -1 -1 1 0.03 -1 -1 30296 -1 -1 34 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 32 32 283 225 1 168 98 17 17 289 -1 unnamed_device 23.9 MiB 0.75 904 18098 6531 8926 2641 63.3 MiB 0.16 0.00 3.65561 -128.53 -3.65561 3.65561 0.33 0.000613376 0.000569861 0.0512734 0.0475675 -1 -1 -1 -1 30 2226 22 6.89349e+06 479191 556674. 1926.21 0.64 0.12446 0.109937 25186 138497 -1 1749 18 1136 1845 119501 27603 2.86466 2.86466 -120.25 -2.86466 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0215073 0.0186895 123 3 96 32 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_063.v common 4.79 vpr 63.38 MiB 0.05 7312 -1 -1 1 0.03 -1 -1 30800 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64900 32 32 438 320 1 267 95 17 17 289 -1 unnamed_device 24.4 MiB 1.56 1501 17807 4939 10901 1967 63.4 MiB 0.23 0.00 5.46259 -184.464 -5.46259 5.46259 0.33 0.000849969 0.000791236 0.0728097 0.0677385 -1 -1 -1 -1 32 3872 46 6.89349e+06 436909 586450. 2029.24 1.16 0.237545 0.208277 25474 144626 -1 2984 23 2248 3385 241015 56567 5.0328 5.0328 -185.031 -5.0328 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0366065 0.0317044 188 34 128 32 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_064.v common 3.90 vpr 63.39 MiB 0.04 6936 -1 -1 1 0.03 -1 -1 30248 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64912 32 32 283 225 1 168 82 17 17 289 -1 unnamed_device 23.7 MiB 1.31 894 13610 5225 7262 1123 63.4 MiB 0.13 0.00 3.61335 -129.478 -3.61335 3.61335 0.33 0.000616373 0.00057349 0.0489363 0.0455634 -1 -1 -1 -1 32 2299 22 6.89349e+06 253689 586450. 2029.24 0.80 0.142003 0.124912 25474 144626 -1 1818 19 1402 2342 149181 35719 2.92106 2.92106 -124.168 -2.92106 0 0 744469. 2576.02 0.03 0.04 0.09 -1 -1 0.03 0.0127971 0.011263 114 3 96 32 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_065.v common 4.25 vpr 62.95 MiB 0.04 6912 -1 -1 1 0.03 -1 -1 30112 -1 -1 22 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64456 30 32 296 244 1 185 84 17 17 289 -1 unnamed_device 23.9 MiB 0.78 983 15090 5268 7800 2022 62.9 MiB 0.14 0.00 3.71935 -122.134 -3.71935 3.71935 0.34 0.00061946 0.000576334 0.0528802 0.0492205 -1 -1 -1 -1 32 2249 38 6.89349e+06 310065 586450. 2029.24 1.53 0.220572 0.19161 25474 144626 -1 1759 21 1240 1711 103616 25757 3.17801 3.17801 -120.108 -3.17801 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0247395 0.0214495 118 34 60 30 30 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_066.v common 5.14 vpr 63.39 MiB 0.04 7176 -1 -1 1 0.03 -1 -1 30320 -1 -1 33 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64908 29 32 393 319 1 252 94 17 17 289 -1 unnamed_device 24.2 MiB 1.33 1341 18199 5258 10538 2403 63.4 MiB 0.19 0.00 4.13664 -130.224 -4.13664 4.13664 0.33 0.000738038 0.000685287 0.0654014 0.0607404 -1 -1 -1 -1 28 3301 35 6.89349e+06 465097 531479. 1839.03 1.81 0.277343 0.241104 24610 126494 -1 2504 21 1727 2312 165397 39519 3.4561 3.4561 -128.16 -3.4561 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0298808 0.0259522 168 88 29 29 85 29 + fixed_k6_frac_uripple_N8_22nm.xml mult_067.v common 5.48 vpr 63.34 MiB 0.05 7240 -1 -1 1 0.03 -1 -1 30784 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64856 32 32 407 319 1 264 95 17 17 289 -1 unnamed_device 24.2 MiB 1.82 1221 12407 3290 7924 1193 63.3 MiB 0.14 0.00 5.16911 -173.806 -5.16911 5.16911 0.33 0.000779363 0.000724302 0.0469731 0.0436453 -1 -1 -1 -1 32 3125 20 6.89349e+06 436909 586450. 2029.24 1.72 0.282038 0.243868 25474 144626 -1 2420 23 2196 3091 179353 44427 4.73405 4.73405 -171.972 -4.73405 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0334452 0.0290599 173 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_068.v common 4.95 vpr 63.94 MiB 0.05 7044 -1 -1 1 0.03 -1 -1 30656 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65476 32 32 407 319 1 264 95 17 17 289 -1 unnamed_device 24.2 MiB 1.80 1231 8951 1983 6660 308 63.9 MiB 0.12 0.00 5.05324 -167.397 -5.05324 5.05324 0.35 0.000775867 0.000720909 0.0346246 0.0321574 -1 -1 -1 -1 34 3282 24 6.89349e+06 436909 618332. 2139.56 1.12 0.191107 0.165711 25762 151098 -1 2448 20 2092 2934 200786 47072 4.79705 4.79705 -174.942 -4.79705 0 0 787024. 2723.27 0.03 0.09 0.12 -1 -1 0.03 0.0299895 0.0261215 175 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_069.v common 4.03 vpr 63.32 MiB 0.04 6980 -1 -1 1 0.03 -1 -1 30524 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64840 32 32 345 287 1 220 89 17 17 289 -1 unnamed_device 24.3 MiB 1.22 1016 14741 4173 7811 2757 63.3 MiB 0.15 0.00 4.27829 -143.109 -4.27829 4.27829 0.34 0.000678002 0.000630406 0.0526872 0.0489865 -1 -1 -1 -1 32 2726 35 6.89349e+06 352346 586450. 2029.24 0.83 0.168639 0.147749 25474 144626 -1 1899 20 1232 1423 98620 23951 3.3857 3.3857 -129.596 -3.3857 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0263435 0.0228985 136 65 32 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_070.v common 5.11 vpr 63.30 MiB 0.05 7028 -1 -1 1 0.03 -1 -1 30468 -1 -1 26 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 31 32 353 302 1 231 89 17 17 289 -1 unnamed_device 24.2 MiB 1.47 1227 8999 2014 6221 764 63.3 MiB 0.11 0.00 4.43069 -143.689 -4.43069 4.43069 0.33 0.000689588 0.000641809 0.0330719 0.0307387 -1 -1 -1 -1 28 3099 22 6.89349e+06 366440 531479. 1839.03 1.75 0.220305 0.189725 24610 126494 -1 2588 21 1666 2118 145227 36584 3.9119 3.9119 -140.139 -3.9119 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0274964 0.0238227 142 90 0 0 89 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_071.v common 4.96 vpr 63.36 MiB 0.05 7140 -1 -1 1 0.03 -1 -1 30432 -1 -1 31 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64880 30 32 374 297 1 236 93 17 17 289 -1 unnamed_device 24.3 MiB 1.29 1263 17943 5889 9476 2578 63.4 MiB 0.19 0.00 3.98278 -131.19 -3.98278 3.98278 0.33 0.000719207 0.000668391 0.0640912 0.0594873 -1 -1 -1 -1 26 3455 39 6.89349e+06 436909 503264. 1741.40 1.74 0.275059 0.239402 24322 120374 -1 2762 21 1913 2858 208201 47445 3.41131 3.41131 -134.426 -3.41131 0 0 618332. 2139.56 0.03 0.08 0.10 -1 -1 0.03 0.0291052 0.0252606 161 60 60 30 57 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_072.v common 3.73 vpr 63.24 MiB 0.05 7080 -1 -1 1 0.03 -1 -1 30392 -1 -1 27 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64756 28 32 332 260 1 203 87 17 17 289 -1 unnamed_device 24.0 MiB 0.99 936 12375 3003 7042 2330 63.2 MiB 0.13 0.00 4.59085 -131.386 -4.59085 4.59085 0.33 0.000669455 0.000622708 0.0462473 0.0431111 -1 -1 -1 -1 32 2579 22 6.89349e+06 380534 586450. 2029.24 0.86 0.145096 0.127288 25474 144626 -1 1932 16 1168 1740 102968 26808 4.35596 4.35596 -134.764 -4.35596 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0216679 0.0189282 142 34 84 28 28 28 + fixed_k6_frac_uripple_N8_22nm.xml mult_073.v common 6.88 vpr 63.06 MiB 0.05 7032 -1 -1 1 0.03 -1 -1 30208 -1 -1 24 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64572 30 32 325 273 1 208 86 17 17 289 -1 unnamed_device 23.8 MiB 1.75 1061 14639 6063 7932 644 63.1 MiB 0.15 0.00 4.67543 -143.963 -4.67543 4.67543 0.33 0.000646754 0.000601523 0.0523043 0.0486486 -1 -1 -1 -1 30 3104 46 6.89349e+06 338252 556674. 1926.21 3.18 0.235055 0.204448 25186 138497 -1 2284 21 1485 2041 154074 36200 3.492 3.492 -132 -3.492 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0259833 0.0225035 131 63 30 30 60 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_074.v common 5.67 vpr 63.28 MiB 0.04 6932 -1 -1 1 0.03 -1 -1 30320 -1 -1 26 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64800 32 32 361 308 1 245 90 17 17 289 -1 unnamed_device 24.2 MiB 1.39 1306 14361 4140 7938 2283 63.3 MiB 0.15 0.00 4.25449 -145.014 -4.25449 4.25449 0.33 0.000701879 0.00065219 0.0519651 0.0482643 -1 -1 -1 -1 28 3359 38 6.89349e+06 366440 531479. 1839.03 2.29 0.254873 0.220716 24610 126494 -1 2678 27 1972 2454 207890 58002 3.3777 3.3777 -136.729 -3.3777 0 0 648988. 2245.63 0.03 0.10 0.10 -1 -1 0.03 0.034048 0.029393 144 91 0 0 91 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_075.v common 6.10 vpr 63.39 MiB 0.05 7068 -1 -1 1 0.03 -1 -1 30148 -1 -1 37 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64916 31 32 335 251 1 197 100 17 17 289 -1 unnamed_device 24.2 MiB 0.88 932 17268 5400 7852 4016 63.4 MiB 0.15 0.00 4.36065 -141.282 -4.36065 4.36065 0.33 0.000677334 0.000636904 0.053142 0.0493526 -1 -1 -1 -1 38 2606 24 6.89349e+06 521472 678818. 2348.85 3.24 0.271053 0.235051 26626 170182 -1 1904 22 1514 2505 135634 35539 3.7954 3.7954 -136.365 -3.7954 0 0 902133. 3121.57 0.03 0.07 0.14 -1 -1 0.03 0.0285338 0.0247684 151 4 124 31 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_076.v common 4.15 vpr 63.36 MiB 0.05 7044 -1 -1 1 0.03 -1 -1 30664 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64884 32 32 407 319 1 257 95 17 17 289 -1 unnamed_device 24.1 MiB 1.23 1229 17159 5016 9107 3036 63.4 MiB 0.19 0.00 4.99134 -165.206 -4.99134 4.99134 0.33 0.000773122 0.000718229 0.0640156 0.0594667 -1 -1 -1 -1 32 3406 25 6.89349e+06 436909 586450. 2029.24 0.92 0.190822 0.167761 25474 144626 -1 2440 21 1752 2374 150680 37604 4.26115 4.26115 -154.42 -4.26115 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.031063 0.027014 170 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_077.v common 4.94 vpr 63.34 MiB 0.05 7144 -1 -1 1 0.03 -1 -1 30452 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64864 32 32 407 319 1 256 93 17 17 289 -1 unnamed_device 24.1 MiB 1.76 1343 17523 6044 8876 2603 63.3 MiB 0.21 0.00 5.21987 -175.438 -5.21987 5.21987 0.33 0.000793805 0.000738438 0.0699394 0.0650226 -1 -1 -1 -1 34 3482 23 6.89349e+06 408721 618332. 2139.56 1.15 0.226204 0.198608 25762 151098 -1 2595 22 2237 3192 208751 50859 4.34518 4.34518 -165.902 -4.34518 0 0 787024. 2723.27 0.03 0.09 0.12 -1 -1 0.03 0.0327952 0.028519 172 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_078.v common 4.56 vpr 63.19 MiB 0.02 7244 -1 -1 1 0.03 -1 -1 30420 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64704 32 32 399 315 1 257 93 17 17 289 -1 unnamed_device 24.1 MiB 1.76 1404 17523 6159 8784 2580 63.2 MiB 0.20 0.00 4.56713 -153.013 -4.56713 4.56713 0.33 0.000761838 0.000706965 0.066083 0.0613463 -1 -1 -1 -1 32 3702 24 6.89349e+06 408721 586450. 2029.24 0.94 0.184324 0.162289 25474 144626 -1 2700 21 1764 2529 155980 37292 3.8129 3.8129 -145.514 -3.8129 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0305139 0.0265566 169 65 60 30 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_079.v common 4.25 vpr 63.10 MiB 0.05 6980 -1 -1 1 0.03 -1 -1 30448 -1 -1 21 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64616 30 32 296 244 1 185 83 17 17 289 -1 unnamed_device 24.1 MiB 1.09 999 11963 3206 7400 1357 63.1 MiB 0.12 0.00 4.11194 -131.981 -4.11194 4.11194 0.34 0.000622359 0.000579722 0.0429193 0.039954 -1 -1 -1 -1 30 2219 20 6.89349e+06 295971 556674. 1926.21 1.29 0.194284 0.16852 25186 138497 -1 1868 18 1140 1614 101876 24036 3.12985 3.12985 -120.641 -3.12985 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0221432 0.019271 118 34 60 30 30 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_080.v common 4.50 vpr 63.24 MiB 0.05 7092 -1 -1 1 0.03 -1 -1 30372 -1 -1 28 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64760 30 32 383 303 1 241 90 17 17 289 -1 unnamed_device 24.1 MiB 1.72 1228 13155 3873 7280 2002 63.2 MiB 0.15 0.00 4.93324 -157.041 -4.93324 4.93324 0.33 0.000751741 0.000691132 0.050637 0.0470458 -1 -1 -1 -1 32 2906 20 6.89349e+06 394628 586450. 2029.24 0.83 0.160762 0.141025 25474 144626 -1 2210 21 1731 2408 157236 37134 4.29948 4.29948 -153.884 -4.29948 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0301868 0.0262566 163 63 60 30 60 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_081.v common 4.51 vpr 63.45 MiB 0.05 7280 -1 -1 1 0.03 -1 -1 30856 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64972 32 32 469 381 1 317 101 17 17 289 -1 unnamed_device 24.6 MiB 1.51 1556 20311 6671 10794 2846 63.4 MiB 0.22 0.00 4.55227 -153.096 -4.55227 4.55227 0.33 0.000854029 0.000793378 0.0763947 0.0709616 -1 -1 -1 -1 32 3851 33 6.89349e+06 521472 586450. 2029.24 0.94 0.225399 0.197688 25474 144626 -1 2740 20 1902 1902 137859 33419 4.0387 4.0387 -153.979 -4.0387 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0326715 0.0283189 196 127 0 0 128 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_082.v common 5.46 vpr 63.44 MiB 0.02 7272 -1 -1 1 0.03 -1 -1 30772 -1 -1 35 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64964 31 32 425 341 1 280 98 17 17 289 -1 unnamed_device 24.5 MiB 1.69 1433 19898 6263 10776 2859 63.4 MiB 0.21 0.00 5.19789 -171.346 -5.19789 5.19789 0.33 0.00078494 0.000729042 0.0718066 0.0666239 -1 -1 -1 -1 28 3483 21 6.89349e+06 493284 531479. 1839.03 1.93 0.279576 0.243742 24610 126494 -1 2768 19 2123 2590 197715 46849 4.66075 4.66075 -169.956 -4.66075 0 0 648988. 2245.63 0.02 0.05 0.07 -1 -1 0.02 0.0159056 0.0140709 184 94 31 31 93 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_083.v common 6.21 vpr 63.36 MiB 0.05 7224 -1 -1 1 0.03 -1 -1 30488 -1 -1 33 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64876 30 32 404 328 1 261 95 17 17 289 -1 unnamed_device 24.2 MiB 1.60 1466 16943 5275 9110 2558 63.4 MiB 0.19 0.00 4.58423 -145.605 -4.58423 4.58423 0.34 0.000753953 0.000700732 0.0625049 0.0579699 -1 -1 -1 -1 28 3595 39 6.89349e+06 465097 531479. 1839.03 2.61 0.296221 0.256997 24610 126494 -1 2856 23 2400 3459 235720 55615 3.8522 3.8522 -142.438 -3.8522 0 0 648988. 2245.63 0.03 0.10 0.10 -1 -1 0.03 0.033048 0.0286617 174 92 26 26 90 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_084.v common 5.88 vpr 63.41 MiB 0.05 7072 -1 -1 1 0.03 -1 -1 30592 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64936 32 32 407 319 1 264 94 17 17 289 -1 unnamed_device 24.3 MiB 1.86 1438 16921 4532 10127 2262 63.4 MiB 0.20 0.00 5.09969 -174.568 -5.09969 5.09969 0.33 0.000769951 0.000714253 0.0638066 0.0591767 -1 -1 -1 -1 26 3901 31 6.89349e+06 422815 503264. 1741.40 2.01 0.263499 0.229188 24322 120374 -1 3079 22 2458 3494 309356 69378 4.58385 4.58385 -176.85 -4.58385 0 0 618332. 2139.56 0.03 0.11 0.10 -1 -1 0.03 0.0326723 0.0283961 174 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_085.v common 5.01 vpr 63.24 MiB 0.05 7284 -1 -1 1 0.03 -1 -1 30360 -1 -1 34 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64756 29 32 387 316 1 251 95 17 17 289 -1 unnamed_device 24.1 MiB 1.48 1300 13919 3823 7524 2572 63.2 MiB 0.15 0.00 4.50731 -134.302 -4.50731 4.50731 0.34 0.000837393 0.000785725 0.0499097 0.0463459 -1 -1 -1 -1 28 3058 30 6.89349e+06 479191 531479. 1839.03 1.59 0.25121 0.217412 24610 126494 -1 2557 19 1761 2383 146907 36045 3.9319 3.9319 -131.067 -3.9319 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0276474 0.0240476 169 88 26 26 85 29 + fixed_k6_frac_uripple_N8_22nm.xml mult_086.v common 3.46 vpr 63.39 MiB 0.02 6852 -1 -1 1 0.03 -1 -1 30232 -1 -1 18 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64908 32 32 283 225 1 168 82 17 17 289 -1 unnamed_device 23.7 MiB 0.79 953 15034 4497 9060 1477 63.4 MiB 0.15 0.00 3.60415 -127.643 -3.60415 3.60415 0.33 0.000610265 0.000567997 0.0533095 0.049604 -1 -1 -1 -1 32 2236 44 6.89349e+06 253689 586450. 2029.24 0.89 0.181995 0.158961 25474 144626 -1 1894 20 1208 1986 122611 29252 2.96316 2.96316 -124.924 -2.96316 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0237067 0.020599 114 3 96 32 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_087.v common 4.83 vpr 63.48 MiB 0.05 7052 -1 -1 1 0.03 -1 -1 30336 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65008 32 32 407 319 1 259 94 17 17 289 -1 unnamed_device 24.3 MiB 1.63 1301 16069 5243 8056 2770 63.5 MiB 0.18 0.00 5.15687 -172.128 -5.15687 5.15687 0.33 0.000791386 0.000735263 0.0613103 0.0569259 -1 -1 -1 -1 34 3362 22 6.89349e+06 422815 618332. 2139.56 1.15 0.219914 0.1925 25762 151098 -1 2671 23 2330 3274 223930 53683 4.50619 4.50619 -169.447 -4.50619 0 0 787024. 2723.27 0.03 0.10 0.12 -1 -1 0.03 0.0341173 0.0296211 172 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_088.v common 5.11 vpr 63.35 MiB 0.05 7044 -1 -1 1 0.03 -1 -1 30520 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64868 32 32 407 319 1 263 94 17 17 289 -1 unnamed_device 24.2 MiB 2.20 1383 17134 5303 9222 2609 63.3 MiB 0.19 0.00 5.10782 -172.27 -5.10782 5.10782 0.33 0.000773712 0.000717737 0.0647863 0.0601172 -1 -1 -1 -1 32 3271 22 6.89349e+06 422815 586450. 2029.24 0.89 0.182116 0.160392 25474 144626 -1 2692 19 2085 2832 175192 41819 4.52939 4.52939 -167.419 -4.52939 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0285049 0.0248437 172 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_089.v common 4.92 vpr 63.04 MiB 0.05 6948 -1 -1 1 0.03 -1 -1 30472 -1 -1 24 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64548 32 32 315 267 1 204 88 17 17 289 -1 unnamed_device 23.9 MiB 1.05 986 9838 2323 6939 576 63.0 MiB 0.10 0.00 3.75491 -121.625 -3.75491 3.75491 0.33 0.000649994 0.000603938 0.0340968 0.0316787 -1 -1 -1 -1 28 2822 25 6.89349e+06 338252 531479. 1839.03 1.99 0.224225 0.192873 24610 126494 -1 2192 21 1376 1671 132502 32852 3.01905 3.01905 -120.319 -3.01905 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0258106 0.0223522 124 55 32 32 54 27 + fixed_k6_frac_uripple_N8_22nm.xml mult_090.v common 4.47 vpr 63.21 MiB 0.05 6924 -1 -1 1 0.03 -1 -1 30396 -1 -1 18 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64724 31 32 275 220 1 164 81 17 17 289 -1 unnamed_device 23.6 MiB 1.07 803 9881 3191 5068 1622 63.2 MiB 0.09 0.00 3.59935 -120.624 -3.59935 3.59935 0.33 0.000605233 0.000564063 0.0355859 0.033138 -1 -1 -1 -1 32 2124 35 6.89349e+06 253689 586450. 2029.24 1.56 0.21792 0.187665 25474 144626 -1 1628 20 1203 1831 121960 30492 3.23576 3.23576 -119.48 -3.23576 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0233256 0.0202238 112 4 93 31 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_091.v common 4.85 vpr 63.33 MiB 0.05 7160 -1 -1 1 0.03 -1 -1 30312 -1 -1 28 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64852 32 32 381 303 1 240 92 17 17 289 -1 unnamed_device 24.2 MiB 1.15 1231 13754 4153 8203 1398 63.3 MiB 0.15 0.00 4.56339 -148.311 -4.56339 4.56339 0.33 0.000740831 0.0006887 0.0515433 0.0478362 -1 -1 -1 -1 28 3040 24 6.89349e+06 394628 531479. 1839.03 1.72 0.275416 0.238331 24610 126494 -1 2614 23 1820 2296 164135 40448 4.0873 4.0873 -145.854 -4.0873 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0315638 0.027321 156 59 60 32 58 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_092.v common 4.37 vpr 63.26 MiB 0.05 7092 -1 -1 1 0.03 -1 -1 30320 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64776 32 32 406 330 1 263 94 17 17 289 -1 unnamed_device 24.1 MiB 1.51 1455 19051 5691 11227 2133 63.3 MiB 0.21 0.00 5.10064 -164.689 -5.10064 5.10064 0.34 0.000760737 0.000706418 0.0712494 0.0660923 -1 -1 -1 -1 32 3059 22 6.89349e+06 422815 586450. 2029.24 0.84 0.186754 0.164719 25474 144626 -1 2431 23 1381 1687 115118 26879 4.35525 4.35525 -154.65 -4.35525 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0330337 0.0286946 170 88 28 28 88 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_093.v common 4.00 vpr 63.39 MiB 0.05 7172 -1 -1 1 0.03 -1 -1 30468 -1 -1 40 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64916 32 32 399 285 1 232 104 17 17 289 -1 unnamed_device 24.2 MiB 0.88 1512 14012 4050 8858 1104 63.4 MiB 0.18 0.00 4.95818 -166.989 -4.95818 4.95818 0.33 0.000796918 0.000738904 0.0477977 0.0443417 -1 -1 -1 -1 32 3643 28 6.89349e+06 563754 586450. 2029.24 1.15 0.199029 0.173637 25474 144626 -1 2795 21 2045 3563 248050 55674 4.52739 4.52739 -164.832 -4.52739 0 0 744469. 2576.02 0.03 0.06 0.08 -1 -1 0.03 0.0174675 0.0154246 183 3 156 32 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_094.v common 5.04 vpr 63.23 MiB 0.05 7136 -1 -1 1 0.03 -1 -1 30472 -1 -1 30 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64744 30 32 371 295 1 235 92 17 17 289 -1 unnamed_device 24.2 MiB 1.41 1274 13754 3736 8486 1532 63.2 MiB 0.15 0.00 3.8892 -128.511 -3.8892 3.8892 0.33 0.000715673 0.000665352 0.049825 0.0462927 -1 -1 -1 -1 26 3360 29 6.89349e+06 422815 503264. 1741.40 1.71 0.227955 0.197953 24322 120374 -1 2820 22 2197 3057 229433 54158 3.46771 3.46771 -130.583 -3.46771 0 0 618332. 2139.56 0.03 0.09 0.10 -1 -1 0.03 0.0303952 0.0263778 158 59 60 30 56 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_095.v common 3.60 vpr 63.38 MiB 0.05 6976 -1 -1 1 0.03 -1 -1 30512 -1 -1 24 27 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64904 27 32 269 226 1 168 83 17 17 289 -1 unnamed_device 23.7 MiB 0.96 956 15383 5553 7748 2082 63.4 MiB 0.13 0.00 4.28255 -124.134 -4.28255 4.28255 0.33 0.000565974 0.000526678 0.050326 0.0468003 -1 -1 -1 -1 32 1977 22 6.89349e+06 338252 586450. 2029.24 0.75 0.135097 0.118716 25474 144626 -1 1666 19 1072 1475 101861 23787 3.3154 3.3154 -114.123 -3.3154 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0213835 0.0185413 111 34 54 27 27 27 + fixed_k6_frac_uripple_N8_22nm.xml mult_096.v common 7.03 vpr 64.32 MiB 0.05 7344 -1 -1 1 0.03 -1 -1 30696 -1 -1 37 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65864 32 32 493 378 1 323 101 17 17 289 -1 unnamed_device 24.8 MiB 2.10 1711 21016 6090 11876 3050 64.3 MiB 0.26 0.00 5.16558 -170.704 -5.16558 5.16558 0.33 0.000913659 0.000846877 0.0846392 0.0783937 -1 -1 -1 -1 32 4331 33 6.89349e+06 521472 586450. 2029.24 2.79 0.413235 0.358695 25474 144626 -1 3141 23 2679 3717 237089 56822 4.35035 4.35035 -159.807 -4.35035 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0389575 0.0337529 214 95 62 31 95 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_097.v common 5.11 vpr 63.48 MiB 0.05 7340 -1 -1 1 0.03 -1 -1 30528 -1 -1 36 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65000 31 32 455 371 1 306 99 17 17 289 -1 unnamed_device 24.4 MiB 2.18 1530 18111 4703 10999 2409 63.5 MiB 0.20 0.00 5.39849 -173.565 -5.39849 5.39849 0.34 0.000830768 0.000772299 0.0690701 0.0641451 -1 -1 -1 -1 32 3522 24 6.89349e+06 507378 586450. 2029.24 0.93 0.206103 0.180603 25474 144626 -1 2692 17 1682 1961 116141 28758 4.59485 4.59485 -166.108 -4.59485 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0287519 0.025065 197 124 0 0 124 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_098.v common 4.81 vpr 63.27 MiB 0.04 7112 -1 -1 1 0.03 -1 -1 30524 -1 -1 25 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64784 32 32 355 304 1 244 89 17 17 289 -1 unnamed_device 24.2 MiB 1.43 1277 9989 2458 6925 606 63.3 MiB 0.11 0.00 4.48385 -143.454 -4.48385 4.48385 0.34 0.000690008 0.000638492 0.0376752 0.0348434 -1 -1 -1 -1 30 2753 21 6.89349e+06 352346 556674. 1926.21 1.44 0.19703 0.170514 25186 138497 -1 2325 18 1389 1653 105535 25688 3.503 3.503 -135.401 -3.503 0 0 706193. 2443.58 0.03 0.06 0.11 -1 -1 0.03 0.0246385 0.0214264 142 89 0 0 89 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_099.v common 5.60 vpr 63.30 MiB 0.04 7060 -1 -1 1 0.03 -1 -1 30340 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 32 32 364 282 1 225 91 17 17 289 -1 unnamed_device 24.2 MiB 1.23 1048 16819 5318 7516 3985 63.3 MiB 0.16 0.00 4.57705 -148.481 -4.57705 4.57705 0.33 0.000724115 0.000672291 0.0621396 0.0577167 -1 -1 -1 -1 46 2077 20 6.89349e+06 380534 828058. 2865.25 2.35 0.291018 0.253188 28066 200906 -1 1634 18 1082 1468 82283 22465 3.43046 3.43046 -127.102 -3.43046 0 0 1.01997e+06 3529.29 0.04 0.06 0.16 -1 -1 0.04 0.0260204 0.0227537 151 34 90 30 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_100.v common 4.61 vpr 63.41 MiB 0.05 7388 -1 -1 1 0.03 -1 -1 30688 -1 -1 34 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64928 31 32 443 336 1 280 97 17 17 289 -1 unnamed_device 24.4 MiB 1.66 1262 12529 3266 8074 1189 63.4 MiB 0.15 0.00 4.60415 -149.607 -4.60415 4.60415 0.33 0.00084441 0.000786287 0.0504941 0.0469379 -1 -1 -1 -1 32 3108 22 6.89349e+06 479191 586450. 2029.24 0.95 0.185711 0.162314 25474 144626 -1 2528 20 2147 2931 187016 46161 3.95686 3.95686 -148.549 -3.95686 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0329992 0.0286644 193 64 87 31 62 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_101.v common 5.24 vpr 63.38 MiB 0.05 7212 -1 -1 1 0.03 -1 -1 30544 -1 -1 31 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64900 30 32 373 297 1 235 93 17 17 289 -1 unnamed_device 24.3 MiB 1.59 1280 9123 2032 6368 723 63.4 MiB 0.11 0.00 4.31529 -136.602 -4.31529 4.31529 0.33 0.000715531 0.00066539 0.0333655 0.0310222 -1 -1 -1 -1 26 3364 39 6.89349e+06 436909 503264. 1741.40 1.73 0.221834 0.192062 24322 120374 -1 2814 23 1870 2862 227087 53176 3.951 3.951 -143.979 -3.951 0 0 618332. 2139.56 0.03 0.09 0.10 -1 -1 0.03 0.0311967 0.0270283 158 61 58 30 58 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_102.v common 4.57 vpr 63.33 MiB 0.05 6996 -1 -1 1 0.03 -1 -1 30560 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64848 32 32 407 319 1 260 95 17 17 289 -1 unnamed_device 24.2 MiB 1.42 1472 16727 4441 10328 1958 63.3 MiB 0.20 0.00 5.00918 -169.315 -5.00918 5.00918 0.34 0.000777798 0.000722286 0.0624693 0.0580171 -1 -1 -1 -1 34 3292 22 6.89349e+06 436909 618332. 2139.56 1.11 0.216065 0.189044 25762 151098 -1 2629 21 1998 2805 192059 45266 4.16885 4.16885 -157.757 -4.16885 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0309497 0.0269004 170 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_103.v common 5.55 vpr 63.48 MiB 0.05 7144 -1 -1 1 0.03 -1 -1 30468 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65008 32 32 405 318 1 260 97 17 17 289 -1 unnamed_device 24.3 MiB 1.70 1403 15637 4655 9354 1628 63.5 MiB 0.18 0.00 3.73345 -133.49 -3.73345 3.73345 0.33 0.000787346 0.000731513 0.056894 0.05281 -1 -1 -1 -1 26 3640 40 6.89349e+06 465097 503264. 1741.40 1.84 0.274144 0.238077 24322 120374 -1 3073 20 2295 3149 235476 54709 3.60121 3.60121 -140.467 -3.60121 0 0 618332. 2139.56 0.03 0.09 0.10 -1 -1 0.03 0.0301593 0.0262489 172 65 63 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_104.v common 3.69 vpr 63.41 MiB 0.05 6828 -1 -1 1 0.03 -1 -1 30480 -1 -1 23 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64932 29 32 287 238 1 178 84 17 17 289 -1 unnamed_device 24.0 MiB 0.92 753 14907 5576 6751 2580 63.4 MiB 0.13 0.00 3.831 -117.329 -3.831 3.831 0.33 0.00060551 0.000563981 0.0513937 0.0478521 -1 -1 -1 -1 32 2165 25 6.89349e+06 324158 586450. 2029.24 0.84 0.151921 0.133256 25474 144626 -1 1559 20 1409 1830 122009 30591 3.06071 3.06071 -112.057 -3.06071 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0231868 0.0200793 115 34 58 29 29 29 + fixed_k6_frac_uripple_N8_22nm.xml mult_105.v common 5.52 vpr 63.20 MiB 0.04 6912 -1 -1 1 0.03 -1 -1 30248 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64712 32 32 334 290 1 222 87 17 17 289 -1 unnamed_device 24.0 MiB 1.22 1101 13911 5707 7810 394 63.2 MiB 0.15 0.00 4.70868 -138.961 -4.70868 4.70868 0.33 0.000660298 0.00061411 0.0499288 0.0463986 -1 -1 -1 -1 28 3386 41 6.89349e+06 324158 531479. 1839.03 2.34 0.275515 0.237922 24610 126494 -1 2352 21 1582 1941 158764 38836 3.72789 3.72789 -131.335 -3.72789 0 0 648988. 2245.63 0.03 0.07 0.10 -1 -1 0.03 0.0266964 0.0231769 130 82 0 0 82 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_106.v common 5.66 vpr 63.27 MiB 0.05 7124 -1 -1 1 0.03 -1 -1 30420 -1 -1 27 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64784 31 32 365 281 1 225 90 17 17 289 -1 unnamed_device 24.2 MiB 1.18 1228 15969 6328 8558 1083 63.3 MiB 0.18 0.00 4.59795 -152.579 -4.59795 4.59795 0.33 0.000721376 0.000670425 0.0597423 0.0554649 -1 -1 -1 -1 28 3523 28 6.89349e+06 380534 531479. 1839.03 2.52 0.275601 0.239758 24610 126494 -1 2641 23 2147 3086 224661 53143 4.0872 4.0872 -155.217 -4.0872 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.0314458 0.0272588 152 34 93 31 31 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_107.v common 3.42 vpr 63.04 MiB 0.04 7064 -1 -1 1 0.04 -1 -1 30468 -1 -1 24 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64548 29 32 297 254 1 193 85 17 17 289 -1 unnamed_device 23.9 MiB 0.91 904 10687 2892 7156 639 63.0 MiB 0.06 0.00 4.03874 -117.334 -4.03874 4.03874 0.25 0.000274653 0.000253471 0.017126 0.0157725 -1 -1 -1 -1 30 2115 25 6.89349e+06 338252 556674. 1926.21 0.94 0.089276 0.0765998 25186 138497 -1 1657 19 1004 1170 66159 17247 3.19315 3.19315 -107.863 -3.19315 0 0 706193. 2443.58 0.03 0.05 0.11 -1 -1 0.03 0.0225087 0.0195391 117 56 29 29 52 26 + fixed_k6_frac_uripple_N8_22nm.xml mult_108.v common 5.31 vpr 63.13 MiB 0.04 6920 -1 -1 1 0.03 -1 -1 30220 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64644 32 32 314 256 1 194 85 17 17 289 -1 unnamed_device 23.9 MiB 1.53 1169 12733 4095 6614 2024 63.1 MiB 0.13 0.00 3.8782 -135.142 -3.8782 3.8782 0.34 0.000657222 0.000612326 0.0469677 0.0437456 -1 -1 -1 -1 28 2823 24 6.89349e+06 295971 531479. 1839.03 1.85 0.231118 0.200661 24610 126494 -1 2360 20 1668 2279 200006 44131 3.38931 3.38931 -137.549 -3.38931 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0249365 0.0215969 123 34 64 32 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_109.v common 5.67 vpr 63.39 MiB 0.05 7108 -1 -1 1 0.03 -1 -1 30416 -1 -1 29 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64912 31 32 387 307 1 244 92 17 17 289 -1 unnamed_device 24.2 MiB 1.75 1268 16652 5558 8745 2349 63.4 MiB 0.18 0.00 4.36484 -143.839 -4.36484 4.36484 0.33 0.000745649 0.000692421 0.0626172 0.0581734 -1 -1 -1 -1 28 3192 22 6.89349e+06 408721 531479. 1839.03 1.94 0.270943 0.235581 24610 126494 -1 2762 21 2177 2939 216914 52041 3.78855 3.78855 -145.228 -3.78855 0 0 648988. 2245.63 0.03 0.09 0.10 -1 -1 0.03 0.030277 0.0263235 163 64 58 31 62 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_110.v common 3.71 vpr 63.01 MiB 0.05 6956 -1 -1 1 0.03 -1 -1 30348 -1 -1 23 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64524 31 32 308 262 1 197 86 17 17 289 -1 unnamed_device 23.9 MiB 1.12 1033 8024 1908 5498 618 63.0 MiB 0.09 0.00 3.26322 -110.856 -3.26322 3.26322 0.33 0.000624769 0.000581931 0.0287737 0.02679 -1 -1 -1 -1 32 2294 21 6.89349e+06 324158 586450. 2029.24 0.75 0.122989 0.106947 25474 144626 -1 1890 19 1223 1604 114667 26092 2.80416 2.80416 -109.599 -2.80416 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0233149 0.020238 120 55 31 31 53 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_111.v common 4.28 vpr 63.20 MiB 0.05 7000 -1 -1 1 0.03 -1 -1 30536 -1 -1 29 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64716 32 32 383 307 1 242 93 17 17 289 -1 unnamed_device 24.1 MiB 1.47 1349 15633 4537 9470 1626 63.2 MiB 0.17 0.00 4.27335 -143.588 -4.27335 4.27335 0.33 0.000738162 0.000686711 0.0574041 0.0533138 -1 -1 -1 -1 32 2749 20 6.89349e+06 408721 586450. 2029.24 0.81 0.163497 0.14397 25474 144626 -1 2229 20 1429 1997 120982 29167 3.4197 3.4197 -133.477 -3.4197 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0287162 0.0249683 159 65 52 26 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_112.v common 5.14 vpr 63.30 MiB 0.05 7116 -1 -1 1 0.03 -1 -1 30324 -1 -1 34 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 31 32 422 339 1 277 97 17 17 289 -1 unnamed_device 24.3 MiB 2.10 1494 17857 4959 10473 2425 63.3 MiB 0.19 0.00 4.95752 -160.544 -4.95752 4.95752 0.33 0.000790984 0.000729164 0.0660838 0.0613083 -1 -1 -1 -1 32 3380 37 6.89349e+06 479191 586450. 2029.24 0.98 0.203951 0.178889 25474 144626 -1 2768 24 2235 3288 194372 48363 3.94839 3.94839 -150.679 -3.94839 0 0 744469. 2576.02 0.03 0.09 0.12 -1 -1 0.03 0.0353469 0.030628 183 93 31 31 92 31 + fixed_k6_frac_uripple_N8_22nm.xml mult_113.v common 5.35 vpr 63.02 MiB 0.05 6860 -1 -1 1 0.03 -1 -1 30392 -1 -1 23 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64532 32 32 333 279 1 216 87 17 17 289 -1 unnamed_device 23.8 MiB 2.09 1217 9879 2720 6405 754 63.0 MiB 0.11 0.00 3.54325 -124.984 -3.54325 3.54325 0.33 0.000660706 0.000614519 0.0362522 0.0337014 -1 -1 -1 -1 30 2687 20 6.89349e+06 324158 556674. 1926.21 1.36 0.188275 0.162908 25186 138497 -1 2129 16 1092 1526 86472 21544 2.8315 2.8315 -116.981 -2.8315 0 0 706193. 2443.58 0.03 0.05 0.11 -1 -1 0.03 0.0217635 0.0189492 133 61 32 32 60 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_114.v common 4.83 vpr 63.34 MiB 0.04 6896 -1 -1 1 0.03 -1 -1 30120 -1 -1 22 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64856 32 32 339 283 1 218 86 17 17 289 -1 unnamed_device 24.3 MiB 0.86 980 8591 2112 5922 557 63.3 MiB 0.11 0.00 3.8741 -128.661 -3.8741 3.8741 0.33 0.000673254 0.00062665 0.0326141 0.0303366 -1 -1 -1 -1 28 3027 43 6.89349e+06 310065 531479. 1839.03 2.11 0.240563 0.206551 24610 126494 -1 2382 22 1731 2107 166869 42558 3.37841 3.37841 -133.561 -3.37841 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0279856 0.0242131 132 63 32 32 62 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_115.v common 5.50 vpr 63.46 MiB 0.05 7048 -1 -1 1 0.03 -1 -1 30848 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64988 32 32 407 319 1 264 94 17 17 289 -1 unnamed_device 24.3 MiB 1.25 1282 15643 3802 10271 1570 63.5 MiB 0.19 0.00 4.6143 -156.82 -4.6143 4.6143 0.33 0.000778574 0.00072427 0.058865 0.0547005 -1 -1 -1 -1 30 3171 38 6.89349e+06 422815 556674. 1926.21 2.22 0.25593 0.222346 25186 138497 -1 2462 19 1846 2253 127632 31903 4.11336 4.11336 -155.135 -4.11336 0 0 706193. 2443.58 0.03 0.07 0.11 -1 -1 0.03 0.0282964 0.0246222 170 65 64 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_116.v common 3.71 vpr 63.23 MiB 0.02 7196 -1 -1 1 0.03 -1 -1 30668 -1 -1 29 29 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64744 29 32 367 293 1 233 90 17 17 289 -1 unnamed_device 24.1 MiB 1.17 1203 10542 2870 6902 770 63.2 MiB 0.07 0.00 3.69745 -116.178 -3.69745 3.69745 0.25 0.000317735 0.000292613 0.0181715 0.016705 -1 -1 -1 -1 26 3071 25 6.89349e+06 408721 503264. 1741.40 1.06 0.111552 0.0958251 24322 120374 -1 2431 22 1447 1929 143218 33711 3.6346 3.6346 -123.643 -3.6346 0 0 618332. 2139.56 0.03 0.07 0.10 -1 -1 0.03 0.0295972 0.0256664 157 62 56 29 58 29 + fixed_k6_frac_uripple_N8_22nm.xml mult_117.v common 4.58 vpr 63.48 MiB 0.05 7368 -1 -1 1 0.03 -1 -1 30620 -1 -1 36 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65008 32 32 469 381 1 319 100 17 17 289 -1 unnamed_device 24.6 MiB 1.67 1619 20980 6509 11700 2771 63.5 MiB 0.23 0.00 5.95273 -194.585 -5.95273 5.95273 0.33 0.000877655 0.000817481 0.0813071 0.075645 -1 -1 -1 -1 32 3836 25 6.89349e+06 507378 586450. 2029.24 0.98 0.218024 0.191939 25474 144626 -1 3124 22 2235 2607 187434 43473 5.32864 5.32864 -192.219 -5.32864 0 0 744469. 2576.02 0.03 0.05 0.08 -1 -1 0.03 0.0192747 0.0169113 197 127 0 0 128 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_118.v common 3.21 vpr 63.28 MiB 0.05 6932 -1 -1 1 0.03 -1 -1 30224 -1 -1 17 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64796 31 32 259 212 1 155 80 17 17 289 -1 unnamed_device 23.6 MiB 0.82 745 8336 2043 5166 1127 63.3 MiB 0.09 0.00 2.99217 -101.039 -2.99217 2.99217 0.33 0.000574136 0.000534957 0.0295149 0.0274887 -1 -1 -1 -1 32 1906 32 6.89349e+06 239595 586450. 2029.24 0.58 0.106354 0.0929425 25474 144626 -1 1632 21 1057 1694 108711 26729 2.72261 2.72261 -106.292 -2.72261 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.0230958 0.0199982 104 4 85 31 0 0 + fixed_k6_frac_uripple_N8_22nm.xml mult_119.v common 4.92 vpr 63.41 MiB 0.05 7168 -1 -1 1 0.03 -1 -1 30340 -1 -1 31 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64936 32 32 418 338 1 273 95 17 17 289 -1 unnamed_device 24.5 MiB 1.64 1217 9599 2333 5862 1404 63.4 MiB 0.12 0.00 5.60308 -177.161 -5.60308 5.60308 0.33 0.000803468 0.000746944 0.037283 0.0345942 -1 -1 -1 -1 34 3214 39 6.89349e+06 436909 618332. 2139.56 1.29 0.211084 0.182635 25762 151098 -1 2321 20 1852 2491 152053 38190 5.20054 5.20054 -174.876 -5.20054 0 0 787024. 2723.27 0.03 0.08 0.12 -1 -1 0.03 0.0301735 0.0262642 178 92 28 28 92 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_120.v common 5.59 vpr 63.27 MiB 0.04 7000 -1 -1 1 0.03 -1 -1 30132 -1 -1 27 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64784 32 32 376 318 1 259 91 17 17 289 -1 unnamed_device 24.2 MiB 1.80 1321 16615 4991 9246 2378 63.3 MiB 0.18 0.00 5.02744 -166.554 -5.02744 5.02744 0.33 0.000715759 0.000664942 0.0607316 0.0563724 -1 -1 -1 -1 32 3248 26 6.89349e+06 380534 586450. 2029.24 1.87 0.254283 0.220939 25474 144626 -1 2576 20 1850 2349 165532 38600 4.36539 4.36539 -162.705 -4.36539 0 0 744469. 2576.02 0.03 0.08 0.12 -1 -1 0.03 0.0275449 0.0239539 153 96 0 0 96 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_121.v common 5.63 vpr 63.23 MiB 0.05 7160 -1 -1 1 0.03 -1 -1 30320 -1 -1 30 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64752 32 32 401 316 1 253 94 17 17 289 -1 unnamed_device 24.1 MiB 1.68 1306 19051 6211 10037 2803 63.2 MiB 0.21 0.00 3.73835 -130.804 -3.73835 3.73835 0.33 0.000763021 0.000709152 0.0711454 0.0660209 -1 -1 -1 -1 28 3343 23 6.89349e+06 422815 531479. 1839.03 1.95 0.281782 0.244986 24610 126494 -1 2689 21 1843 2447 192473 46184 3.1084 3.1084 -130.26 -3.1084 0 0 648988. 2245.63 0.03 0.08 0.10 -1 -1 0.03 0.0311229 0.0270762 168 65 61 32 64 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_122.v common 4.83 vpr 63.66 MiB 0.05 7360 -1 -1 1 0.03 -1 -1 30772 -1 -1 38 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65192 32 32 500 382 1 322 102 17 17 289 -1 unnamed_device 24.8 MiB 1.53 1462 15096 4398 9006 1692 63.7 MiB 0.19 0.00 5.86158 -190.116 -5.86158 5.86158 0.33 0.000919181 0.000854379 0.061316 0.0569405 -1 -1 -1 -1 32 4699 41 6.89349e+06 535566 586450. 2029.24 1.25 0.266879 0.23192 25474 144626 -1 3190 22 2412 2931 198387 48002 5.40169 5.40169 -188.235 -5.40169 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0382229 0.0331721 214 96 64 32 96 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_123.v common 3.79 vpr 63.16 MiB 0.04 6768 -1 -1 1 0.03 -1 -1 30240 -1 -1 19 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64672 30 32 246 229 1 160 81 17 17 289 -1 unnamed_device 23.6 MiB 0.90 892 12856 4155 7039 1662 63.2 MiB 0.10 0.00 3.53735 -105.472 -3.53735 3.53735 0.33 0.000533269 0.000496561 0.040837 0.038018 -1 -1 -1 -1 30 1687 20 6.89349e+06 267783 556674. 1926.21 1.08 0.160413 0.138837 25186 138497 -1 1417 14 544 570 40251 9509 2.31396 2.31396 -91.0321 -2.31396 0 0 706193. 2443.58 0.03 0.04 0.11 -1 -1 0.03 0.0156975 0.0136917 88 56 0 0 53 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_124.v common 3.92 vpr 62.93 MiB 0.05 7080 -1 -1 1 0.03 -1 -1 30492 -1 -1 23 30 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64444 30 32 296 244 1 181 85 17 17 289 -1 unnamed_device 23.8 MiB 1.29 886 13105 4113 6709 2283 62.9 MiB 0.12 0.00 4.28025 -136.671 -4.28025 4.28025 0.33 0.000613731 0.000571122 0.0450939 0.0419413 -1 -1 -1 -1 32 2067 23 6.89349e+06 324158 586450. 2029.24 0.78 0.137946 0.120725 25474 144626 -1 1700 19 1159 1686 109933 26904 3.31165 3.31165 -125.274 -3.31165 0 0 744469. 2576.02 0.03 0.06 0.12 -1 -1 0.03 0.022771 0.0197669 123 34 60 30 30 30 + fixed_k6_frac_uripple_N8_22nm.xml mult_125.v common 4.76 vpr 63.23 MiB 0.04 6816 -1 -1 1 0.03 -1 -1 30112 -1 -1 21 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64744 32 32 314 256 1 199 85 17 17 289 -1 unnamed_device 24.0 MiB 1.78 1163 14407 4027 8826 1554 63.2 MiB 0.15 0.00 4.37635 -153.345 -4.37635 4.37635 0.33 0.000649324 0.000604396 0.0520894 0.0484335 -1 -1 -1 -1 32 3043 47 6.89349e+06 295971 586450. 2029.24 1.08 0.17418 0.152423 25474 144626 -1 2472 18 1486 2592 197683 43427 3.7754 3.7754 -146.81 -3.7754 0 0 744469. 2576.02 0.03 0.07 0.12 -1 -1 0.03 0.0230032 0.0200354 126 34 64 32 32 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_126.v common 4.35 vpr 63.06 MiB 0.05 6988 -1 -1 1 0.03 -1 -1 30404 -1 -1 26 25 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64572 25 32 251 214 1 162 83 17 17 289 -1 unnamed_device 23.6 MiB 0.98 688 11423 2933 7572 918 63.1 MiB 0.10 0.00 3.8262 -99.1533 -3.8262 3.8262 0.33 0.000535906 0.00049956 0.035518 0.0331009 -1 -1 -1 -1 28 2060 38 6.89349e+06 366440 531479. 1839.03 1.52 0.18858 0.162195 24610 126494 -1 1597 20 1045 1416 94744 24504 3.09471 3.09471 -100.32 -3.09471 0 0 648988. 2245.63 0.03 0.05 0.11 -1 -1 0.03 0.0207982 0.0179176 103 34 50 25 25 25 + fixed_k6_frac_uripple_N8_22nm.xml mult_127.v common 5.31 vpr 63.52 MiB 0.05 7212 -1 -1 1 0.03 -1 -1 30608 -1 -1 33 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65044 32 32 432 346 1 288 97 17 17 289 -1 unnamed_device 24.5 MiB 2.27 1580 18079 5345 10673 2061 63.5 MiB 0.21 0.00 4.63015 -159.985 -4.63015 4.63015 0.33 0.000798293 0.00074155 0.0678044 0.0629363 -1 -1 -1 -1 32 3820 28 6.89349e+06 465097 586450. 2029.24 1.01 0.196504 0.17261 25474 144626 -1 3049 22 2642 3823 268741 61061 3.84746 3.84746 -153.108 -3.84746 0 0 744469. 2576.02 0.03 0.10 0.12 -1 -1 0.03 0.0334057 0.028964 187 94 32 32 94 32 + fixed_k6_frac_uripple_N8_22nm.xml mult_128.v common 5.98 vpr 63.41 MiB 0.05 7280 -1 -1 1 0.03 -1 -1 30368 -1 -1 34 31 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64932 31 32 421 339 1 274 97 17 17 289 -1 unnamed_device 24.4 MiB 2.09 1424 7645 1561 5653 431 63.4 MiB 0.10 0.00 4.77128 -155.482 -4.77128 4.77128 0.33 0.000785282 0.00072944 0.0294522 0.0273626 -1 -1 -1 -1 36 3008 22 6.89349e+06 479191 648988. 2245.63 1.97 0.23972 0.206091 26050 158493 -1 2694 17 1684 2423 159887 38092 4.07659 4.07659 -150.939 -4.07659 0 0 828058. 2865.25 0.03 0.07 0.13 -1 -1 0.03 0.0270512 0.0236163 182 94 29 29 93 31 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/open_cores/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/open_cores/config/golden_results.txt index 1cd9c9c84b1..4e15e0febf8 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/open_cores/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/open_cores/config/golden_results.txt @@ -1,7 +1,7 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - k6_N8_gate_boost_0.2V_22nm.xml Md5Core.v common 598.66 vpr 960.23 MiB 13.68 133976 -1 -1 27 17.69 -1 -1 143016 -1 -1 5856 641 0 0 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 983276 641 128 52347 52475 1 24532 6625 91 91 8281 clb auto 357.7 MiB 160.79 318332 960.2 MiB 89.62 0.73 12.6254 -34290.9 -12.6254 12.6254 72.13 0.0592375 0.0517226 8.48988 7.13926 68 455834 31 2.5209e+08 7.05985e+07 3.55803e+07 4296.62 157.20 31.0104 26.6124 421084 16 109170 237403 17951291 3376200 13.6905 13.6905 -36287.5 -13.6905 0 0 4.43124e+07 5351.09 22.01 7.24 3.1936 2.8713 42271 15098 -1 -1 -1 -1 - k6_N8_gate_boost_0.2V_22nm.xml cordic.v common 2.70 vpr 62.27 MiB 0.03 9516 -1 -1 11 0.24 -1 -1 37588 -1 -1 46 54 0 0 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 63768 54 51 469 520 1 312 151 10 10 100 clb auto 24.4 MiB 0.10 2353 62.3 MiB 0.07 0.00 5.08149 -205.596 -5.08149 5.08149 0.17 0.000392086 0.000311852 0.015759 0.0132278 50 5234 25 1.91864e+06 554530 264954. 2649.54 1.11 0.148127 0.128494 4614 22 1947 8386 484081 113201 5.49532 5.49532 -235.774 -5.49532 0 0 317040. 3170.40 0.08 0.11 0.03397 0.0308444 359 359 -1 -1 -1 -1 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml Md5Core.v common 721.72 vpr 993.43 MiB 13.23 119524 -1 -1 1 4.23 -1 -1 173176 -1 -1 5778 641 0 0 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1017272 641 128 63843 53443 1 24926 6547 90 90 8100 clb auto 410.9 MiB 27.41 304069 991.9 MiB 226.58 1.31 7.15186 -24073.5 -7.15186 7.15186 71.60 0.0585901 0.0478535 9.32155 7.68188 84 399830 26 2.53171e+08 7.25663e+07 4.14494e+07 5117.21 284.66 36.1117 30.8063 377918 28 85229 152359 14087442 2558840 6.0606 6.0606 -24169.7 -6.0606 0 0 5.22475e+07 6450.30 26.97 7.77 4.41712 3.91642 43425 3440 12624 36 0 0 - k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml cordic.v common 4.43 vpr 62.77 MiB 0.03 9708 -1 -1 4 0.16 -1 -1 37160 -1 -1 42 54 0 0 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64280 54 51 517 516 1 303 147 10 10 100 clb auto 24.8 MiB 1.39 2083 62.8 MiB 0.08 0.00 4.02436 -195.095 -4.02436 4.02436 0.17 0.000342444 0.000276702 0.0186213 0.015713 54 4284 26 1.94278e+06 527436 279084. 2790.84 1.66 0.190374 0.164594 3699 14 1415 5494 270401 63439 4.06651 4.06651 -209.119 -4.06651 0 0 343682. 3436.82 0.09 0.07 0.0271413 0.0252567 315 291 60 18 54 18 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml Md5Core.v common 601.64 vpr 995.39 MiB 13.26 119624 -1 -1 1 3.96 -1 -1 173248 -1 -1 5777 641 0 0 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1019280 641 128 63843 53443 1 24852 6546 90 90 8100 clb auto 418.2 MiB 43.82 300188 995.4 MiB 232.78 1.24 6.78969 -23600.7 -6.78969 6.78969 70.51 0.0553422 0.0482949 9.58768 7.83378 70 420422 50 2.53845e+08 7.32471e+07 3.57218e+07 4410.10 152.16 34.4167 29.3473 391652 15 95578 169458 17599752 3082471 6.14994 6.14994 -24121.7 -6.14994 0 0 4.49017e+07 5543.42 22.47 6.34 2.82915 2.54089 43447 3440 12624 36 0 0 - k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml cordic.v common 4.80 vpr 62.46 MiB 0.03 9556 -1 -1 4 0.16 -1 -1 37128 -1 -1 41 54 0 0 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 63956 54 51 517 516 1 301 146 10 10 100 clb auto 24.7 MiB 1.00 2091 62.5 MiB 0.07 0.00 3.49134 -180.988 -3.49134 3.49134 0.17 0.000334437 0.000274835 0.0142493 0.0122451 46 4918 46 1.94854e+06 519798 244280. 2442.80 2.43 0.235119 0.203245 3980 19 1895 7398 407017 96041 3.5254 3.5254 -198.595 -3.5254 0 0 298105. 2981.05 0.08 0.09 0.030901 0.028364 314 291 60 18 54 18 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length + k6_N8_gate_boost_0.2V_22nm.xml Md5Core.v common 904.68 vpr 1.14 GiB 18.37 133724 -1 -1 27 15.68 -1 -1 139168 -1 -1 6624 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1194824 641 128 52347 52475 1 22515 7393 97 97 9409 clb auto 310.4 MiB 22.85 298740 9149996 3753498 5333891 62607 1166.8 MiB 96.26 0.74 15.7412 -38591.1 -15.7412 15.7412 30.42 0.089974 0.0781796 12.053 10.084 -1 -1 -1 -1 56 426274 31 2.89231e+08 7.98576e+07 3.46650e+07 3684.24 657.45 60.0816 49.7342 912566 8524037 -1 405147 17 94617 217119 15169611 3148784 13.8543 13.8543 -35439 -13.8543 0 0 4.24521e+07 4511.86 2.43 8.46 7.26 -1 -1 2.43 4.03963 3.48005 44415 15098 -1 -1 -1 -1 + k6_N8_gate_boost_0.2V_22nm.xml cordic.v common 5.25 vpr 64.36 MiB 0.08 9300 -1 -1 11 0.25 -1 -1 32860 -1 -1 54 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65900 54 51 469 520 1 286 159 11 11 121 clb auto 25.2 MiB 0.16 2396 14349 2717 10798 834 64.4 MiB 0.15 0.00 5.78243 -247.508 -5.78243 5.78243 0.13 0.00148725 0.00137134 0.0570149 0.052511 -1 -1 -1 -1 46 5422 28 2.09946e+06 650970 304223. 2514.24 3.13 0.592987 0.51407 10132 69752 -1 4577 18 1669 7724 363406 87385 5.1128 5.1128 -231.102 -5.1128 0 0 371547. 3070.64 0.01 0.15 0.06 -1 -1 0.01 0.0601602 0.0534512 359 359 -1 -1 -1 -1 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml Md5Core.v common 945.24 vpr 1.22 GiB 14.77 119136 -1 -1 1 4.75 -1 -1 169236 -1 -1 6208 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1275364 641 128 63843 53443 1 25019 6977 94 94 8836 clb auto 345.6 MiB 24.23 286843 10336022 4163054 5963355 209613 1245.5 MiB 252.94 1.74 6.91605 -25945.5 -6.91605 6.91605 29.94 0.0859758 0.0710401 13.9672 11.53 -1 -1 -1 -1 66 396883 44 2.78555e+08 7.79671e+07 3.71258e+07 4201.65 555.20 59.0437 48.8196 947360 9542419 -1 370537 18 99136 180208 14815346 2853307 4.59448 4.59448 -22721.2 -4.59448 0 0 4.63522e+07 5245.84 2.74 7.65 8.20 -1 -1 2.74 3.85648 3.30259 44203 3442 12624 36 0 0 + k6_N8_ripple_chain_gate_boost_0.2V_22nm.xml cordic.v common 7.69 vpr 65.05 MiB 0.08 9280 -1 -1 4 0.16 -1 -1 33104 -1 -1 51 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66608 54 51 517 516 1 297 156 11 11 121 clb auto 25.7 MiB 1.55 2180 12307 2298 9064 945 65.0 MiB 0.15 0.00 4.53625 -236.732 -4.53625 4.53625 0.13 0.00143278 0.00131459 0.0488556 0.0451651 -1 -1 -1 -1 42 4925 36 2.13115e+06 640458 271555. 2244.25 4.18 0.701293 0.605871 10024 64108 -1 4231 30 2262 11552 672859 177202 3.82788 3.82788 -212.131 -3.82788 0 0 347739. 2873.88 0.01 0.24 0.06 -1 -1 0.01 0.0855185 0.0753713 315 295 60 18 54 18 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml Md5Core.v common 536.95 vpr 1.18 GiB 18.45 119164 -1 -1 1 4.62 -1 -1 169160 -1 -1 6224 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1233924 641 128 63843 53443 1 25134 6993 94 94 8836 clb auto 353.0 MiB 37.25 281948 10233972 4151923 5847650 234399 1205.0 MiB 273.47 1.74 6.79691 -25446.5 -6.79691 6.79691 30.65 0.0837999 0.0722687 14.1256 11.7008 -1 -1 -1 -1 68 391509 39 2.79293e+08 7.8915e+07 3.80124e+07 4301.99 106.46 44.7539 37.1554 956196 9731417 -1 364633 17 102524 185933 15374308 2998695 4.58117 4.58117 -22276.8 -4.58117 0 0 4.73504e+07 5358.81 3.23 8.69 8.17 -1 -1 3.23 4.10562 3.53354 44381 3442 12624 36 0 0 + k6_N8_unbalanced_ripple_chain_gate_boost_0.2V_22nm.xml cordic.v common 5.18 vpr 64.96 MiB 0.09 9316 -1 -1 4 0.16 -1 -1 33216 -1 -1 49 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66520 54 51 517 516 1 302 154 11 11 121 clb auto 25.8 MiB 0.53 2227 11278 1862 8633 783 65.0 MiB 0.14 0.01 4.6946 -234.829 -4.6946 4.6946 0.13 0.00145318 0.00133151 0.0449965 0.0415935 -1 -1 -1 -1 40 4906 30 2.13871e+06 621222 258219. 2134.04 2.74 0.473879 0.41168 9904 61394 -1 4507 16 1551 6485 367369 88315 4.05888 4.05888 -216.164 -4.05888 0 0 338486. 2797.40 0.01 0.14 0.06 -1 -1 0.01 0.054532 0.0488347 316 295 60 18 54 18 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/open_cores_frac/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/open_cores_frac/config/golden_results.txt index fa1e6a13164..04afcf5aa7b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/open_cores_frac/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/arithmetic_tasks/open_cores_frac/config/golden_results.txt @@ -1,11 +1,11 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -k6_frac_2ripple_N8_22nm.xml Md5Core.v common 1345.54 vpr 843.61 MiB 19.63 119156 -1 -1 1 4.60 -1 -1 169172 -1 -1 3158 641 0 0 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 863860 641 128 63843 53443 1 23908 3927 67 67 4489 clb auto 333.0 MiB 941.47 238707 4308618 1653800 2561467 93351 843.6 MiB 113.38 1.02 7.62466 -26238.2 -7.62466 7.62466 38.80 0.0900086 0.0781522 12.8973 10.8798 94 348495 43 1.40629e+08 4.5715e+07 2.66495e+07 5936.62 150.85 46.5822 39.074 610042 7319579 -1 311881 17 109690 149750 16562445 2801122 6.6934 6.6934 -24791.4 -6.6934 0 0 3.32742e+07 7412.38 14.55 8.41 6.36 -1 -1 14.55 4.14356 3.60079 23344 3442 12624 36 0 0 -k6_frac_2ripple_N8_22nm.xml cordic.v common 8.48 vpr 64.71 MiB 0.08 9264 -1 -1 4 0.67 -1 -1 32964 -1 -1 30 54 0 0 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66264 54 51 517 516 1 317 135 9 9 81 clb auto 26.0 MiB 3.70 1928 12591 2777 8745 1069 64.7 MiB 0.14 0.00 4.22749 -230.57 -4.22749 4.22749 0.17 0.00141093 0.00129827 0.0575671 0.0532357 58 4528 48 1.45065e+06 434271 237595. 2933.27 1.94 0.466668 0.405335 7864 57025 -1 3678 22 2146 7902 424283 100359 3.88422 3.88422 -214.982 -3.88422 0 0 298762. 3688.42 0.06 0.18 0.07 -1 -1 0.06 0.0681518 0.0603634 223 295 60 18 54 18 -k6_frac_2uripple_N8_22nm.xml Md5Core.v common 1078.50 vpr 824.74 MiB 19.19 119160 -1 -1 1 4.32 -1 -1 169116 -1 -1 3164 641 0 0 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 844532 641 128 63843 53443 1 23903 3933 67 67 4489 clb auto 325.8 MiB 725.52 236753 4379445 1690051 2596186 93208 824.7 MiB 92.80 0.77 7.40731 -25921.7 -7.40731 7.40731 38.55 0.0817046 0.0702754 12.4206 10.4665 94 336057 42 1.41393e+08 4.65612e+07 2.66495e+07 5936.62 125.43 41.24 34.5853 610042 7319579 -1 304945 16 105315 145559 15279873 2577115 6.2474 6.2474 -24272.2 -6.2474 0 0 3.32742e+07 7412.38 12.66 7.72 6.34 -1 -1 12.66 3.82185 3.32566 23332 3442 12624 36 0 0 -k6_frac_2uripple_N8_22nm.xml cordic.v common 4.58 vpr 64.71 MiB 0.10 9248 -1 -1 4 0.67 -1 -1 32908 -1 -1 31 54 0 0 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66260 54 51 517 516 1 322 136 9 9 81 clb auto 26.0 MiB 0.32 1855 10955 2337 7732 886 64.7 MiB 0.12 0.00 4.14249 -227.55 -4.14249 4.14249 0.17 0.00138708 0.00127662 0.049497 0.0457647 60 4035 30 1.45905e+06 456186 242836. 2997.97 1.47 0.347941 0.302314 7944 58396 -1 3327 18 1973 6844 325272 81930 3.74077 3.74077 -210.366 -3.74077 0 0 304930. 3764.57 0.07 0.14 0.07 -1 -1 0.07 0.0558437 0.0496984 227 295 60 18 54 18 -k6_frac_N8_22nm.xml Md5Core.v common 1232.05 vpr 861.79 MiB 18.39 133792 -1 -1 27 15.07 -1 -1 139148 -1 -1 3438 641 0 0 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 882472 641 128 52347 52475 1 23739 4207 70 70 4900 clb auto 279.5 MiB 756.19 255287 4315476 1661008 2584916 69552 855.8 MiB 55.93 0.45 15.3287 -39294.9 -15.3287 15.3287 41.71 0.0836165 0.0720047 11.6572 9.72775 90 377297 35 1.54829e+08 4.63167e+07 2.82429e+07 5763.86 264.70 56.8895 47.4295 638746 7615755 -1 353369 19 110041 232156 17925116 3249677 13.664 13.664 -36226.3 -13.664 0 0 3.48442e+07 7111.06 15.25 10.12 6.75 -1 -1 15.25 4.78713 4.16617 24673 15098 -1 -1 -1 -1 -k6_frac_N8_22nm.xml cordic.v common 6.46 vpr 64.57 MiB 0.07 9144 -1 -1 11 0.71 -1 -1 32832 -1 -1 34 54 0 0 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66120 54 51 469 520 1 309 139 9 9 81 clb auto 25.4 MiB 0.22 2174 8396 1359 6431 606 64.6 MiB 0.10 0.00 6.18892 -253.076 -6.18892 6.18892 0.17 0.00148504 0.00137147 0.0408609 0.0378237 64 4974 36 1.41552e+06 458048 257695. 3181.41 3.49 0.665842 0.574693 8044 62425 -1 4274 16 1979 7908 463358 108363 5.6854 5.6854 -236.355 -5.6854 0 0 325495. 4018.46 0.07 0.16 0.08 -1 -1 0.07 0.0545932 0.0486561 260 359 -1 -1 -1 -1 -k6_frac_ripple_N8_22nm.xml Md5Core.v common 1505.87 vpr 940.51 MiB 18.52 119108 -1 -1 1 4.45 -1 -1 169136 -1 -1 3939 641 0 0 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 963080 641 128 63843 53443 1 22927 4708 75 75 5625 clb auto 305.9 MiB 883.44 247966 5684356 2056083 3381895 246378 940.5 MiB 196.60 1.29 8.34964 -24574.8 -8.34964 8.34964 52.46 0.0937 0.0766502 13.4951 11.1191 80 342149 48 1.79437e+08 5.50405e+07 2.91229e+07 5177.40 271.91 57.9694 48.0698 717126 7920329 -1 325246 23 104183 175560 15728014 2904682 5.77787 5.77787 -21906 -5.77787 0 0 3.65283e+07 6493.92 13.62 8.30 6.56 -1 -1 13.62 4.37971 3.70517 29971 3442 12624 36 0 0 -k6_frac_ripple_N8_22nm.xml cordic.v common 6.28 vpr 64.21 MiB 0.10 9368 -1 -1 4 0.67 -1 -1 32960 -1 -1 32 54 0 0 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65748 54 51 517 516 1 308 137 9 9 81 clb auto 25.5 MiB 1.79 1973 8256 1458 6016 782 64.2 MiB 0.10 0.00 4.53631 -225.368 -4.53631 4.53631 0.17 0.0013957 0.00128956 0.0377693 0.034954 58 4378 29 1.43308e+06 447163 237595. 2933.27 1.75 0.39112 0.338338 7864 57025 -1 3755 20 1946 7125 408241 97925 3.83476 3.83476 -204.238 -3.83476 0 0 298762. 3688.42 0.07 0.16 0.07 -1 -1 0.07 0.0595007 0.0525933 235 295 60 18 54 18 -k6_frac_uripple_N8_22nm.xml Md5Core.v common 982.08 vpr 949.34 MiB 19.21 119216 -1 -1 1 4.29 -1 -1 169148 -1 -1 4035 641 0 0 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 972120 641 128 63843 53443 1 23951 4804 76 76 5776 clb auto 298.1 MiB 444.87 279860 5758360 2092735 3370157 295468 949.3 MiB 155.35 1.08 9.03188 -27023.3 -9.03188 9.03188 53.40 0.0797474 0.0683203 12.4205 10.4126 74 366559 30 1.87279e+08 5.68659e+07 2.80207e+07 4851.23 229.52 50.1288 41.5608 710444 7492861 -1 338755 20 85472 118774 11490599 2020589 7.0444 7.0444 -24245.9 -7.0444 0 0 3.48777e+07 6038.39 13.16 7.09 6.21 -1 -1 13.16 4.01472 3.43224 30624 3442 12624 36 0 0 -k6_frac_uripple_N8_22nm.xml cordic.v common 4.79 vpr 64.06 MiB 0.10 9168 -1 -1 4 0.67 -1 -1 33056 -1 -1 34 54 0 0 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65600 54 51 517 516 1 312 139 9 9 81 clb auto 25.4 MiB 0.60 1998 7319 1124 5518 677 64.1 MiB 0.09 0.00 4.76334 -234.438 -4.76334 4.76334 0.17 0.00141492 0.00129496 0.0342431 0.0317551 58 4035 26 1.43728e+06 479191 237595. 2933.27 1.43 0.331668 0.287909 7864 57025 -1 3625 18 1765 6255 317707 78027 3.8948 3.8948 -205.264 -3.8948 0 0 298762. 3688.42 0.06 0.14 0.07 -1 -1 0.06 0.0568345 0.050492 249 295 60 18 54 18 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length + k6_frac_2ripple_N8_22nm.xml Md5Core.v common 719.95 vpr 930.50 MiB 18.37 119188 -1 -1 1 4.56 -1 -1 169196 -1 -1 3410 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 952828 641 128 63843 53443 1 23477 4179 70 70 4900 clb auto 332.1 MiB 370.76 235506 4781911 1870571 2798467 112873 865.1 MiB 103.32 0.86 7.52141 -26074.7 -7.52141 7.52141 20.32 0.0816713 0.0703528 12.6522 10.5227 -1 -1 -1 -1 96 327519 29 1.58307e+08 4.93629e+07 2.96071e+07 6042.26 146.59 47.2488 39.3458 672214 8127938 -1 305311 18 111930 152921 15206824 2753649 6.01093 6.01093 -24357.7 -6.01093 0 0 3.70091e+07 7552.87 2.30 7.89 6.99 -1 -1 2.30 4.22181 3.66195 23430 3442 12624 36 0 0 + k6_frac_2ripple_N8_22nm.xml cordic.v common 7.37 vpr 65.34 MiB 0.09 9348 -1 -1 4 0.15 -1 -1 33220 -1 -1 32 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66908 54 51 517 516 1 313 137 9 9 81 clb auto 26.1 MiB 3.87 2057 10727 2058 7633 1036 65.3 MiB 0.13 0.00 4.23609 -233.261 -4.23609 4.23609 0.09 0.00141962 0.00130738 0.0490049 0.0453196 -1 -1 -1 -1 60 4196 22 1.45065e+06 463222 242836. 2997.97 1.84 0.496698 0.430402 7944 58396 -1 3645 17 1759 5910 307112 75726 4.31905 4.31905 -218.777 -4.31905 0 0 304930. 3764.57 0.01 0.13 0.06 -1 -1 0.01 0.0544893 0.0486012 228 295 60 18 54 18 + k6_frac_2uripple_N8_22nm.xml Md5Core.v common 1251.68 vpr 860.31 MiB 18.93 119300 -1 -1 1 4.88 -1 -1 169228 -1 -1 3405 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 880956 641 128 63843 53443 1 23524 4174 70 70 4900 clb auto 324.2 MiB 248.39 239120 4740787 1863060 2780694 97033 860.3 MiB 124.98 1.00 7.3147 -25736.6 -7.3147 7.3147 20.89 0.091958 0.0752357 13.2611 11.0893 -1 -1 -1 -1 82 347465 34 1.59139e+08 5.01078e+07 2.58747e+07 5280.54 778.86 64.8144 53.6614 628122 6995602 -1 313528 19 112390 157276 15412644 2790255 5.6429 5.6429 -24107.4 -5.6429 0 0 3.23196e+07 6595.84 1.82 8.47 5.85 -1 -1 1.82 4.58889 3.93913 23428 3442 12624 36 0 0 + k6_frac_2uripple_N8_22nm.xml cordic.v common 3.44 vpr 65.43 MiB 0.09 9216 -1 -1 4 0.16 -1 -1 33192 -1 -1 33 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67000 54 51 517 516 1 318 138 9 9 81 clb auto 26.3 MiB 0.36 1922 16158 3946 10822 1390 65.4 MiB 0.18 0.00 4.1935 -227.995 -4.1935 4.1935 0.09 0.0013986 0.00129103 0.0703328 0.065036 -1 -1 -1 -1 56 3937 25 1.45905e+06 485618 231774. 2861.41 1.23 0.383785 0.335697 7704 54090 -1 3462 17 1880 6549 321379 84144 3.8246 3.8246 -211.788 -3.8246 0 0 286113. 3532.26 0.01 0.13 0.05 -1 -1 0.01 0.0554246 0.0493896 232 295 60 18 54 18 + k6_frac_N8_22nm.xml Md5Core.v common 1278.24 vpr 857.64 MiB 19.62 133848 -1 -1 27 16.10 -1 -1 139176 -1 -1 3560 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 878220 641 128 52347 52475 1 22613 4329 71 71 5041 clb auto 278.2 MiB 210.81 253837 4483111 1724795 2697814 60502 857.6 MiB 66.74 0.54 15.7271 -39246.4 -15.7271 15.7271 20.00 0.0880225 0.0759431 12.0413 10.1053 -1 -1 -1 -1 80 373760 27 1.56446e+08 4.79603e+07 2.60147e+07 5160.63 890.35 63.5702 52.7719 626238 7057181 -1 349344 18 105464 224026 16594796 3174287 13.5919 13.5919 -36084.3 -13.5919 0 0 3.26297e+07 6472.86 1.84 8.74 5.89 -1 -1 1.84 4.37319 3.77951 24995 15098 -1 -1 -1 -1 + k6_frac_N8_22nm.xml cordic.v common 3.34 vpr 64.39 MiB 0.09 9404 -1 -1 11 0.21 -1 -1 33040 -1 -1 33 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65936 54 51 469 520 1 307 138 9 9 81 clb auto 25.3 MiB 0.23 2168 10462 1941 7796 725 64.4 MiB 0.12 0.00 6.49963 -254.17 -6.49963 6.49963 0.09 0.00148553 0.00136363 0.0501404 0.0462173 -1 -1 -1 -1 64 4590 31 1.41552e+06 444576 257695. 3181.41 1.35 0.391024 0.341283 8044 62425 -1 4035 18 1888 7794 404854 99129 5.61742 5.61742 -233.114 -5.61742 0 0 325495. 4018.46 0.01 0.15 0.06 -1 -1 0.01 0.0624396 0.0556903 262 359 -1 -1 -1 -1 + k6_frac_ripple_N8_22nm.xml Md5Core.v common 1236.62 vpr 974.87 MiB 18.63 119092 -1 -1 1 5.40 -1 -1 169112 -1 -1 4156 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 998268 641 128 63843 53443 1 22922 4925 77 77 5929 clb auto 303.2 MiB 860.38 269984 5994337 2194745 3524702 274890 974.9 MiB 179.38 1.17 7.28071 -25362.6 -7.28071 7.28071 24.44 0.0868232 0.070468 12.705 10.4847 -1 -1 -1 -1 74 383007 50 1.88597e+08 5.80724e+07 2.87929e+07 4856.27 92.21 41.4301 34.2283 728640 7699156 -1 360816 23 121596 201798 19887743 3889899 5.17537 5.17537 -23064.7 -5.17537 0 0 3.58422e+07 6045.24 2.14 9.78 6.48 -1 -1 2.14 4.76556 4.03104 30007 3442 12624 36 0 0 + k6_frac_ripple_N8_22nm.xml cordic.v common 5.17 vpr 64.61 MiB 0.09 9384 -1 -1 4 0.16 -1 -1 33188 -1 -1 35 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66160 54 51 517 516 1 306 140 9 9 81 clb auto 25.6 MiB 1.86 2034 15749 3494 10440 1815 64.6 MiB 0.19 0.00 4.60908 -224.227 -4.60908 4.60908 0.09 0.00141288 0.00129939 0.0670027 0.0617908 -1 -1 -1 -1 56 4304 35 1.43308e+06 489084 231774. 2861.41 1.49 0.487985 0.424161 7704 54090 -1 3690 17 1831 6439 331209 84904 3.85365 3.85365 -205.536 -3.85365 0 0 286113. 3532.26 0.01 0.13 0.05 -1 -1 0.01 0.054238 0.0481705 239 295 60 18 54 18 + k6_frac_uripple_N8_22nm.xml Md5Core.v common 1259.85 vpr 1.03 GiB 18.42 119200 -1 -1 1 5.18 -1 -1 169000 -1 -1 4209 641 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1080808 641 128 63843 53443 1 23625 4978 78 78 6084 clb auto 298.6 MiB 267.64 265982 6207934 2313787 3686907 207240 1055.5 MiB 218.86 1.42 8.76338 -26782 -8.76338 8.76338 26.03 0.086622 0.0748437 13.4573 11.3319 -1 -1 -1 -1 58 374194 42 1.94536e+08 5.93179e+07 2.42024e+07 3978.04 672.28 59.587 49.4099 688856 6339671 -1 334274 17 91351 128675 11823089 2309725 6.4004 6.4004 -23909.5 -6.4004 0 0 3.02494e+07 4971.96 1.65 7.05 5.14 -1 -1 1.65 3.73867 3.13107 30395 3442 12624 36 0 0 + k6_frac_uripple_N8_22nm.xml cordic.v common 4.05 vpr 64.43 MiB 0.08 9404 -1 -1 4 0.16 -1 -1 33148 -1 -1 35 54 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65972 54 51 517 516 1 310 140 9 9 81 clb auto 25.4 MiB 0.67 2149 11030 2051 7862 1117 64.4 MiB 0.14 0.00 4.36445 -234.289 -4.36445 4.36445 0.09 0.00141199 0.00129434 0.0496983 0.0458465 -1 -1 -1 -1 56 4579 37 1.43728e+06 493284 231774. 2861.41 1.63 0.469693 0.406996 7704 54090 -1 3879 20 1959 7459 402962 103780 3.73026 3.73026 -208.468 -3.73026 0 0 286113. 3532.26 0.01 0.15 0.05 -1 -1 0.01 0.0602283 0.0532613 256 295 60 18 54 18 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/power_extended_arch_list/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/power_extended_arch_list/config/golden_results.txt index 7964e15f7be..413802afe0b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/power_extended_arch_list/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/power_extended_arch_list/config/golden_results.txt @@ -1,31 +1,31 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.86 vpr 64.10 MiB 0.09 9392 -1 -1 3 0.28 -1 -1 34500 -1 53004 68 99 1 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 65640 99 130 363 493 1 258 298 12 12 144 clb auto 25.1 MiB 0.11 959 66963 20877 35036 11050 64.1 MiB 0.25 0.00 2.19547 -220.397 -2.19547 2.19547 0.26 0.000888885 0.000830781 0.083606 0.0788776 -1 -1 -1 -1 44 1703 11 5.66058e+06 4.21279e+06 360780. 2505.42 0.90 0.275088 0.252948 13094 71552 -1 1588 7 435 526 37760 12301 2.62103 2.62103 -239.974 -2.62103 0 0 470765. 3269.20 0.10 0.04 0.07 -1 -1 0.10 0.0257276 0.0238689 0.008006 0.2706 0.07512 0.6543 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 9.49 vpr 67.67 MiB 0.04 9348 -1 -1 15 0.30 -1 -1 34596 -1 54736 39 162 0 5 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 69296 162 96 999 932 1 700 302 16 16 256 mult_36 auto 28.2 MiB 0.21 5813 84298 23720 53467 7111 67.7 MiB 0.68 0.01 20.9992 -1841.13 -20.9992 20.9992 0.46 0.00373748 0.0035203 0.323095 0.304438 -1 -1 -1 -1 46 13307 40 1.21132e+07 4.08187e+06 727248. 2840.81 3.84 1.09252 1.01361 24972 144857 -1 10229 22 3566 7249 945644 266838 22.6939 22.6939 -1995.17 -22.6939 0 0 934704. 3651.19 0.21 0.39 0.13 -1 -1 0.21 0.18746 0.174886 0.007576 0.3575 0.01677 0.6257 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 696.57 vpr 553.42 MiB 19.92 218908 -1 -1 127 252.42 -1 -1 94120 -1 131280 2033 114 44 8 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 566700 114 102 29627 29353 1 15945 2301 55 55 3025 clb auto 210.0 MiB 31.31 230514 1642173 595704 1018876 27593 540.5 MiB 48.51 0.39 78.2692 -58089.8 -78.2692 78.2692 24.93 0.086973 0.0768336 10.7593 9.09845 -1 -1 -1 -1 96 342970 28 1.75831e+08 1.3685e+08 1.91810e+07 6340.82 195.12 37.5378 31.1093 414120 4030168 -1 311902 20 61622 243318 13757769 2438696 78.5659 78.5659 -72067.7 -78.5659 -30.242 -0.295467 2.39857e+07 7929.14 7.35 8.59 3.70 -1 -1 7.35 4.77392 4.09434 0.1059 0.4192 0.01061 0.5702 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.66 vpr 64.15 MiB 0.08 9336 -1 -1 3 0.27 -1 -1 34464 -1 53020 68 99 1 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 65692 99 130 363 493 1 258 298 12 12 144 clb auto 25.1 MiB 0.10 959 66963 20877 35036 11050 64.2 MiB 0.23 0.00 2.19547 -220.397 -2.19547 2.19547 0.26 0.00129073 0.00122255 0.0905854 0.0857208 -1 -1 -1 -1 44 1703 11 5.66058e+06 4.21279e+06 360780. 2505.42 0.84 0.340653 0.313119 13094 71552 -1 1588 7 435 526 37760 12301 2.62103 2.62103 -239.974 -2.62103 0 0 470765. 3269.20 0.11 0.04 0.07 -1 -1 0.11 0.0252461 0.0233937 0.008984 0.2412 0.06695 0.6919 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml diffeq1.v common 9.88 vpr 67.66 MiB 0.06 9504 -1 -1 15 0.36 -1 -1 34620 -1 54700 39 162 0 5 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 69280 162 96 999 932 1 700 302 16 16 256 mult_36 auto 28.3 MiB 0.30 5813 84298 23720 53467 7111 67.7 MiB 0.67 0.01 20.9992 -1841.13 -20.9992 20.9992 0.51 0.00364719 0.0034382 0.315399 0.297153 -1 -1 -1 -1 46 12939 32 1.21132e+07 4.08187e+06 727248. 2840.81 3.83 1.0356 0.96046 24972 144857 -1 10365 21 3566 7284 931163 263037 22.5015 22.5015 -1988.97 -22.5015 0 0 934704. 3651.19 0.21 0.37 0.13 -1 -1 0.21 0.171081 0.159064 0.007926 0.3458 0.01615 0.6381 -k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml LU8PEEng.v common 756.18 vpr 600.11 MiB 20.31 219020 -1 -1 127 246.99 -1 -1 94172 -1 131552 1938 114 44 8 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 614516 114 102 29627 29353 1 15590 2206 53 53 2809 clb auto 215.9 MiB 63.87 221505 1566792 581029 965817 19946 520.7 MiB 48.75 0.46 78.7649 -55802 -78.7649 78.7649 23.32 0.0977564 0.0805634 11.7533 9.85012 -1 -1 -1 -1 100 329890 44 1.63647e+08 1.3173e+08 1.82848e+07 6509.36 229.47 52.8122 43.5211 391934 3893229 -1 296717 20 58974 234587 13009606 2288152 78.7037 78.7037 -67475.2 -78.7037 -22.6809 -0.293253 2.30694e+07 8212.69 7.40 8.50 3.68 -1 -1 7.40 4.85419 4.15005 0.1043 0.409 0.01013 0.5808 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 4.47 vpr 64.21 MiB 0.06 9328 -1 -1 3 0.27 -1 -1 34516 -1 52912 67 99 1 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 65756 99 130 363 493 1 258 297 12 12 144 clb auto 25.3 MiB 0.09 912 71577 22752 36592 12233 64.2 MiB 0.25 0.00 2.17749 -221.419 -2.17749 2.17749 0.27 0.00128772 0.00121844 0.0973662 0.092124 -1 -1 -1 -1 34 1812 15 5.66058e+06 4.1589e+06 307677. 2136.65 1.55 0.509645 0.466454 12584 59343 -1 1647 10 548 718 46799 16285 2.55443 2.55443 -235.172 -2.55443 0 0 377431. 2621.05 0.09 0.05 0.06 -1 -1 0.09 0.0317434 0.0293207 0.007928 0.2374 0.06937 0.6933 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 12.05 vpr 68.07 MiB 0.06 9312 -1 -1 15 0.35 -1 -1 34604 -1 54616 38 162 0 5 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 69704 162 96 999 932 1 699 301 16 16 256 mult_36 auto 28.7 MiB 0.28 5611 83965 25982 50757 7226 68.1 MiB 0.70 0.01 20.9967 -1752.4 -20.9967 20.9967 0.56 0.00368208 0.00346882 0.327374 0.307452 -1 -1 -1 -1 46 13011 47 1.21132e+07 4.02797e+06 761464. 2974.47 5.84 1.16752 1.08182 25952 154797 -1 9769 32 3388 7483 1099104 316197 22.1698 22.1698 -1892.97 -22.1698 0 0 979054. 3824.43 0.22 0.47 0.13 -1 -1 0.22 0.238042 0.220618 0.007946 0.3448 0.01646 0.6388 -k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 797.26 vpr 576.95 MiB 19.66 219040 -1 -1 127 244.26 -1 -1 94192 -1 131488 1859 114 44 8 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 590792 114 102 29627 29353 1 15873 2127 53 53 2809 clb auto 211.2 MiB 207.60 222448 1492484 550042 918799 23643 576.9 MiB 40.72 0.33 78.3072 -55670.6 -78.3072 78.3072 24.05 0.0857385 0.0755555 10.3641 8.60779 -1 -1 -1 -1 100 323169 38 1.63647e+08 1.27472e+08 1.91159e+07 6805.25 143.13 34.3369 28.4206 405500 4174239 -1 294891 16 55655 220410 11983026 2178775 79.6382 79.6382 -66134.4 -79.6382 -17.5339 -0.29436 2.40369e+07 8557.10 8.05 8.07 3.74 -1 -1 8.05 4.53198 3.91629 0.1084 0.4017 0.01015 0.5881 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 4.43 vpr 64.35 MiB 0.08 9280 -1 -1 3 0.26 -1 -1 34500 -1 53000 67 99 1 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 65892 99 130 363 493 1 258 297 12 12 144 clb auto 25.3 MiB 0.09 912 71577 22752 36592 12233 64.3 MiB 0.25 0.00 2.17749 -221.419 -2.17749 2.17749 0.27 0.00129969 0.00123005 0.0974263 0.0921907 -1 -1 -1 -1 32 1947 38 5.66058e+06 4.1589e+06 295695. 2053.44 1.50 0.475807 0.435965 12440 56522 -1 1697 11 551 747 46498 15792 2.47803 2.47803 -236.591 -2.47803 0 0 361905. 2513.23 0.08 0.06 0.05 -1 -1 0.08 0.0336462 0.0310363 0.009086 0.2044 0.06066 0.7349 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 10.08 vpr 67.89 MiB 0.06 9368 -1 -1 15 0.36 -1 -1 34708 -1 54736 38 162 0 5 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 69524 162 96 999 932 1 699 301 16 16 256 mult_36 auto 28.5 MiB 0.28 5610 83965 25979 50756 7230 67.9 MiB 0.67 0.01 20.9967 -1752.69 -20.9967 20.9967 0.55 0.00362376 0.00341442 0.318346 0.299793 -1 -1 -1 -1 48 12263 38 1.21132e+07 4.02797e+06 791884. 3093.30 3.91 1.12515 1.04331 26208 159478 -1 9793 21 3197 6813 893738 262077 22.0098 22.0098 -1892.01 -22.0098 0 0 1.01413e+06 3961.44 0.23 0.37 0.14 -1 -1 0.23 0.172385 0.160478 0.008313 0.3353 0.01608 0.6486 -k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 835.73 vpr 605.52 MiB 20.55 218940 -1 -1 127 246.80 -1 -1 94084 -1 131572 1784 114 44 8 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 620056 114 102 29627 29353 1 15339 2052 51 51 2601 clb auto 213.3 MiB 206.13 223335 1422758 511358 886246 25154 537.5 MiB 43.06 0.33 78.4175 -55205.9 -78.4175 78.4175 23.01 0.0899449 0.0795648 10.6024 8.96248 -1 -1 -1 -1 102 313896 24 1.52527e+08 1.2343e+08 1.80757e+07 6949.52 173.09 45.2786 37.4329 380370 3944208 -1 292217 19 55586 222906 12296761 2246282 79.3608 79.3608 -63694 -79.3608 -16.4456 -0.29436 2.25881e+07 8684.41 6.62 7.83 3.47 -1 -1 6.62 4.56129 3.92303 0.107 0.3895 0.009646 0.6009 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 4.60 vpr 64.82 MiB 0.06 9320 -1 -1 3 0.27 -1 -1 34644 -1 52860 67 99 1 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 66372 99 130 363 493 1 263 297 12 12 144 clb auto 25.8 MiB 0.09 901 72567 24766 36583 11218 64.8 MiB 0.26 0.00 2.17749 -223.572 -2.17749 2.17749 0.31 0.00129642 0.00122674 0.0986744 0.0933585 -1 -1 -1 -1 38 1762 11 5.66058e+06 4.1589e+06 347689. 2414.51 1.65 0.348272 0.319946 13432 70334 -1 1528 9 475 592 34104 11793 2.5464 2.5464 -238.385 -2.5464 0 0 440062. 3055.98 0.10 0.05 0.04 -1 -1 0.10 0.0299128 0.0276617 0.00795 0.2306 0.07376 0.6956 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 10.06 vpr 68.09 MiB 0.06 9364 -1 -1 15 0.38 -1 -1 34700 -1 54620 36 162 0 5 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 69728 162 96 999 932 1 700 299 16 16 256 mult_36 auto 28.8 MiB 0.24 5618 84215 26483 50655 7077 68.1 MiB 0.67 0.01 20.8745 -1914.57 -20.8745 20.8745 0.57 0.00362065 0.00341374 0.323005 0.304388 -1 -1 -1 -1 52 12761 39 1.21132e+07 3.92018e+06 875283. 3419.07 3.97 1.22658 1.13606 27812 183157 -1 9204 19 3181 6580 775978 250471 21.8886 21.8886 -2032.78 -21.8886 0 0 1.15281e+06 4503.17 0.26 0.33 0.15 -1 -1 0.26 0.160098 0.149123 0.008197 0.3523 0.0172 0.6305 -k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 952.14 vpr 594.05 MiB 21.55 218944 -1 -1 127 251.49 -1 -1 94100 -1 131484 1809 114 44 8 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 608308 114 102 29627 29353 1 15746 2077 51 51 2601 clb auto 210.1 MiB 259.39 230351 1445891 517526 906253 22112 594.1 MiB 47.66 0.37 78.529 -57983.4 -78.529 78.529 26.26 0.089271 0.0788632 11.0361 9.32823 -1 -1 -1 -1 96 334660 48 1.52527e+08 1.24778e+08 1.78906e+07 6878.34 223.89 40.6284 33.669 380848 3925484 -1 296711 19 52788 213136 12452218 2319907 78.9461 78.9461 -68782.4 -78.9461 -13.2746 -0.29436 2.22552e+07 8556.41 6.90 8.21 3.40 -1 -1 6.90 4.78299 4.12263 0.1101 0.3767 0.009805 0.6135 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.74 vpr 64.79 MiB 0.08 9328 -1 -1 3 0.27 -1 -1 34644 -1 52872 67 99 1 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 66348 99 130 363 493 1 263 297 12 12 144 clb auto 25.7 MiB 0.07 901 72567 24766 36583 11218 64.8 MiB 0.14 0.00 2.17749 -223.572 -2.17749 2.17749 0.24 0.000557081 0.000521898 0.0433734 0.0406704 -1 -1 -1 -1 38 1762 11 5.66058e+06 4.1589e+06 347689. 2414.51 1.06 0.183465 0.166999 13432 70334 -1 1528 9 474 591 34071 11784 2.5464 2.5464 -238.385 -2.5464 0 0 440062. 3055.98 0.12 0.07 0.07 -1 -1 0.12 0.043578 0.0404422 0.008955 0.2047 0.06549 0.7298 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 13.76 vpr 68.19 MiB 0.04 9520 -1 -1 15 0.36 -1 -1 34592 -1 54712 37 162 0 5 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 69824 162 96 999 932 1 698 300 16 16 256 mult_36 auto 28.8 MiB 0.28 5786 80540 23864 49217 7459 68.2 MiB 0.64 0.01 21.0752 -1962.28 -21.0752 21.0752 0.57 0.00365796 0.00344478 0.308779 0.290844 -1 -1 -1 -1 50 12017 31 1.21132e+07 3.97408e+06 848054. 3312.71 7.65 1.85763 1.71726 27304 172908 -1 9713 22 2979 6179 818193 263943 22.8847 22.8847 -2037.84 -22.8847 0 0 1.09096e+06 4261.55 0.25 0.36 0.15 -1 -1 0.25 0.180273 0.167846 0.008222 0.3375 0.0155 0.647 -k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 971.74 vpr 570.93 MiB 20.42 218996 -1 -1 127 255.26 -1 -1 93984 -1 131588 1713 114 44 8 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 584636 114 102 29627 29353 1 14971 1981 50 50 2500 clb memory auto 211.6 MiB 294.38 216117 1345069 485047 835314 24708 570.9 MiB 44.28 0.36 78.6977 -55829.2 -78.6977 78.6977 25.51 0.0961123 0.0797234 11.1685 9.32138 -1 -1 -1 -1 100 305501 28 1.47946e+08 1.19604e+08 1.76909e+07 7076.35 208.11 38.8235 32.1854 373728 3941812 -1 282809 19 51784 212539 11948918 2197051 78.4293 78.4293 -63698.7 -78.4293 -27.9466 -0.29436 2.21802e+07 8872.08 7.92 8.70 3.45 -1 -1 7.92 5.05892 4.35456 0.1102 0.3698 0.009824 0.6204 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 4.88 vpr 64.32 MiB 0.08 9536 -1 -1 3 0.27 -1 -1 34544 -1 52836 67 99 1 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 65860 99 130 363 493 1 258 297 12 12 144 clb auto 25.2 MiB 0.10 909 71577 23043 37319 11215 64.3 MiB 0.26 0.00 2.19547 -224.13 -2.19547 2.19547 0.26 0.00129782 0.00122782 0.0978032 0.0925263 -1 -1 -1 -1 42 1697 15 5.66058e+06 4.1589e+06 345702. 2400.71 1.93 0.53236 0.486478 12810 66778 -1 1599 9 529 692 44375 14522 2.58189 2.58189 -243.945 -2.58189 0 0 434679. 3018.61 0.09 0.05 0.06 -1 -1 0.09 0.0293467 0.0271523 0.008019 0.2541 0.07411 0.6718 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 12.92 vpr 67.86 MiB 0.04 9324 -1 -1 15 0.36 -1 -1 34576 -1 54696 38 162 0 5 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 69484 162 96 999 932 1 697 301 16 16 256 mult_36 auto 28.6 MiB 0.28 5776 80941 24152 50180 6609 67.9 MiB 0.66 0.01 20.7938 -1775.14 -20.7938 20.7938 0.53 0.00373701 0.00352139 0.316743 0.298397 -1 -1 -1 -1 46 12455 34 1.21132e+07 4.02797e+06 727248. 2840.81 6.94 1.60852 1.48711 24972 144857 -1 10346 18 3466 7134 1001683 284274 22.1064 22.1064 -1929.74 -22.1064 0 0 934704. 3651.19 0.21 0.36 0.13 -1 -1 0.21 0.151126 0.140801 0.007843 0.3532 0.01658 0.6302 -k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 804.55 vpr 548.84 MiB 20.62 219128 -1 -1 127 253.45 -1 -1 94068 -1 131572 1969 114 44 8 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 562016 114 102 29627 29353 1 16087 2237 54 54 2916 clb auto 212.6 MiB 144.57 225341 1596253 578710 994772 22771 528.1 MiB 47.32 0.37 77.8942 -57474.3 -77.8942 77.8942 23.92 0.093379 0.076359 11.0451 9.16878 -1 -1 -1 -1 98 333786 36 1.70873e+08 1.33401e+08 1.87532e+07 6431.13 189.35 34.9955 28.9145 405364 4004376 -1 302919 20 61263 237423 12890332 2297974 78.4326 78.4326 -68171.2 -78.4326 -37.7735 -0.295467 2.37782e+07 8154.37 7.67 8.72 3.68 -1 -1 7.67 4.99131 4.28222 0.1059 0.4137 0.0107 0.5756 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 4.77 vpr 64.50 MiB 0.08 9280 -1 -1 3 0.26 -1 -1 34636 -1 53000 67 99 1 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 66052 99 130 363 493 1 258 297 12 12 144 clb auto 25.4 MiB 0.11 909 71577 23043 37319 11215 64.5 MiB 0.26 0.00 2.19547 -224.13 -2.19547 2.19547 0.26 0.00130551 0.00123437 0.0980614 0.0926931 -1 -1 -1 -1 42 1700 15 5.66058e+06 4.1589e+06 345702. 2400.71 1.90 0.53259 0.486701 12810 66778 -1 1592 9 551 719 44974 14667 2.58189 2.58189 -243.968 -2.58189 0 0 434679. 3018.61 0.09 0.05 0.07 -1 -1 0.09 0.0303659 0.0280742 0.008999 0.2248 0.06604 0.7092 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 12.63 vpr 67.87 MiB 0.03 9448 -1 -1 15 0.36 -1 -1 34576 -1 54712 38 162 0 5 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 69496 162 96 999 932 1 697 301 16 16 256 mult_36 auto 28.5 MiB 0.28 5776 80941 24152 50180 6609 67.9 MiB 0.65 0.01 20.7938 -1775.14 -20.7938 20.7938 0.52 0.00374847 0.00352722 0.313419 0.295144 -1 -1 -1 -1 46 12613 35 1.21132e+07 4.02797e+06 727248. 2840.81 6.65 1.62543 1.50493 24972 144857 -1 10568 20 3552 7242 1016988 286694 22.0426 22.0426 -2001.71 -22.0426 0 0 934704. 3651.19 0.21 0.39 0.13 -1 -1 0.21 0.167614 0.155838 0.008189 0.3431 0.01592 0.641 -k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 777.75 vpr 538.48 MiB 22.23 218940 -1 -1 127 258.16 -1 -1 94196 -1 131588 1880 114 44 8 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 551408 114 102 29627 29353 1 15560 2148 53 53 2809 clb auto 213.6 MiB 149.49 215086 1512125 556409 928894 26822 518.3 MiB 50.21 0.40 79.0651 -53713 -79.0651 79.0651 23.86 0.0990322 0.0815274 11.4576 9.55843 -1 -1 -1 -1 98 313848 27 1.63647e+08 1.28604e+08 1.80005e+07 6408.16 148.22 35.7793 29.5765 389126 3837838 -1 287680 20 57547 227646 11787496 2105615 79.198 79.198 -65302.4 -79.198 -34.4695 -0.295467 2.28256e+07 8125.88 8.36 8.55 3.53 -1 -1 8.36 5.01167 4.30248 0.1049 0.4001 0.01023 0.5896 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 4.72 vpr 64.24 MiB 0.04 9540 -1 -1 3 0.30 -1 -1 34492 -1 52932 67 99 1 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 65784 99 130 363 493 1 263 297 12 12 144 clb auto 25.3 MiB 0.09 900 72567 23079 38118 11370 64.2 MiB 0.26 0.00 2.19547 -219.998 -2.19547 2.19547 0.26 0.0012838 0.00121611 0.0984346 0.0931697 -1 -1 -1 -1 48 1659 12 5.66058e+06 4.1589e+06 394078. 2736.65 1.78 0.375265 0.344927 13382 75762 -1 1544 9 521 657 40728 13308 2.63222 2.63222 -236.107 -2.63222 0 0 503207. 3494.49 0.10 0.05 0.07 -1 -1 0.10 0.0302848 0.0280147 0.007975 0.2509 0.07799 0.6712 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 13.01 vpr 67.98 MiB 0.06 9272 -1 -1 15 0.38 -1 -1 34700 -1 54836 36 162 0 5 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 69616 162 96 999 932 1 694 299 16 16 256 mult_36 auto 28.6 MiB 0.27 5857 84215 27173 49817 7225 68.0 MiB 0.69 0.01 21.1842 -2034.35 -21.1842 21.1842 0.53 0.00380108 0.00358139 0.335529 0.316224 -1 -1 -1 -1 48 12465 27 1.21132e+07 3.92018e+06 756778. 2956.16 6.92 1.64328 1.51873 25228 149258 -1 10343 18 3454 6723 934911 256134 22.3206 22.3206 -2215.62 -22.3206 0 0 968034. 3781.38 0.22 0.35 0.13 -1 -1 0.22 0.155715 0.145267 0.007947 0.3527 0.01641 0.6309 -k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 851.55 vpr 546.77 MiB 22.80 218924 -1 -1 127 261.24 -1 -1 94088 -1 131344 1963 114 44 8 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 559892 114 102 29627 29353 1 16063 2231 54 54 2916 clb auto 212.0 MiB 154.88 220950 1590579 582088 984709 23782 531.0 MiB 52.19 0.41 75.9878 -56807.5 -75.9878 75.9878 24.33 0.0913715 0.0809283 11.4122 9.59895 -1 -1 -1 -1 102 324878 44 1.70873e+08 1.33078e+08 1.93878e+07 6648.75 210.57 42.1951 35.0005 411192 4120304 -1 301946 19 62955 244204 13696185 2439309 76.2189 76.2189 -67959.3 -76.2189 -31.7502 -0.296573 2.43088e+07 8336.34 8.68 9.11 3.84 -1 -1 8.68 5.11931 4.41235 0.1084 0.4117 0.01096 0.5774 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 4.79 vpr 64.27 MiB 0.08 9540 -1 -1 3 0.27 -1 -1 34424 -1 52812 67 99 1 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 65816 99 130 363 493 1 263 297 12 12 144 clb auto 25.3 MiB 0.09 900 72567 23079 38118 11370 64.3 MiB 0.26 0.00 2.19547 -219.998 -2.19547 2.19547 0.26 0.00129922 0.00122885 0.0991903 0.0938149 -1 -1 -1 -1 48 1659 12 5.66058e+06 4.1589e+06 394078. 2736.65 1.79 0.379472 0.348474 13382 75762 -1 1544 9 521 657 40728 13308 2.63222 2.63222 -236.107 -2.63222 0 0 503207. 3494.49 0.10 0.05 0.07 -1 -1 0.10 0.0304012 0.0281148 0.008945 0.2236 0.06953 0.7068 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 9.56 vpr 67.88 MiB 0.06 9348 -1 -1 15 0.35 -1 -1 34616 -1 54700 36 162 0 5 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 69512 162 96 999 932 1 694 299 16 16 256 mult_36 auto 28.5 MiB 0.20 5857 84215 27173 49817 7225 67.9 MiB 0.68 0.01 21.1842 -2034.35 -21.1842 21.1842 0.54 0.00372653 0.00350499 0.329695 0.310649 -1 -1 -1 -1 48 13395 48 1.21132e+07 3.92018e+06 756778. 2956.16 3.67 1.19822 1.11084 25228 149258 -1 10441 22 3551 6978 989904 271524 22.394 22.394 -2202.24 -22.394 0 0 968034. 3781.38 0.21 0.39 0.13 -1 -1 0.21 0.181418 0.168746 0.008229 0.3406 0.0158 0.6436 -k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 827.71 vpr 587.95 MiB 21.23 218936 -1 -1 127 258.07 -1 -1 94132 -1 131316 1847 114 44 8 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 602060 114 102 29627 29353 1 15349 2115 52 52 2704 clb auto 213.3 MiB 172.57 218202 1440535 510228 909357 20950 506.9 MiB 41.90 0.35 78.3528 -55177.3 -78.3528 78.3528 21.40 0.0886531 0.0781508 10.4975 8.80238 -1 -1 -1 -1 98 320819 39 1.58905e+08 1.26826e+08 1.73016e+07 6398.54 187.29 49.8921 40.9898 374910 3689198 -1 292515 19 59041 230554 12546645 2225164 78.7978 78.7978 -63615.3 -78.7978 -29.06 -0.29436 2.19429e+07 8114.96 7.75 8.27 3.53 -1 -1 7.75 4.80021 4.10979 0.1045 0.3944 0.01034 0.5953 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.72 vpr 64.57 MiB 0.08 9476 -1 -1 3 0.27 -1 -1 34512 -1 52876 70 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66124 99 130 363 493 1 258 300 12 12 144 clb auto 25.0 MiB 0.10 838 74522 24228 37276 13018 64.6 MiB 0.27 0.00 2.17638 -221.387 -2.17638 2.17638 0.14 0.00129932 0.00122885 0.10091 0.0952322 -1 -1 -1 -1 46 1590 15 5.66058e+06 4.32058e+06 378970. 2631.74 1.13 0.35411 0.325403 13238 73581 -1 1433 9 476 604 38423 13050 2.48843 2.48843 -238.765 -2.48843 0 0 486261. 3376.82 0.02 0.05 0.07 -1 -1 0.02 0.0294965 0.0272826 0.008076 0.2401 0.08049 0.6794 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 9.57 vpr 68.24 MiB 0.05 9396 -1 -1 15 0.36 -1 -1 34508 -1 54692 39 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69876 162 96 999 932 1 694 302 16 16 256 mult_36 auto 28.3 MiB 0.29 5683 90370 28738 54749 6883 68.2 MiB 0.70 0.01 20.9931 -1831.32 -20.9931 20.9931 0.26 0.00362101 0.00341816 0.335659 0.316287 -1 -1 -1 -1 46 12550 34 1.21132e+07 4.08187e+06 727248. 2840.81 4.34 1.25391 1.16087 24972 144857 -1 10070 16 3279 6501 903821 247268 22.511 22.511 -1981.82 -22.511 0 0 934704. 3651.19 0.03 0.32 0.13 -1 -1 0.03 0.1388 0.12936 0.007612 0.3587 0.01681 0.6245 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 578.39 vpr 552.45 MiB 11.83 218976 -1 -1 127 241.08 -1 -1 94128 -1 131504 2029 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 565708 114 102 29627 29353 1 15810 2297 55 55 3025 clb auto 208.7 MiB 31.03 226801 1653538 605978 1024758 22802 545.4 MiB 41.67 0.34 79.0482 -55446.9 -79.0482 79.0482 11.61 0.0878287 0.0724562 10.5647 8.74217 -1 -1 -1 -1 94 333855 47 1.75831e+08 1.36634e+08 1.88076e+07 6217.38 133.86 40.3128 33.1994 411096 3966550 -1 304757 19 61102 243417 13472416 2442946 79.9364 79.9364 -66665.8 -79.9364 -15.0665 -0.295467 2.36933e+07 7832.49 1.07 8.62 3.79 -1 -1 1.07 4.80359 4.1105 0.1049 0.4163 0.01052 0.5732 + k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.69 vpr 65.02 MiB 0.08 9336 -1 -1 3 0.26 -1 -1 34548 -1 52876 70 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66580 99 130 363 493 1 258 300 12 12 144 clb auto 25.3 MiB 0.08 838 74522 24228 37276 13018 65.0 MiB 0.26 0.00 2.17638 -221.387 -2.17638 2.17638 0.14 0.00129494 0.00122612 0.100196 0.0946895 -1 -1 -1 -1 46 1591 15 5.66058e+06 4.32058e+06 378970. 2631.74 1.11 0.352283 0.323968 13238 73581 -1 1432 9 485 614 38605 13124 2.48843 2.48843 -238.761 -2.48843 0 0 486261. 3376.82 0.02 0.05 0.07 -1 -1 0.02 0.0294363 0.0272208 0.009099 0.2123 0.07145 0.7162 + k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml diffeq1.v common 9.74 vpr 68.44 MiB 0.06 9320 -1 -1 15 0.36 -1 -1 34608 -1 54680 39 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70084 162 96 999 932 1 694 302 16 16 256 mult_36 auto 28.4 MiB 0.30 5683 90370 28738 54749 6883 68.4 MiB 0.71 0.01 20.9931 -1831.32 -20.9931 20.9931 0.26 0.00361925 0.00341453 0.340618 0.32103 -1 -1 -1 -1 48 12081 21 1.21132e+07 4.08187e+06 756778. 2956.16 4.52 1.47866 1.36703 25228 149258 -1 10118 17 3142 6193 869809 238586 22.4135 22.4135 -1931.34 -22.4135 0 0 968034. 3781.38 0.03 0.32 0.13 -1 -1 0.03 0.144283 0.134464 0.007986 0.3501 0.01627 0.6337 + k6_N10_I40_Fi6_L4_frac1_ff2_45nm.xml LU8PEEng.v common 644.82 vpr 548.79 MiB 14.60 218996 -1 -1 127 241.36 -1 -1 93968 -1 131508 1941 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 561960 114 102 29627 29353 1 15466 2209 54 54 2916 clb auto 213.8 MiB 61.74 220080 1555249 565122 973685 16442 534.4 MiB 43.14 0.36 78.2917 -56549.3 -78.2917 78.2917 11.42 0.095719 0.0794427 10.8592 9.02371 -1 -1 -1 -1 96 330518 40 1.70873e+08 1.31892e+08 1.84738e+07 6335.34 164.52 35.8671 29.5329 399532 3879924 -1 297788 20 59039 236333 13363775 2400026 80.1408 80.1408 -66161.6 -80.1408 -24.8957 -0.293253 2.31021e+07 7922.54 1.10 8.82 3.15 -1 -1 1.10 5.01763 4.27996 0.1047 0.4053 0.01046 0.5843 + k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.25 vpr 65.21 MiB 0.08 9424 -1 -1 3 0.27 -1 -1 34464 -1 52832 69 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66780 99 130 363 493 1 258 299 12 12 144 clb auto 25.5 MiB 0.10 861 66233 21980 33247 11006 65.2 MiB 0.23 0.00 2.31523 -221.329 -2.31523 2.31523 0.14 0.00130048 0.00123222 0.0899495 0.0851964 -1 -1 -1 -1 34 1913 13 5.66058e+06 4.26669e+06 307677. 2136.65 0.64 0.346278 0.318239 12584 59343 -1 1546 14 524 724 46310 16486 2.77919 2.77919 -242.652 -2.77919 0 0 377431. 2621.05 0.01 0.06 0.06 -1 -1 0.01 0.0403978 0.0371655 0.007217 0.2222 0.07011 0.7077 + k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 8.81 vpr 68.52 MiB 0.06 9396 -1 -1 15 0.35 -1 -1 34632 -1 54740 38 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70160 162 96 999 932 1 696 301 16 16 256 mult_36 auto 28.6 MiB 0.28 5652 86989 27947 52600 6442 68.5 MiB 0.37 0.01 20.9332 -1746.03 -20.9332 20.9332 0.21 0.00153109 0.00143007 0.143927 0.134378 -1 -1 -1 -1 46 13103 33 1.21132e+07 4.02797e+06 761464. 2974.47 4.08 0.87378 0.804968 25952 154797 -1 10232 18 3231 6459 945757 261492 22.4777 22.4777 -1916.09 -22.4777 0 0 979054. 3824.43 0.03 0.35 0.13 -1 -1 0.03 0.152256 0.141798 0.007918 0.3501 0.01631 0.6336 + k6_N10_I47_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 787.88 vpr 576.84 MiB 17.14 218940 -1 -1 127 252.37 -1 -1 93948 -1 131528 1871 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 590680 114 102 29627 29353 1 15720 2139 53 53 2809 clb auto 209.9 MiB 174.78 219154 1531275 562643 945418 23214 576.8 MiB 44.74 0.35 77.6516 -57837.3 -77.6516 77.6516 13.09 0.0900275 0.0796119 11.0785 9.3331 -1 -1 -1 -1 98 318280 24 1.63647e+08 1.28119e+08 1.88250e+07 6701.68 174.67 32.9578 27.2884 402692 4111096 -1 289091 20 54483 219241 11448364 2061064 78.7654 78.7654 -68488.3 -78.7654 -34.8862 -0.199722 2.37838e+07 8467.00 1.05 8.69 3.81 -1 -1 1.05 5.10142 4.37526 0.1081 0.3987 0.01024 0.5911 + k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.65 vpr 65.04 MiB 0.05 9472 -1 -1 3 0.30 -1 -1 34472 -1 52852 69 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66600 99 130 363 493 1 258 299 12 12 144 clb auto 25.4 MiB 0.10 861 66233 21980 33247 11006 65.0 MiB 0.23 0.00 2.31523 -221.329 -2.31523 2.31523 0.15 0.00127962 0.0012098 0.0886043 0.083785 -1 -1 -1 -1 40 1651 12 5.66058e+06 4.26669e+06 349084. 2424.19 1.16 0.496626 0.453969 13156 69019 -1 1465 12 464 605 32858 11334 2.69071 2.69071 -242.561 -2.69071 0 0 438335. 3043.99 0.01 0.06 0.06 -1 -1 0.01 0.0365133 0.0336209 0.008634 0.2157 0.06566 0.7187 + k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 8.36 vpr 68.57 MiB 0.06 9360 -1 -1 15 0.35 -1 -1 34536 -1 54716 38 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70212 162 96 999 932 1 696 301 16 16 256 mult_36 auto 28.5 MiB 0.29 5652 86989 27945 52602 6442 68.6 MiB 0.69 0.01 20.9332 -1745.96 -20.9332 20.9332 0.28 0.00370006 0.0034912 0.334125 0.314884 -1 -1 -1 -1 46 12622 25 1.21132e+07 4.02797e+06 761464. 2974.47 3.00 0.964111 0.895797 25952 154797 -1 10437 18 3260 6655 1028445 317363 22.3668 22.3668 -1914.59 -22.3668 0 0 979054. 3824.43 0.03 0.41 0.13 -1 -1 0.03 0.159615 0.148892 0.008264 0.3389 0.0157 0.6454 + k6_N10_I47_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 765.36 vpr 546.97 MiB 16.26 218912 -1 -1 127 253.82 -1 -1 94072 -1 131504 1790 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 560100 114 102 29627 29353 1 15214 2058 51 51 2601 clb auto 211.0 MiB 195.55 214692 1402153 501448 882018 18687 536.1 MiB 43.42 0.38 79.1117 -55930.5 -79.1117 79.1117 11.67 0.0995495 0.0829157 10.9352 9.0959 -1 -1 -1 -1 100 307027 36 1.52527e+08 1.23754e+08 1.77677e+07 6831.11 134.21 36.0474 29.7005 377770 3888742 -1 283743 19 54164 218388 11957346 2196577 79.4653 79.4653 -66641.3 -79.4653 -58.1722 -0.292146 2.23379e+07 8588.19 0.96 7.88 3.18 -1 -1 0.96 4.63071 3.96994 0.1061 0.385 0.009704 0.6053 + k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.16 vpr 65.32 MiB 0.09 9400 -1 -1 3 0.27 -1 -1 34392 -1 52912 69 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66892 99 130 363 493 1 263 299 12 12 144 clb auto 25.6 MiB 0.09 949 67232 22174 34050 11008 65.3 MiB 0.24 0.00 2.43987 -221.416 -2.43987 2.43987 0.15 0.00129718 0.00122766 0.0909835 0.0861081 -1 -1 -1 -1 36 1837 19 5.66058e+06 4.26669e+06 333113. 2313.29 0.55 0.275955 0.254309 13148 65652 -1 1532 11 505 635 35237 11361 2.5069 2.5069 -243.369 -2.5069 0 0 410918. 2853.60 0.01 0.06 0.06 -1 -1 0.01 0.0343381 0.031672 0.008068 0.2338 0.07145 0.6948 + k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 11.67 vpr 68.73 MiB 0.05 9468 -1 -1 15 0.36 -1 -1 34528 -1 54664 38 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70384 162 96 999 932 1 691 301 16 16 256 mult_36 auto 28.8 MiB 0.29 5639 93037 30922 55192 6923 68.7 MiB 0.41 0.01 21.3941 -1831.45 -21.3941 21.3941 0.24 0.00166178 0.00155133 0.161953 0.15132 -1 -1 -1 -1 46 12350 33 1.21132e+07 4.02797e+06 791147. 3090.42 6.67 1.44577 1.33218 26792 163197 -1 9685 19 2977 5968 833608 244205 22.7978 22.7978 -1967.85 -22.7978 0 0 1.01637e+06 3970.19 0.03 0.35 0.14 -1 -1 0.03 0.162016 0.15091 0.007862 0.3461 0.01629 0.6376 + k6_N10_I53_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 852.68 vpr 626.34 MiB 18.15 218960 -1 -1 127 255.87 -1 -1 94168 -1 131512 1828 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 641376 114 102 29627 29353 1 15547 2096 52 52 2704 clb auto 209.5 MiB 228.53 223105 1463568 524461 918470 20637 600.3 MiB 42.28 0.35 78.3706 -59244.2 -78.3706 78.3706 13.84 0.089462 0.0791571 10.8272 9.17856 -1 -1 -1 -1 98 326822 44 1.58905e+08 1.25802e+08 1.87573e+07 6936.89 182.67 47.3612 39.1116 398960 4175748 -1 290896 16 50847 206616 11636472 2136512 79.5376 79.5376 -71051.8 -79.5376 -31.4036 -0.293253 2.36440e+07 8744.08 1.25 8.04 3.77 -1 -1 1.25 4.55833 3.96125 0.1118 0.3847 0.01018 0.6052 + k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.11 vpr 65.37 MiB 0.08 9400 -1 -1 3 0.28 -1 -1 34612 -1 52848 69 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66936 99 130 363 493 1 263 299 12 12 144 clb auto 25.6 MiB 0.10 949 67232 22174 34050 11008 65.4 MiB 0.24 0.00 2.43987 -221.416 -2.43987 2.43987 0.15 0.00130805 0.00123843 0.0918371 0.0869686 -1 -1 -1 -1 36 1834 19 5.66058e+06 4.26669e+06 333113. 2313.29 0.55 0.277999 0.25635 13148 65652 -1 1533 11 505 635 35236 11361 2.5069 2.5069 -243.369 -2.5069 0 0 410918. 2853.60 0.01 0.06 0.06 -1 -1 0.01 0.034582 0.0319214 0.009086 0.2074 0.06345 0.7291 + k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 9.52 vpr 68.93 MiB 0.07 9368 -1 -1 15 0.36 -1 -1 34676 -1 54652 38 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70580 162 96 999 932 1 691 301 16 16 256 mult_36 auto 28.9 MiB 0.29 5553 84973 24230 53444 7299 68.9 MiB 0.67 0.02 21.0652 -1832.14 -21.0652 21.0652 0.29 0.00289444 0.00270802 0.310611 0.292313 -1 -1 -1 -1 44 12478 24 1.21132e+07 4.02797e+06 756265. 2954.16 4.12 1.25975 1.16492 26536 158550 -1 9740 18 3063 6155 933024 274900 22.6138 22.6138 -1942.42 -22.6138 0 0 982941. 3839.61 0.03 0.36 0.13 -1 -1 0.03 0.156145 0.145642 0.008167 0.3316 0.01532 0.6531 + k6_N10_I53_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 871.23 vpr 595.21 MiB 16.13 218924 -1 -1 127 259.31 -1 -1 94132 -1 131448 1731 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 609492 114 102 29627 29353 1 14793 1999 51 51 2601 clb auto 210.3 MiB 256.35 214531 1323634 467621 837620 18393 595.2 MiB 39.09 0.32 79.1887 -57066.4 -79.1887 79.1887 13.41 0.0870291 0.0767368 10.2594 8.60019 -1 -1 -1 -1 98 319085 46 1.52527e+08 1.20574e+08 1.81533e+07 6979.35 177.95 36.7195 30.3456 386048 4051124 -1 279933 15 48886 201945 11373176 2099585 78.5408 78.5408 -67972.7 -78.5408 -30.2636 -0.197508 2.28745e+07 8794.49 1.22 7.79 3.61 -1 -1 1.22 4.43128 3.84244 0.1117 0.3715 0.009787 0.6188 + k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 4.04 vpr 65.07 MiB 0.07 9324 -1 -1 3 0.27 -1 -1 34220 -1 52840 69 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66632 99 130 363 493 1 258 299 12 12 144 clb auto 25.3 MiB 0.09 913 66233 21239 33925 11069 65.1 MiB 0.24 0.00 2.17638 -218.826 -2.17638 2.17638 0.14 0.0012971 0.00122626 0.0912588 0.0863185 -1 -1 -1 -1 36 1928 22 5.66058e+06 4.26669e+06 305235. 2119.69 1.53 0.540487 0.493899 12238 58442 -1 1630 12 569 732 42766 13498 2.52625 2.52625 -240.461 -2.52625 0 0 378970. 2631.74 0.01 0.06 0.06 -1 -1 0.01 0.0366498 0.033768 0.007971 0.2413 0.07064 0.688 + k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml diffeq1.v common 10.87 vpr 68.36 MiB 0.05 9392 -1 -1 15 0.36 -1 -1 34484 -1 54740 39 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69996 162 96 999 932 1 695 302 16 16 256 mult_36 auto 28.4 MiB 0.28 5746 92394 29024 56213 7157 68.4 MiB 0.74 0.01 20.6117 -1735.98 -20.6117 20.6117 0.27 0.00372671 0.0035077 0.356128 0.335298 -1 -1 -1 -1 48 13645 43 1.21132e+07 4.08187e+06 756778. 2956.16 5.47 1.75151 1.61987 25228 149258 -1 10189 19 3455 7104 978427 283114 22.5103 22.5103 -1924.1 -22.5103 0 0 968034. 3781.38 0.03 0.37 0.13 -1 -1 0.03 0.16396 0.15273 0.00777 0.3553 0.01666 0.628 + k6_N10_I40_Fi7_L4_frac1_ff1_45nm.xml LU8PEEng.v common 751.45 vpr 541.91 MiB 19.60 219112 -1 -1 127 259.84 -1 -1 93964 -1 131552 1983 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 554912 114 102 29627 29353 1 15922 2251 54 54 2916 clb auto 210.9 MiB 119.52 222919 1580073 571874 985592 22607 531.1 MiB 48.29 0.39 77.8953 -57999.4 -77.8953 77.8953 11.52 0.0926883 0.0822081 11.0789 9.32318 -1 -1 -1 -1 96 331929 43 1.70873e+08 1.34156e+08 1.84738e+07 6335.34 178.58 39.6952 32.8705 399532 3879924 -1 299844 21 60223 237835 13208126 2353586 78.7014 78.7014 -70108.8 -78.7014 -29.771 -0.29436 2.31021e+07 7922.54 1.11 9.04 3.72 -1 -1 1.11 5.15092 4.42498 0.1048 0.4082 0.01076 0.5811 + k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 4.05 vpr 65.32 MiB 0.08 9324 -1 -1 3 0.27 -1 -1 34472 -1 52808 69 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66884 99 130 363 493 1 258 299 12 12 144 clb auto 25.6 MiB 0.09 913 66233 21239 33925 11069 65.3 MiB 0.24 0.00 2.17638 -218.826 -2.17638 2.17638 0.15 0.00129432 0.00122457 0.0904259 0.0854626 -1 -1 -1 -1 36 1947 22 5.66058e+06 4.26669e+06 305235. 2119.69 1.52 0.532864 0.486833 12238 58442 -1 1641 12 564 725 43175 13609 2.52625 2.52625 -240.585 -2.52625 0 0 378970. 2631.74 0.01 0.06 0.06 -1 -1 0.01 0.0363772 0.0334925 0.008996 0.2148 0.06259 0.7226 + k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml diffeq1.v common 10.50 vpr 68.48 MiB 0.06 9348 -1 -1 15 0.35 -1 -1 34572 -1 54680 39 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70128 162 96 999 932 1 695 302 16 16 256 mult_36 auto 28.4 MiB 0.28 5746 92394 29024 56213 7157 68.5 MiB 0.74 0.01 20.6117 -1735.98 -20.6117 20.6117 0.27 0.00376479 0.00355171 0.357508 0.336901 -1 -1 -1 -1 48 13164 31 1.21132e+07 4.08187e+06 756778. 2956.16 5.16 1.68904 1.56102 25228 149258 -1 10096 20 3354 6980 937489 271344 22.3874 22.3874 -1883.29 -22.3874 0 0 968034. 3781.38 0.03 0.37 0.13 -1 -1 0.03 0.165916 0.154427 0.008076 0.3415 0.0161 0.6424 + k6_N10_I40_Fi7_L4_frac1_ff2_45nm.xml LU8PEEng.v common 757.82 vpr 534.98 MiB 16.44 218916 -1 -1 127 260.19 -1 -1 94052 -1 131616 1904 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 547824 114 102 29627 29353 1 15404 2172 53 53 2809 clb auto 212.1 MiB 127.62 224272 1464412 517931 925778 20703 519.0 MiB 44.92 0.38 77.2167 -56722.9 -77.2167 77.2167 11.09 0.0919887 0.0815039 10.6214 8.9526 -1 -1 -1 -1 98 332697 49 1.63647e+08 1.29898e+08 1.80005e+07 6408.16 185.14 38.4812 31.7793 389126 3837838 -1 298135 19 58812 234104 13021459 2331103 77.5408 77.5408 -65332.6 -77.5408 -8.7861 -0.295467 2.28256e+07 8125.88 1.18 8.73 3.73 -1 -1 1.18 4.94611 4.23516 0.1058 0.4028 0.0103 0.5869 + k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 3.14 vpr 65.10 MiB 0.07 9352 -1 -1 3 0.27 -1 -1 34488 -1 52804 69 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66660 99 130 363 493 1 263 299 12 12 144 clb auto 25.4 MiB 0.09 990 67232 22930 33501 10801 65.1 MiB 0.19 0.00 2.41249 -219.169 -2.41249 2.41249 0.15 0.000784953 0.000729651 0.057221 0.0531572 -1 -1 -1 -1 48 1696 17 5.66058e+06 4.26669e+06 394078. 2736.65 0.69 0.326608 0.298107 13382 75762 -1 1549 8 476 628 36695 11783 2.66008 2.66008 -233.624 -2.66008 0 0 503207. 3494.49 0.01 0.02 0.05 -1 -1 0.01 0.0151324 0.014174 0.008018 0.2621 0.07676 0.6612 + k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml diffeq1.v common 11.30 vpr 68.59 MiB 0.05 9308 -1 -1 15 0.35 -1 -1 34552 -1 54856 39 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70232 162 96 999 932 1 692 302 16 16 256 mult_36 auto 28.6 MiB 0.26 5546 83286 26609 49026 7651 68.6 MiB 0.64 0.01 21.0243 -1894.68 -21.0243 21.0243 0.26 0.00355367 0.00335054 0.310396 0.292545 -1 -1 -1 -1 54 11790 34 1.21132e+07 4.08187e+06 835850. 3265.04 6.08 1.44279 1.33344 26248 167850 -1 9592 19 3142 6275 854413 269675 22.0932 22.0932 -1987.22 -22.0932 0 0 1.08614e+06 4242.72 0.04 0.35 0.15 -1 -1 0.04 0.160799 0.149782 0.00805 0.3587 0.01739 0.6239 + k6_N10_I40_Fi8_L4_frac1_ff1_45nm.xml LU8PEEng.v common 719.26 vpr 546.14 MiB 18.47 218876 -1 -1 127 261.95 -1 -1 94060 -1 131520 1971 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 559248 114 102 29627 29353 1 15847 2239 54 54 2916 clb auto 209.6 MiB 135.16 225408 1598108 582829 992381 22898 530.2 MiB 44.62 0.37 78.1966 -55511.9 -78.1966 78.1966 9.94 0.0937316 0.0830703 10.8349 9.12795 -1 -1 -1 -1 98 325803 29 1.70873e+08 1.33509e+08 1.87532e+07 6431.13 134.61 35.0377 28.9908 405364 4004376 -1 300586 20 59494 234219 12688820 2264650 78.0957 78.0957 -66362.9 -78.0957 -27.2952 -0.295467 2.37782e+07 8154.37 1.22 8.76 3.81 -1 -1 1.22 4.98031 4.28265 0.1071 0.4088 0.01084 0.5803 + k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml ch_intrinsics.v common 3.21 vpr 65.15 MiB 0.06 9328 -1 -1 3 0.33 -1 -1 34540 -1 52848 69 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66716 99 130 363 493 1 263 299 12 12 144 clb auto 25.4 MiB 0.10 990 67232 22930 33501 10801 65.2 MiB 0.25 0.00 2.41249 -219.169 -2.41249 2.41249 0.14 0.00133702 0.00126692 0.0940974 0.0892019 -1 -1 -1 -1 48 1696 17 5.66058e+06 4.26669e+06 394078. 2736.65 0.67 0.365846 0.336827 13382 75762 -1 1549 8 476 628 36691 11782 2.66008 2.66008 -233.624 -2.66008 0 0 503207. 3494.49 0.02 0.05 0.07 -1 -1 0.02 0.0278752 0.0258244 0.008979 0.234 0.06855 0.6974 + k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml diffeq1.v common 10.73 vpr 68.96 MiB 0.06 9420 -1 -1 15 0.35 -1 -1 34516 -1 54632 39 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70612 162 96 999 932 1 692 302 16 16 256 mult_36 auto 28.9 MiB 0.29 5546 83286 26609 49026 7651 69.0 MiB 0.66 0.01 21.0243 -1894.68 -21.0243 21.0243 0.27 0.0037086 0.00350138 0.321277 0.30308 -1 -1 -1 -1 56 11796 30 1.21132e+07 4.08187e+06 870502. 3400.40 5.40 1.57976 1.46113 26504 172068 -1 9833 18 3037 5915 817787 257845 22.0453 22.0453 -1997.8 -22.0453 0 0 1.11200e+06 4343.75 0.04 0.33 0.15 -1 -1 0.04 0.151213 0.140765 0.008427 0.3511 0.01633 0.6326 + k6_N10_I40_Fi8_L4_frac1_ff2_45nm.xml LU8PEEng.v common 767.55 vpr 531.91 MiB 21.75 218924 -1 -1 127 259.77 -1 -1 94072 -1 131460 1854 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 544680 114 102 29627 29353 1 15211 2122 53 53 2809 clb auto 211.2 MiB 141.69 211497 1460532 535901 906854 17777 517.1 MiB 42.12 0.38 77.9546 -57133.7 -77.9546 77.9546 10.83 0.0935262 0.0829021 10.728 9.03148 -1 -1 -1 -1 98 312255 32 1.63647e+08 1.27203e+08 1.80005e+07 6408.16 181.41 41.0203 33.8105 389126 3837838 -1 285972 21 57627 230981 12422674 2201978 78.6154 78.6154 -67904.1 -78.6154 -21.0988 -0.200532 2.28256e+07 8125.88 1.15 7.51 3.69 -1 -1 1.15 4.54132 3.87896 0.1061 0.3948 0.01039 0.5948 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/power_extended_circuit_list/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/power_extended_circuit_list/config/golden_results.txt index e44f94f6fb4..746a413d647 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/power_extended_circuit_list/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/power_extended_circuit_list/config/golden_results.txt @@ -1,15 +1,15 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml bgm.v common 1042.27 vpr 681.79 MiB 25.44 379864 -1 -1 22 518.01 -1 -1 154072 -1 116500 2560 257 0 11 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 698152 257 32 32041 31556 1 18655 2860 61 61 3721 clb auto 233.1 MiB 36.39 248784 1971184 693534 1249697 27953 667.6 MiB 67.38 0.57 17.2227 -24536 -17.2227 17.2227 32.23 0.0949015 0.0847155 10.7087 9.08247 -1 -1 -1 -1 82 383775 24 2.18169e+08 1.42327e+08 2.04246e+07 5489.00 212.07 51.8327 42.9917 475364 4274107 -1 368989 20 108548 499678 20761050 3200843 17.2471 17.2471 -24997.9 -17.2471 0 0 2.56329e+07 6888.72 9.50 12.11 3.85 -1 -1 9.50 5.44089 4.71206 0.1728 0.4587 0.02687 0.5144 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml blob_merge.v common 178.53 vpr 135.18 MiB 0.80 62972 -1 -1 18 80.57 -1 -1 65724 -1 50856 547 36 0 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 138428 36 100 6600 6700 1 2882 683 30 30 900 clb auto 68.0 MiB 4.70 44999 244169 69488 159892 14789 114.4 MiB 5.78 0.06 9.84606 -2737.75 -9.84606 9.84606 2.26 0.0205872 0.0186429 2.35656 2.09125 -1 -1 -1 -1 68 71260 44 4.8774e+07 2.948e+07 4.08678e+06 4540.87 59.31 10.6547 9.11299 104936 820930 -1 63165 15 12843 63157 2583701 343932 9.86569 9.86569 -2888.58 -9.86569 0 0 5.07014e+06 5633.48 1.59 1.62 0.71 -1 -1 1.59 0.934605 0.830796 0.02401 0.3921 0.04163 0.5663 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml boundtop.v common 8.66 vpr 64.77 MiB 0.98 47244 -1 -1 2 0.47 -1 -1 36420 -1 53908 84 114 0 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 66328 114 193 455 648 1 311 391 13 13 169 clb auto 25.8 MiB 0.11 1086 108995 33504 57769 17722 64.8 MiB 0.36 0.01 2.02924 -239.485 -2.02924 2.02924 0.31 0.00185284 0.00175213 0.144844 0.137216 -1 -1 -1 -1 38 2109 19 6.63067e+06 4.5271e+06 384612. 2275.81 2.25 0.752699 0.698566 14836 75665 -1 1896 10 688 827 52110 15907 2.2653 2.2653 -264.758 -2.2653 0 0 489150. 2894.38 0.11 0.07 0.07 -1 -1 0.11 0.0441403 0.0412514 0.006225 0.4568 0.1118 0.4314 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 4.75 vpr 64.06 MiB 0.08 9324 -1 -1 3 0.27 -1 -1 34440 -1 52980 68 99 1 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 65600 99 130 363 493 1 258 298 12 12 144 clb auto 25.1 MiB 0.11 959 66963 20877 35036 11050 64.1 MiB 0.24 0.00 2.19547 -220.397 -2.19547 2.19547 0.26 0.00130366 0.0012327 0.091639 0.0866215 -1 -1 -1 -1 44 1703 11 5.66058e+06 4.21279e+06 360780. 2505.42 1.78 0.506479 0.463286 13094 71552 -1 1588 7 435 526 37760 12301 2.62103 2.62103 -239.974 -2.62103 0 0 470765. 3269.20 0.10 0.04 0.07 -1 -1 0.10 0.0257283 0.0238769 0.008006 0.2706 0.07512 0.6543 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 9.21 vpr 67.62 MiB 0.06 9296 -1 -1 15 0.36 -1 -1 34512 -1 54680 39 162 0 5 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 69240 162 96 999 932 1 700 302 16 16 256 mult_36 auto 28.3 MiB 0.30 5813 84298 23720 53467 7111 67.6 MiB 0.44 0.01 20.9992 -1841.13 -20.9992 20.9992 0.51 0.00168409 0.00157444 0.188585 0.176799 -1 -1 -1 -1 46 13307 40 1.21132e+07 4.08187e+06 727248. 2840.81 3.40 0.973745 0.899943 24972 144857 -1 10229 22 3566 7249 945644 266838 22.6939 22.6939 -1995.17 -22.6939 0 0 934704. 3651.19 0.20 0.37 0.13 -1 -1 0.20 0.173485 0.161033 0.007576 0.3575 0.01677 0.6257 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq2.v common 9.67 vpr 66.34 MiB 0.04 8296 -1 -1 14 0.27 -1 -1 34264 -1 54476 26 66 0 7 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 67928 66 96 719 590 1 526 195 18 18 324 mult_36 auto 27.2 MiB 0.21 4997 41440 12254 24031 5155 66.3 MiB 0.44 0.01 16.8652 -947.59 -16.8652 16.8652 0.69 0.00308622 0.00292867 0.238896 0.226506 -1 -1 -1 -1 40 11910 33 1.57076e+07 4.17324e+06 840073. 2592.82 3.96 0.898685 0.837581 30996 167808 -1 9739 18 2811 6195 1287745 370156 18.2201 18.2201 -1059.73 -18.2201 0 0 1.05274e+06 3249.19 0.27 0.39 0.15 -1 -1 0.27 0.130099 0.12184 0.009018 0.3181 0.01974 0.6622 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 978.32 vpr 565.91 MiB 19.42 218984 -1 -1 127 263.98 -1 -1 94096 -1 131560 2033 114 44 8 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 579496 114 102 29627 29353 1 15945 2301 55 55 3025 clb auto 209.8 MiB 30.01 230514 1642173 595704 1018876 27593 540.3 MiB 49.56 0.38 78.2692 -58089.8 -78.2692 78.2692 25.92 0.0916977 0.0810659 11.0386 9.33285 -1 -1 -1 -1 96 342970 28 1.75831e+08 1.3685e+08 1.91810e+07 6340.82 464.54 44.1199 36.5508 414120 4030168 -1 311902 20 61622 243318 13757769 2438696 78.5659 78.5659 -72067.7 -78.5659 -30.242 -0.295467 2.39857e+07 7929.14 8.65 9.12 3.70 -1 -1 8.65 5.13773 4.40525 0.1059 0.4192 0.01061 0.5702 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mkDelayWorker32B.v common 78.43 vpr 332.70 MiB 1.58 71256 -1 -1 5 7.49 -1 -1 52580 -1 75424 455 506 47 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 340688 506 553 3285 3838 1 3021 1561 50 50 2500 memory auto 46.8 MiB 4.95 16911 1132936 540418 412116 180402 332.7 MiB 5.43 0.07 6.81926 -2092.53 -6.81926 6.81926 20.52 0.0225471 0.0203487 2.86364 2.56268 -1 -1 -1 -1 36 24490 16 1.47946e+08 5.02784e+07 6.56144e+06 2624.58 15.51 6.5628 5.93752 246308 1320744 -1 23615 15 4530 6001 1162606 294745 7.00465 7.00465 -2317.83 -7.00465 -2.49017 -0.198615 8.08093e+06 3232.37 2.87 1.08 1.17 -1 -1 2.87 0.85372 0.78756 0.1737 0.1376 0.03947 0.8229 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mkPktMerge.v common 21.10 vpr 71.64 MiB 0.19 16436 -1 -1 2 0.14 -1 -1 33820 -1 60124 26 311 15 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 73364 311 156 972 1128 1 953 508 28 28 784 memory auto 29.6 MiB 0.45 8756 192978 67205 115974 9799 71.6 MiB 1.15 0.02 3.44674 -4501.76 -3.44674 3.44674 2.01 0.00572547 0.00508009 0.569408 0.503136 -1 -1 -1 -1 40 14404 17 4.25198e+07 9.62124e+06 2.13295e+06 2720.61 10.33 2.45873 2.17205 76686 431115 -1 13400 15 2961 3367 754337 220400 3.92192 3.92192 -5016.51 -3.92192 -19.825 -0.341744 2.67004e+06 3405.67 0.74 0.37 0.37 -1 -1 0.74 0.206215 0.185816 0.09284 0.1511 0.01842 0.8305 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mkSMAdapter4B.v common 22.94 vpr 76.04 MiB 0.47 30252 -1 -1 7 2.77 -1 -1 37896 -1 59112 165 193 5 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 77860 193 205 2232 2437 1 1233 568 20 20 400 memory auto 35.9 MiB 1.04 9752 235668 79586 129147 26935 76.0 MiB 1.78 0.02 5.05082 -2947.57 -5.05082 5.05082 0.93 0.00704704 0.00638554 0.756963 0.68194 -1 -1 -1 -1 46 18422 38 2.07112e+07 1.16325e+07 1.18195e+06 2954.88 8.36 2.54158 2.26517 40048 238620 -1 15237 15 4647 11303 618444 141975 5.25663 5.25663 -3116.55 -5.25663 -7.35917 -0.360359 1.52036e+06 3800.91 0.37 0.43 0.21 -1 -1 0.37 0.271638 0.247504 0.02912 0.2114 0.02577 0.7629 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml or1200.v common 50.67 vpr 101.63 MiB 0.96 40772 -1 -1 27 5.14 -1 -1 43820 -1 61392 244 385 2 1 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 104072 385 394 3979 4310 1 2365 1026 27 27 729 io auto 46.8 MiB 2.93 31543 580290 223313 332312 24665 89.5 MiB 5.22 0.06 14.1123 -12821.9 -14.1123 14.1123 1.83 0.0164483 0.015359 1.90831 1.75553 -1 -1 -1 -1 78 50003 39 3.93038e+07 1.46421e+07 3.65949e+06 5019.88 18.78 6.83439 6.26844 90401 760319 -1 44446 14 10163 35761 1936753 351458 14.382 14.382 -12951.5 -14.382 0 0 4.63207e+06 6354.00 1.17 1.08 0.65 -1 -1 1.17 0.600272 0.559673 0.02271 0.458 0.02685 0.5151 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml raygentop.v common 26.59 vpr 78.43 MiB 0.51 32792 -1 -1 8 1.86 -1 -1 40672 -1 62192 136 214 0 9 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 80308 214 305 2625 2741 1 1491 664 22 22 484 mult_36 auto 37.8 MiB 1.00 12774 243844 84316 148100 11428 78.4 MiB 1.77 0.03 4.70145 -2684.01 -4.70145 4.70145 1.16 0.00764961 0.00706404 0.675958 0.622554 -1 -1 -1 -1 50 27364 48 2.50602e+07 1.08936e+07 1.56759e+06 3238.82 10.38 2.54547 2.32232 49974 314245 -1 21923 16 6418 14353 1689049 421663 5.16741 5.16741 -3001.33 -5.16741 0 0 2.01671e+06 4166.75 0.53 0.66 0.28 -1 -1 0.53 0.317199 0.294415 0.02131 0.4673 0.04232 0.4904 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml sha.v common 567.92 abc 94.15 MiB 2.11 38644 -1 -1 20 539.07 -1 -1 96412 -1 46428 231 38 0 0 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 86212 38 36 3404 3440 1 1316 305 20 20 400 clb auto 42.9 MiB 1.75 14597 66995 17613 45969 3413 84.2 MiB 1.39 0.02 13.4798 -4955.78 -13.4798 13.4798 0.88 0.00838495 0.00751049 0.601803 0.529284 -1 -1 -1 -1 56 23365 22 2.07112e+07 1.24495e+07 1.41661e+06 3541.53 7.36 2.5535 2.22018 42444 283345 -1 21350 16 5273 21131 675569 116222 14.304 14.304 -5063.75 -14.304 0 0 1.80858e+06 4521.44 0.43 0.59 0.25 -1 -1 0.43 0.369725 0.332813 0.01036 0.3843 0.02925 0.5864 -k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mcml.v common 9288.38 vpr 1.95 GiB 82.62 923684 -1 -1 75 7776.15 -1 -1 447880 -1 340252 7399 36 159 27 success f4f46d5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-10-19T20:09:53 betzgrp-wintermute.eecg.utoronto.ca /home/yanhang1/updated-master 2043704 36 356 135102 133883 1 43343 7977 102 102 10404 clb auto 729.9 MiB 81.05 533263 11081968 4627322 6366369 88277 1848.2 MiB 223.30 1.58 68.172 -311525 -68.172 68.172 90.95 0.263998 0.227733 39.6811 33.1656 -1 -1 -1 -1 84 702196 28 6.36957e+08 4.96547e+08 5.96085e+07 5729.39 548.27 161.986 133.061 1367240 12735768 -1 671021 20 153505 469091 24386519 4755489 70.055 70.055 -372059 -70.055 -0.112757 -0.0281893 7.57212e+07 7278.09 25.79 21.32 11.47 -1 -1 25.79 14.6283 12.5273 0.297 0.3795 0.01334 0.6071 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml bgm.v common 964.83 vpr 656.46 MiB 24.00 379744 -1 -1 22 505.43 -1 -1 154044 -1 116488 2602 257 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 672212 257 32 32041 31556 1 18515 2902 62 62 3844 clb auto 230.8 MiB 30.56 246848 2009929 708498 1273197 28234 656.5 MiB 61.00 0.53 17.4371 -24702.8 -17.4371 17.4371 15.49 0.0943453 0.0840602 10.6721 9.0476 -1 -1 -1 -1 74 386341 39 2.30929e+08 1.44591e+08 1.95702e+07 5091.10 198.49 47.5775 39.3487 476084 4051572 -1 361963 22 97980 442676 18713535 2972860 17.3946 17.3946 -25100.1 -17.3946 0 0 2.45573e+07 6388.47 1.14 11.33 3.73 -1 -1 1.14 5.58575 4.8094 0.1707 0.4473 0.02793 0.5247 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml blob_merge.v common 144.21 vpr 160.66 MiB 0.80 62808 -1 -1 18 78.67 -1 -1 65860 -1 50784 552 36 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 164516 36 100 6600 6700 1 2874 688 30 30 900 clb auto 67.6 MiB 4.79 47423 252676 73288 163362 16026 114.6 MiB 4.94 0.06 9.72871 -2852.13 -9.72871 9.72871 1.03 0.0222946 0.0186302 1.82731 1.57675 -1 -1 -1 -1 70 70988 30 4.8774e+07 2.97495e+07 4.18297e+06 4647.75 30.80 9.53608 8.04725 106732 854442 -1 65982 14 12938 63311 2672259 357827 9.829 9.829 -3021.95 -9.829 0 0 5.26086e+06 5845.40 0.20 1.66 0.75 -1 -1 0.20 0.889079 0.787686 0.02456 0.4028 0.04261 0.5546 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml boundtop.v common 6.26 vpr 65.28 MiB 0.89 47148 -1 -1 2 0.46 -1 -1 36360 -1 53888 86 114 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66844 114 193 455 648 1 311 393 13 13 169 clb auto 26.0 MiB 0.07 978 108318 32861 57626 17831 65.3 MiB 0.35 0.01 1.87056 -242.941 -1.87056 1.87056 0.13 0.00182752 0.00174197 0.142938 0.136039 -1 -1 -1 -1 38 2096 14 6.63067e+06 4.63488e+06 384612. 2275.81 0.85 0.521347 0.486125 14836 75665 -1 1869 11 610 759 50315 15295 2.17737 2.17737 -265.853 -2.17737 0 0 489150. 2894.38 0.02 0.07 0.07 -1 -1 0.02 0.0474255 0.044273 0.006306 0.4513 0.1147 0.434 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml ch_intrinsics.v common 5.34 vpr 64.11 MiB 0.09 9380 -1 -1 3 0.56 -1 -1 34488 -1 52772 70 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65648 99 130 363 493 1 258 300 12 12 144 clb auto 24.3 MiB 0.10 838 74522 24228 37276 13018 64.1 MiB 0.27 0.00 2.17638 -221.387 -2.17638 2.17638 0.14 0.00130106 0.00123123 0.101143 0.0955913 -1 -1 -1 -1 46 1590 15 5.66058e+06 4.32058e+06 378970. 2631.74 1.11 0.353857 0.325512 13238 73581 -1 1433 9 476 604 38423 13050 2.48843 2.48843 -238.765 -2.48843 0 0 486261. 3376.82 0.02 0.05 0.07 -1 -1 0.02 0.0302428 0.0279977 0.008076 0.2401 0.08049 0.6794 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq1.v common 10.10 vpr 67.76 MiB 0.05 9292 -1 -1 15 0.70 -1 -1 34340 -1 54680 39 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69388 162 96 999 932 1 694 302 16 16 256 mult_36 auto 27.4 MiB 0.29 5683 90370 28738 54749 6883 67.8 MiB 0.69 0.01 20.9931 -1831.32 -20.9931 20.9931 0.26 0.00348356 0.00328584 0.328985 0.30995 -1 -1 -1 -1 46 12550 34 1.21132e+07 4.08187e+06 727248. 2840.81 4.17 1.21288 1.12186 24972 144857 -1 10070 16 3279 6501 903821 247268 22.511 22.511 -1981.82 -22.511 0 0 934704. 3651.19 0.03 0.32 0.13 -1 -1 0.03 0.137675 0.128343 0.007612 0.3587 0.01681 0.6245 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml diffeq2.v common 9.36 vpr 65.81 MiB 0.04 8360 -1 -1 14 0.67 -1 -1 34188 -1 54372 26 66 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67392 66 96 719 590 1 525 195 18 18 324 mult_36 auto 26.1 MiB 0.21 4979 50480 17141 27697 5642 65.8 MiB 0.50 0.01 16.8369 -960.621 -16.8369 16.8369 0.34 0.00295127 0.0028022 0.27736 0.263029 -1 -1 -1 -1 38 12858 39 1.57076e+07 4.17324e+06 803540. 2480.06 3.56 0.906566 0.844368 30672 162320 -1 10051 17 3032 6547 1475272 418198 17.6041 17.6041 -1061.94 -17.6041 0 0 1.01953e+06 3146.70 0.04 0.40 0.14 -1 -1 0.04 0.122358 0.114507 0.009201 0.316 0.01941 0.6646 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml LU8PEEng.v common 614.25 vpr 552.46 MiB 15.67 218988 -1 -1 127 256.83 -1 -1 94084 -1 131564 2029 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 565716 114 102 29627 29353 1 15810 2297 55 55 3025 clb auto 208.6 MiB 31.17 226801 1653538 605978 1024758 22802 545.4 MiB 45.31 0.37 79.0482 -55446.9 -79.0482 79.0482 11.89 0.0935378 0.0774336 10.9595 9.10673 -1 -1 -1 -1 94 333855 47 1.75831e+08 1.36634e+08 1.88076e+07 6217.38 138.77 42.1908 34.698 411096 3966550 -1 304757 19 61102 243417 13472416 2442946 79.9364 79.9364 -66665.8 -79.9364 -15.0665 -0.295467 2.36933e+07 7832.49 1.24 9.06 3.77 -1 -1 1.24 5.02901 4.32063 0.1049 0.4163 0.01052 0.5732 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mkDelayWorker32B.v common 68.40 vpr 333.24 MiB 1.50 71272 -1 -1 5 8.08 -1 -1 52512 -1 75472 472 506 47 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 341240 506 553 3285 3838 1 3021 1578 50 50 2500 memory auto 47.5 MiB 4.88 14975 1131087 537386 412299 181402 333.2 MiB 5.48 0.06 7.31798 -1716.46 -7.31798 7.31798 9.59 0.0233425 0.0202789 2.88885 2.58827 -1 -1 -1 -1 38 21877 13 1.47946e+08 5.11946e+07 6.86584e+06 2746.33 21.59 9.34517 8.46777 251304 1421084 -1 21223 14 3882 5152 957849 258652 7.69928 7.69928 -2017.61 -7.69928 -4.40929 -0.295467 8.69095e+06 3476.38 0.42 0.99 1.24 -1 -1 0.42 0.812677 0.750095 0.16 0.1404 0.03929 0.8203 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mkPktMerge.v common 11.90 vpr 71.21 MiB 0.17 16564 -1 -1 2 0.57 -1 -1 33572 -1 60112 29 311 15 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72916 311 156 972 1128 1 953 511 28 28 784 memory auto 28.6 MiB 0.52 8675 196543 71995 115326 9222 71.2 MiB 1.12 0.02 3.94157 -4520.2 -3.94157 3.94157 0.88 0.00450683 0.00400124 0.539697 0.478132 -1 -1 -1 -1 38 14424 15 4.25198e+07 9.78293e+06 2.03942e+06 2601.30 3.08 1.42389 1.26202 75902 416746 -1 13482 13 2485 2939 742342 220707 4.30811 4.30811 -5120.27 -4.30811 -9.59348 -0.340786 2.58559e+06 3297.95 0.11 0.34 0.35 -1 -1 0.11 0.190264 0.171934 0.08518 0.1546 0.01779 0.8276 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mkSMAdapter4B.v common 20.15 vpr 76.48 MiB 0.45 30028 -1 -1 7 2.80 -1 -1 37892 -1 59140 166 193 5 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78316 193 205 2232 2437 1 1230 569 20 20 400 memory auto 35.7 MiB 1.01 9358 240983 80731 132632 27620 76.5 MiB 1.73 0.02 5.06623 -2804.81 -5.06623 5.06623 0.43 0.00696087 0.00630657 0.736351 0.662847 -1 -1 -1 -1 46 17929 33 2.07112e+07 1.16864e+07 1.18195e+06 2954.88 7.39 2.49645 2.21716 40048 238620 -1 14832 16 4536 11233 579630 132122 5.42812 5.42812 -3017.08 -5.42812 -8.60084 -0.359474 1.52036e+06 3800.91 0.05 0.44 0.21 -1 -1 0.05 0.286104 0.260109 0.02818 0.2078 0.02581 0.7664 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml or1200.v common 55.48 vpr 103.80 MiB 0.94 40848 -1 -1 27 5.16 -1 -1 43924 -1 61440 247 385 2 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 106292 385 394 3979 4310 1 2366 1029 27 27 729 io auto 47.1 MiB 2.79 31256 577452 220184 332574 24694 90.8 MiB 5.47 0.06 14.223 -11856.8 -14.223 14.223 0.83 0.016611 0.0155207 2.13767 1.96728 -1 -1 -1 -1 78 49772 44 3.93038e+07 1.48038e+07 3.65949e+06 5019.88 26.59 8.11631 7.44673 90401 760319 -1 44304 15 10105 36830 1972658 354631 14.34 14.34 -12309.1 -14.34 0 0 4.63207e+06 6354.00 0.18 1.08 0.67 -1 -1 0.18 0.625839 0.58114 0.02276 0.4593 0.02686 0.5139 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml raygentop.v common 20.82 vpr 78.94 MiB 0.52 32824 -1 -1 8 1.65 -1 -1 40664 -1 62324 134 214 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80836 214 305 2625 2741 1 1476 662 22 22 484 mult_36 auto 37.5 MiB 1.02 12419 257338 87428 153375 16535 78.9 MiB 1.75 0.02 4.70145 -2670.41 -4.70145 4.70145 0.55 0.00404161 0.00373564 0.6932 0.64003 -1 -1 -1 -1 48 25963 35 2.50602e+07 1.07858e+07 1.51918e+06 3138.81 6.71 2.63165 2.4009 49490 305523 -1 21369 14 6269 13896 1697074 427520 5.00214 5.00214 -2963.83 -5.00214 0 0 1.94563e+06 4019.90 0.07 0.62 0.26 -1 -1 0.07 0.279297 0.258873 0.02191 0.4692 0.04307 0.4877 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml sha.v common 522.61 abc 94.14 MiB 1.59 38664 -1 -1 20 496.43 -1 -1 96404 -1 46400 231 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86112 38 36 3404 3440 1 1299 305 20 20 400 clb auto 42.7 MiB 1.75 14687 64943 16819 44848 3276 84.1 MiB 1.34 0.02 13.5301 -4881.23 -13.5301 13.5301 0.43 0.00872776 0.00786542 0.616796 0.54249 -1 -1 -1 -1 56 24982 33 2.07112e+07 1.24495e+07 1.41661e+06 3541.53 7.53 2.50686 2.16704 42444 283345 -1 21461 15 5499 21648 703274 121673 14.3477 14.3477 -5041.91 -14.3477 0 0 1.80858e+06 4521.44 0.06 0.57 0.24 -1 -1 0.06 0.350084 0.313149 0.01034 0.3838 0.02922 0.587 + k6_N10_I40_Fi6_L4_frac1_ff1_45nm.xml mcml.v common 8023.20 vpr 1.87 GiB 83.23 923700 -1 -1 75 6961.27 -1 -1 447876 -1 340272 7516 36 159 27 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1957912 36 356 135102 133883 1 42070 8094 103 103 10609 clb auto 726.6 MiB 80.63 518483 11055510 4565633 6404934 84943 1912.0 MiB 184.45 1.38 68.3226 -364781 -68.3226 68.3226 42.76 0.254885 0.21869 37.8791 31.4262 -1 -1 -1 -1 82 699404 45 6.46441e+08 5.02852e+08 5.95200e+07 5610.33 254.16 127.752 104.712 1372100 12531702 -1 665004 19 157793 498465 26586492 5156950 69.6527 69.6527 -457878 -69.6527 0 0 7.45804e+07 7029.92 3.45 20.21 11.41 -1 -1 3.45 13.9724 11.9555 0.2965 0.3745 0.01315 0.6123 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vpr_reg_mcnc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vpr_reg_mcnc/config/golden_results.txt index 4c1d5cbd7fa..801b3e6f120 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vpr_reg_mcnc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vpr_reg_mcnc/config/golden_results.txt @@ -1,21 +1,21 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_40nm.xml alu4.pre-vpr.blif common 6.44 vpr 64.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 78 14 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65860 14 8 926 934 0 490 100 11 11 121 clb auto 24.8 MiB 0.91 4540 5436 746 4365 325 64.3 MiB 0.22 0.01 4.4958 -31.528 -4.4958 nan 0.32 0.00518513 0.00476183 0.142243 0.131594 52 7157 39 4.36541e+06 4.20373e+06 379421. 3135.71 3.12 1.54305 1.41039 12531 77429 -1 6624 17 3168 14393 437125 83566 4.8594 nan -34.0978 -4.8594 0 0 499620. 4129.09 0.12 0.35 0.11 -1 -1 0.12 0.217292 0.201716 -k6_frac_N10_40nm.xml apex2.pre-vpr.blif common 10.42 vpr 66.19 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 103 38 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 67776 38 3 1113 1116 0 662 144 13 13 169 clb auto 26.6 MiB 1.57 7370 11454 1699 8583 1172 66.2 MiB 0.36 0.01 5.6032 -16.0295 -5.6032 nan 0.48 0.00608264 0.00556782 0.216301 0.199813 66 12625 26 6.52117e+06 5.55108e+06 710325. 4203.11 5.48 1.80568 1.65233 19379 142405 -1 11924 18 4624 23024 803122 126844 5.75407 nan -16.4608 -5.75407 0 0 879032. 5201.38 0.21 0.49 0.22 -1 -1 0.21 0.268726 0.249892 -k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 8.36 vpr 64.36 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 82 9 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65900 9 19 897 916 0 556 110 12 12 144 clb auto 24.8 MiB 1.43 6155 6948 1152 5347 449 64.4 MiB 0.19 0.00 4.85584 -79.8781 -4.85584 nan 0.40 0.00184535 0.00164299 0.102414 0.0941394 64 10771 28 5.3894e+06 4.41931e+06 575115. 3993.85 4.15 1.4005 1.27741 16224 115365 -1 9685 19 3941 19896 705511 115372 5.17072 nan -84.2996 -5.17072 0 0 716128. 4973.11 0.16 0.44 0.18 -1 -1 0.16 0.238518 0.221526 -k6_frac_N10_40nm.xml bigkey.pre-vpr.blif common 9.13 vpr 65.85 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 71 229 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 67428 229 197 1364 1561 1 539 497 16 16 256 io auto 26.3 MiB 0.80 3888 151956 45040 98819 8097 65.8 MiB 1.02 0.01 3.01736 -656.133 -3.01736 3.01736 0.79 0.00693433 0.00645616 0.560233 0.521561 38 7443 37 1.05632e+07 3.82647e+06 667532. 2607.55 3.90 2.38635 2.22107 25328 137766 -1 6882 14 1605 4348 206877 47610 3.0708 3.0708 -728.475 -3.0708 0 0 843755. 3295.92 0.23 0.33 0.19 -1 -1 0.23 0.246405 0.231441 -k6_frac_N10_40nm.xml clma.pre-vpr.blif common 43.74 vpr 89.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 307 62 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 91560 62 82 3672 3754 1 2344 451 20 20 400 clb auto 45.4 MiB 4.13 29194 126595 33709 86071 6815 89.4 MiB 3.36 0.04 7.83344 -342.752 -7.83344 7.83344 1.33 0.0213741 0.0195703 1.719 1.5557 92 46721 44 1.74617e+07 1.65455e+07 2.37849e+06 5946.23 27.30 8.57955 7.81451 54288 506964 -1 42503 16 14653 63396 2356990 367690 8.12752 8.12752 -355.073 -8.12752 0 0 3.01539e+06 7538.48 0.77 1.55 0.89 -1 -1 0.77 0.838641 0.780724 -k6_frac_N10_40nm.xml des.pre-vpr.blif common 9.42 vpr 62.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 51 256 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64488 256 245 954 1199 0 578 552 18 18 324 io auto 23.8 MiB 0.31 4966 131806 34568 89483 7755 63.0 MiB 0.74 0.01 3.70962 -712.314 -3.70962 nan 1.04 0.00594369 0.00563587 0.363143 0.344074 36 9086 34 1.37969e+07 2.74859e+06 824466. 2544.65 4.56 1.92823 1.82519 31748 166456 -1 8145 16 2076 4578 247499 55677 4.28288 nan -799.816 -4.28288 0 0 1.01518e+06 3133.28 0.29 0.31 0.23 -1 -1 0.29 0.217585 0.206808 -k6_frac_N10_40nm.xml diffeq.pre-vpr.blif common 5.00 vpr 64.66 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 65 64 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66216 64 39 1371 1410 1 542 168 11 11 121 clb auto 25.5 MiB 0.49 3387 16373 2957 12425 991 64.7 MiB 0.34 0.01 5.33717 -1012.06 -5.33717 5.33717 0.32 0.00551817 0.00506527 0.217367 0.199664 46 5978 20 4.36541e+06 3.50311e+06 343362. 2837.71 2.08 1.1317 1.03145 12051 69045 -1 5146 15 1964 5478 158754 33013 5.81148 5.81148 -1104.11 -5.81148 0 0 440296. 3638.81 0.10 0.26 0.10 -1 -1 0.10 0.200354 0.185353 -k6_frac_N10_40nm.xml dsip.pre-vpr.blif common 13.46 vpr 65.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 70 229 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 67568 229 197 1362 1559 1 570 496 16 16 256 io auto 26.5 MiB 0.92 4585 165304 49025 107137 9142 66.0 MiB 1.16 0.01 3.10283 -686.218 -3.10283 3.10283 0.79 0.00699037 0.00652345 0.625484 0.582292 34 9041 35 1.05632e+07 3.77258e+06 613832. 2397.78 8.06 2.83688 2.63826 24564 122629 -1 7970 15 1915 4990 281238 63267 3.70768 3.70768 -756.66 -3.70768 0 0 751777. 2936.63 0.21 0.34 0.16 -1 -1 0.21 0.251507 0.236434 -k6_frac_N10_40nm.xml elliptic.pre-vpr.blif common 17.29 vpr 77.61 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 161 131 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79476 131 114 3421 3535 1 1168 406 15 15 225 clb auto 36.6 MiB 3.44 10160 93530 25863 63124 4543 77.6 MiB 1.56 0.03 7.58521 -4229.27 -7.58521 7.58521 0.68 0.0138984 0.0126526 0.918589 0.834313 62 17318 36 9.10809e+06 8.67693e+06 909814. 4043.62 7.54 3.71593 3.36668 25483 182909 -1 15258 16 4942 20250 696601 116792 7.60811 7.60811 -4446.67 -7.60811 0 0 1.12687e+06 5008.33 0.28 0.83 0.28 -1 -1 0.28 0.583151 0.53906 -k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 35.62 vpr 82.12 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 285 10 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 84088 10 10 2659 2669 0 1401 305 19 19 361 clb auto 39.7 MiB 4.60 25941 47501 11839 33920 1742 82.1 MiB 1.52 0.03 6.51363 -63.125 -6.51363 nan 1.17 0.0157341 0.0141831 0.83148 0.756912 90 44429 42 1.55754e+07 1.53598e+07 2.09179e+06 5794.43 21.60 5.96882 5.41082 48131 439069 -1 39612 19 10083 62912 2759594 360291 6.79856 nan -65.1978 -6.79856 0 0 2.60973e+06 7229.16 0.67 1.62 0.76 -1 -1 0.67 0.745469 0.690374 -k6_frac_N10_40nm.xml ex5p.pre-vpr.blif common 7.56 vpr 62.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 63 8 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64424 8 63 761 824 0 435 134 10 10 100 clb auto 23.9 MiB 0.77 4014 9710 1704 7314 692 62.9 MiB 0.24 0.01 3.75572 -169.726 -3.75572 nan 0.26 0.00438026 0.00402231 0.141675 0.131165 62 6635 29 3.44922e+06 3.39532e+06 366588. 3665.88 4.67 1.75528 1.60347 10808 71624 -1 6116 15 2439 10242 331401 61427 4.36433 nan -187.408 -4.36433 0 0 454102. 4541.02 0.10 0.28 0.11 -1 -1 0.10 0.172871 0.16095 -k6_frac_N10_40nm.xml frisc.pre-vpr.blif common 26.15 vpr 77.82 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 169 20 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79684 20 116 3175 3291 1 1343 305 15 15 225 clb auto 36.5 MiB 3.27 14309 61865 15386 41962 4517 77.8 MiB 1.49 0.02 8.94586 -4514.97 -8.94586 8.94586 0.68 0.0134898 0.0123163 0.87824 0.800532 84 23545 27 9.10809e+06 9.10809e+06 1.17394e+06 5217.51 16.37 6.08334 5.54376 28843 248089 -1 20679 14 6058 24388 1043623 169310 9.40485 9.40485 -4707.1 -9.40485 0 0 1.49163e+06 6629.45 0.36 0.82 0.42 -1 -1 0.36 0.500912 0.465209 -k6_frac_N10_40nm.xml misex3.pre-vpr.blif common 9.15 vpr 63.42 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 71 14 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64944 14 14 828 842 0 475 99 11 11 121 clb auto 24.2 MiB 0.94 4503 7167 1163 5436 568 63.4 MiB 0.26 0.01 4.27418 -57.174 -4.27418 nan 0.32 0.00472358 0.00433107 0.172208 0.159272 56 7808 29 4.36541e+06 3.82647e+06 409660. 3385.62 5.76 2.01702 1.8439 12771 81981 -1 7041 18 3260 15213 511376 89461 4.64181 nan -60.1449 -4.64181 0 0 523260. 4324.46 0.12 0.38 0.12 -1 -1 0.12 0.216152 0.200533 -k6_frac_N10_40nm.xml pdc.pre-vpr.blif common 34.81 vpr 83.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 272 16 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85508 16 40 2839 2879 0 1511 328 19 19 361 clb auto 40.3 MiB 3.55 23474 61348 15718 43195 2435 83.5 MiB 1.97 0.04 6.39129 -239.535 -6.39129 nan 1.17 0.0254599 0.0231519 1.08545 0.976329 86 38675 34 1.55754e+07 1.46592e+07 2.00874e+06 5564.38 21.61 7.15454 6.48634 47411 425437 -1 35089 17 9872 54697 2130011 304209 6.65044 nan -240.312 -6.65044 0 0 2.53507e+06 7022.34 0.63 1.41 0.72 -1 -1 0.63 0.713978 0.662817 -k6_frac_N10_40nm.xml s298.pre-vpr.blif common 5.24 vpr 62.60 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 63 4 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64100 4 6 726 732 1 398 73 10 10 100 clb auto 23.4 MiB 0.75 3666 3417 417 2860 140 62.6 MiB 0.17 0.01 6.02354 -48.3456 -6.02354 6.02354 0.26 0.00429322 0.00396998 0.118634 0.11015 50 5820 31 3.44922e+06 3.39532e+06 295697. 2956.97 2.57 1.18638 1.08766 10016 58256 -1 5144 15 2315 9199 280618 50632 6.26562 6.26562 -52.0008 -6.26562 0 0 379824. 3798.24 0.09 0.25 0.08 -1 -1 0.09 0.165811 0.154414 -k6_frac_N10_40nm.xml s38417.pre-vpr.blif common 21.22 vpr 87.34 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 249 29 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 89440 29 106 4782 4888 1 2019 384 18 18 324 clb auto 45.1 MiB 2.48 13216 107799 27485 70375 9939 87.3 MiB 2.46 0.03 5.18654 -3584.37 -5.18654 5.18654 1.04 0.0178091 0.0161001 1.48747 1.34204 52 21960 50 1.37969e+07 1.34196e+07 1.12378e+06 3468.47 9.91 5.91807 5.35079 35300 236012 -1 19626 15 6767 19136 685005 132226 5.32162 5.32162 -3691.42 -5.32162 0 0 1.48031e+06 4568.86 0.38 0.91 0.35 -1 -1 0.38 0.699513 0.646655 -k6_frac_N10_40nm.xml s38584.1.pre-vpr.blif common 21.61 vpr 85.95 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 226 38 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88016 38 304 4422 4726 1 2052 568 18 18 324 clb auto 43.6 MiB 2.58 13975 176893 52423 113746 10724 86.0 MiB 2.68 0.04 4.9343 -2945.33 -4.9343 4.9343 1.03 0.0181836 0.0162915 1.45232 1.30905 60 25004 43 1.37969e+07 1.218e+07 1.30451e+06 4026.26 9.76 5.30916 4.81556 36916 268072 -1 20989 21 6867 18700 649546 132224 5.09646 5.09646 -3105.32 -5.09646 0 0 1.63833e+06 5056.57 0.43 1.12 0.41 -1 -1 0.43 0.898158 0.830258 -k6_frac_N10_40nm.xml seq.pre-vpr.blif common 11.48 vpr 65.23 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 84 41 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66792 41 35 1006 1041 0 604 160 12 12 144 clb auto 25.8 MiB 1.25 6526 13180 2280 9516 1384 65.2 MiB 0.34 0.01 4.5556 -135.416 -4.5556 nan 0.40 0.00567464 0.00519319 0.194713 0.180099 64 11365 46 5.3894e+06 4.5271e+06 575115. 3993.85 7.24 2.30466 2.10936 16224 115365 -1 10143 18 4137 19881 679868 115960 4.93645 nan -144.738 -4.93645 0 0 716128. 4973.11 0.16 0.46 0.18 -1 -1 0.16 0.25103 0.233257 -k6_frac_N10_40nm.xml spla.pre-vpr.blif common 21.57 vpr 77.28 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 216 16 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79132 16 46 2232 2278 0 1170 278 17 17 289 clb auto 35.6 MiB 2.77 15884 45628 10574 32142 2912 77.3 MiB 1.36 0.02 5.95671 -204.452 -5.95671 nan 0.91 0.0133587 0.0121199 0.775594 0.707225 74 25546 32 1.21262e+07 1.16411e+07 1.39325e+06 4820.95 11.37 4.19873 3.8273 35379 286977 -1 24647 19 7675 42760 1737904 249467 6.14143 nan -217.643 -6.14143 0 0 1.74421e+06 6035.33 0.44 1.20 0.46 -1 -1 0.44 0.621038 0.575028 -k6_frac_N10_40nm.xml tseng.pre-vpr.blif common 4.92 vpr 65.22 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 61 52 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66784 52 122 1461 1583 1 474 235 10 10 100 clb auto 26.2 MiB 0.55 2490 35711 8852 25144 1715 65.2 MiB 0.47 0.01 4.73051 -1125.66 -4.73051 4.73051 0.26 0.00536421 0.00491253 0.285644 0.261717 46 4691 25 3.44922e+06 3.28753e+06 276332. 2763.32 2.04 1.3096 1.19377 9816 55112 -1 4036 15 1411 3526 118896 27892 5.08546 5.08546 -1209.63 -5.08546 0 0 354105. 3541.05 0.08 0.24 0.08 -1 -1 0.08 0.196653 0.181847 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_40nm.xml alu4.pre-vpr.blif common 5.16 vpr 64.40 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 78 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65944 14 8 926 934 0 490 100 11 11 121 clb auto 24.8 MiB 0.89 4639 5668 847 4419 402 64.4 MiB 0.16 0.01 4.54815 -31.8355 -4.54815 nan 0.11 0.00261159 0.00232467 0.0841871 0.076513 -1 -1 -1 -1 48 7214 49 4.36541e+06 4.20373e+06 357017. 2950.55 2.66 0.880153 0.750327 12171 71069 -1 6577 19 3324 15359 408061 81134 4.87162 nan -34.7178 -4.87162 0 0 455885. 3767.64 0.01 0.27 0.06 -1 -1 0.01 0.140373 0.125542 + k6_frac_N10_40nm.xml apex2.pre-vpr.blif common 7.63 vpr 66.33 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 103 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67924 38 3 1113 1116 0 662 144 13 13 169 clb auto 26.8 MiB 1.52 7442 11831 1926 8689 1216 66.3 MiB 0.30 0.01 5.59822 -16.3249 -5.59822 nan 0.16 0.00333572 0.00293781 0.130898 0.117206 -1 -1 -1 -1 64 12841 39 6.52117e+06 5.55108e+06 687872. 4070.25 3.86 1.14349 0.982957 19211 138678 -1 11425 17 4712 23613 728373 121323 5.82519 nan -16.8677 -5.82519 0 0 856291. 5066.81 0.03 0.37 0.11 -1 -1 0.03 0.160462 0.144798 + k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 6.72 vpr 64.39 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 82 9 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65932 9 19 897 916 0 556 110 12 12 144 clb auto 24.9 MiB 1.39 6252 7474 1266 5720 488 64.4 MiB 0.21 0.01 4.74237 -77.8307 -4.74237 nan 0.14 0.00279294 0.00250543 0.096856 0.0884436 -1 -1 -1 -1 62 10739 47 5.3894e+06 4.41931e+06 554770. 3852.57 3.46 1.02986 0.886777 15940 110000 -1 9728 17 4391 21118 675575 120995 5.20821 nan -84.4166 -5.20821 0 0 687181. 4772.09 0.02 0.31 0.09 -1 -1 0.02 0.132804 0.119663 + k6_frac_N10_40nm.xml bigkey.pre-vpr.blif common 5.33 vpr 65.84 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 71 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67420 229 197 1364 1561 1 539 497 16 16 256 io auto 26.1 MiB 0.79 4504 148022 42306 97632 8084 65.8 MiB 0.82 0.01 2.97254 -656.061 -2.97254 2.97254 0.27 0.00449011 0.00417919 0.35606 0.331144 -1 -1 -1 -1 36 7822 26 1.05632e+07 3.82647e+06 638738. 2495.07 1.79 1.11868 1.02782 24820 128426 -1 7098 11 1611 3987 187232 44731 3.15649 3.15649 -738.429 -3.15649 0 0 786978. 3074.13 0.03 0.20 0.10 -1 -1 0.03 0.13819 0.129003 + k6_frac_N10_40nm.xml clma.pre-vpr.blif common 29.41 vpr 89.35 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 316 62 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91496 62 82 3672 3754 1 2348 460 20 20 400 clb auto 45.2 MiB 3.88 29602 121160 32274 82250 6636 89.4 MiB 2.62 0.04 7.97523 -360.045 -7.97523 7.97523 0.44 0.0148917 0.0123882 0.964104 0.824254 -1 -1 -1 -1 92 48575 45 1.74617e+07 1.70305e+07 2.37849e+06 5946.23 17.26 5.37402 4.51033 54288 506964 -1 43403 17 15069 65645 2357098 375873 8.16272 8.16272 -366.296 -8.16272 0 0 3.01539e+06 7538.48 0.10 1.23 0.42 -1 -1 0.10 0.552812 0.496854 + k6_frac_N10_40nm.xml des.pre-vpr.blif common 5.75 vpr 63.11 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 51 256 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64620 256 245 954 1199 0 578 552 18 18 324 io auto 23.8 MiB 0.31 5523 134069 36344 90454 7271 63.1 MiB 0.66 0.01 3.66288 -710.092 -3.66288 nan 0.35 0.00434006 0.00413761 0.270288 0.257429 -1 -1 -1 -1 36 9376 41 1.37969e+07 2.74859e+06 824466. 2544.65 2.84 1.41042 1.32727 31748 166456 -1 8164 13 2250 4803 238712 56339 4.07339 nan -791.038 -4.07339 0 0 1.01518e+06 3133.28 0.04 0.21 0.13 -1 -1 0.04 0.139725 0.13245 + k6_frac_N10_40nm.xml diffeq.pre-vpr.blif common 4.47 vpr 64.64 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 64 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66192 64 39 1371 1410 1 541 167 10 10 100 clb auto 25.1 MiB 0.50 3623 18986 4100 13819 1067 64.6 MiB 0.29 0.01 5.32461 -1004.72 -5.32461 5.32461 0.09 0.00311791 0.00279289 0.147968 0.134197 -1 -1 -1 -1 50 5495 30 3.44922e+06 3.44922e+06 295697. 2956.97 2.48 1.15638 1.00085 10016 58256 -1 4877 17 1881 5375 141590 30815 5.49357 5.49357 -1059.83 -5.49357 0 0 379824. 3798.24 0.01 0.11 0.03 -1 -1 0.01 0.0869823 0.0803709 + k6_frac_N10_40nm.xml dsip.pre-vpr.blif common 7.24 vpr 65.45 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 70 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67020 229 197 1362 1559 1 570 496 16 16 256 io auto 25.9 MiB 0.92 5066 137836 36889 92766 8181 65.4 MiB 0.83 0.01 2.91431 -671.379 -2.91431 2.91431 0.27 0.00448988 0.00419125 0.351186 0.326741 -1 -1 -1 -1 36 8862 27 1.05632e+07 3.77258e+06 638738. 2495.07 3.54 1.6488 1.51079 24820 128426 -1 7675 13 1986 5211 265646 63312 3.18697 3.18697 -739.19 -3.18697 0 0 786978. 3074.13 0.03 0.23 0.10 -1 -1 0.03 0.157841 0.146845 + k6_frac_N10_40nm.xml elliptic.pre-vpr.blif common 14.52 vpr 77.57 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 171 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79436 131 114 3421 3535 1 1164 416 16 16 256 clb auto 36.3 MiB 3.47 10474 95088 26501 63930 4657 77.6 MiB 1.19 0.02 7.51043 -4391.12 -7.51043 7.51043 0.27 0.00762209 0.00685426 0.530205 0.466193 -1 -1 -1 -1 56 18313 31 1.05632e+07 9.21587e+06 942187. 3680.42 6.67 2.5886 2.2427 28136 192436 -1 15484 16 5183 22017 710369 128360 7.51944 7.51944 -4543.65 -7.51944 0 0 1.20185e+06 4694.72 0.04 0.54 0.15 -1 -1 0.04 0.344922 0.311996 + k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 25.26 vpr 82.03 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 285 10 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84000 10 10 2659 2669 0 1401 305 19 19 361 clb auto 39.5 MiB 4.53 26220 51605 13492 36245 1868 82.0 MiB 1.24 0.02 6.59302 -61.9652 -6.59302 nan 0.39 0.00787717 0.00693136 0.475136 0.405537 -1 -1 -1 -1 90 42829 31 1.55754e+07 1.53598e+07 2.09179e+06 5794.43 14.64 3.52852 2.9687 48131 439069 -1 39158 17 9518 58062 2365838 328796 6.83753 nan -64.8858 -6.83753 0 0 2.60973e+06 7229.16 0.09 1.12 0.37 -1 -1 0.09 0.426225 0.383395 + k6_frac_N10_40nm.xml ex5p.pre-vpr.blif common 4.09 vpr 62.96 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 63 8 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64476 8 63 761 824 0 435 134 10 10 100 clb auto 23.6 MiB 0.77 3999 11420 2063 8488 869 63.0 MiB 0.21 0.01 3.77984 -169.82 -3.77984 nan 0.09 0.00239284 0.00215421 0.0972723 0.0888313 -1 -1 -1 -1 58 6565 28 3.44922e+06 3.39532e+06 342720. 3427.20 1.79 0.648473 0.563539 10608 68480 -1 5918 16 2541 10577 323005 63220 4.22288 nan -188.35 -4.22288 0 0 435638. 4356.38 0.01 0.19 0.06 -1 -1 0.01 0.105677 0.0956385 + k6_frac_N10_40nm.xml frisc.pre-vpr.blif common 13.26 vpr 77.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 167 20 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79316 20 116 3175 3291 1 1338 303 15 15 225 clb auto 36.2 MiB 3.13 14602 62340 15718 42273 4349 77.5 MiB 1.19 0.02 8.56273 -4519.63 -8.56273 8.56273 0.23 0.00775606 0.00702614 0.536242 0.475888 -1 -1 -1 -1 80 24018 43 9.10809e+06 9.0003e+06 1.12687e+06 5008.33 5.63 2.43667 2.13078 28171 234221 -1 21050 15 6703 26493 1041429 176822 9.09101 9.09101 -4756.62 -9.09101 0 0 1.41774e+06 6301.08 0.04 0.61 0.19 -1 -1 0.04 0.331662 0.300028 + k6_frac_N10_40nm.xml misex3.pre-vpr.blif common 4.57 vpr 63.68 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 71 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65212 14 14 828 842 0 475 99 11 11 121 clb auto 24.1 MiB 0.92 4532 5343 748 4219 376 63.7 MiB 0.15 0.01 4.39029 -57.6027 -4.39029 nan 0.11 0.00248516 0.00222328 0.0774866 0.0708311 -1 -1 -1 -1 52 7728 41 4.36541e+06 3.82647e+06 379421. 3135.71 2.10 0.733439 0.630441 12531 77429 -1 6634 16 3040 13763 383785 71279 4.69105 nan -60.7462 -4.69105 0 0 499620. 4129.09 0.01 0.22 0.06 -1 -1 0.01 0.11464 0.1034 + k6_frac_N10_40nm.xml pdc.pre-vpr.blif common 21.91 vpr 82.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 272 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84436 16 40 2839 2879 0 1511 328 19 19 361 clb auto 39.8 MiB 3.39 23598 55698 13292 40268 2138 82.5 MiB 1.30 0.02 6.48626 -238.484 -6.48626 nan 0.40 0.00882838 0.00732248 0.497678 0.42275 -1 -1 -1 -1 82 38584 40 1.55754e+07 1.46592e+07 1.91630e+06 5308.30 12.46 3.24821 2.7604 46331 403357 -1 35281 17 9680 52085 1891572 294823 6.8403 nan -243.508 -6.8403 0 0 2.40187e+06 6653.38 0.08 1.01 0.33 -1 -1 0.08 0.437512 0.392895 + k6_frac_N10_40nm.xml s298.pre-vpr.blif common 2.88 vpr 62.47 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 4 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63972 4 6 726 732 1 395 74 10 10 100 clb auto 23.1 MiB 0.73 3639 2709 340 2252 117 62.5 MiB 0.07 0.00 6.02711 -48.0055 -6.02711 6.02711 0.08 0.0010205 0.000898899 0.032602 0.0300701 -1 -1 -1 -1 50 5470 24 3.44922e+06 3.44922e+06 295697. 2956.97 0.91 0.327596 0.288129 10016 58256 -1 4992 17 2195 9283 271197 50082 6.42868 6.42868 -51.2874 -6.42868 0 0 379824. 3798.24 0.01 0.18 0.05 -1 -1 0.01 0.106672 0.0965561 + k6_frac_N10_40nm.xml s38417.pre-vpr.blif common 12.83 vpr 86.88 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 250 29 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88964 29 106 4782 4888 1 1993 385 18 18 324 clb auto 44.6 MiB 2.49 13385 89985 21640 60579 7766 86.9 MiB 1.53 0.02 5.22969 -3570.14 -5.22969 5.22969 0.35 0.00959865 0.008535 0.694501 0.599971 -1 -1 -1 -1 50 21612 42 1.37969e+07 1.34735e+07 1.08879e+06 3360.46 4.74 2.80262 2.39638 34656 222912 -1 19075 14 7130 20879 621675 127526 5.31212 5.31212 -3691.5 -5.31212 0 0 1.40279e+06 4329.61 0.05 0.58 0.17 -1 -1 0.05 0.405856 0.364092 + k6_frac_N10_40nm.xml s38584.1.pre-vpr.blif common 15.17 vpr 85.97 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 228 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 88036 38 304 4422 4726 1 1994 570 18 18 324 clb auto 43.2 MiB 2.53 13819 172996 49998 111442 11556 86.0 MiB 2.00 0.03 4.76683 -2916.88 -4.76683 4.76683 0.34 0.00989457 0.00890887 0.808389 0.705884 -1 -1 -1 -1 58 23055 36 1.37969e+07 1.22878e+07 1.26150e+06 3893.53 6.60 3.80943 3.29187 36592 261672 -1 20398 14 6469 17425 591858 126037 4.97859 4.97859 -3048.53 -4.97859 0 0 1.60510e+06 4954.00 0.05 0.58 0.21 -1 -1 0.05 0.422141 0.383308 + k6_frac_N10_40nm.xml seq.pre-vpr.blif common 5.65 vpr 65.11 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 84 41 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66668 41 35 1006 1041 0 604 160 12 12 144 clb auto 25.4 MiB 1.19 6515 13180 2173 9685 1322 65.1 MiB 0.24 0.01 4.58553 -134.055 -4.58553 nan 0.14 0.00264486 0.00235005 0.0999925 0.09047 -1 -1 -1 -1 64 10841 30 5.3894e+06 4.5271e+06 575115. 3993.85 2.46 0.814658 0.704026 16224 115365 -1 9534 17 3641 17204 511035 92223 4.88481 nan -140.076 -4.88481 0 0 716128. 4973.11 0.02 0.29 0.09 -1 -1 0.02 0.147344 0.133243 + k6_frac_N10_40nm.xml spla.pre-vpr.blif common 20.41 vpr 77.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 216 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78924 16 46 2232 2278 0 1170 278 17 17 289 clb auto 35.3 MiB 2.70 16089 42000 9359 30203 2438 77.1 MiB 0.81 0.02 5.95204 -207.143 -5.95204 nan 0.30 0.00525519 0.00454263 0.288327 0.254222 -1 -1 -1 -1 68 27700 45 1.21262e+07 1.16411e+07 1.30851e+06 4527.71 13.13 2.97958 2.51831 34227 265321 -1 23554 19 8023 43603 1540936 228530 6.17174 nan -217.21 -6.17174 0 0 1.61843e+06 5600.10 0.05 0.86 0.21 -1 -1 0.05 0.373493 0.33657 + k6_frac_N10_40nm.xml tseng.pre-vpr.blif common 3.28 vpr 65.02 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 63 52 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66580 52 122 1461 1583 1 472 237 10 10 100 clb auto 25.6 MiB 0.57 2690 30290 6635 22073 1582 65.0 MiB 0.30 0.01 4.95966 -1122.48 -4.95966 4.95966 0.09 0.00301411 0.00273882 0.141986 0.129529 -1 -1 -1 -1 46 4786 25 3.44922e+06 3.39532e+06 276332. 2763.32 1.04 0.627009 0.552567 9816 55112 -1 4250 13 1489 3922 122560 29939 5.00101 5.00101 -1209.26 -5.00101 0 0 354105. 3541.05 0.01 0.16 0.04 -1 -1 0.01 0.116497 0.106123 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vpr_reg_mcnc_equiv/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vpr_reg_mcnc_equiv/config/golden_results.txt index 1ceb9578fa8..9405554e3bd 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vpr_reg_mcnc_equiv/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vpr_reg_mcnc_equiv/config/golden_results.txt @@ -1,20 +1,20 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_N10_40nm.xml alu4.pre-vpr.blif common 8.55 vpr 64.16 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 97 14 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65700 14 8 926 934 0 517 119 12 12 144 clb auto 25.0 MiB 0.45 4999 8879 1390 6975 514 64.2 MiB 0.20 0.01 5.06551 -38.2757 -5.06551 nan 0.39 0.00158196 0.0012423 0.0754693 0.0645508 -1 -1 -1 -1 44 7317 35 1.8e+06 1.746e+06 394711. 2741.05 4.48 0.974727 0.839816 11464 79652 -1 6325 25 4240 16737 572059 90972 5.28978 nan -37.6562 -5.28978 0 0 511253. 3550.37 0.18 0.38 0.07 -1 -1 0.18 0.171255 0.155339 -k6_N10_40nm.xml apex2.pre-vpr.blif common 8.76 vpr 65.77 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 114 38 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 67348 39 3 1113 1117 0 676 156 13 13 169 clb auto 26.1 MiB 0.64 7918 14821 2638 10792 1391 65.8 MiB 0.42 0.01 5.90722 -17.5854 -5.90722 nan 0.47 0.00428283 0.00360168 0.158762 0.140089 -1 -1 -1 -1 62 12758 32 2.178e+06 2.052e+06 652532. 3861.14 3.88 0.891905 0.775114 15366 127615 -1 12093 24 6108 26868 1139076 155556 5.87992 nan -17.0763 -5.87992 0 0 801739. 4744.02 0.27 0.51 0.12 -1 -1 0.27 0.197711 0.179939 -k6_N10_40nm.xml apex4.pre-vpr.blif common 7.45 vpr 64.08 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 95 9 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65620 9 19 897 916 0 568 123 12 12 144 clb auto 24.7 MiB 0.49 6597 8358 1363 6514 481 64.1 MiB 0.19 0.01 5.19652 -86.8303 -5.19652 nan 0.44 0.00160423 0.00130893 0.0649628 0.0555845 -1 -1 -1 -1 62 10982 47 1.8e+06 1.71e+06 546237. 3793.31 3.33 0.741005 0.643997 13040 106280 -1 9579 21 5364 24872 1046880 149326 5.03227 nan -84.8202 -5.03227 0 0 671089. 4660.34 0.23 0.50 0.12 -1 -1 0.23 0.177829 0.163428 -k6_N10_40nm.xml bigkey.pre-vpr.blif common 9.99 vpr 65.36 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 94 229 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 66924 263 197 1372 1603 1 490 554 17 17 289 io auto 26.0 MiB 0.35 4212 164282 47526 106315 10441 65.4 MiB 0.78 0.02 3.05705 -725.981 -3.05705 3.05705 0.94 0.00334655 0.00301206 0.234591 0.208045 -1 -1 -1 -1 34 7528 42 4.05e+06 1.692e+06 688919. 2383.80 4.29 1.00599 0.908762 21366 134962 -1 6867 33 2424 13103 677175 119194 3.17846 3.17846 -777.926 -3.17846 0 0 845950. 2927.16 0.32 0.50 0.12 -1 -1 0.32 0.243965 0.224381 -k6_N10_40nm.xml clma.pre-vpr.blif common 53.86 vpr 99.28 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 378 62 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 101660 383 82 3674 4077 1 2351 843 22 22 484 clb auto 44.9 MiB 1.83 30549 402823 142899 206657 53267 89.2 MiB 5.23 0.05 8.7359 -383.85 -8.7359 8.7359 1.88 0.00834877 0.00695853 1.07286 0.923594 -1 -1 -1 -1 82 48712 38 7.2e+06 6.804e+06 2.55950e+06 5288.23 31.07 6.05508 5.20259 51036 532374 -1 43297 30 19011 83057 4028148 511030 8.46475 8.46475 -382.529 -8.46475 0 0 3.19792e+06 6607.28 1.18 1.87 0.56 -1 -1 1.18 0.724703 0.653856 -k6_N10_40nm.xml des.pre-vpr.blif common 9.27 vpr 63.20 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 101 256 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64720 256 245 954 1199 0 613 602 18 18 324 io auto 24.3 MiB 0.27 5159 153062 41234 104524 7304 63.2 MiB 0.60 0.01 4.28923 -781.99 -4.28923 nan 1.03 0.002153 0.00196752 0.148706 0.1361 -1 -1 -1 -1 34 8066 28 4.608e+06 1.818e+06 779010. 2404.35 3.63 0.821265 0.765208 24000 152888 -1 7164 19 2563 6031 374253 76766 4.50349 nan -804.077 -4.50349 0 0 956463. 2952.05 0.40 0.27 0.14 -1 -1 0.40 0.135267 0.127789 -k6_N10_40nm.xml diffeq.pre-vpr.blif common 7.93 vpr 64.43 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 95 64 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65980 64 39 1371 1410 1 554 198 12 12 144 clb auto 25.4 MiB 0.37 3793 23238 4852 16990 1396 64.4 MiB 0.28 0.01 6.24025 -1157.57 -6.24025 6.24025 0.39 0.00335821 0.00300612 0.102044 0.08794 -1 -1 -1 -1 40 5281 28 1.8e+06 1.71e+06 360446. 2503.10 3.45 1.01636 0.871411 11036 71301 -1 4925 24 2937 9067 339685 56676 5.88073 5.88073 -1121.49 -5.88073 0 0 452692. 3143.70 0.15 0.20 0.06 -1 -1 0.15 0.116545 0.103723 -k6_N10_40nm.xml dsip.pre-vpr.blif common 10.21 vpr 64.30 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 97 229 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65840 229 197 1370 1567 1 538 523 16 16 256 io auto 24.9 MiB 0.38 4415 147943 42606 98009 7328 64.3 MiB 0.77 0.02 3.18783 -727.102 -3.18783 3.18783 0.79 0.00326911 0.00293461 0.205804 0.181127 -1 -1 -1 -1 34 7936 31 3.528e+06 1.746e+06 604079. 2359.69 4.76 1.08751 0.989647 18880 118149 -1 7501 20 2885 10852 600445 118475 3.31799 3.31799 -783.818 -3.31799 0 0 742044. 2898.61 0.30 0.37 0.11 -1 -1 0.30 0.160366 0.148406 -k6_N10_40nm.xml elliptic.pre-vpr.blif common 29.63 vpr 76.77 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 235 131 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 78608 131 114 3421 3535 1 1210 480 18 18 324 clb auto 35.8 MiB 1.20 11509 124428 34916 83664 5848 76.8 MiB 1.47 0.02 7.81896 -4594.55 -7.81896 7.81896 1.23 0.00490272 0.00416823 0.501458 0.437676 -1 -1 -1 -1 52 19076 33 4.608e+06 4.23e+06 1.09957e+06 3393.73 11.32 2.52655 2.16379 27876 225772 -1 16531 29 8448 38500 1750625 246163 8.69104 8.69104 -4955.1 -8.69104 0 0 1.44575e+06 4462.18 0.56 1.06 0.23 -1 -1 0.56 0.518916 0.469916 -k6_N10_40nm.xml ex1010.pre-vpr.blif common 32.22 vpr 80.43 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 299 10 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 82360 10 10 2659 2669 0 1414 319 20 20 400 clb auto 38.2 MiB 1.56 26484 59125 15547 41544 2034 80.4 MiB 1.48 0.02 6.80918 -66.5982 -6.80918 nan 1.65 0.00611757 0.00502514 0.401782 0.339863 -1 -1 -1 -1 88 45411 38 5.832e+06 5.382e+06 2.22978e+06 5574.46 18.53 2.68233 2.289 43692 465500 -1 39456 22 11837 71298 3838439 446395 6.90678 nan -65.5747 -6.90678 0 0 2.79850e+06 6996.25 1.31 1.85 0.49 -1 -1 1.31 0.576954 0.526166 -k6_N10_40nm.xml ex5p.pre-vpr.blif common 8.67 vpr 62.95 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 82 8 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64460 8 63 761 824 0 451 153 12 12 144 clb auto 24.0 MiB 0.36 4546 14468 2684 10639 1145 62.9 MiB 0.26 0.01 4.52309 -198.681 -4.52309 nan 0.49 0.00118271 0.000925606 0.101229 0.0900942 -1 -1 -1 -1 48 7679 49 1.8e+06 1.476e+06 423548. 2941.31 5.16 1.03606 0.893613 11752 84318 -1 6741 20 4041 16856 693280 109643 4.56024 nan -202.106 -4.56024 0 0 546237. 3793.31 0.18 0.27 0.08 -1 -1 0.18 0.102123 0.0923636 -k6_N10_40nm.xml frisc.pre-vpr.blif common 32.16 vpr 76.61 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 242 20 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 78444 20 116 3175 3291 1 1258 378 18 18 324 clb auto 35.6 MiB 1.06 15200 83704 21342 56824 5538 76.6 MiB 1.48 0.02 10.1942 -5061.1 -10.1942 10.1942 1.08 0.00401498 0.00333417 0.448156 0.384818 -1 -1 -1 -1 66 23294 41 4.608e+06 4.356e+06 1.41374e+06 4363.40 14.13 3.13219 2.66893 30784 283492 -1 20823 28 8182 34116 1795170 239772 10.1571 10.1571 -5117.17 -10.1571 0 0 1.74632e+06 5389.88 0.72 1.14 0.28 -1 -1 0.72 0.542692 0.493117 -k6_N10_40nm.xml misex3.pre-vpr.blif common 5.65 vpr 63.42 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 86 14 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 64940 14 14 828 842 0 506 114 12 12 144 clb auto 24.3 MiB 0.38 4991 8118 1153 6434 531 63.4 MiB 0.15 0.00 5.13587 -64.2902 -5.13587 nan 0.37 0.0011707 0.000925661 0.048805 0.0408116 -1 -1 -1 -1 50 8073 34 1.8e+06 1.548e+06 439064. 3049.06 2.16 0.446754 0.377437 11896 86528 -1 6977 26 4796 20765 798472 121233 4.85006 nan -63.2822 -4.85006 0 0 562980. 3909.58 0.18 0.32 0.08 -1 -1 0.18 0.125351 0.112776 -k6_N10_40nm.xml pdc.pre-vpr.blif common 32.76 vpr 81.49 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 311 16 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 83448 16 40 2839 2879 0 1523 367 20 20 400 clb auto 39.0 MiB 1.43 24942 64704 16677 44788 3239 81.5 MiB 1.42 0.03 7.27693 -259.552 -7.27693 nan 1.26 0.00568217 0.00467654 0.369104 0.312774 -1 -1 -1 -1 78 41220 45 5.832e+06 5.598e+06 2.00674e+06 5016.85 17.01 2.93999 2.50795 41300 418538 -1 36144 27 12902 71935 3331960 415531 7.24242 nan -258.014 -7.24242 0 0 2.53133e+06 6328.34 1.04 1.94 0.55 -1 -1 1.04 0.660417 0.596839 -k6_N10_40nm.xml s298.pre-vpr.blif common 5.60 vpr 61.77 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 77 4 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 63252 4 6 726 732 1 405 87 11 11 121 clb auto 22.7 MiB 0.33 3977 4119 472 3530 117 61.8 MiB 0.10 0.00 7.38718 -56.765 -7.38718 7.38718 0.30 0.00133896 0.00108184 0.0368954 0.0314565 -1 -1 -1 -1 44 5890 23 1.458e+06 1.386e+06 324964. 2685.65 2.24 0.609443 0.540495 9582 65203 -1 5254 19 3129 14914 527685 80308 7.3132 7.3132 -57.2714 -7.3132 0 0 420935. 3478.80 0.14 0.21 0.06 -1 -1 0.14 0.0896852 0.0815176 -k6_N10_40nm.xml s38584.1.pre-vpr.blif common 38.22 vpr 84.25 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 376 38 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 86272 39 304 4677 4982 1 2202 719 22 22 484 clb auto 42.8 MiB 1.32 15650 242144 68758 160205 13181 84.2 MiB 2.35 0.03 5.44641 -3327.79 -5.44641 5.44641 1.71 0.00656979 0.00543636 0.565273 0.475594 -1 -1 -1 -1 40 24228 47 7.2e+06 6.768e+06 1.34575e+06 2780.48 7.44 2.63965 2.26236 37996 272632 -1 21279 23 11874 32892 1399589 243648 5.92989 5.92989 -3408.15 -5.92989 0 0 1.68761e+06 3486.79 0.79 1.17 0.26 -1 -1 0.79 0.690226 0.635166 -k6_N10_40nm.xml seq.pre-vpr.blif common 8.81 vpr 63.88 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 101 41 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65408 41 35 1006 1041 0 615 177 13 13 169 clb auto 24.5 MiB 0.49 6944 18033 3441 12761 1831 63.9 MiB 0.33 0.01 5.06306 -144.978 -5.06306 nan 0.45 0.00364476 0.00320262 0.109417 0.0945381 -1 -1 -1 -1 56 11680 34 2.178e+06 1.818e+06 580647. 3435.78 4.35 0.988846 0.86544 14694 116443 -1 10597 27 5797 27392 1163582 167814 5.66737 nan -145.761 -5.66737 0 0 743711. 4400.66 0.24 0.51 0.10 -1 -1 0.24 0.212922 0.194882 -k6_N10_40nm.xml spla.pre-vpr.blif common 21.16 vpr 76.15 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 247 16 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 77976 16 46 2232 2278 0 1199 309 18 18 324 clb auto 34.4 MiB 1.15 17279 43113 9193 31493 2427 76.1 MiB 1.44 0.03 6.43461 -229.123 -6.43461 nan 1.23 0.00792158 0.00661981 0.410443 0.353326 -1 -1 -1 -1 70 28837 35 4.608e+06 4.446e+06 1.48298e+06 4577.10 7.91 1.8057 1.54802 31752 300704 -1 25754 24 9647 52568 2412425 314678 6.5762 nan -233.44 -6.5762 0 0 1.85205e+06 5716.21 0.66 1.20 0.29 -1 -1 0.66 0.47383 0.434105 -k6_N10_40nm.xml tseng.pre-vpr.blif common 5.45 vpr 64.27 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 105 52 -1 -1 success v8.0.0-11160-g9b23a7edd-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-07T07:28:02 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 65816 52 122 1461 1583 1 525 279 13 13 169 clb auto 25.2 MiB 0.34 3205 42185 9478 30169 2538 64.3 MiB 0.30 0.01 5.73017 -1218.51 -5.73017 5.73017 0.48 0.00160768 0.00134372 0.0787468 0.0649553 -1 -1 -1 -1 30 5038 31 2.178e+06 1.89e+06 350324. 2072.92 0.92 0.326072 0.27382 12006 67531 -1 4389 18 2512 6814 252428 49786 5.32906 5.32906 -1222.04 -5.32906 0 0 430798. 2549.10 0.15 0.26 0.06 -1 -1 0.15 0.14434 0.131338 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_N10_40nm.xml alu4.pre-vpr.blif common 6.39 vpr 63.43 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 106 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64952 14 8 926 934 0 505 128 13 13 169 clb auto 23.7 MiB 0.39 5320 9466 1544 7430 492 63.4 MiB 0.19 0.01 4.98964 -35.546 -4.98964 nan 0.16 0.00241665 0.00214148 0.0896092 0.080823 -1 -1 -1 -1 40 8155 39 2.178e+06 1.908e+06 430798. 2549.10 3.35 0.98898 0.83897 13014 85586 -1 7291 24 4695 18699 612030 108244 5.31783 nan -35.626 -5.31783 0 0 541003. 3201.20 0.02 0.32 0.07 -1 -1 0.02 0.146547 0.128998 + k6_N10_40nm.xml apex2.pre-vpr.blif common 9.30 vpr 64.83 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 126 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66388 39 3 1113 1117 0 649 168 14 14 196 clb auto 25.1 MiB 0.52 8161 14521 2331 10832 1358 64.8 MiB 0.28 0.01 5.83152 -17.3307 -5.83152 nan 0.18 0.00294402 0.0025949 0.111771 0.100655 -1 -1 -1 -1 56 14649 45 2.592e+06 2.268e+06 683928. 3489.43 5.65 1.24771 1.06253 17100 137604 -1 12055 22 6319 31081 1191742 177825 5.79636 nan -17.0558 -5.79636 0 0 875557. 4467.13 0.03 0.45 0.11 -1 -1 0.03 0.1718 0.152215 + k6_N10_40nm.xml apex4.pre-vpr.blif common 7.10 vpr 63.28 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 105 9 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64800 9 19 897 916 0 543 133 13 13 169 clb auto 23.7 MiB 0.47 6734 11998 2247 9058 693 63.3 MiB 0.25 0.01 5.30224 -88.3937 -5.30224 nan 0.16 0.00258464 0.00229904 0.104076 0.0942013 -1 -1 -1 -1 56 11936 45 2.178e+06 1.89e+06 580647. 3435.78 4.41 1.02918 0.879578 14694 116443 -1 10212 27 5690 29063 1167825 181866 5.38635 nan -89.1109 -5.38635 0 0 743711. 4400.66 0.02 0.28 0.07 -1 -1 0.02 0.100779 0.09075 + k6_N10_40nm.xml bigkey.pre-vpr.blif common 6.41 vpr 64.18 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 93 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65720 263 197 1372 1603 1 490 553 17 17 289 io auto 24.6 MiB 0.28 4778 170728 50681 109298 10749 64.2 MiB 0.86 0.01 3.19105 -732.6 -3.19105 3.19105 0.29 0.00440534 0.00411189 0.345984 0.321815 -1 -1 -1 -1 34 7398 17 4.05e+06 1.674e+06 688919. 2383.80 2.75 1.3205 1.20948 21366 134962 -1 6995 17 2392 10610 509085 104346 3.17804 3.17804 -782.762 -3.17804 0 0 845950. 2927.16 0.03 0.31 0.10 -1 -1 0.03 0.170114 0.156479 + k6_N10_40nm.xml clma.pre-vpr.blif common 39.89 vpr 88.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 436 62 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 91120 383 82 3674 4077 1 2255 901 23 23 529 clb auto 43.8 MiB 1.75 30959 435901 143273 235791 56837 87.8 MiB 4.47 0.05 8.55335 -395.949 -8.55335 8.55335 0.56 0.0113061 0.00958262 1.17046 1.0068 -1 -1 -1 -1 70 48766 40 7.938e+06 7.848e+06 2.49953e+06 4725.00 22.19 5.89369 4.97176 52134 511241 -1 43952 24 19574 92605 3927944 550062 8.47101 8.47101 -397.531 -8.47101 0 0 3.12202e+06 5901.73 0.10 1.70 0.40 -1 -1 0.10 0.641169 0.563421 + k6_N10_40nm.xml des.pre-vpr.blif common 5.69 vpr 62.28 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 102 256 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63772 256 245 954 1199 0 608 603 18 18 324 io auto 23.2 MiB 0.23 5227 148271 39552 101169 7550 62.3 MiB 0.69 0.01 4.37046 -770.45 -4.37046 nan 0.33 0.00422339 0.00402732 0.261005 0.248552 -1 -1 -1 -1 34 7404 17 4.608e+06 1.836e+06 779010. 2404.35 2.28 1.1966 1.12608 24000 152888 -1 6744 14 2452 5473 272585 59901 4.46945 nan -782.102 -4.46945 0 0 956463. 2952.05 0.03 0.21 0.12 -1 -1 0.03 0.139818 0.132124 + k6_N10_40nm.xml diffeq.pre-vpr.blif common 5.58 vpr 63.99 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 102 64 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65528 64 39 1371 1410 1 525 205 13 13 169 clb auto 24.3 MiB 0.32 3921 26177 5932 18684 1561 64.0 MiB 0.31 0.01 6.43054 -1169.36 -6.43054 6.43054 0.16 0.00284927 0.00257362 0.139318 0.125819 -1 -1 -1 -1 30 6223 39 2.178e+06 1.836e+06 350324. 2072.92 2.17 0.971556 0.836414 12006 67531 -1 5218 22 3090 9783 337940 60993 6.09481 6.09481 -1163.91 -6.09481 0 0 430798. 2549.10 0.01 0.24 0.05 -1 -1 0.01 0.144337 0.127391 + k6_N10_40nm.xml dsip.pre-vpr.blif common 7.16 vpr 64.01 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 97 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65548 229 197 1370 1567 1 538 523 16 16 256 io auto 24.5 MiB 0.29 5055 147943 44035 96679 7229 64.0 MiB 0.84 0.01 3.2095 -723.52 -3.2095 3.2095 0.25 0.00440286 0.00410924 0.324896 0.301587 -1 -1 -1 -1 34 8590 45 3.528e+06 1.746e+06 604079. 2359.69 3.63 1.49771 1.36851 18880 118149 -1 7508 16 2871 10488 535186 116741 3.28619 3.28619 -773.959 -3.28619 0 0 742044. 2898.61 0.02 0.30 0.09 -1 -1 0.02 0.163767 0.150975 + k6_N10_40nm.xml elliptic.pre-vpr.blif common 17.93 vpr 75.56 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 242 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77376 131 114 3421 3535 1 1197 487 18 18 324 clb auto 34.5 MiB 0.88 12132 123047 34177 83673 5197 75.6 MiB 1.33 0.02 7.4606 -4613.61 -7.4606 7.4606 0.33 0.00799169 0.00683063 0.533887 0.467114 -1 -1 -1 -1 52 19935 35 4.608e+06 4.356e+06 1.09957e+06 3393.73 5.62 2.58917 2.24384 27876 225772 -1 16865 24 7619 33177 1410533 212600 7.58148 7.58148 -4794.49 -7.58148 0 0 1.44575e+06 4462.18 0.05 0.80 0.17 -1 -1 0.05 0.430849 0.380256 + k6_N10_40nm.xml ex1010.pre-vpr.blif common 23.62 vpr 79.20 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 322 10 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81104 10 10 2659 2669 0 1386 342 20 20 400 clb auto 36.8 MiB 1.37 27552 61287 15872 43555 1860 79.2 MiB 1.33 0.02 7.05556 -66.589 -7.05556 nan 0.42 0.00843125 0.00701998 0.483067 0.41051 -1 -1 -1 -1 86 46648 32 5.832e+06 5.796e+06 2.18757e+06 5468.92 14.15 3.2267 2.7138 43296 457864 -1 40817 23 12321 76660 3960652 471991 6.89706 nan -66.7022 -6.89706 0 0 2.74971e+06 6874.27 0.09 1.46 0.37 -1 -1 0.09 0.460396 0.405978 + k6_N10_40nm.xml ex5p.pre-vpr.blif common 5.68 vpr 62.26 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 98 8 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63752 8 63 761 824 0 446 169 12 12 144 clb auto 22.9 MiB 0.37 4942 12778 2101 9758 919 62.3 MiB 0.19 0.01 4.47718 -204.583 -4.47718 nan 0.13 0.00239425 0.00215528 0.0784648 0.0715674 -1 -1 -1 -1 44 8061 45 1.8e+06 1.764e+06 394711. 2741.05 3.21 0.860945 0.741822 11464 79652 -1 6964 19 3697 15748 572693 99319 4.62135 nan -207.386 -4.62135 0 0 511253. 3550.37 0.02 0.26 0.06 -1 -1 0.02 0.109449 0.0977602 + k6_N10_40nm.xml frisc.pre-vpr.blif common 23.73 vpr 75.60 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 251 20 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77416 20 116 3175 3291 1 1188 387 18 18 324 clb auto 34.6 MiB 0.93 15146 84927 21530 58089 5308 75.6 MiB 1.24 0.02 10.0229 -5171.77 -10.0229 10.0229 0.33 0.00755949 0.00682087 0.506452 0.449385 -1 -1 -1 -1 58 25204 50 4.608e+06 4.518e+06 1.23881e+06 3823.48 12.18 3.76585 3.23848 29168 251432 -1 21617 25 8510 38924 1908034 279685 10.0072 10.0072 -5231.56 -10.0072 0 0 1.57021e+06 4846.34 0.05 0.95 0.20 -1 -1 0.05 0.448119 0.395295 + k6_N10_40nm.xml misex3.pre-vpr.blif common 6.54 vpr 62.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 100 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64492 14 14 828 842 0 489 128 12 12 144 clb auto 23.2 MiB 0.40 5175 7856 1075 6307 474 63.0 MiB 0.17 0.01 4.84801 -64.1454 -4.84801 nan 0.14 0.00249556 0.00221674 0.0786219 0.0714846 -1 -1 -1 -1 46 7690 36 1.8e+06 1.8e+06 409728. 2845.33 3.33 0.977782 0.834532 11608 81817 -1 6920 20 4140 18396 605767 102501 4.82071 nan -63.1482 -4.82071 0 0 527971. 3666.47 0.02 0.30 0.06 -1 -1 0.02 0.127843 0.113805 + k6_N10_40nm.xml pdc.pre-vpr.blif common 21.13 vpr 80.18 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 332 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82104 16 40 2839 2879 0 1508 388 21 21 441 clb auto 37.8 MiB 1.18 25399 76744 19217 54467 3060 80.2 MiB 1.50 0.03 6.92036 -251.161 -6.92036 nan 0.46 0.008688 0.00721285 0.516423 0.438588 -1 -1 -1 -1 72 40612 31 6.498e+06 5.976e+06 2.09950e+06 4760.78 11.28 3.11531 2.6245 43822 429389 -1 36688 22 12583 72460 3185853 429873 7.06044 nan -257.312 -7.06044 0 0 2.62494e+06 5952.24 0.08 1.04 0.27 -1 -1 0.08 0.36099 0.319518 + k6_N10_40nm.xml s298.pre-vpr.blif common 5.56 vpr 61.39 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 84 4 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62868 4 6 726 732 1 389 94 12 12 144 clb auto 22.2 MiB 0.30 4089 5206 723 4335 148 61.4 MiB 0.14 0.00 7.44269 -59.1085 -7.44269 7.44269 0.13 0.0021967 0.00197563 0.0675489 0.0618233 -1 -1 -1 -1 40 6786 29 1.8e+06 1.512e+06 360446. 2503.10 2.68 0.768046 0.664722 11036 71301 -1 5886 21 3075 15208 517485 86492 7.26292 7.26292 -60.1433 -7.26292 0 0 452692. 3143.70 0.01 0.26 0.06 -1 -1 0.01 0.118017 0.105372 + k6_N10_40nm.xml s38584.1.pre-vpr.blif common 24.01 vpr 84.34 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 404 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86360 39 304 4677 4982 1 2030 747 23 23 529 clb auto 42.8 MiB 1.16 14904 261623 79531 168959 13133 84.3 MiB 2.43 0.03 5.31651 -3386.99 -5.31651 5.31651 0.58 0.0098764 0.00889697 0.855822 0.746329 -1 -1 -1 -1 38 21753 42 7.938e+06 7.272e+06 1.42597e+06 2695.60 5.35 3.28151 2.82225 41046 290405 -1 19677 23 11025 32342 1182586 226448 5.01574 5.01574 -3392.1 -5.01574 0 0 1.79789e+06 3398.65 0.07 0.92 0.22 -1 -1 0.07 0.566727 0.496549 + k6_N10_40nm.xml seq.pre-vpr.blif common 7.19 vpr 63.74 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 112 41 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65268 41 35 1006 1041 0 592 188 13 13 169 clb auto 24.1 MiB 0.48 7217 15790 2751 11594 1445 63.7 MiB 0.27 0.01 4.98507 -144.608 -4.98507 nan 0.16 0.0030905 0.00277072 0.108724 0.0986706 -1 -1 -1 -1 54 11911 44 2.178e+06 2.016e+06 560467. 3316.37 3.72 1.10258 0.94567 14526 113769 -1 10428 30 5280 24638 903866 142828 4.87201 nan -144.017 -4.87201 0 0 730287. 4321.22 0.02 0.47 0.09 -1 -1 0.02 0.201001 0.177162 + k6_N10_40nm.xml spla.pre-vpr.blif common 23.74 vpr 75.27 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 265 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77076 16 46 2232 2278 0 1137 327 19 19 361 clb auto 33.6 MiB 0.92 17307 56627 13789 39736 3102 75.3 MiB 1.05 0.02 6.63208 -224.84 -6.63208 nan 0.38 0.00689474 0.00584076 0.390968 0.336886 -1 -1 -1 -1 60 30174 42 5.202e+06 4.77e+06 1.43744e+06 3981.82 14.90 3.32674 2.80555 32910 290117 -1 25425 24 9835 57132 2445755 336723 6.52939 nan -226.972 -6.52939 0 0 1.79849e+06 4981.96 0.06 1.14 0.23 -1 -1 0.06 0.410205 0.363021 + k6_N10_40nm.xml tseng.pre-vpr.blif common 5.72 vpr 64.25 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 112 52 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65796 52 122 1461 1583 1 500 286 13 13 169 clb auto 24.6 MiB 0.31 3158 39808 8713 28658 2437 64.3 MiB 0.35 0.01 6.15771 -1276.75 -6.15771 6.15771 0.16 0.00319459 0.00292205 0.149655 0.136345 -1 -1 -1 -1 26 4848 34 2.178e+06 2.016e+06 310759. 1838.81 2.44 1.14951 1.00345 11502 59218 -1 4210 17 2436 6640 243507 54081 5.71256 5.71256 -1266.26 -5.71256 0 0 383419. 2268.75 0.01 0.20 0.05 -1 -1 0.01 0.124909 0.111658 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vtr_reg_fpu_hard_block_arch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vtr_reg_fpu_hard_block_arch/config/golden_results.txt index f5251301604..a3f5660315d 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vtr_reg_fpu_hard_block_arch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vtr_reg_fpu_hard_block_arch/config/golden_results.txt @@ -1,9 +1,9 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time num_fpu -hard_fpu_arch_timing.xml bfly.v common 6.14 vpr 62.38 MiB 0.04 7212 -1 -1 1 0.05 -1 -1 31024 -1 -1 0 193 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63872 193 64 833 649 1 353 260 24 24 576 io auto 23.4 MiB 3.45 5270 64093 26913 36818 362 62.4 MiB 0.81 0.01 2.985 -1388.77 -2.985 2.985 0.00 0.00780093 0.00749265 0.620138 0.595835 7269 20.6506 1911 5.42898 502 663 292581 62228 1.06129e+06 103149 1.28794e+06 2236.02 4 31350 258969 -1 2.985 2.985 -1374.24 -2.985 -40.8482 -0.0851 0.57 -1 -1 62.4 MiB 0.17 0.727974 0.700185 62.4 MiB -1 0.45 3 -hard_fpu_arch_timing.xml bgm.v common 7.32 vpr 66.50 MiB 0.09 8960 -1 -1 1 0.06 -1 -1 31548 -1 -1 0 257 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68092 257 32 1281 693 1 861 298 38 38 1444 block_FPU auto 27.5 MiB 1.16 16522 99798 44225 55105 468 66.5 MiB 2.09 0.02 2.985 -3185.75 -2.985 2.985 0.00 0.0163994 0.0158581 1.68623 1.6313 22762 26.4674 5833 6.78256 1476 2064 1080688 230994 2.90196e+06 309448 3.35777e+06 2325.33 6 79768 674274 -1 2.985 2.985 -3345.27 -2.985 -44.0704 -0.0851 1.36 -1 -1 66.5 MiB 0.55 1.97993 1.91753 66.5 MiB -1 1.25 9 -hard_fpu_arch_timing.xml dscg.v common 9.89 vpr 62.29 MiB 0.05 7196 -1 -1 1 0.06 -1 -1 31120 -1 -1 0 129 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63784 129 64 769 585 1 438 197 30 30 900 block_FPU auto 23.5 MiB 6.56 6049 46610 19774 26513 323 62.3 MiB 0.78 0.01 2.985 -1429.54 -2.985 2.985 0.00 0.00752857 0.00722714 0.624964 0.600088 8579 19.6316 2232 5.10755 679 809 410931 90713 1.6779e+06 137533 2.03108e+06 2256.75 4 48532 406344 -1 2.985 2.985 -1485.8 -2.985 -28.1681 -0.0851 0.84 -1 -1 62.3 MiB 0.19 0.729083 0.700795 62.3 MiB -1 0.73 4 -hard_fpu_arch_timing.xml fir.v common 57.40 vpr 62.87 MiB 0.06 7616 -1 -1 1 0.06 -1 -1 31352 -1 -1 28 161 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64376 161 32 993 808 1 515 225 30 30 900 block_FPU auto 23.7 MiB 53.91 6735 58365 23997 33194 1174 62.9 MiB 0.83 0.01 2.985 -1375.04 -2.985 2.985 0.00 0.00723697 0.00689036 0.632448 0.602154 9598 18.6732 2539 4.93969 850 1033 535877 116345 1.6779e+06 201714 2.03108e+06 2256.75 7 48532 406344 -1 2.985 2.985 -1451.27 -2.985 -40.9671 -0.0851 0.85 -1 -1 62.9 MiB 0.26 0.773667 0.737616 62.9 MiB -1 0.73 4 -hard_fpu_arch_timing.xml mm3.v common 3.90 vpr 60.56 MiB 0.04 6828 -1 -1 1 0.04 -1 -1 30604 -1 -1 0 193 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62012 193 32 545 422 1 289 227 21 21 441 io auto 22.0 MiB 1.92 3480 45207 19008 25799 400 60.6 MiB 0.45 0.01 2.985 -824.702 -2.985 2.985 0.00 0.00499164 0.00480908 0.336809 0.324626 4551 15.8021 1192 4.13889 400 400 194592 43581 809148 68766.3 979092. 2220.16 4 24050 197379 -1 2.985 2.985 -811.598 -2.985 -21.7856 -0.0851 0.43 -1 -1 60.6 MiB 0.11 0.404833 0.390442 60.6 MiB -1 0.34 2 -hard_fpu_arch_timing.xml ode.v common 56.49 vpr 64.68 MiB 0.06 8012 -1 -1 1 0.10 -1 -1 34068 -1 -1 135 130 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66228 130 72 1194 1103 1 573 339 19 19 361 io auto 25.2 MiB 53.93 4794 91276 29206 58307 3763 64.7 MiB 0.80 0.01 2.985 -1385.68 -2.985 2.985 0.00 0.0067648 0.00635986 0.538663 0.506206 6805 11.8969 1780 3.11189 1273 1397 405829 81804 653279 378215 795482. 2203.55 9 19802 160939 -1 2.985 2.985 -1384.18 -2.985 -52.6319 -0.0851 0.36 -1 -1 64.7 MiB 0.24 0.695262 0.654681 64.7 MiB -1 0.27 2 -hard_fpu_arch_timing.xml syn2.v common 5.41 vpr 61.97 MiB 0.06 7400 -1 -1 1 0.04 -1 -1 30732 -1 -1 0 161 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63456 161 128 641 490 1 475 293 30 30 900 block_FPU auto 23.2 MiB 1.84 7788 82913 36084 46392 437 62.0 MiB 0.98 0.01 2.985 -1564.05 -2.985 2.985 0.00 0.00836211 0.00808883 0.729693 0.705711 10286 21.7004 2724 5.74684 792 992 508821 108959 1.6779e+06 137533 2.03108e+06 2256.75 5 48532 406344 -1 2.985 2.985 -1586.47 -2.985 -16.4184 -0.0851 0.85 -1 -1 62.0 MiB 0.24 0.860584 0.83302 62.0 MiB -1 0.73 4 -hard_fpu_arch_timing.xml syn7.v common 16.79 vpr 112.52 MiB 0.13 9896 -1 -1 1 0.08 -1 -1 32560 -1 -1 0 161 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 115216 161 128 1921 499 1 1728 310 54 54 2916 block_FPU auto 37.0 MiB 2.60 46119 121878 61470 59985 423 112.5 MiB 5.38 0.04 2.985 -8198.94 -2.985 2.985 0.00 0.0373253 0.0362774 4.41941 4.29869 62568 36.2293 15926 9.22177 4142 7176 4439104 909795 6.08571e+06 722046 6.89978e+06 2366.18 7 161598 1383069 -1 2.985 2.985 -8701.55 -2.985 -33.0188 -0.0851 2.79 -1 -1 112.5 MiB 1.75 5.1621 5.02634 112.5 MiB -1 2.86 21 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time num_fpu + hard_fpu_arch_timing.xml bfly.v common 4.85 vpr 63.30 MiB 0.06 7264 -1 -1 1 0.05 -1 -1 30848 -1 -1 0 193 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64816 193 64 833 649 1 353 260 24 24 576 io auto 23.2 MiB 3.26 5607 63264 26769 36140 355 63.3 MiB 0.43 0.01 2.985 -1370.5 -2.985 2.985 0.00 0.00322632 0.00304499 0.253266 0.239228 -1 -1 -1 -1 7398 21.0170 1937 5.50284 510 675 229921 59199 1.06129e+06 103149 1.28794e+06 2236.02 4 31350 258969 -1 2.985 2.985 -1377.54 -2.985 -40.8482 -0.0851 0.28 -1 -1 63.3 MiB 0.08 0.301441 0.284814 63.3 MiB -1 0.06 3 + hard_fpu_arch_timing.xml bgm.v common 4.12 vpr 66.32 MiB 0.10 8964 -1 -1 1 0.06 -1 -1 31456 -1 -1 0 257 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67912 257 32 1281 693 1 861 298 38 38 1444 block_FPU auto 27.2 MiB 1.08 16965 98803 43154 54026 1623 66.3 MiB 0.98 0.01 2.985 -3182.68 -2.985 2.985 0.00 0.00568488 0.00537845 0.58516 0.553989 -1 -1 -1 -1 23078 26.8349 5961 6.93140 1605 2215 922235 248459 2.90196e+06 309448 3.35777e+06 2325.33 6 79768 674274 -1 2.985 2.985 -3356.06 -2.985 -44.0704 -0.0851 0.72 -1 -1 66.3 MiB 0.26 0.693055 0.656725 66.3 MiB -1 0.16 9 + hard_fpu_arch_timing.xml dscg.v common 7.96 vpr 62.73 MiB 0.05 7136 -1 -1 1 0.05 -1 -1 31104 -1 -1 0 129 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64240 129 64 769 585 1 438 197 30 30 900 block_FPU auto 22.9 MiB 6.25 6253 46037 19417 26312 308 62.7 MiB 0.40 0.00 2.985 -1429.58 -2.985 2.985 0.00 0.0029514 0.00277598 0.244908 0.230423 -1 -1 -1 -1 8636 19.7620 2241 5.12815 682 799 306937 82874 1.6779e+06 137533 2.03108e+06 2256.75 5 48532 406344 -1 2.985 2.985 -1494.72 -2.985 -28.2077 -0.0851 0.44 -1 -1 62.7 MiB 0.10 0.294062 0.276714 62.7 MiB -1 0.10 4 + hard_fpu_arch_timing.xml fir.v common 51.65 vpr 63.12 MiB 0.07 7520 -1 -1 1 0.05 -1 -1 31324 -1 -1 28 161 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64636 161 32 993 808 1 515 225 30 30 900 block_FPU auto 23.5 MiB 49.72 6935 58365 24143 33008 1214 63.1 MiB 0.46 0.00 2.985 -1371.83 -2.985 2.985 0.00 0.00297047 0.00275784 0.264671 0.246456 -1 -1 -1 -1 9669 18.8113 2558 4.97665 860 1036 384010 103019 1.6779e+06 201714 2.03108e+06 2256.75 6 48532 406344 -1 2.985 2.985 -1450.61 -2.985 -40.9671 -0.0851 0.43 -1 -1 63.1 MiB 0.12 0.322443 0.30033 63.1 MiB -1 0.10 4 + hard_fpu_arch_timing.xml mm3.v common 3.05 vpr 61.30 MiB 0.05 6704 -1 -1 1 0.04 -1 -1 30656 -1 -1 0 193 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62772 193 32 545 422 1 289 227 21 21 441 io auto 21.6 MiB 1.86 3735 46591 19762 26388 441 61.3 MiB 0.27 0.00 2.985 -824.634 -2.985 2.985 0.00 0.00215884 0.00205042 0.152585 0.145074 -1 -1 -1 -1 4594 15.9514 1212 4.20833 428 428 158702 42530 809148 68766.3 979092. 2220.16 5 24050 197379 -1 2.985 2.985 -813.802 -2.985 -21.7856 -0.0851 0.22 -1 -1 61.3 MiB 0.06 0.187066 0.177642 61.3 MiB -1 0.04 2 + hard_fpu_arch_timing.xml ode.v common 53.80 vpr 65.19 MiB 0.08 7820 -1 -1 1 0.10 -1 -1 33844 -1 -1 141 130 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66756 130 72 1194 1103 1 571 345 19 19 361 io auto 24.7 MiB 52.04 4959 94647 30568 59629 4450 65.2 MiB 0.52 0.01 2.985 -1386.18 -2.985 2.985 0.00 0.00315775 0.00290682 0.254985 0.234605 -1 -1 -1 -1 6658 11.6807 1749 3.06842 1205 1317 282347 70583 653279 391968 795482. 2203.55 10 19802 160939 -1 2.985 2.985 -1383.62 -2.985 -52.7624 -0.0851 0.18 -1 -1 65.2 MiB 0.14 0.337469 0.310228 65.2 MiB -1 0.04 2 + hard_fpu_arch_timing.xml syn2.v common 3.68 vpr 62.52 MiB 0.06 7168 -1 -1 1 0.04 -1 -1 30800 -1 -1 0 161 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64016 161 128 641 490 1 475 293 30 30 900 block_FPU auto 23.1 MiB 1.75 8122 82913 36462 46039 412 62.5 MiB 0.55 0.01 2.985 -1569.74 -2.985 2.985 0.00 0.00410324 0.00391013 0.301248 0.287236 -1 -1 -1 -1 10417 21.9768 2760 5.82278 773 969 358629 94838 1.6779e+06 137533 2.03108e+06 2256.75 6 48532 406344 -1 2.985 2.985 -1593.86 -2.985 -16.4581 -0.0851 0.42 -1 -1 62.5 MiB 0.13 0.364652 0.347661 62.5 MiB -1 0.09 4 + hard_fpu_arch_timing.xml syn7.v common 9.24 vpr 112.29 MiB 0.15 9816 -1 -1 1 0.08 -1 -1 32480 -1 -1 0 161 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 114988 161 128 1921 499 1 1728 310 54 54 2916 block_FPU auto 36.7 MiB 2.42 46604 125022 60723 63851 448 112.3 MiB 2.55 0.03 2.985 -8233.69 -2.985 2.985 0.00 0.011887 0.0112692 1.44511 1.37115 -1 -1 -1 -1 63797 36.9409 16261 9.41575 4499 7822 3665481 927835 6.08571e+06 722046 6.89978e+06 2366.18 7 161598 1383069 -1 2.985 2.985 -8717.74 -2.985 -33.0585 -0.0851 1.48 -1 -1 112.3 MiB 0.90 1.70466 1.62007 112.3 MiB -1 0.40 21 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vtr_reg_fpu_soft_logic_arch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vtr_reg_fpu_soft_logic_arch/config/golden_results.txt index 940651b7efd..7f926449ba3 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vtr_reg_fpu_soft_logic_arch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test1_odin/vtr_reg_fpu_soft_logic_arch/config/golden_results.txt @@ -1,8 +1,8 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem router_lookahead_computation_time - soft_fpu_arch_timing.xml bfly.v common 210.98 vpr 342.67 MiB 4.56 167920 -1 -1 60 101.38 -1 -1 88668 -1 -1 6915 193 -1 -1 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 350896 193 64 24163 24227 1 18280 7172 86 86 7396 clb auto 215.1 MiB 4.38 228607 338.0 MiB 65.70 0.55 37.264 -17207 -37.264 37.264 1.34 0.0392839 0.0347954 4.55714 3.73911 378957 160332 446958 44726667 5530437 1.61728e+07 1.58496e+07 1.77311e+07 2397.39 32 40.8797 40.8797 -18421.3 -40.8797 -52.9827 -0.0851 338.0 MiB 11.74 7.82746 6.47064 338.0 MiB 9.25 - soft_fpu_arch_timing.xml bgm.v common 1155.84 vpr 845.20 MiB 24.67 405584 -1 -1 60 700.25 -1 -1 201356 -1 -1 17932 257 -1 -1 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 865488 257 32 61892 61924 1 47401 18221 136 136 18496 clb auto 524.0 MiB 13.72 615364 823.9 MiB 323.25 2.38 36.8643 -42955.7 -36.8643 36.8643 4.76 0.112517 0.0992696 13.1458 10.8406 999640 415440 1173043 116925879 14611095 4.11556e+07 4.11006e+07 4.47194e+07 2417.79 31 40.3221 40.3221 -46134 -40.3221 -78.8244 -0.0851 823.9 MiB 31.31 22.1047 18.3137 823.9 MiB 25.41 - soft_fpu_arch_timing.xml dscg.v common 196.75 vpr 320.08 MiB 4.33 168696 -1 -1 60 99.00 -1 -1 88224 -1 -1 6472 129 -1 -1 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 327760 129 64 22456 22520 1 16929 6665 83 83 6889 clb auto 202.3 MiB 4.08 204998 312.4 MiB 57.65 0.46 36.2779 -14866.4 -36.2779 36.2779 1.18 0.035477 0.0314625 4.20235 3.44545 345906 140160 401628 40518883 4971695 1.50382e+07 1.48343e+07 1.65016e+07 2395.36 25 39.7359 39.7359 -15878 -39.7359 -46.6351 -0.0851 312.4 MiB 10.09 6.77644 5.59725 312.4 MiB 8.39 - soft_fpu_arch_timing.xml fir.v common 184.82 vpr 324.23 MiB 3.29 149532 -1 -1 60 88.59 -1 -1 84480 -1 -1 6576 161 -1 -1 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 332012 161 32 22750 22782 1 17239 6769 84 84 7056 clb auto 204.3 MiB 4.30 208218 318.1 MiB 56.24 0.50 34.5565 -15161.3 -34.5565 34.5565 1.21 0.0355809 0.0315045 4.10389 3.3815 348929 154532 426175 40951738 5214122 1.54118e+07 1.50726e+07 1.69066e+07 2396.05 29 38.5028 38.5028 -16251.6 -38.5028 -52.5409 -0.0851 318.1 MiB 10.72 7.03873 5.80747 318.1 MiB 8.80 - soft_fpu_arch_timing.xml mm3.v common 108.31 vpr 241.86 MiB 1.44 108788 -1 -1 60 47.09 -1 -1 66008 -1 -1 4729 193 -1 -1 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 247668 193 32 16498 16530 1 12703 4954 71 71 5041 clb auto 151.8 MiB 2.99 145106 237.7 MiB 33.84 0.29 36.43 -10714.8 -36.43 36.43 0.78 0.0274769 0.0218478 2.93832 2.40093 249436 111649 305614 29509234 3745335 1.09126e+07 1.08393e+07 1.20254e+07 2385.52 27 39.0748 39.0748 -11430.1 -39.0748 -29.8922 -0.0851 237.7 MiB 7.49 4.92141 4.05414 237.7 MiB 6.12 - soft_fpu_arch_timing.xml ode.v common 83.78 vpr 206.61 MiB 1.49 110724 -1 -1 60 34.93 -1 -1 64700 -1 -1 4046 130 -1 -1 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 211564 130 72 14280 14352 1 10655 4248 66 66 4356 clb auto 132.0 MiB 2.53 126661 203.3 MiB 25.42 0.23 33.6749 -11373.8 -33.6749 33.6749 0.63 0.0217049 0.0192436 2.43891 2.00506 210198 84432 240099 23319963 2968136 9.38847e+06 9.27387e+06 1.03689e+07 2380.36 27 37.9042 37.9042 -12186.3 -37.9042 -62.8382 -0.0851 203.3 MiB 6.05 4.10709 3.41493 203.3 MiB 5.21 - soft_fpu_arch_timing.xml syn2.v common 241.70 vpr 358.75 MiB 4.26 187176 -1 -1 59 121.03 -1 -1 93136 -1 -1 7281 161 -1 -1 success 9b1abd6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2022-11-27T23:54:07 gh-actions-runner-vtr-auto-spawned24 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 367364 161 128 25307 25435 1 19423 7570 88 88 7744 clb auto 224.9 MiB 4.77 234396 352.9 MiB 73.31 0.60 34.8871 -19280.1 -34.8871 34.8871 1.39 0.0405268 0.0357603 4.95119 4.03621 394777 190635 523094 51317356 6505901 1.69521e+07 1.66885e+07 1.85753e+07 2398.67 40 39.0062 39.0062 -20597.8 -39.0062 -37.8125 -0.0851 352.9 MiB 14.07 9.11454 7.49626 352.9 MiB 9.46 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + soft_fpu_arch_timing.xml bfly.v common 218.61 vpr 359.48 MiB 4.91 167836 -1 -1 59 101.39 -1 -1 87824 -1 -1 7340 193 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 368112 193 64 24210 24274 1 17856 7597 88 88 7744 clb auto 175.2 MiB 7.13 225319 7621897 3212176 4390908 18813 359.5 MiB 72.98 0.60 42.8236 -19565.7 -42.8236 42.8236 0.01 0.0573859 0.0512591 6.89379 5.84877 -1 -1 -1 -1 368191 20.6235 92948 5.20630 130349 394015 30949248 4051469 1.69521e+07 1.68237e+07 1.85753e+07 2398.67 25 418068 3685924 -1 39.6673 39.6673 -18140.5 -39.6673 -54.7919 -0.0851 3.95 -1 -1 359.5 MiB 11.14 10.3565 8.75089 359.5 MiB -1 1.01 + soft_fpu_arch_timing.xml bgm.v common 1037.62 vpr 914.45 MiB 26.28 405280 -1 -1 60 660.49 -1 -1 203444 -1 -1 18904 257 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 936396 257 32 61976 62008 1 46325 19193 140 140 19600 clb auto 419.4 MiB 22.97 596630 25961245 10613132 15303353 44760 914.4 MiB 244.51 2.09 44.1177 -49389.4 -44.1177 44.1177 0.02 0.135011 0.11835 15.2682 12.6503 -1 -1 -1 -1 964345 20.8183 242567 5.23654 339210 1013781 79857050 10583069 4.36493e+07 4.33284e+07 4.74065e+07 2418.70 31 1058292 9395004 -1 41.0998 41.0998 -45704.6 -41.0998 -98.6648 -0.0851 10.18 -1 -1 914.4 MiB 29.50 25.1448 20.7983 914.4 MiB -1 2.72 + soft_fpu_arch_timing.xml dscg.v common 210.26 vpr 342.20 MiB 4.32 168364 -1 -1 60 101.02 -1 -1 87488 -1 -1 6907 129 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 350408 129 64 22611 22675 1 16729 7100 86 86 7396 clb auto 165.7 MiB 6.79 220446 7100940 2996754 4090499 13687 342.2 MiB 66.64 0.56 43.5901 -17476.1 -43.5901 43.5901 0.01 0.0532765 0.0476953 6.30841 5.34291 -1 -1 -1 -1 358090 21.4092 90377 5.40338 124278 375194 30762916 3990281 1.61728e+07 1.58313e+07 1.77311e+07 2397.39 24 399276 3518724 -1 40.7277 40.7277 -16249.7 -40.7277 -52.6715 -0.0851 3.85 -1 -1 342.2 MiB 10.53 9.49355 8.00671 342.2 MiB -1 0.96 + soft_fpu_arch_timing.xml fir.v common 196.96 vpr 343.11 MiB 3.59 149312 -1 -1 59 90.17 -1 -1 83512 -1 -1 6922 161 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 351344 161 32 22819 22851 1 16866 7115 86 86 7396 clb auto 166.8 MiB 7.04 199474 6915717 2912308 3989791 13618 343.1 MiB 64.14 0.58 40.5059 -17267.1 -40.5059 40.5059 0.01 0.0584 0.048947 6.37642 5.38999 -1 -1 -1 -1 337722 20.0274 85356 5.06173 145553 416282 30884732 4213664 1.61728e+07 1.58657e+07 1.77311e+07 2397.39 32 399276 3518724 -1 37.6419 37.6419 -16015.1 -37.6419 -59.9389 -0.0851 3.71 -1 -1 343.1 MiB 11.94 10.2688 8.65711 343.1 MiB -1 1.07 + soft_fpu_arch_timing.xml mm3.v common 118.95 vpr 275.90 MiB 2.45 108596 -1 -1 59 45.41 -1 -1 58472 -1 -1 5066 193 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 282524 193 32 16754 16786 1 12491 5291 74 74 5476 clb auto 125.9 MiB 4.96 146469 4475536 1818353 2641694 15489 275.9 MiB 42.98 0.37 41.7388 -12064.6 -41.7388 41.7388 0.00 0.0413345 0.0348399 4.58602 3.90866 -1 -1 -1 -1 245866 19.6882 62306 4.98927 109264 305433 22333496 3068702 1.18822e+07 1.16117e+07 1.30782e+07 2388.28 34 295596 2597004 -1 39.2533 39.2533 -11158.6 -39.2533 -32.4114 -0.0851 2.73 -1 -1 275.9 MiB 8.90 7.59926 6.44593 275.9 MiB -1 0.74 + soft_fpu_arch_timing.xml ode.v common 93.35 vpr 240.33 MiB 2.44 110172 -1 -1 59 33.13 -1 -1 65808 -1 -1 4287 130 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 246100 130 72 14367 14439 1 10374 4489 68 68 4624 clb auto 109.3 MiB 4.08 127157 3557929 1403830 2141831 12268 240.3 MiB 33.73 0.32 40.5533 -13292.3 -40.5533 40.5533 0.00 0.0389352 0.033177 4.01164 3.41586 -1 -1 -1 -1 208721 20.1274 52866 5.09797 78139 230635 17685168 2400784 9.98439e+06 9.82624e+06 1.10168e+07 2382.52 26 249588 2188524 -1 36.7396 36.7396 -12330.1 -36.7396 -66.7867 -0.0851 2.36 -1 -1 240.3 MiB 6.75 6.16378 5.23035 240.3 MiB -1 0.60 + soft_fpu_arch_timing.xml syn2.v common 242.74 vpr 375.87 MiB 4.96 186956 -1 -1 59 118.30 -1 -1 91564 -1 -1 7728 161 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 384888 161 128 25405 25533 1 18921 8017 90 90 8100 clb auto 183.0 MiB 7.71 234549 8028217 3391444 4616392 20381 375.9 MiB 75.43 0.64 42.3604 -22270.1 -42.3604 42.3604 0.01 0.0617653 0.0552171 6.77016 5.75861 -1 -1 -1 -1 385300 20.3658 97359 5.14610 136904 413779 32546376 4303699 1.77497e+07 1.7713e+07 1.94391e+07 2399.89 32 437292 3857004 -1 39.1789 39.1789 -20606.8 -39.1789 -41.0113 -0.0851 4.35 -1 -1 375.9 MiB 12.57 11.1492 9.4112 375.9 MiB -1 1.08 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_other/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_other/config/golden_results.txt index 239e48d4b20..2054d1011a1 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_other/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_other/config/golden_results.txt @@ -1,24 +1,24 @@ -arch circuit script_params vtr_flow_elapsed_time error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time -stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 311.8 274 967 36 59 0 2 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1735732 22 252 53001 29054 7 24654 1338 89 66 5874 DSP auto 23.51 252822 67.35 0.34 7.26641 -38060.9 -6.26641 2.79561 72.76 0.0922902 0.0742036 19.4784 15.1106 354720 83977 171255 284760839 58437920 0 0 108076000 18399.1 50 7.93667 2.94925 -43306.7 -6.93667 0 0 48.18 30.2955 24.559 65.43 -stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 215.82 36 1583 10 10 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1495008 3 33 48977 39238 1 25960 1639 54 40 2160 LAB auto 60.22 275660 73.3 0.48 76.888 -77679.7 -75.888 76.888 8.1 0.0956007 0.0692678 14.5078 10.6502 370341 90509 238008 217472654 23545267 0 0 39646500 18354.9 28 71.6492 71.6492 -105914 -70.6492 0 0 28.14 21.4905 16.5041 21.42 -stratixiv_arch.timing.xml CHERI_stratixiv_arch_timing.blif common 492.02 211 2256 3 210 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1982912 38 173 62892 59064 3 36122 2680 86 64 5504 M9K auto 130.91 654114 131.72 0.8 13.3368 -342543 -12.3368 7.39474 50.51 0.177306 0.126609 25.7151 17.5933 892864 172996 611822 407520129 46601819 0 0 101289000 18402.8 68 14.1432 7.7062 -394796 -13.1432 0 0 73.84 51.3879 38.3937 62.13 -stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 613.15 574 2805 16 0 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 2064240 4 570 66175 54803 2 39637 3395 91 67 6097 io auto 110.71 677805 163.29 1.08 28.2408 -109542 -27.2408 6.93264 72.8 0.187151 0.130173 25.6141 17.899 973037 224900 867383 947842960 143826835 0 0 112157000 18395.4 25 29.6387 8.19964 -124725 -28.6387 0 0 154.76 37.9372 28.0766 66.17 -stratixiv_arch.timing.xml fir_cascade_stratixiv_arch_timing.blif common 1318.55 40 3678 172 1 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 4514168 19 21 171111 96274 1 72623 3891 194 144 27936 DSP auto 76.77 765977 145.09 1.6 5.16314 -128136 -4.16314 3.90969 508.03 0.285112 0.239247 42.3664 34.8257 874132 150455 182899 349628973 70478863 0 0 518919000 18575.3 13 5.45721 4.48464 -179446 -4.45721 0 0 63.66 54.6347 45.956 400.24 -stratixiv_arch.timing.xml jacobi_stratixiv_arch_timing.blif common 341.45 536 1972 7 4 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1755572 227 309 49176 40422 1 28183 2519 85 63 5355 io auto 77.01 312290 91.24 0.69 195.971 -119913 -194.971 195.971 50.83 0.0991588 0.084565 16.0983 11.5643 406195 106821 335442 213233166 16541048 0 0 98440800 18383 22 188.774 188.774 -136523 -187.774 0 0 25.35 22.7627 17.0347 58.18 -stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 247.43 36 1332 8 149 2 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1629816 3 33 52402 39411 1 28117 1527 73 54 3942 M9K auto 61.3 324276 56.9 0.43 16.9115 -306564 -15.9115 16.9115 21.92 0.115668 0.0811981 14.2927 9.97478 446671 101291 248928 219409565 29355499 0 0 72633900 18425.6 49 17.5096 17.5096 -329353 -16.5096 0 0 36.21 25.5848 19.3127 40 -stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 103.86 251 951 1 17 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1331672 55 196 20131 19956 1 8395 1220 44 33 1452 io auto 36.33 120394 17.88 0.13 7.53064 -73100.4 -6.53064 7.53064 5.18 0.0385338 0.0250793 5.54194 3.66441 176474 31041 128473 83605460 6753731 0 0 26509900 18257.5 28 8.2232 8.2232 -80771.2 -7.2232 0 0 10.41 8.44641 6.09178 14.9 -stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 219.94 255 2095 1 28 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1539896 84 171 36458 36247 3 20591 2379 62 46 2852 LAB auto 73.17 304905 58.87 0.36 12.4545 -84121.6 -11.4545 3.90486 14.28 0.0957957 0.0630812 14.7936 9.65547 399311 62124 215218 133537079 11346973 0 0 52452100 18391.3 13 13.7366 4.34743 -96722.9 -12.7366 0 0 15.78 19.0668 13.1481 28.9 -stratixiv_arch.timing.xml MCML_stratixiv_arch_timing.blif common 423.8 69 2106 10 295 16 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 2072008 36 33 57796 49182 1 20383 2496 105 78 8190 M9K auto 64.78 248417 57.14 0.43 8.87852 -98126.1 -7.87852 8.87852 101.81 0.102251 0.0739036 16.5433 11.9168 401785 70797 206901 253160930 44501707 0 0 150986000 18435.5 70 8.73181 8.73181 -152440 -7.73181 0 0 59.2 32.5906 25.4858 95.57 -stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 414.48 478 1243 1 300 4 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1986036 202 276 35125 30509 3 21597 2026 106 79 8374 M9K auto 62.32 273262 46.18 0.37 9.02165 -38875.4 -8.02165 2.98256 116.87 0.119629 0.0874896 15.1424 10.6139 427620 69908 196969 259577742 42937167 0 0 154360000 18433.2 24 9.59492 3.21937 -53394.2 -8.59492 0 0 49.61 22.0979 16.4463 95.83 -stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 221.49 5 335 31 105 0 2 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1614200 3 2 14862 10304 26 7545 478 89 66 5874 DSP auto 32.1 110073 8.69 0.05 5.6799 -31954.6 -4.6799 3.86103 73.08 0.0297436 0.0249301 5.08886 3.80117 162487 18820 40748 49231361 8764921 0 0 108076000 18399.1 13 5.94858 4.19214 -42746.9 -4.94858 0 0 8.06 6.74261 5.24191 66.24 -stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 453.16 693 1772 25 16 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 2079664 35 658 51416 37539 1 27838 2506 108 80 8640 io auto 58.41 242409 99.55 0.46 37.8217 -58716.9 -36.8217 37.8217 112.56 0.0923575 0.0800076 22.4325 16.6445 339418 92511 294098 299646713 40180048 0 0 159377000 18446.5 21 38.6529 38.6529 -63587.1 -37.6529 0 0 37.23 29.0352 22.2784 99.48 -stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 370.66 753 1134 5 32 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 2131332 13 740 25173 25306 1 12841 1924 117 87 10179 io auto 43.57 162862 27.12 0.18 9.4498 -29888.4 -8.4498 7.62781 119.49 0.0419754 0.0337119 7.41368 5.12874 203573 33756 127355 88616513 6903805 0 0 187947000 18464.1 16 10.0597 7.94534 -35332.5 -9.05972 0 0 10.27 10.3001 7.58645 123.59 -stratixiv_arch.timing.xml smithwaterman_stratixiv_arch_timing.blif common 254.87 117 2162 0 0 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1696972 79 38 66795 54922 1 35874 2279 62 46 2852 LAB auto 66.38 265514 91.85 0.6 9.73271 -169191 -8.73271 9.73271 14.1 0.109447 0.0754959 15.151 10.2167 353280 150391 325210 185311692 14766611 0 0 52452100 18391.3 39 10.5988 10.5988 -198966 -9.59881 0 0 26.1 26.0487 19.0873 27.5 -stratixiv_arch.timing.xml stap_steering_stratixiv_arch_timing.blif common 199.98 213 1566 26 4 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1694876 139 74 57121 41054 1 24187 1809 75 56 4200 DSP auto 50.57 166705 40.06 0.27 5.67384 -21057.2 -4.67384 4.83115 17.58 0.089833 0.0688155 14.1813 10.5486 223321 55207 100513 123731930 21668188 0 0 77419500 18433.2 28 6.01154 5.30578 -34881.6 -5.01154 0 0 20.05 20.8584 16.3292 41.08 -stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 93.73 54 671 0 40 0 1 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1296172 2 52 16673 16662 2 12020 766 38 28 1064 LAB auto 28.79 181169 14.48 0.11 5.49667 -21261.7 -4.49667 4.87568 5.83 0.044069 0.0283665 5.5448 3.63538 246051 57719 179681 173183538 18866158 0 0 19382100 18216.2 19 6.0422 5.29849 -27330.5 -5.0422 0 0 19.56 8.20931 5.7957 8.71 -stratixiv_arch.timing.xml SURF_desc_stratixiv_arch_timing.blif common 310.33 445 2166 19 51 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1806272 131 314 57881 45152 1 32966 2681 73 54 3942 io auto 70.45 321679 104.87 0.89 196.373 -68832.2 -195.373 196.373 21.24 0.227108 0.170335 20.1175 14.878 433232 110664 348056 280252346 23247136 0 0 72633900 18425.6 39 188.336 188.336 -82847 -187.336 0 0 37.14 33.2841 25.9806 41.01 -stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 54.29 42 750 0 0 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1269300 13 29 26295 20086 1 12417 792 39 29 1131 LAB auto 9.43 75218 10.95 0.06 4.87175 -4560.25 -3.87175 2.71822 4.94 0.0168256 0.0134598 2.75581 1.80879 82722 32407 46016 30062452 2507226 0 0 20595800 18210.3 16 4.98602 2.75435 -5381.95 -3.98602 0 0 3.35 3.89581 2.77576 9.91 -stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 678.97 964 982 19 34 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 2754424 542 422 37277 26038 1 20655 1999 147 109 16023 io auto 41.73 267816 73.62 0.8 7.80246 -38033.5 -6.80246 7.6263 258.47 0.0892357 0.0697083 13.6631 10.2442 362796 92851 202881 211513388 35702086 0 0 296650000 18514 55 8.53312 8.20447 -44732.7 -7.53312 0 0 41.77 24.7555 19.7387 200.64 -stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 799.35 1107 724 0 0 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 3194080 403 704 15490 16194 1 8415 1831 167 124 20708 io auto 37.55 190229 16.71 0.14 11.4027 -21636.9 -10.4027 4.89489 400.55 0.0371088 0.0262547 5.13621 3.50481 233299 26413 105009 64404491 4696027 0 0 384012000 18544.1 14 11.8994 5.31154 -27542.3 -10.8994 0 0 7.34 6.87555 4.97917 259.42 -stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 89.94 35 730 0 6 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1266564 18 17 16969 16357 1 6291 771 39 29 1131 LAB auto 39.14 82220 9.36 0.08 6.86051 -43809.9 -5.86051 6.86051 4.27 0.0198281 0.0155483 3.08359 2.01596 116615 27639 146882 73829529 5721062 0 0 20595800 18210.3 43 7.27333 7.27333 -49633.6 -6.27333 0 0 10.96 6.52073 4.82028 9.83 -stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 16.85 35 76 0 8 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1076940 18 17 2291 2142 1 1504 119 16 12 192 LAB M9K auto 4.08 10271 0.96 0.01 4.965 -3328.06 -3.965 3.70554 0.09 0.00544208 0.00414461 0.470524 0.35424 14141 4076 9559 7859416 739818 0 0 3350780 17452 20 5.1517 3.94454 -3676.27 -4.1517 0 0 0.88 0.737841 0.591727 0.41 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 240.41 vpr 1.80 GiB 274 1048 36 59 0 2 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1886012 22 252 53001 29054 7 22984 1419 89 66 5874 DSP auto 1200.1 MiB 62.75 248316 1021579 298715 629192 93672 1841.8 MiB 68.63 0.51 7.79847 -44076.4 -6.79847 3.16357 0.04 0.165964 0.147061 22.0804 19.3916 348037 15.1637 76678 3.34080 64297 133419 118695520 34142571 0 0 1.08074e+08 18398.6 17 1714760 18504579 -1 8.25872 3.11653 -42832.7 -7.25872 0 0 39.08 -1 -1 1841.8 MiB 30.91 29.1651 25.7894 1841.8 MiB -1 9.35 + stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 221.39 vpr 1.47 GiB 36 1585 10 10 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1538160 3 33 48977 39238 1 26095 1641 54 40 2160 LAB auto 1221.8 MiB 84.83 286068 978816 295772 657268 25776 1394.2 MiB 66.20 0.76 87.9237 -89444.7 -86.9237 87.9237 0.01 0.146793 0.123189 13.268 11.0829 379754 14.5550 90020 3.45023 82718 219511 74496266 16257762 0 0 3.96436e+07 18353.5 24 632584 6763270 -1 71.937 71.937 -113847 -70.937 0 0 15.28 -1 -1 1448.2 MiB 25.20 20.4884 17.2546 1394.2 MiB -1 3.21 + stratixiv_arch.timing.xml CHERI_stratixiv_arch_timing.blif common 444.61 vpr 1.93 GiB 211 2277 3 210 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2019520 38 173 62892 59064 3 35370 2701 86 64 5504 M9K auto 1405.6 MiB 170.24 614048 2033317 732647 1250104 50566 1914.9 MiB 137.20 1.11 13.4281 -360550 -12.4281 8.02047 0.05 0.23718 0.189938 28.8989 23.0941 838072 23.6991 190423 5.38481 138127 489921 156343017 30753609 0 0 1.01286e+08 18402.3 18 1602300 17340426 -1 13.7513 7.61228 -381806 -12.7513 0 0 36.15 -1 -1 1914.9 MiB 51.84 41.0998 33.5135 1914.9 MiB -1 8.59 + stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 501.58 vpr 2.01 GiB 574 2786 16 0 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2102528 4 570 66175 54803 2 39221 3376 91 67 6097 io auto 1442.3 MiB 161.57 637050 2737396 996225 1655508 85663 2024.4 MiB 158.02 1.26 31.0835 -120493 -30.0835 7.14678 0.05 0.240469 0.215113 28.7629 24.2021 899667 22.9413 200386 5.10980 182427 712388 314287781 64497091 0 0 1.12154e+08 18394.9 22 1777086 19206576 -1 31.4681 7.0455 -124410 -30.4681 0 0 40.06 -1 -1 2024.4 MiB 90.83 43.0922 36.5972 2024.4 MiB -1 9.96 + stratixiv_arch.timing.xml fir_cascade_stratixiv_arch_timing.blif common 613.83 vpr 4.71 GiB 40 3697 172 1 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 4942100 19 21 171111 96274 1 69059 3910 194 144 27936 DSP auto 1879.7 MiB 115.33 765653 3945030 1609251 2318045 17734 4826.3 MiB 167.87 1.46 6.56186 -137549 -5.56186 3.59168 0.15 0.576478 0.517383 74.0936 66.3885 885829 12.8277 186548 2.70140 135284 168559 115764486 31200317 0 0 5.18916e+08 18575.2 10 8071764 88644687 -1 6.86266 4.08192 -171393 -5.86266 0 0 170.99 -1 -1 4826.3 MiB 38.25 90.8697 81.8728 4826.3 MiB -1 57.03 + stratixiv_arch.timing.xml jacobi_stratixiv_arch_timing.blif common 331.14 vpr 1.78 GiB 536 1955 7 4 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1867752 227 309 49176 40422 1 28301 2502 85 63 5355 io auto 1275.0 MiB 118.12 297917 2005906 751440 1231297 23169 1824.0 MiB 109.86 1.00 221.816 -136664 -220.816 221.816 0.03 0.177495 0.149764 22.2953 18.9612 392043 13.8546 93134 3.29130 81629 256552 61785790 11540590 0 0 9.84380e+07 18382.4 20 1549486 16842765 -1 194.877 194.877 -143592 -193.877 0 0 35.33 -1 -1 1824.0 MiB 25.15 30.8256 26.312 1824.0 MiB -1 8.89 + stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 254.24 vpr 1.60 GiB 36 1393 8 149 2 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1675928 3 33 52402 39411 1 26961 1588 73 54 3942 M9K auto 1241.5 MiB 98.93 308817 862861 247827 593176 21858 1636.6 MiB 62.77 0.68 18.2872 -344515 -17.2872 18.2872 0.02 0.157897 0.128343 14.8112 12.1152 431314 16.0024 99151 3.67866 81236 209217 91482078 19724978 0 0 7.26311e+07 18424.9 20 1148308 12423798 -1 18.3421 18.3421 -345738 -17.3421 0 0 26.16 -1 -1 1636.6 MiB 29.12 22.8904 19.0386 1636.6 MiB -1 6.51 + stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 112.25 vpr 1.21 GiB 251 955 1 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1271072 55 196 20131 19956 1 8273 1224 44 33 1452 io auto 1086.4 MiB 51.28 121891 590184 190135 382049 18000 1219.0 MiB 16.74 0.19 8.00991 -79285.3 -7.00991 8.00991 0.01 0.0547434 0.0433722 5.31094 4.24309 175526 21.2244 41043 4.96288 27288 110050 30231721 5468094 0 0 2.65070e+07 18255.5 16 423692 4510959 -1 8.24194 8.24194 -78833.9 -7.24194 0 0 10.69 -1 -1 1219.0 MiB 10.21 7.91932 6.48482 1219.0 MiB -1 2.12 + stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 255.51 vpr 1.50 GiB 255 2122 1 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1577872 84 171 36458 36247 3 20327 2406 62 46 2852 LAB auto 1227.6 MiB 129.85 282856 1613906 577988 956437 79481 1496.3 MiB 54.24 0.51 12.7635 -89890.6 -11.7635 4.81564 0.02 0.13392 0.10812 13.9969 11.3761 395367 19.4637 87910 4.32777 59014 216498 49458484 8714433 0 0 5.24492e+07 18390.3 15 836198 8956163 -1 12.8132 4.74014 -89522.7 -11.8132 0 0 19.60 -1 -1 1496.3 MiB 18.50 19.7465 16.2691 1496.3 MiB -1 4.60 + stratixiv_arch.timing.xml MCML_stratixiv_arch_timing.blif common 314.95 vpr 2.14 GiB 69 2192 10 295 16 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2241312 36 33 57796 49182 1 19758 2582 105 78 8190 M9K auto 1352.5 MiB 98.71 254549 2375186 921212 1420848 33126 2188.8 MiB 78.05 0.73 9.75634 -115117 -8.75634 9.75634 0.04 0.165792 0.132975 21.7204 17.5791 406833 20.5960 91948 4.65489 55491 166503 116017937 30967034 0 0 1.50983e+08 18435.1 16 2375962 25880196 -1 8.76007 8.76007 -153174 -7.76007 0 0 52.19 -1 -1 2188.8 MiB 36.04 29.2444 24.0731 2188.8 MiB -1 14.84 + stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 253.58 vpr 2.06 GiB 478 1233 1 300 4 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2160324 202 276 35125 30509 3 21219 2016 106 79 8374 M9K auto 1184.1 MiB 78.17 275268 1593266 551386 986614 55266 2109.7 MiB 49.61 0.39 9.2665 -49067 -8.2665 3.57275 0.07 0.132325 0.103283 17.1511 13.6719 420825 19.8381 90110 4.24787 51659 142658 103986450 24159841 0 0 1.54357e+08 18432.8 12 2427254 26454832 -1 9.68883 3.86627 -55338.2 -8.68883 0 0 53.22 -1 -1 2109.7 MiB 28.24 22.2919 18.1524 2109.7 MiB -1 14.60 + stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 138.75 vpr 1.69 GiB 5 333 31 105 0 2 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1766856 3 2 14862 10304 26 7583 476 89 66 5874 DSP auto 1033.6 MiB 52.72 124138 182462 49732 129080 3650 1725.4 MiB 10.74 0.10 5.88079 -31819.8 -4.88079 4.5134 0.03 0.0620074 0.052736 6.45505 5.4875 179249 23.7196 37106 4.91015 18387 40581 28916864 7312373 0 0 1.08074e+08 18398.6 14 1714760 18504579 -1 6.28555 4.43959 -39032.4 -5.28555 0 0 38.39 -1 -1 1725.4 MiB 8.42 8.94725 7.69206 1725.4 MiB -1 9.13 + stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 306.68 vpr 2.16 GiB 693 1797 25 16 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2263960 35 658 51416 37539 1 27427 2531 108 80 8640 io auto 1279.4 MiB 81.16 241934 2243861 754419 1344439 145003 2210.9 MiB 89.43 0.73 41.8615 -66574.8 -40.8615 41.8615 0.05 0.188177 0.163426 25.8422 22.4375 341602 13.1806 80002 3.08685 77035 236832 91449571 21610430 0 0 1.59375e+08 18446.1 27 2505018 27321913 -1 38.4065 38.4065 -64812.4 -37.4065 0 0 54.97 -1 -1 2210.9 MiB 32.24 37.3082 32.5377 2210.9 MiB -1 15.05 + stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 210.13 vpr 2.23 GiB 753 1113 5 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2333568 13 740 25173 25306 1 12716 1903 117 87 10179 io auto 1130.7 MiB 61.79 151917 1239643 452352 735278 52013 2278.9 MiB 28.83 0.25 9.32912 -33745.1 -8.32912 8.97758 0.06 0.0738163 0.0638134 8.87581 7.31746 194710 15.3206 43227 3.40129 29693 108615 25829106 4900313 0 0 1.87944e+08 18463.9 12 2952054 32219012 -1 9.94244 8.79357 -35834.6 -8.94244 0 0 64.11 -1 -1 2278.9 MiB 9.60 12.1982 10.2214 2278.9 MiB -1 18.15 + stratixiv_arch.timing.xml smithwaterman_stratixiv_arch_timing.blif common 318.93 vpr 1.67 GiB 117 2338 0 0 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1755828 79 38 66795 54922 1 35698 2455 65 48 3120 LAB auto 1328.0 MiB 134.18 278809 1724071 544940 1146248 32883 1591.7 MiB 106.67 0.89 10.5464 -202407 -9.54638 10.5464 0.02 0.1746 0.139886 18.8537 15.1957 365710 10.2454 86560 2.42499 84230 195736 44412238 7890024 0 0 5.74574e+07 18415.8 16 913942 9818425 -1 10.2871 10.2871 -211712 -9.28709 0 0 21.78 -1 -1 1628.9 MiB 18.87 26.6694 21.8451 1591.7 MiB -1 4.70 + stratixiv_arch.timing.xml stap_steering_stratixiv_arch_timing.blif common 189.20 vpr 1.66 GiB 213 1565 26 4 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1740300 139 74 57121 41054 1 24001 1808 75 56 4200 DSP auto 1288.3 MiB 56.40 167389 1300988 426055 839266 35667 1699.5 MiB 56.62 0.52 5.92747 -26440.3 -4.92747 5.12571 0.03 0.163778 0.14022 19.7799 16.8165 226209 9.42655 53807 2.24224 52155 95084 47723189 13084284 0 0 7.74167e+07 18432.5 19 1223026 13250712 -1 6.18889 5.28844 -34182.8 -5.18889 0 0 28.00 -1 -1 1699.5 MiB 17.47 27.5967 23.7139 1699.5 MiB -1 6.59 + stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 104.87 vpr 1.18 GiB 54 665 0 40 0 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1232208 2 52 16673 16662 2 12027 760 37 27 999 LAB auto 1064.4 MiB 40.46 185817 260785 68816 184545 7424 1165.1 MiB 13.84 0.17 6.43593 -22019.6 -5.43593 5.34219 0.01 0.0663137 0.0529365 5.36329 4.35061 252094 20.9676 58089 4.83149 56425 171226 68372675 13142469 0 0 1.81123e+07 18130.5 18 291844 3070977 -1 6.97302 5.70366 -28347.4 -5.97302 0 0 7.59 -1 -1 1183.7 MiB 20.02 8.72317 7.23639 1165.1 MiB -1 1.32 + stratixiv_arch.timing.xml SURF_desc_stratixiv_arch_timing.blif common 304.15 vpr 1.76 GiB 445 2156 19 52 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1847692 131 314 57881 45152 1 32833 2672 73 54 3942 io auto 1361.0 MiB 91.84 318946 2041412 717468 1272847 51097 1720.0 MiB 112.21 1.13 221.943 -77080.5 -220.943 221.943 0.03 0.22642 0.192763 26.2516 22.5036 431464 13.1709 103995 3.17455 107179 331669 90059672 17820477 0 0 7.26311e+07 18424.9 19 1148308 12423798 -1 191.341 191.341 -83524.8 -190.341 0 0 25.61 -1 -1 1725.7 MiB 33.11 36.9562 31.8075 1720.0 MiB -1 6.07 + stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 56.10 vpr 1.16 GiB 42 758 0 0 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1212332 13 29 26295 20086 1 12439 800 39 29 1131 LAB auto 1061.2 MiB 12.53 72155 253216 50624 190930 11662 1175.4 MiB 10.11 0.14 5.18599 -5515.92 -4.18599 2.85104 0.01 0.0377892 0.0327694 2.93008 2.45256 84093 6.76152 20141 1.61944 25550 34715 9357710 1681121 0 0 2.05929e+07 18207.7 16 331560 3499109 -1 5.29142 2.82099 -5638.13 -4.29142 0 0 8.59 -1 -1 1175.4 MiB 3.99 4.67756 3.97624 1175.4 MiB -1 1.60 + stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 339.18 vpr 2.90 GiB 964 1119 19 34 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3043528 542 422 37277 26038 1 20403 2136 147 109 16023 io auto 1148.5 MiB 79.64 272838 1734636 659517 1007756 67363 2972.2 MiB 73.93 0.61 8.43041 -42423.1 -7.43041 8.08995 0.09 0.114426 0.101345 15.7114 13.3677 363091 17.7986 78522 3.84912 59722 139345 87871064 23006283 0 0 2.96647e+08 18513.8 19 4640960 50771684 -1 8.69484 7.49966 -42054.1 -7.69484 0 0 99.20 -1 -1 2972.2 MiB 24.86 21.4146 18.4508 2972.2 MiB -1 30.90 + stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 287.28 vpr 3.37 GiB 1107 725 0 0 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3531216 403 704 15490 16194 1 8534 1832 167 124 20708 io auto 1059.1 MiB 60.75 187193 1324022 523278 764997 35747 3448.5 MiB 22.39 0.20 12.7682 -23323.6 -11.7682 6.27217 0.13 0.0592033 0.0490591 7.25586 6.09591 231524 27.1328 38817 4.54905 24809 96129 21440863 3812157 0 0 3.84009e+08 18544.0 14 5987112 65598998 -1 12.9996 6.14541 -26165.8 -11.9996 0 0 128.07 -1 -1 3448.5 MiB 8.23 9.74816 8.27075 3448.5 MiB -1 40.93 + stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 104.00 vpr 1.15 GiB 35 739 0 6 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1206076 18 17 16969 16357 1 6288 780 39 29 1131 LAB auto 1055.9 MiB 57.16 84377 244832 62116 178083 4633 1170.5 MiB 9.34 0.14 7.65805 -46422.6 -6.65805 7.65805 0.01 0.0407227 0.0346923 3.31901 2.66458 119256 18.9777 28323 4.50716 18857 88786 20657004 3728094 0 0 2.05929e+07 18207.7 16 331560 3499109 -1 7.35046 7.35046 -45160 -6.35046 0 0 8.61 -1 -1 1170.5 MiB 7.38 5.40776 4.46635 1170.5 MiB -1 1.72 + stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 25.34 vpr 990.99 MiB 35 78 0 8 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1014772 18 17 2291 2142 1 1448 121 16 12 192 LAB M9K auto 952.6 MiB 5.77 10189 9390 1103 7334 953 991.0 MiB 0.58 0.01 5.3129 -4153.14 -4.3129 4.5918 0.00 0.00761951 0.00626889 0.283964 0.242647 14035 9.71280 3656 2.53010 3331 8155 2407464 497474 0 0 3.34790e+06 17437.0 10 54372 558374 -1 5.45077 4.46245 -3957.23 -4.45077 0 0 1.88 -1 -1 991.0 MiB 0.85 0.560139 0.494736 991.0 MiB -1 0.09 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_quick_qor/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_quick_qor/config/golden_results.txt index afdc3a7228c..cd660523188 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_quick_qor/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/titan_quick_qor/config/golden_results.txt @@ -1,23 +1,23 @@ -arch circuit script_params vtr_flow_elapsed_time error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time -stratixiv_arch.timing.xml gsm_switch_stratixiv_arch_timing.blif common 3434.92 136 21492 0 1848 0 1 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9760656 100 36 504627 490068 5 200916 23477 255 189 48195 M9K auto 700.76 -1 1504.23 11.74 8.0573 -1.40572e+06 -8.0 5.6189 177.19 1.11051 0.713981 193.057 125.871 5385844 441201 1322987 933414169 132816309 0 0 8.91222e+08 18492.0 12 9.0 6.19052 -1.94741e+06 -8.20679 0 0 180.15 240.444 164.718 597.95 -stratixiv_arch.timing.xml mes_noc_stratixiv_arch_timing.blif common 5178.68 5 23760 0 800 0 8 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9098808 3 2 577696 547568 17 345674 24573 193 143 27599 LAB auto 1364.30 -1 2826.61 26.29 11.27 -2.97906e+06 -10.27 8.03813 91.32 1.80404 1.18002 246.878 163.248 5138525 830618 2460044 1865303663 160918985 0 0 5.12586e+08 18572.6 38 12.0087 8.42243 -3.49809e+06 -11.0087 0 0 349.79 399.113 285.519 300.82 -stratixiv_arch.timing.xml dart_stratixiv_arch_timing.blif common 1295.19 69 6862 0 530 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 4184456 23 46 223304 202401 1 131203 7461 138 102 14076 M9K auto 382.91 -1 481.59 3.87 14.1501 -1.40215e+06 -13.1501 11.4821 49.45 0.648403 0.438358 83.6769 57.0805 2176369 347924 886285 611522444 59989634 0 0 2.60164e+08 18482.8 20 15.0213 12.3857 -1.73557e+06 -14.0213 0 0 105.49 121.372 87.8932 164.95 -stratixiv_arch.timing.xml denoise_stratixiv_arch_timing.blif common 3182.96 852 14030 24 359 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 5971932 264 588 355537 274786 1 218574 15265 150 111 16650 LAB auto 395.29 -1 2053.18 13.33 866.716 -868475 -865.716 866.716 59.74 0.852057 0.609981 129.74 93.1704 3069575 1205817 3821898 2625594594 200491408 0 0 3.08278e+08 18515.2 43 857.427 857.427 -1.06208e+06 -856.427 0 0 316.47 210.711 159.272 198.99 -stratixiv_arch.timing.xml sparcT2_core_stratixiv_arch_timing.blif common 3101.89 451 14725 0 260 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 5492144 239 212 302755 300220 1 184812 15436 153 113 17289 LAB auto 720.50 -1 1616.14 9.31 10.5604 -686489 -9.56037 10.5604 74.77 0.872497 0.578117 133.503 89.1183 4841206 557658 2081616 1599598820 153094223 0 0 3.20293e+08 18525.8 65 10.8439 10.8439 -962235 -9.84391 0 0 295.90 247.633 179.457 246.21 -stratixiv_arch.timing.xml cholesky_bdti_stratixiv_arch_timing.blif common 2191.28 162 9680 132 600 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 5522328 94 68 331744 255478 1 156536 10574 169 125 21125 DSP auto 343.39 -1 889.63 8.09 8.69851 -566131 -7.69851 8.69851 109.08 1.20758 0.935206 125.961 91.4 2616338 369944 775061 1257487865 245527477 0 0 3.91827e+08 18548.0 18 9.26921 9.26921 -849140 -8.26921 0 0 268.77 166.745 126.095 403.77 -stratixiv_arch.timing.xml minres_stratixiv_arch_timing.blif common 2432.68 229 7818 78 1459 0 1 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 6819488 129 100 316623 257480 3 183470 9585 225 167 37575 M9K auto 381.42 -1 827.15 4.18 7.64836 -339381 -6.64836 4.9105 204.80 0.749549 0.556493 143.207 105.834 2913341 385664 736714 785197968 116848060 0 0 6.95909e+08 18520.5 15 8.94983 6.16821 -534628 -7.94983 0 0 140.68 181.401 138.116 657.28 -stratixiv_arch.timing.xml stap_qrd_stratixiv_arch_timing.blif common 2706.95 150 15899 75 553 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 4970724 68 82 284051 234177 1 144423 16677 158 117 18486 LAB auto 315.03 -1 1579.67 9.66 6.97142 -374581 -5.97142 6.97142 129.35 0.569765 0.447079 154.643 112.186 2649637 299124 721610 828065173 126669656 0 0 3.42752e+08 18541.2 16 7.53291 7.53291 -591536 -6.53291 0 0 196.94 202.379 151.111 327.94 -stratixiv_arch.timing.xml openCV_stratixiv_arch_timing.blif common 2406.79 208 7145 213 785 40 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 5944316 106 102 279132 212552 1 168784 8391 209 155 32395 DSP auto 412.15 -1 692.21 4.57 10.0969 -598047 -9.09688 10.0969 169.15 0.727472 0.55054 116.775 85.9459 3370536 460982 1021226 1164410289 196307724 0 0 6.00287e+08 18530.2 43 10.8759 10.8759 -859659 -9.87586 0 0 300.88 195.6 151.617 643.04 -stratixiv_arch.timing.xml bitonic_mesh_stratixiv_arch_timing.blif common 3396.68 119 7239 85 1664 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 6841728 87 32 233978 190746 1 146198 9107 242 179 43318 M9K auto 559.97 -1 1127.28 6.90 12.1144 -1.51589e+06 -11.1144 12.1144 221.34 1.21887 0.860698 175.663 128.302 4588292 456078 1491126 1548811766 242407085 0 0 8.01751e+08 18508.5 18 12.7209 12.7209 -1.86107e+06 -11.7209 0 0 304.05 240.372 183.004 932.96 -stratixiv_arch.timing.xml segmentation_stratixiv_arch_timing.blif common 1763.17 441 6937 15 481 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 3718544 72 369 178312 137832 1 108345 7874 136 101 13736 M9K auto 185.42 -1 1080.57 6.58 851.19 -389269 -850.19 851.19 54.10 0.501823 0.365842 89.6848 65.6521 1630334 475504 1473018 1179152997 94653229 0 0 2.53781e+08 18475.6 24 837.727 837.727 -515162 -836.727 0 0 172.25 126.618 95.8909 165.68 -stratixiv_arch.timing.xml SLAM_spheric_stratixiv_arch_timing.blif common 884.93 479 5366 37 0 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 2821524 323 156 140638 111354 1 78004 5882 95 70 6650 LAB auto 212.42 -1 396.74 2.66 78.3162 -375071 -77.3162 78.3162 29.78 0.331472 0.280128 50.9109 37.3753 1612271 249303 845612 794012785 90253580 0 0 1.22432e+08 18410.9 20 77.2015 77.2015 -414731 -76.2015 0 0 107.22 71.3949 54.5921 73.43 -stratixiv_arch.timing.xml des90_stratixiv_arch_timing.blif common 1446.48 117 4233 44 860 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 4013740 85 32 138853 110549 1 87969 5254 171 127 21717 M9K auto 282.94 -1 444.78 4.09 11.4477 -770173 -10.4477 11.4477 81.79 0.834987 0.625928 85.2758 63.1207 2230768 283309 861480 732978149 114988063 0 0 4.02762e+08 18545.9 57 12.2596 12.2596 -928196 -11.2596 0 0 239.80 184.596 144.573 274.03 -stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common 582.49 77 3123 89 136 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 2813800 42 35 119888 86875 1 51283 3425 129 96 12384 DSP auto 85.55 -1 117.60 0.94 7.88637 -71260.7 -6.88637 5.1277 63.82 0.276687 0.214216 36.7265 27.9182 749667 110099 187821 250313976 47472949 0 0 2.28642e+08 18462.7 29 8.05602 5.58124 -112257 -7.05602 0 0 57.52 54.3082 43.3457 181.77 -stratixiv_arch.timing.xml sparcT1_core_stratixiv_arch_timing.blif common 791.54 310 4000 1 128 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 2316624 173 137 92814 91975 1 60944 4439 82 61 5002 LAB auto 250.21 -1 292.72 2.97 7.69498 -527081 -6.69498 7.69498 18.58 0.484786 0.335188 47.2008 32.1521 1293421 213067 742140 562420350 57380434 0 0 9.19900e+07 18390.6 34 8.28235 8.28235 -655221 -7.28235 0 0 115.19 81.8934 60.2717 57.04 -stratixiv_arch.timing.xml stereo_vision_stratixiv_arch_timing.blif common 563.33 506 3246 76 113 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 2744440 172 334 127090 94090 3 61732 3941 129 96 12384 DSP auto 81.66 -1 117.95 0.82 7.16483 -51326.5 -6.16483 3.19482 60.85 0.192659 0.134604 27.0351 19.0385 580833 129881 199489 173990883 19816534 0 0 2.28642e+08 18462.7 24 7.39025 3.26548 -71146 -6.39025 0 0 30.31 39.7283 29.6661 189.20 -stratixiv_arch.timing.xml cholesky_mc_stratixiv_arch_timing.blif common 822.42 262 4765 59 444 16 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 3139848 111 151 140214 108592 1 66751 5546 125 93 11625 M9K auto 127.78 -1 257.07 1.60 6.64077 -177966 -5.64077 6.64077 58.12 0.325199 0.243045 52.6464 38.4057 1180344 153949 342701 556413254 117858039 0 0 2.14514e+08 18452.8 16 6.97434 6.97434 -288912 -5.97434 0 0 137.35 70.3563 53.4736 154.69 -stratixiv_arch.timing.xml directrf_stratixiv_arch_timing.blif common 13033.91 319 61450 240 2535 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 19989968 62 257 1374456 930989 2 679981 64544 317 235 74495 M9K auto 1100.54 -1 8835.77 82.27 10.0391 -1.7189e+06 -9.03907 8.60202 365.26 2.32644 1.66281 526.865 374.58 12211049 1565796 2766063 3167014806 442243815 0 0 1.38708e+09 18619.7 36 10.5916 9.11279 -2.47963e+06 -9.59162 0 0 683.17 734.274 541.813 1479.59 -stratixiv_arch.timing.xml bitcoin_miner_stratixiv_arch_timing.blif common 12379.71 385 32503 0 1331 0 1 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 14041524 353 32 1446409 1087537 2 848902 34220 225 167 37575 LAB auto 800.01 -1 8905.24 34.75 8.79365 -825548 -7.79365 8.79365 142.25 1.97467 1.46614 404.675 290.131 10667459 2667357 3983335 3475083060 437778344 0 0 6.95909e+08 18520.5 221 13.7216 13.7216 -1.26214e+06 -12.7216 0 0 1592.57 1348.11 1039.94 533.31 -stratixiv_arch.timing.xml LU230_stratixiv_arch_timing.blif common 9437.46 373 16571 116 5040 16 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 18756984 178 195 663067 568001 2 413013 22116 430 319 137170 M9K auto 1116.15 -1 3180.91 12.00 22.8182 -3.14594e+06 -21.8182 7.83218 755.18 1.81031 1.31598 435.301 309.645 17977564 1024239 1942686 3346505372 605233804 0 0 2.57820e+09 18795.7 28 23.0087 9.77401 -5.72551e+06 -22.0087 0 0 898.40 569.516 420.296 2890.28 -stratixiv_arch.timing.xml sparcT1_chip2_stratixiv_arch_timing.blif common 9296.08 1891 33629 3 506 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 12985200 815 1076 764693 760412 1423 416439 36029 280 207 57960 io auto 1621.50 -1 5111.77 25.16 15.6661 -3.78262e+06 -14.6661 4.89313 350.69 1.92453 1.34688 361.645 249.477 7726080 1115595 3632800 1983755594 192008211 0 0 1.07375e+09 18525.7 57 16.6384 5.21373 -4.62443e+06 -15.6384 0 0 491.65 599.9 438.336 1286.93 -stratixiv_arch.timing.xml LU_Network_stratixiv_arch_timing.blif common 6921.18 399 31006 112 1175 0 2 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 11533096 85 185 721554 630079 28 403716 32694 220 163 35860 LAB auto 882.59 -1 4693.25 30.21 8.36036 -542473 -7.36036 5.25441 159.88 2.20091 1.50729 457.23 324.706 5827891 815904 1701202 1435542337 195550222 0 0 6.64235e+08 18523.0 28 9.38323 5.663 -836677 -8.38323 0 0 358.54 645.807 476.117 488.15 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + stratixiv_arch.timing.xml gsm_switch_stratixiv_arch_timing.blif common 3850.61 vpr 9.52 GiB 136 21504 0 1848 0 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9977380 100 36 504627 490068 5 198545 23489 255 189 48195 M9K auto 5040.7 MiB 979.08 4332625 50794974 21668256 28971329 155389 9110.4 MiB 2083.83 12.31 9.96357 -1.51998e+06 -8.96357 6.49198 0.26 1.81172 1.4205 306.201 238.592 5229239 26.3389 1045752 5.26729 410850 1211037 480001507 101337385 0 0 8.91219e+08 18491.9 10 13900790 152931888 -1 10.3578 7.19605 -1.99416e+06 -9.35779 0 0 291.78 -1 -1 9110.4 MiB 163.21 365.672 289.193 9110.4 MiB -1 105.97 + stratixiv_arch.timing.xml mes_noc_stratixiv_arch_timing.blif common 6160.01 vpr 9.09 GiB 5 23916 0 800 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9531196 3 2 577696 547568 17 341442 24729 194 144 27936 LAB auto 5323.1 MiB 1940.15 4055082 42517355 16315421 25922320 279614 7155.5 MiB 3412.98 35.51 11.5629 -3.37827e+06 -10.5629 9.0769 0.24 2.47676 1.87947 304.762 233.916 5095179 14.9234 1162348 3.40443 789306 2352358 1081659555 125128736 0 0 5.18916e+08 18575.2 15 8071764 88644687 -1 12.2104 9.02729 -3.74243e+06 -11.2104 0 0 171.27 -1 -1 8415.5 MiB 328.11 406.98 319.303 7155.5 MiB -1 58.44 + stratixiv_arch.timing.xml dart_stratixiv_arch_timing.blif common 1437.28 vpr 4.14 GiB 69 6931 0 530 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 4343940 23 46 223304 202401 1 129225 7530 138 102 14076 M9K auto 2556.3 MiB 521.49 1714625 8270106 3190151 5044292 35663 3653.6 MiB 608.57 4.62 14.2802 -1.54084e+06 -13.2802 12.3793 0.08 0.852185 0.715799 108.61 86.1253 2055075 15.9034 458593 3.54888 297367 740146 235651862 45406936 0 0 2.60161e+08 18482.6 14 4086206 44556140 -1 14.9988 12.4707 -1.73529e+06 -13.9988 0 0 88.53 -1 -1 3962.7 MiB 93.46 145.435 117.353 3653.6 MiB -1 27.33 + stratixiv_arch.timing.xml denoise_stratixiv_arch_timing.blif common 3703.40 vpr 5.90 GiB 852 13987 24 359 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 6184780 264 588 355537 274786 1 219009 15222 149 110 16390 LAB auto 3671.4 MiB 506.49 2464862 24528542 9827437 14108672 592433 4743.5 MiB 2676.21 19.11 967.792 -982177 -966.792 967.792 0.14 1.40993 1.18956 193.768 164.224 3121215 14.2760 717598 3.28220 645789 1965649 538477406 101156798 0 0 3.03467e+08 18515.4 24 4743182 51928591 -1 872.499 872.499 -1.11951e+06 -871.499 0 0 100.95 -1 -1 5433.6 MiB 219.39 269.266 228.722 4743.5 MiB -1 32.08 + stratixiv_arch.timing.xml sparcT2_core_stratixiv_arch_timing.blif common 3406.82 vpr 5.50 GiB 451 14839 0 260 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 5768276 239 212 302755 300220 1 181521 15550 154 114 17556 LAB auto 3350.1 MiB 1068.86 3721798 23295670 9439777 13739878 116015 4547.1 MiB 1821.22 11.80 11.1472 -742465 -10.1472 11.1472 0.15 1.18357 0.93287 152.722 120.521 4727835 26.0462 1038677 5.72220 525619 2006778 644455233 119097951 0 0 3.25222e+08 18524.8 17 5072426 55624973 -1 11.1518 11.1518 -969099 -10.1518 0 0 107.47 -1 -1 5056.1 MiB 227.17 209.7 168.475 4547.1 MiB -1 33.41 + stratixiv_arch.timing.xml cholesky_bdti_stratixiv_arch_timing.blif common 1607.14 vpr 5.44 GiB 162 9636 132 600 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 5708944 94 68 331744 255478 1 157744 10530 169 125 21125 DSP auto 3246.5 MiB 372.45 2005742 14315666 5672844 8585426 57396 4955.8 MiB 759.30 5.85 8.93995 -571391 -7.93995 8.93995 0.24 1.12096 0.916092 141.909 116.728 2653981 16.8250 551794 3.49812 353191 739639 617425772 157277103 0 0 3.91824e+08 18547.9 16 6121200 66923291 -1 9.5233 9.5233 -790704 -8.5233 0 0 130.70 -1 -1 5138.0 MiB 180.11 187.932 157.073 4955.8 MiB -1 42.11 + stratixiv_arch.timing.xml minres_stratixiv_arch_timing.blif common 1837.09 vpr 6.72 GiB 229 7914 78 1459 0 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 7042152 129 100 316623 257480 3 181651 9681 225 167 37575 M9K auto 3314.6 MiB 506.59 2123170 13107445 5087321 7934181 85943 6877.1 MiB 770.19 5.23 7.93147 -358933 -6.93147 4.81959 0.20 1.10867 0.906974 142.908 117.543 2797295 15.3998 593038 3.26482 381697 742393 356555803 85730190 0 0 6.95906e+08 18520.5 12 10840348 119192345 -1 8.37723 5.95425 -493664 -7.37723 0 0 228.33 -1 -1 6877.1 MiB 118.01 183.855 153.322 6877.1 MiB -1 82.49 + stratixiv_arch.timing.xml stap_qrd_stratixiv_arch_timing.blif common 2124.46 vpr 4.90 GiB 150 15863 75 553 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 5132924 68 82 284051 234177 1 144736 16641 158 117 18486 LAB auto 2976.6 MiB 369.61 2088307 36542045 14247231 22098179 196635 4444.4 MiB 1372.82 7.92 7.38406 -476991 -6.38406 7.38406 0.15 0.883751 0.747786 167.186 137.33 2567026 17.7541 529779 3.66406 289864 655651 341038378 80514986 0 0 3.42749e+08 18541.0 11 5339222 58616324 -1 8.09385 8.09385 -647847 -7.09385 0 0 113.87 -1 -1 4544.7 MiB 117.93 200.992 166.91 4444.4 MiB -1 34.03 + stratixiv_arch.timing.xml openCV_stratixiv_arch_timing.blif common 1691.45 vpr 5.84 GiB 208 7119 213 785 40 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 6128412 106 102 279132 212552 1 162356 8365 209 155 32395 DSP auto 2803.6 MiB 440.49 2510506 10787744 4321684 6370284 95776 5984.8 MiB 720.36 5.59 10.1653 -633874 -9.16529 10.1653 0.17 1.12269 0.921833 147.113 123.171 3218216 19.8237 659984 4.06539 376511 809526 494815430 122202001 0 0 6.00284e+08 18530.2 12 9347734 102631318 -1 10.7495 10.7495 -821258 -9.74952 0 0 199.76 -1 -1 5984.8 MiB 155.30 186.439 157.936 5984.8 MiB -1 63.61 + stratixiv_arch.timing.xml bitonic_mesh_stratixiv_arch_timing.blif common 2352.18 vpr 7.02 GiB 119 7274 85 1664 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 7358432 87 32 233978 190746 1 145272 9142 242 179 43318 M9K auto 2725.7 MiB 667.19 3172561 12430512 5129292 7242218 59002 7186.0 MiB 998.29 7.15 13.4808 -1.6246e+06 -12.4808 13.4808 0.24 1.3768 1.13432 185.711 154.96 4279338 29.4580 889653 6.12418 393012 1259442 669185737 159151016 0 0 8.01748e+08 18508.4 15 12487288 137499365 -1 14.2471 14.2471 -1.83579e+06 -13.2471 0 0 261.57 -1 -1 7186.0 MiB 200.86 243.49 205.553 7186.0 MiB -1 93.74 + stratixiv_arch.timing.xml segmentation_stratixiv_arch_timing.blif common 1447.86 vpr 3.62 GiB 441 6918 15 481 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3799092 72 369 178312 137832 1 108238 7855 136 101 13736 M9K auto 2234.2 MiB 257.09 1237688 9998127 3996335 5805214 196578 3435.8 MiB 847.08 6.44 935.021 -456410 -934.021 935.021 0.07 0.668565 0.567921 91.1902 77.8482 1655933 15.3404 382250 3.54112 328338 1006700 295119820 56769904 0 0 2.53778e+08 18475.4 23 3977116 43472369 -1 841.797 841.797 -545657 -840.797 0 0 85.98 -1 -1 3465.6 MiB 118.56 126.884 108.63 3435.8 MiB -1 25.56 + stratixiv_arch.timing.xml SLAM_spheric_stratixiv_arch_timing.blif common 944.63 vpr 2.81 GiB 479 5352 37 0 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2942988 323 156 140638 111354 1 77784 5868 95 70 6650 LAB auto 1965.8 MiB 245.86 1239442 6089943 2318138 3672292 99513 2410.9 MiB 443.26 3.54 89.6859 -410307 -88.6859 89.6859 0.04 0.519726 0.436744 63.8695 53.7065 1612054 20.7253 356619 4.58485 225242 756851 283275863 58954477 0 0 1.22429e+08 18410.4 14 1937142 20982374 -1 80.5628 80.5628 -422180 -79.5628 0 0 43.15 -1 -1 2657.8 MiB 88.31 84.8804 72.0972 2410.9 MiB -1 10.50 + stratixiv_arch.timing.xml des90_stratixiv_arch_timing.blif common 1177.36 vpr 4.08 GiB 117 4260 44 860 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 4276136 85 32 138853 110549 1 85957 5281 171 127 21717 M9K auto 1958.4 MiB 375.89 1547419 5613499 2132144 3420610 60745 4175.9 MiB 439.35 2.95 13.8519 -836778 -12.8519 13.8519 0.12 0.712284 0.599805 94.625 79.247 2171963 25.2689 460782 5.36080 226991 709396 353879049 83917984 0 0 4.02759e+08 18545.8 14 6285588 68776455 -1 14.1441 14.1441 -917915 -13.1441 0 0 133.76 -1 -1 4175.9 MiB 107.58 124.864 105.742 4175.9 MiB -1 41.56 + stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common 485.43 vpr 2.85 GiB 77 3136 89 136 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2990996 42 35 119888 86875 1 50816 3438 129 96 12384 DSP auto 1745.4 MiB 111.93 589279 3141815 1167038 1945807 28970 2920.9 MiB 132.31 1.15 7.38717 -81468.3 -6.38717 5.54912 0.07 0.39524 0.333413 49.9182 42.3516 766630 15.1010 160642 3.16430 100455 168766 108374381 28508729 0 0 2.28639e+08 18462.4 15 3593250 39165143 -1 7.60292 5.98464 -114944 -6.60292 0 0 77.95 -1 -1 2920.9 MiB 38.48 66.6211 57.3356 2920.9 MiB -1 21.83 + stratixiv_arch.timing.xml sparcT1_core_stratixiv_arch_timing.blif common 721.05 vpr 2.32 GiB 310 4015 1 128 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2437032 173 137 92814 91975 1 60525 4454 82 61 5002 LAB auto 1682.0 MiB 326.89 905799 3923864 1456413 2412431 55020 2002.3 MiB 241.36 1.89 9.26063 -594496 -8.26063 9.26063 0.04 0.376023 0.298378 44.8142 35.6592 1241316 20.5105 280369 4.63259 192705 660207 193204537 36029492 0 0 9.19872e+07 18390.1 17 1450842 15736794 -1 9.26352 9.26352 -654561 -8.26352 0 0 31.66 -1 -1 2227.4 MiB 65.25 62.5796 50.7797 2002.3 MiB -1 8.12 + stratixiv_arch.timing.xml stereo_vision_stratixiv_arch_timing.blif common 399.85 vpr 2.83 GiB 506 3280 76 113 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2963064 172 334 127090 94090 3 61320 3975 129 96 12384 DSP auto 1700.4 MiB 93.56 509805 3655075 1361648 2244810 48617 2893.6 MiB 127.54 1.08 7.86325 -61457.1 -6.86325 3.46836 0.07 0.276538 0.225426 34.1742 27.7889 592827 9.66870 127681 2.08241 120500 173662 60165583 13187056 0 0 2.28639e+08 18462.4 15 3593250 39165143 -1 7.51746 3.25652 -73809.5 -6.51746 0 0 77.86 -1 -1 2893.6 MiB 24.93 46.2732 38.2652 2893.6 MiB -1 20.68 + stratixiv_arch.timing.xml cholesky_mc_stratixiv_arch_timing.blif common 670.16 vpr 3.09 GiB 262 4762 59 444 16 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3240872 111 151 140214 108592 1 66275 5543 125 93 11625 M9K auto 1990.1 MiB 150.70 788106 6330929 2486828 3764499 79602 2999.6 MiB 253.72 2.29 6.96286 -206320 -5.96286 6.96286 0.08 0.604795 0.483861 67.9341 55.4074 1136243 17.1454 244932 3.69592 141963 302339 243323807 67066242 0 0 2.14511e+08 18452.6 16 3364308 36745094 -1 7.39694 7.39694 -298154 -6.39694 0 0 72.92 -1 -1 2999.6 MiB 76.78 90.4375 75.2977 2999.6 MiB -1 19.73 + stratixiv_arch.timing.xml directrf_stratixiv_arch_timing.blif common 12532.52 vpr 19.50 GiB 319 61499 240 2535 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 20448160 62 257 1374456 930989 2 664817 64593 317 235 74495 M9K auto 11400.2 MiB 1506.39 10830328 216389546 87578779 128174025 636742 16624.7 MiB 9335.15 60.39 9.32838 -1.81224e+06 -8.32838 8.21814 0.67 3.53075 2.88911 658.938 543.734 11749927 17.6766 2324437 3.49689 1382377 2282905 1372376586 322363776 0 0 1.38707e+09 18619.7 14 21381670 236483135 -1 9.87707 8.61989 -2.31232e+06 -8.87707 0 0 452.96 -1 -1 16912.8 MiB 513.06 803.854 668.88 16624.7 MiB -1 182.00 + stratixiv_arch.timing.xml bitcoin_miner_stratixiv_arch_timing.blif common 11466.83 vpr 14.34 GiB 385 35914 0 1331 0 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 15035956 353 32 1446409 1087537 2 802897 37631 236 175 41300 LAB auto 8407.8 MiB 1414.82 8069197 81976111 30515078 50803021 658012 10893.1 MiB 8936.33 46.36 8.82853 -897986 -7.82853 8.82853 0.36 2.99104 2.55745 469.647 390.463 9365241 11.6644 2162474 2.69335 1742182 2571548 800029867 152528004 0 0 7.64522e+08 18511.4 17 11894042 131060469 -1 10.6871 10.6871 -1.2059e+06 -9.68713 0 0 251.35 -1 -1 12829.2 MiB 343.34 618.45 518.897 10893.1 MiB -1 88.27 + stratixiv_arch.timing.xml LU230_stratixiv_arch_timing.blif common 6830.28 vpr 19.49 GiB 373 16678 116 5040 16 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 20438632 178 195 663067 568001 2 406083 22223 430 319 137170 M9K auto 5700.5 MiB 1520.96 15354107 51862695 21830000 29930794 101901 19959.6 MiB 3339.60 16.18 26.6172 -3.23472e+06 -25.6172 8.81163 0.77 2.93237 2.42302 522.353 431.036 16386901 40.3553 3026953 7.45434 917037 1739755 1410990275 326533180 0 0 2.57820e+09 18795.6 12 39390806 435738327 -1 24.6154 10.5061 -5.75347e+06 -23.6154 0 0 796.86 -1 -1 19959.6 MiB 463.24 629.617 524.068 19959.6 MiB -1 347.65 + stratixiv_arch.timing.xml sparcT1_chip2_stratixiv_arch_timing.blif common 8297.71 vpr 12.67 GiB 1891 33747 3 506 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 13287316 815 1076 764693 760412 1423 413569 36147 280 207 57960 io auto 6871.8 MiB 2181.98 6321041 78292272 33005860 44758558 527854 11353.1 MiB 4930.46 30.53 17.7516 -4.16163e+06 -16.7516 4.89119 0.50 2.84274 2.25204 405.671 323.281 7527876 18.5260 1630241 4.01199 988164 3143557 705151553 127852079 0 0 1.07374e+09 18525.6 21 16684552 184042692 -1 17.843 5.02145 -4.80984e+06 -16.843 0 0 348.44 -1 -1 11473.0 MiB 340.62 553.004 447.083 11353.1 MiB -1 132.10 + stratixiv_arch.timing.xml LU_Network_stratixiv_arch_timing.blif common 6343.70 vpr 11.23 GiB 399 31093 112 1175 0 2 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 11773496 85 185 721554 630079 28 405002 32781 220 163 35860 LAB auto 6475.4 MiB 1009.92 5178404 84458444 33598600 50279421 580423 8859.9 MiB 4446.05 26.77 8.14669 -688925 -7.14669 5.20564 0.30 3.77527 2.96208 508.454 405.77 6249071 15.4486 1362456 3.36819 825418 1759906 637573300 144402801 0 0 6.64232e+08 18522.9 14 10351778 113707977 -1 8.89873 5.39961 -915798 -7.89873 0 0 217.81 -1 -1 10398.6 MiB 257.32 623.547 504.372 8859.9 MiB -1 73.73 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph/config/golden_results.txt index 923229b832d..92b4e95ed1c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph/config/golden_results.txt @@ -1,6 +1,6 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem router_lookahead_computation_time - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml raygentop.v common 26.57 vpr 82.09 MiB -1 -1 4.09 45804 3 0.98 -1 -1 40164 -1 -1 112 236 1 6 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84056 236 305 3195 3007 1 1538 660 19 19 361 io auto 44.6 MiB 1.97 12550 82.1 MiB 2.18 0.03 4.23319 -2592.08 -4.23319 4.23319 0.09 0.00734041 0.00664649 0.800573 0.722627 22506 5369 14230 2808527 640885 1.72706e+07 8.96013e+06 2.90560e+06 8048.76 16 4.88723 4.88723 -2997.25 -4.88723 -6.66982 -0.193384 82.1 MiB 1.04 1.20892 1.10479 82.1 MiB 1.24 - k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 26.32 vpr 82.26 MiB -1 -1 3.75 46008 3 0.93 -1 -1 40016 -1 -1 120 236 1 6 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84232 236 305 3195 3007 1 1534 668 19 19 361 io auto 45.3 MiB 2.86 12092 82.3 MiB 2.03 0.03 4.31218 -2553.6 -4.31218 4.31218 0.07 0.00696218 0.00631702 0.765105 0.691642 19341 4478 11708 2097942 457216 1.72706e+07 9.39128e+06 2.71656e+06 7525.11 12 4.99952 4.99952 -3010.14 -4.99952 0 0 82.3 MiB 0.83 1.12422 1.027 82.3 MiB 1.15 - k6_frac_N10_mem32K_40nm.xml raygentop.v common 26.02 vpr 77.67 MiB -1 -1 4.82 49248 8 1.50 -1 -1 41880 -1 -1 116 235 1 6 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 79536 235 305 2594 2755 1 1443 663 19 19 361 io auto 39.9 MiB 2.23 11448 77.7 MiB 1.82 0.03 4.41088 -2430.87 -4.41088 4.41088 0.08 0.00649293 0.00576414 0.647429 0.579732 18768 3833 11059 1989583 427191 1.72706e+07 9.1757e+06 2.71663e+06 7525.28 11 5.29026 5.29026 -2756.74 -5.29026 -8.67533 -0.17036 77.7 MiB 0.75 0.955384 0.866802 77.7 MiB 1.18 - k6_N10_mem32K_40nm.xml raygentop.v common 25.03 vpr 76.39 MiB -1 -1 4.72 48856 8 1.50 -1 -1 41792 -1 -1 165 235 1 6 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 78228 235 305 2594 2755 1 1461 712 19 19 361 io auto 38.7 MiB 0.95 12269 76.4 MiB 1.85 0.03 4.59709 -2576.68 -4.59709 4.59709 0.09 0.00642268 0.00566871 0.591253 0.53058 18465 7376 21416 6225690 1224003 1.72706e+07 1.18165e+07 2.57233e+06 7125.57 19 4.96959 4.96959 -2849.65 -4.96959 -0.0066982 -0.0066982 76.4 MiB 1.84 0.995979 0.901619 76.4 MiB 1.10 - hard_fpu_arch_timing.xml raygentop.v common 389.64 vpr 322.97 MiB -1 -1 36.07 182560 40 111.52 -1 -1 74952 -1 -1 3776 235 -1 -1 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 330724 235 305 20496 20801 1 8995 4316 68 68 4624 clb auto 158.1 MiB 4.44 176765 323.0 MiB 49.61 0.36 22.409 -30564.1 -22.409 22.409 1.35 0.0561504 0.0436831 6.55008 5.20912 249819 53589 173978 17781644 1967620 9.87441e+06 8.65503e+06 1.89440e+07 4096.88 23 25.1849 25.1849 -37219.3 -25.1849 -0.1702 -0.0851 323.0 MiB 8.47 9.92861 8.04481 323.0 MiB 16.99 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml raygentop.v common 21.07 vpr 83.23 MiB -1 -1 4.77 42300 3 0.69 -1 -1 37768 -1 -1 119 236 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85232 236 305 3199 3011 1 1524 667 19 19 361 io auto 42.1 MiB 2.01 12616 262837 90033 158763 14041 83.2 MiB 2.05 0.03 4.49369 -2806.86 -4.49369 4.49369 0.00 0.00966118 0.00895805 0.835281 0.769463 -1 -1 -1 -1 21210 13.9908 5587 3.68536 5140 14335 1484939 342866 1.72706e+07 9.33739e+06 3.48716e+06 9659.72 17 58198 611635 -1 4.74754 4.74754 -2972.19 -4.74754 -0.452504 -0.0215478 0.56 -1 -1 83.2 MiB 0.75 1.24852 1.15404 83.2 MiB -1 0.11 + k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 22.29 vpr 83.17 MiB -1 -1 4.81 42876 3 0.69 -1 -1 37760 -1 -1 129 236 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85164 236 305 3199 3011 1 1520 677 19 19 361 io auto 42.5 MiB 2.79 12380 244299 81189 149577 13533 83.2 MiB 1.87 0.03 4.65817 -2859.2 -4.65817 4.65817 0.00 0.00866299 0.00799175 0.759534 0.696955 -1 -1 -1 -1 18640 12.3280 4948 3.27249 4102 10444 795414 174592 1.72706e+07 9.87633e+06 3.27351e+06 9067.88 17 54883 545335 -1 4.90067 4.90067 -2957.57 -4.90067 0 0 0.53 -1 -1 83.2 MiB 0.52 1.13157 1.04213 83.2 MiB -1 0.11 + k6_frac_N10_mem32K_40nm.xml raygentop.v common 21.25 vpr 78.37 MiB -1 -1 5.87 46604 8 0.98 -1 -1 37824 -1 -1 117 235 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80252 235 305 2600 2761 1 1433 664 19 19 361 io auto 37.8 MiB 1.95 11898 272794 88380 167366 17048 78.4 MiB 1.97 0.03 5.27944 -2662.29 -5.27944 5.27944 0.00 0.00791855 0.00732648 0.794863 0.732871 -1 -1 -1 -1 18276 12.8163 4830 3.38710 3901 10985 836374 182612 1.72706e+07 9.2296e+06 3.27351e+06 9067.88 11 53999 544685 -1 5.16248 5.16248 -2765.43 -5.16248 -4.72457 -0.168146 0.53 -1 -1 78.4 MiB 0.41 1.06143 0.98183 78.4 MiB -1 0.10 + k6_N10_mem32K_40nm.xml raygentop.v common 19.60 vpr 77.53 MiB -1 -1 6.09 46284 8 0.99 -1 -1 37804 -1 -1 193 235 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79388 235 305 2600 2761 1 1403 740 19 19 361 io clb auto 36.9 MiB 1.01 12379 261650 87521 161400 12729 77.5 MiB 1.85 0.03 5.29995 -2784.97 -5.29995 5.29995 0.00 0.0080991 0.00750467 0.669483 0.615668 -1 -1 -1 -1 17144 12.2808 4591 3.28868 6555 20515 2226044 437383 1.72706e+07 1.33255e+07 3.09093e+06 8562.13 21 47590 507115 -1 5.08294 5.08294 -2786.98 -5.08294 -12.7904 -0.246958 0.50 -1 -1 77.5 MiB 0.79 1.07204 0.98586 77.5 MiB -1 0.10 + hard_fpu_arch_timing.xml raygentop.v common 237.56 vpr 393.52 MiB -1 -1 40.57 186152 41 66.23 -1 -1 72764 -1 -1 3955 235 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 402960 235 305 20447 20752 1 8685 4495 70 70 4900 clb auto 132.2 MiB 4.43 172483 4046324 1736449 2271923 37952 393.5 MiB 31.14 0.27 27.318 -38433 -27.318 27.318 0.02 0.0410618 0.0358058 4.84871 4.07471 -1 -1 -1 -1 244983 28.2271 61861 7.12766 48213 160239 16322926 1805170 1.04337e+07 9.0653e+06 2.44008e+07 4979.75 20 487536 5108624 -1 25.2535 25.2535 -40276.6 -25.2535 -0.3404 -0.0851 6.81 -1 -1 393.5 MiB 5.85 6.95088 5.84398 393.5 MiB -1 1.57 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_bidir/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_bidir/config/golden_results.txt index dfbbf4d2833..d459ca13114 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_bidir/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_bidir/config/golden_results.txt @@ -1,3 +1,3 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem router_lookahead_computation_time - k4_n4_v7_bidir.xml dsip.blif common 68.50 vpr 103.79 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 390 229 -1 -1 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 106276 229 197 1815 2012 1 1190 816 29 29 841 io auto 29.4 MiB 0.37 11620 103.8 MiB 2.16 0.04 6.8651 -1709.92 -6.8651 6.8651 1.11 0.00477376 0.00418939 0.496235 0.436882 15380 5691 17014 6186367 416954 2.187e+07 1.17e+07 -1 -1 13 8.65812 8.65812 -2235.57 -8.65812 0 0 103.8 MiB 1.40 0.704858 0.627073 103.8 MiB 9.22 - k4_n4_v7_bidir.xml elliptic.blif common 106.33 vpr 146.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 996 131 -1 -1 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 150432 131 114 4855 4969 1 2150 1241 34 34 1156 clb auto 49.8 MiB 0.89 31903 146.9 MiB 4.39 0.05 19.6462 -11430.7 -19.6462 19.6462 1.76 0.0110664 0.00959187 0.986311 0.840225 41276 10265 46782 11439440 713310 3.072e+07 2.988e+07 -1 -1 18 23.458 23.458 -14291.4 -23.458 0 0 146.9 MiB 3.13 1.66205 1.43613 146.9 MiB 13.74 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k4_n4_v7_bidir.xml dsip.blif common 25.73 vpr 128.81 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 443 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 131904 229 197 1815 2012 1 1190 869 29 29 841 io auto 27.9 MiB 0.38 11808 386354 130262 246701 9391 128.8 MiB 2.12 0.03 8.26026 -2002.89 -8.26026 8.26026 0.00 0.00584398 0.00529802 0.564955 0.515177 -1 -1 -1 -1 14837 12.4786 3857 3.24390 5280 18595 4288015 314127 2.187e+07 1.329e+07 -1 -1 12 141714 3348831 -1 10.3649 10.3649 -2489.23 -10.3649 0 0 1.96 -1 -1 128.8 MiB 0.87 0.747411 0.681765 128.8 MiB -1 0.72 + k4_n4_v7_bidir.xml elliptic.blif common 38.86 vpr 178.71 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1023 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 182996 131 114 4855 4969 1 2112 1268 34 34 1156 clb auto 44.7 MiB 0.81 31400 563788 196591 359179 8018 178.7 MiB 4.04 0.04 22.2892 -11723.6 -22.2892 22.2892 0.01 0.0103809 0.00927175 1.12003 0.959229 -1 -1 -1 -1 40056 18.9749 10269 4.86452 9570 44105 8008892 526134 3.072e+07 3.069e+07 -1 -1 19 194754 4643496 -1 27.7541 27.7541 -14616.1 -27.7541 0 0 2.77 -1 -1 178.7 MiB 1.77 1.60391 1.38102 178.7 MiB -1 1.04 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_complex_switch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_complex_switch/config/golden_results.txt index 14bf14c7160..35eb454cdb9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_complex_switch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_complex_switch/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem router_lookahead_computation_time - complex_switch/k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml raygentop.v common 70.22 vpr 81.37 MiB -1 -1 5.14 48880 13 1.49 -1 -1 41348 -1 -1 256 235 1 6 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83320 235 305 3025 3186 1 2385 803 26 26 676 mult_36 auto 37.3 MiB 0.66 17792 81.4 MiB 2.66 0.04 4.07341 -1915.66 -4.07341 4.07341 0.18 0.00727701 0.00657869 0.640946 0.566051 26395 7994 25461 5630378 1530085 3.88769e+06 1.79395e+06 7.17610e+06 10615.5 16 4.6849 4.6849 -2313.21 -4.6849 -34.8532 -0.36083 81.4 MiB 1.99 1.02377 0.915351 81.4 MiB 9.69 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + complex_switch/k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml raygentop.v common 27.97 vpr 90.66 MiB -1 -1 6.04 46344 13 0.96 -1 -1 37412 -1 -1 258 235 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92832 235 305 3050 3211 1 2409 805 26 26 676 mult_36 auto 35.0 MiB 0.56 18548 318960 106153 197804 15003 90.7 MiB 2.80 0.04 4.63435 -2030.57 -4.63435 4.63435 0.00 0.00954579 0.00885942 0.841339 0.769886 -1 -1 -1 -1 27327 11.3768 12604 5.24729 7556 24511 3145050 770465 3.88769e+06 1.80175e+06 7.17610e+06 10615.5 17 154908 1423382 -1 4.95816 4.95816 -2400.04 -4.95816 -4.91839 -0.302506 2.27 -1 -1 90.7 MiB 1.13 1.2245 1.12379 90.7 MiB -1 0.68 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_error_check/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_error_check/config/golden_results.txt index 5261852db4a..b062cee3d4b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_error_check/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_error_check/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem router_lookahead_computation_time - complex_switch/k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml raygentop.v common 18.21 vpr 75.18 MiB -1 -1 4.72 49096 13 1.40 -1 -1 41408 -1 -1 256 235 1 6 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 76984 235 305 3025 3186 1 2385 803 26 26 676 mult_36 auto 37.5 MiB 0.60 17960 75.2 MiB 2.54 0.04 4.07552 -1959 -4.07552 4.07552 0.15 0.00692304 0.00628985 0.617946 0.554056 27960 9568 30531 5163874 1503608 3.88769e+06 1.79395e+06 3.89335e+06 5759.39 21 4.86688 4.86688 -2303.87 -4.86688 -33.7771 -0.30051 75.2 MiB 1.82 1.04903 0.946401 75.2 MiB 4.50 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + complex_switch/k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml raygentop.v common 13.99 vpr 76.51 MiB -1 -1 6.07 46336 13 0.97 -1 -1 37404 -1 -1 258 235 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78348 235 305 3050 3211 1 2409 805 26 26 676 mult_36 auto 35.1 MiB 0.56 18006 296502 98971 182176 15355 76.5 MiB 2.50 0.04 4.59638 -1990.69 -4.59638 4.59638 0.00 0.00877395 0.00813036 0.734286 0.67238 -1 -1 -1 -1 27613 11.4958 13095 5.45171 8944 28960 2940446 834435 3.88769e+06 1.80175e+06 3.89335e+06 5759.39 15 97004 733416 -1 4.97823 4.97823 -2391.62 -4.97823 -8.61767 -0.284072 1.15 -1 -1 76.5 MiB 0.93 1.05837 0.970896 76.5 MiB -1 0.34 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_titan/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_titan/config/golden_results.txt index 067a8cc5230..e2eda83b3b7 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_titan/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vpr_verify_rr_graph_titan/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time error odin_synth_time max_odin_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time - stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common 1504.22 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 2859008 42 35 119888 86875 1 51143 3418 129 96 12384 DSP auto 85.58 583323 119.39 0.71 7.89477 -209772 -7.89477 7.89477 208.83 0.200591 0.17182 32.4665 25.7145 744976 -1 -1 -1 -1 0 0 2.28642e+08 18462.7 33 8.25962 8.25962 -264487 -8.25962 0 0 57.37 50.95 41.9616 169.27 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common 966.64 vpr 2.85 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2990436 42 35 119888 86875 1 50848 3434 129 96 12384 DSP auto 1744.6 MiB 111.96 593082 3188765 1210302 1953134 25329 2920.3 MiB 129.15 1.03 7.82707 -223897 -7.82707 7.82707 0.07 0.354575 0.31728 46.7861 40.8662 -1 -1 -1 -1 760648 14.9737 161961 3.18827 105791 178983 117012215 31658104 0 0 2.28639e+08 18462.4 15 3593250 39165143 -1 8.06159 8.06159 -257707 -8.06159 0 0 77.93 -1 -1 2920.3 MiB 38.69 62.4048 54.9606 2920.3 MiB -1 22.61 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt index c1dc0afb50f..ad32242d902 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_bidir/config/golden_results.txt @@ -1,41 +1,41 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k4_n4_v7_bidir.xml alu4.blif common 14.52 vpr 67.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 477 14 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68932 14 8 1536 1544 0 1088 499 24 24 576 clb auto 27.1 MiB 0.35 13713 125113 37636 85702 1775 67.3 MiB 0.82 0.01 14.0421 -101.788 -14.0421 nan 0.88 0.00340676 0.00297335 0.220724 0.194701 28 19990 27 1.452e+07 1.431e+07 -1 -1 8.80 1.43324 1.22449 21174 279108 -1 19228 18 7062 27087 2139856 196285 17.1451 nan -119.753 -17.1451 0 0 -1 -1 0.36 0.64 0.11 -1 -1 0.36 0.169385 0.148762 -k4_n4_v7_bidir.xml apex2.blif common 19.55 vpr 69.74 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 598 38 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71412 38 3 1916 1919 0 1508 639 27 27 729 clb auto 29.5 MiB 0.46 20032 176703 52353 120171 4179 69.7 MiB 1.17 0.02 17.5139 -50.2777 -17.5139 nan 1.14 0.00428497 0.00372011 0.294754 0.259072 31 29578 36 1.875e+07 1.794e+07 -1 -1 11.86 1.65593 1.41284 28210 394495 -1 28636 22 10688 37557 3476301 294242 20.8295 nan -58.5593 -20.8295 0 0 -1 -1 0.53 0.86 0.22 -1 -1 0.53 0.210655 0.183088 -k4_n4_v7_bidir.xml apex4.blif common 15.42 vpr 64.75 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 410 9 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66300 9 19 1271 1290 0 989 438 23 23 529 clb auto 25.2 MiB 0.29 13508 95172 26074 67665 1433 64.7 MiB 0.66 0.01 13.1269 -216.571 -13.1269 nan 0.80 0.00325679 0.00287804 0.181063 0.1619 31 20275 34 1.323e+07 1.23e+07 -1 -1 10.27 1.09839 0.94276 20514 283063 -1 18947 16 6713 24480 2299733 193456 16.0497 nan -259.266 -16.0497 0 0 -1 -1 0.37 0.53 0.16 -1 -1 0.37 0.115239 0.101806 -k4_n4_v7_bidir.xml bigkey.blif common 19.25 vpr 69.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 456 229 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71664 229 197 2152 2349 1 1587 882 29 29 841 io auto 29.8 MiB 0.36 12720 440594 137247 291517 11830 70.0 MiB 2.20 0.02 8.05109 -1841.77 -8.05109 8.05109 1.37 0.00584226 0.00532726 0.627252 0.568287 18 20694 46 2.187e+07 1.368e+07 -1 -1 10.54 2.27102 2.02291 25794 279159 -1 18007 20 8342 23483 1514225 162064 9.13231 9.13231 -2338.69 -9.13231 0 0 -1 -1 0.39 0.62 0.17 -1 -1 0.39 0.262696 0.236148 -k4_n4_v7_bidir.xml clma.blif common 121.07 vpr 199.99 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2521 62 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 204792 62 82 8460 8542 1 6346 2665 53 53 2809 clb auto 74.7 MiB 2.08 106112 1720282 687942 1019943 12397 191.2 MiB 12.49 0.13 27.7798 -1475.05 -27.7798 27.7798 5.56 0.0213278 0.0174452 2.08533 1.73374 39 139827 30 7.803e+07 7.563e+07 -1 -1 73.63 7.92547 6.52265 121914 1953961 -1 145653 37 50338 174644 40465788 3496071 37.5154 37.5154 -1994.17 -37.5154 0 0 -1 -1 3.11 8.46 1.14 -1 -1 3.11 1.52051 1.28682 -k4_n4_v7_bidir.xml des.blif common 21.28 vpr 73.77 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 449 256 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75544 256 245 1847 2092 0 1443 950 34 34 1156 io auto 28.4 MiB 0.43 15858 337046 106006 219615 11425 73.8 MiB 1.65 0.02 13.6482 -2283.71 -13.6482 nan 1.99 0.00648598 0.00594296 0.497615 0.45766 18 23829 44 3.072e+07 1.347e+07 -1 -1 10.92 2.22724 2.02508 35364 387024 -1 21475 27 8540 28313 2203704 220439 16.6899 nan -2838.96 -16.6899 0 0 -1 -1 0.56 0.81 0.23 -1 -1 0.56 0.355272 0.325334 -k4_n4_v7_bidir.xml diffeq.blif common 11.18 vpr 67.72 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 415 64 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69348 64 39 1935 1974 1 1102 518 23 23 529 clb auto 28.1 MiB 0.20 9992 137732 37830 95961 3941 67.7 MiB 0.64 0.01 13.4033 -2671.54 -13.4033 13.4033 0.70 0.00195077 0.00171362 0.173386 0.151149 24 14332 21 1.323e+07 1.245e+07 -1 -1 6.54 1.33161 1.13731 18402 227975 -1 13634 22 6342 21307 1309693 133684 15.9009 15.9009 -3236.59 -15.9009 0 0 -1 -1 0.30 0.48 0.13 -1 -1 0.30 0.194956 0.169766 -k4_n4_v7_bidir.xml dsip.blif common 17.59 vpr 67.42 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 390 229 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69036 229 197 1815 2012 1 1190 816 29 29 841 io auto 27.7 MiB 0.36 11545 347617 108721 229249 9647 67.4 MiB 1.72 0.02 7.21771 -1868.83 -7.21771 7.21771 1.36 0.00518736 0.00474124 0.503797 0.459535 18 18641 50 2.187e+07 1.17e+07 -1 -1 9.53 1.95931 1.76098 25794 279159 -1 15915 19 6571 20190 1355499 141050 8.71824 8.71824 -2334.27 -8.71824 0 0 -1 -1 0.39 0.52 0.17 -1 -1 0.39 0.217752 0.196801 -k4_n4_v7_bidir.xml elliptic.blif common 54.70 vpr 91.12 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1000 131 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 93304 131 114 4855 4969 1 2139 1245 34 34 1156 clb auto 44.4 MiB 0.79 31454 550235 189587 353717 6931 90.2 MiB 3.41 0.04 23.2801 -11982.4 -23.2801 23.2801 2.01 0.010141 0.00905418 0.925631 0.794487 29 50282 41 3.072e+07 3e+07 -1 -1 39.20 4.20246 3.55627 43448 604980 -1 46002 25 13205 58637 9554007 846071 38.8565 38.8565 -19809.8 -38.8565 0 0 -1 -1 0.86 2.29 0.35 -1 -1 0.86 0.557354 0.484129 -k4_n4_v7_bidir.xml ex1010.blif common 70.23 vpr 117.60 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1507 10 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 120424 10 10 4608 4618 0 3617 1527 41 41 1681 clb auto 48.8 MiB 1.07 44970 713436 251975 459721 1740 117.6 MiB 5.30 0.06 23.5124 -229.462 -23.5124 nan 3.51 0.00978634 0.00856467 0.959665 0.808442 28 68638 30 4.563e+07 4.521e+07 -1 -1 48.39 4.23166 3.50982 61362 838935 -1 63940 21 25222 100656 7392341 708511 28.5457 nan -270.488 -28.5457 0 0 -1 -1 1.24 2.14 0.48 -1 -1 1.24 0.496082 0.429934 -k4_n4_v7_bidir.xml ex5p.blif common 10.49 vpr 63.77 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 342 8 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65300 8 63 1072 1135 0 906 413 21 21 441 clb auto 24.4 MiB 0.27 11449 84948 23521 59664 1763 63.8 MiB 0.57 0.01 12.8622 -575.489 -12.8622 nan 0.65 0.00267296 0.002391 0.164293 0.14837 35 17128 25 1.083e+07 1.026e+07 -1 -1 5.53 0.944809 0.816405 18442 269153 -1 17835 36 8694 28272 4470817 400190 22.7431 nan -871.031 -22.7431 0 0 -1 -1 0.35 0.94 0.15 -1 -1 0.35 0.197932 0.171417 -k4_n4_v7_bidir.xml frisc.blif common 42.28 vpr 94.12 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1051 20 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 96376 20 116 4445 4561 1 2326 1187 35 35 1225 clb auto 43.5 MiB 0.91 37678 522593 180255 332319 10019 91.3 MiB 3.70 0.04 26.7351 -13400.3 -26.7351 26.7351 2.13 0.0113884 0.0102634 1.05553 0.917006 35 54338 28 3.267e+07 3.153e+07 -1 -1 23.99 3.89706 3.31921 50922 772933 -1 56811 45 18725 83358 16216109 1534592 31.802 31.802 -18151.6 -31.802 0 0 -1 -1 1.14 3.93 0.43 -1 -1 1.14 0.946928 0.809332 -k4_n4_v7_bidir.xml misex3.blif common 18.39 vpr 65.70 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 431 14 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 67280 14 14 1411 1425 0 1079 459 23 23 529 clb auto 26.1 MiB 0.35 13374 101292 28145 71403 1744 65.7 MiB 0.68 0.01 13.1746 -165.157 -13.1746 nan 0.79 0.00308017 0.00269815 0.185612 0.164664 29 21582 50 1.323e+07 1.293e+07 -1 -1 12.84 1.39305 1.18429 19986 270173 -1 20352 22 8774 29285 3704651 332778 24.3868 nan -237.829 -24.3868 0 0 -1 -1 0.36 0.79 0.18 -1 -1 0.36 0.159923 0.139167 -k4_n4_v7_bidir.xml pdc.blif common 88.58 vpr 128.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1534 16 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 131112 16 40 4591 4631 0 3629 1590 42 42 1764 clb auto 50.1 MiB 1.37 69656 771498 279852 487559 4087 122.3 MiB 5.40 0.06 21.5017 -765.92 -21.5017 nan 3.47 0.0110651 0.0091201 1.01798 0.855527 43 105542 40 4.8e+07 4.602e+07 -1 -1 63.87 4.74992 3.94718 82004 1356368 -1 93688 22 24061 102167 12513613 966499 27.3055 nan -968.887 -27.3055 0 0 -1 -1 2.14 3.03 0.81 -1 -1 2.14 0.568607 0.48931 -k4_n4_v7_bidir.xml s298.blif common 21.48 vpr 70.18 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 560 4 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71860 4 6 1942 1948 1 1191 570 26 26 676 clb auto 29.6 MiB 0.36 14088 144652 40631 103074 947 70.2 MiB 0.98 0.02 22.0836 -173.078 -22.0836 22.0836 1.07 0.00435166 0.00383058 0.306341 0.272726 24 20876 31 1.728e+07 1.68e+07 -1 -1 14.65 1.76351 1.50458 23472 293888 -1 19701 18 6999 36928 2660713 235221 25.651 25.651 -206.277 -25.651 0 0 -1 -1 0.40 0.74 0.17 -1 -1 0.40 0.196059 0.171883 -k4_n4_v7_bidir.xml s38417.blif common 63.45 vpr 143.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1733 29 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 146728 29 106 7534 7640 1 4762 1868 44 44 1936 clb auto 62.1 MiB 1.31 45565 1025279 365918 643209 16152 143.3 MiB 7.30 0.08 18.085 -10617.5 -18.085 18.085 3.56 0.0169315 0.0140952 1.55735 1.31338 24 61471 26 5.292e+07 5.199e+07 -1 -1 37.57 6.21572 5.16313 66744 864380 -1 58433 19 27083 89331 5592291 571595 20.8628 20.8628 -13144.4 -20.8628 0 0 -1 -1 1.32 2.02 0.50 -1 -1 1.32 0.732256 0.629929 -k4_n4_v7_bidir.xml s38584.1.blif common 50.46 vpr 141.68 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1648 38 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 145080 38 304 7475 7779 1 4417 1990 43 43 1849 clb auto 61.7 MiB 1.26 43646 1140582 418967 699450 22165 140.5 MiB 7.38 0.09 12.4934 -8495.56 -12.4934 12.4934 3.38 0.0150067 0.0131606 1.52739 1.2773 24 56870 27 5.043e+07 4.944e+07 -1 -1 25.70 5.12306 4.27883 63762 824815 -1 53639 17 21182 66620 4051433 421817 14.8518 14.8518 -10248.4 -14.8518 0 0 -1 -1 1.27 1.59 0.47 -1 -1 1.27 0.665362 0.586368 -k4_n4_v7_bidir.xml seq.blif common 28.14 vpr 68.61 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 542 41 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70256 41 35 1791 1826 0 1384 618 26 26 676 clb auto 28.5 MiB 0.42 18072 171633 50023 117007 4603 68.6 MiB 1.09 0.02 13.9875 -383.03 -13.9875 nan 1.05 0.00406674 0.0035441 0.282529 0.248892 29 29664 48 1.728e+07 1.626e+07 -1 -1 20.69 1.70004 1.45141 25496 348308 -1 26718 36 10628 36259 4935084 432315 17.9011 nan -503.215 -17.9011 0 0 -1 -1 0.47 1.19 0.20 -1 -1 0.47 0.29385 0.254283 -k4_n4_v7_bidir.xml spla.blif common 60.14 vpr 102.86 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1235 16 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 105324 16 46 3706 3752 0 2877 1297 38 38 1444 clb auto 42.6 MiB 1.00 47749 581037 208352 367672 5013 99.7 MiB 4.02 0.05 20.3447 -680.522 -20.3447 nan 2.66 0.00897328 0.00752369 0.782658 0.666952 39 70670 41 3.888e+07 3.705e+07 -1 -1 37.32 3.53579 2.9591 62858 992060 -1 76144 39 24431 104085 28248602 3041950 35.5685 nan -1147.95 -35.5685 0 0 -1 -1 1.54 6.13 0.58 -1 -1 1.54 0.802614 0.68267 -k4_n4_v7_bidir.xml tseng.blif common 6.88 vpr 63.90 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 277 52 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65432 52 122 1483 1605 1 737 451 19 19 361 clb auto 24.7 MiB 0.22 5974 102403 26155 72807 3441 63.9 MiB 0.62 0.01 12.4944 -2327.14 -12.4944 12.4944 0.52 0.0032612 0.00294195 0.216871 0.194716 20 10097 45 8.67e+06 8.31e+06 -1 -1 2.98 0.752553 0.656309 11514 125901 -1 9941 31 5221 17602 1464836 167257 18.1334 18.1334 -3640.61 -18.1334 0 0 -1 -1 0.16 0.48 0.07 -1 -1 0.16 0.199281 0.174008 -k4_n4_v7_l1_bidir.xml alu4.blif common 16.87 vpr 67.31 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 477 14 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68924 14 8 1536 1544 0 1088 499 24 24 576 clb auto 27.0 MiB 0.36 13984 140937 45113 93822 2002 67.3 MiB 0.92 0.01 19.0754 -137.015 -19.0754 nan 1.41 0.00317104 0.00278685 0.248821 0.219987 21 15543 38 1.452e+07 1.431e+07 -1 -1 9.28 1.07423 0.920056 39160 271852 -1 13921 16 7054 27883 1712984 292201 19.0623 nan -136.352 -19.0623 0 0 -1 -1 0.45 0.64 0.21 -1 -1 0.45 0.136875 0.120433 -k4_n4_v7_l1_bidir.xml apex2.blif common 51.18 vpr 71.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 598 38 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73176 38 3 1916 1919 0 1508 639 27 27 729 clb auto 29.3 MiB 0.46 20368 198711 63540 130621 4550 71.0 MiB 1.37 0.02 19.5349 -56.7155 -19.5349 nan 1.90 0.00499172 0.00433287 0.356682 0.312799 25 22646 41 1.875e+07 1.794e+07 -1 -1 40.82 1.72562 1.46927 55250 396047 -1 20496 14 9800 35327 3055493 423988 19.5858 nan -57.7976 -19.5858 0 0 -1 -1 0.70 0.99 0.30 -1 -1 0.70 0.174437 0.154972 -k4_n4_v7_l1_bidir.xml apex4.blif common 69.35 vpr 64.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 410 9 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66464 9 19 1271 1290 0 989 438 23 23 529 clb auto 25.4 MiB 0.30 13593 111792 33269 76922 1601 64.9 MiB 0.78 0.01 17.4441 -280.025 -17.4441 nan 1.27 0.00308454 0.00270303 0.211618 0.188442 24 16307 44 1.323e+07 1.23e+07 -1 -1 62.47 1.11099 0.948655 39522 283015 -1 14011 17 7335 26794 2589120 339808 17.324 nan -281.605 -17.324 0 0 -1 -1 0.47 0.71 0.21 -1 -1 0.47 0.122417 0.107876 -k4_n4_v7_l1_bidir.xml bigkey.blif common 20.31 vpr 78.96 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 456 229 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80860 229 197 2152 2349 1 1587 882 29 29 841 io auto 29.8 MiB 0.34 12928 449050 142972 294362 11716 78.5 MiB 2.25 0.03 10.9343 -2508.89 -10.9343 10.9343 2.25 0.00619758 0.00563126 0.659335 0.597307 13 13251 38 2.187e+07 1.368e+07 -1 -1 9.18 1.92903 1.72083 39906 235943 -1 11958 14 7356 20700 1195443 240334 11.7389 11.7389 -2706.25 -11.7389 0 0 -1 -1 0.42 0.55 0.18 -1 -1 0.42 0.186548 0.168126 -k4_n4_v7_l1_bidir.xml clma.blif common 295.38 vpr 251.13 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2521 62 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 257156 62 82 8460 8542 1 6346 2665 53 53 2809 clb auto 74.9 MiB 2.10 103093 1868034 747801 1104381 15852 216.8 MiB 13.21 0.13 39.2492 -2176.87 -39.2492 39.2492 8.76 0.020248 0.0169531 2.18974 1.83464 32 104222 33 7.803e+07 7.563e+07 -1 -1 238.47 8.89424 7.31525 274482 2081397 -1 100635 17 42461 160041 22532310 4050975 39.0294 39.0294 -2247.27 -39.0294 0 0 -1 -1 4.07 6.31 1.61 -1 -1 4.07 0.829679 0.720616 -k4_n4_v7_l1_bidir.xml des.blif common 28.48 vpr 92.40 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 449 256 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 94616 256 245 1847 2092 0 1443 950 34 34 1156 io auto 28.5 MiB 0.43 15906 393062 127116 252345 13601 92.3 MiB 1.89 0.02 20.3995 -3028.52 -20.3995 nan 3.28 0.00647798 0.00595245 0.567757 0.522686 13 16286 26 3.072e+07 1.347e+07 -1 -1 14.15 1.73168 1.58305 55296 328128 -1 15494 14 7727 25523 2266674 423135 19.7011 nan -3078.13 -19.7011 0 0 -1 -1 0.60 0.76 0.26 -1 -1 0.60 0.214079 0.197543 -k4_n4_v7_l1_bidir.xml diffeq.blif common 16.76 vpr 67.48 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 415 64 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69096 64 39 1935 1974 1 1102 518 23 23 529 clb auto 27.8 MiB 0.30 10492 141890 39582 99524 2784 67.5 MiB 0.95 0.01 13.2324 -3140.42 -13.2324 13.2324 1.30 0.00428442 0.00377229 0.310189 0.275246 17 11013 39 1.323e+07 1.245e+07 -1 -1 9.66 1.24993 1.07757 30282 197837 -1 10183 17 7161 24290 2102474 387542 13.998 13.998 -3530.12 -13.998 0 0 -1 -1 0.34 0.67 0.16 -1 -1 0.34 0.165983 0.145379 -k4_n4_v7_l1_bidir.xml dsip.blif common 18.54 vpr 76.72 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 390 229 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78564 229 197 1815 2012 1 1190 816 29 29 841 io auto 27.7 MiB 0.36 11598 374294 119751 244235 10308 76.7 MiB 1.82 0.02 10.0144 -2422.01 -10.0144 10.0144 2.29 0.00520405 0.00475642 0.52443 0.479328 13 11442 27 2.187e+07 1.17e+07 -1 -1 7.79 1.50771 1.35951 39906 235943 -1 11038 14 6322 21798 1233985 243124 9.72035 9.72035 -2465.43 -9.72035 0 0 -1 -1 0.42 0.66 0.18 -1 -1 0.42 0.198271 0.178185 -k4_n4_v7_l1_bidir.xml elliptic.blif common 98.15 vpr 110.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1000 131 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 112940 131 114 4855 4969 1 2139 1245 34 34 1156 clb auto 44.5 MiB 0.79 31868 570320 201737 361297 7286 110.3 MiB 3.57 0.04 27.5367 -15528.6 -27.5367 27.5367 3.29 0.0104306 0.00892054 0.976469 0.833552 25 34137 34 3.072e+07 3e+07 -1 -1 78.65 3.89118 3.28556 89088 639360 -1 31463 15 11772 53132 5712872 950004 27.6157 27.6157 -16433.5 -27.6157 0 0 -1 -1 1.23 1.85 0.49 -1 -1 1.23 0.39125 0.341849 -k4_n4_v7_l1_bidir.xml ex1010.blif common 71.67 vpr 151.77 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1507 10 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 155408 10 10 4608 4618 0 3617 1527 41 41 1681 clb auto 48.9 MiB 1.07 45297 766170 281250 482628 2292 151.8 MiB 5.45 0.06 34.262 -331.976 -34.262 nan 5.04 0.00963191 0.00816752 0.930825 0.785451 22 50207 44 4.563e+07 4.521e+07 -1 -1 43.49 3.63109 3.0162 118482 826103 -1 45736 19 25518 101876 6500789 1048212 33.9643 nan -327.132 -33.9643 0 0 -1 -1 1.66 2.58 0.63 -1 -1 1.66 0.470549 0.405468 -k4_n4_v7_l1_bidir.xml ex5p.blif common 43.85 vpr 63.43 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 342 8 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64952 8 63 1072 1135 0 906 413 21 21 441 clb auto 24.1 MiB 0.26 11525 103392 30541 70852 1999 63.4 MiB 0.69 0.01 15.3987 -683.467 -15.3987 nan 1.06 0.00261368 0.00235266 0.20124 0.182091 24 13975 43 1.083e+07 1.026e+07 -1 -1 37.84 0.995808 0.86131 32642 233591 -1 11974 18 7588 26729 2496486 384914 15.4966 nan -702.501 -15.4966 0 0 -1 -1 0.36 0.69 0.18 -1 -1 0.36 0.115491 0.10147 -k4_n4_v7_l1_bidir.xml frisc.blif common 210.45 vpr 113.87 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1051 20 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 116604 20 116 4445 4561 1 2326 1187 35 35 1225 clb auto 43.4 MiB 0.91 38041 547721 194194 343617 9910 113.8 MiB 3.69 0.04 29.669 -17468.6 -29.669 29.669 3.49 0.0103715 0.00892858 0.960993 0.82812 28 41548 42 3.267e+07 3.153e+07 -1 -1 189.64 4.23264 3.58239 103554 761463 -1 38134 16 14316 62718 7007768 1275611 29.914 29.914 -18147.8 -29.914 0 0 -1 -1 1.40 2.14 0.57 -1 -1 1.40 0.377933 0.32975 -k4_n4_v7_l1_bidir.xml misex3.blif common 27.11 vpr 65.61 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 431 14 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 67184 14 14 1411 1425 0 1079 459 23 23 529 clb auto 26.0 MiB 0.34 13570 115444 35365 77921 2158 65.6 MiB 0.79 0.01 16.5481 -214.047 -16.5481 nan 1.29 0.00324875 0.00287194 0.223926 0.1991 23 16411 49 1.323e+07 1.293e+07 -1 -1 19.87 1.26427 1.07464 37674 266685 -1 14120 17 7506 27271 2802281 504310 16.6457 nan -222.192 -16.6457 0 0 -1 -1 0.47 0.79 0.20 -1 -1 0.47 0.135179 0.118734 -k4_n4_v7_l1_bidir.xml pdc.blif common 420.17 vpr 157.58 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1534 16 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 161364 16 40 4591 4631 0 3629 1590 42 42 1764 clb auto 50.0 MiB 1.34 68418 892086 346558 540595 4933 151.1 MiB 6.18 0.06 36.7881 -1303.9 -36.7881 nan 5.26 0.0110325 0.00925033 1.11525 0.942154 36 77639 35 4.8e+07 4.602e+07 -1 -1 385.28 4.75552 3.94475 183520 1412616 -1 72478 20 26645 109578 24577553 5074635 37.3294 nan -1337.86 -37.3294 0 0 -1 -1 2.58 5.98 1.09 -1 -1 2.58 0.511208 0.442439 -k4_n4_v7_l1_bidir.xml s298.blif common 28.76 vpr 70.14 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 560 4 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71820 4 6 1942 1948 1 1191 570 26 26 676 clb auto 29.5 MiB 0.36 13678 163548 49020 113454 1074 70.1 MiB 1.11 0.02 27.2823 -210.916 -27.2823 27.2823 1.71 0.00469886 0.00415821 0.346595 0.308277 18 15471 48 1.728e+07 1.68e+07 -1 -1 19.66 1.55142 1.32883 41472 276960 -1 13523 17 7420 36189 2499380 342718 27.1897 27.1897 -216.357 -27.1897 0 0 -1 -1 0.48 0.90 0.21 -1 -1 0.48 0.198357 0.173055 -k4_n4_v7_l1_bidir.xml s38417.blif common 62.49 vpr 176.39 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1733 29 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 180624 29 106 7534 7640 1 4762 1868 44 44 1936 clb auto 62.2 MiB 1.33 45056 1071275 385192 670718 15365 176.4 MiB 7.62 0.08 22.5444 -13519.5 -22.5444 22.5444 5.82 0.0159516 0.0133216 1.59274 1.33689 17 41539 30 5.292e+07 5.199e+07 -1 -1 28.36 4.79041 3.98611 115248 760028 -1 40571 17 28994 95236 7408027 1333632 22.6581 22.6581 -14950.1 -22.6581 0 0 -1 -1 1.54 3.04 0.59 -1 -1 1.54 0.807421 0.703193 -k4_n4_v7_l1_bidir.xml s38584.1.blif common 95.63 vpr 176.39 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1648 38 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 180624 38 304 7475 7779 1 4417 1990 43 43 1849 clb auto 61.6 MiB 1.27 43720 1178118 437684 717506 22928 176.4 MiB 7.69 0.11 18.7815 -12464.4 -18.7815 18.7815 5.67 0.015947 0.0131776 1.58097 1.32446 18 41129 48 5.043e+07 4.944e+07 -1 -1 63.63 5.34049 4.46317 116850 784767 -1 37715 14 19654 59701 3392358 579896 18.1779 18.1779 -12910.9 -18.1779 0 0 -1 -1 1.57 1.65 0.60 -1 -1 1.57 0.585962 0.515997 -k4_n4_v7_l1_bidir.xml seq.blif common 86.99 vpr 68.57 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 542 41 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70216 41 35 1791 1826 0 1384 618 26 26 676 clb auto 28.5 MiB 0.41 18388 197943 61665 130902 5376 68.6 MiB 1.26 0.02 18.3637 -488.934 -18.3637 nan 1.71 0.00414045 0.00363378 0.326005 0.287224 24 21148 41 1.728e+07 1.626e+07 -1 -1 77.64 1.7189 1.46837 51072 366016 -1 18609 14 8765 31217 2658871 391227 18.2272 nan -500.696 -18.2272 0 0 -1 -1 0.63 0.82 0.28 -1 -1 0.63 0.15011 0.133262 -k4_n4_v7_l1_bidir.xml spla.blif common 293.24 vpr 127.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1235 16 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 130344 16 46 3706 3752 0 2877 1297 38 38 1444 clb auto 42.6 MiB 1.01 49708 630527 232378 394740 3409 127.1 MiB 4.18 0.04 28.3135 -974.196 -28.3135 nan 4.26 0.00869468 0.00739653 0.825655 0.703072 32 56984 38 3.888e+07 3.705e+07 -1 -1 266.53 3.77172 3.14753 138672 1051752 -1 53100 26 23199 100609 16532905 3013044 29.7405 nan -1062.74 -29.7405 0 0 -1 -1 1.94 4.38 0.81 -1 -1 1.94 0.497609 0.425408 -k4_n4_v7_l1_bidir.xml tseng.blif common 9.58 vpr 64.21 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 277 52 -1 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65752 52 122 1483 1605 1 737 451 19 19 361 clb auto 25.0 MiB 0.24 5983 109315 29948 75773 3594 64.2 MiB 0.67 0.01 11.3287 -2602.86 -11.3287 11.3287 0.81 0.00359464 0.00323468 0.225049 0.202109 15 7018 46 8.67e+06 8.31e+06 -1 -1 4.83 0.945498 0.824027 19074 119991 -1 5647 17 4142 14252 649546 124293 11.3704 11.3704 -2847.23 -11.3704 0 0 -1 -1 0.19 0.32 0.09 -1 -1 0.19 0.130161 0.114972 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k4_n4_v7_bidir.xml alu4.blif common 11.61 vpr 67.56 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 490 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69184 14 8 1536 1544 0 1075 512 25 25 625 clb auto 27.3 MiB 0.36 13907 125379 37375 86426 1578 67.6 MiB 0.92 0.01 13.7808 -101.412 -13.7808 nan 0.38 0.00351706 0.00307653 0.230026 0.203296 -1 -1 -1 -1 26 21244 40 1.587e+07 1.47e+07 -1 -1 7.83 1.39924 1.1896 22338 287359 -1 19467 17 6635 26447 1985465 198804 17.3063 nan -123.659 -17.3063 0 0 -1 -1 0.05 0.39 0.12 -1 -1 0.05 0.105099 0.0941024 + k4_n4_v7_bidir.xml apex2.blif common 22.22 vpr 70.01 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 626 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71692 38 3 1916 1919 0 1483 667 28 28 784 clb auto 29.5 MiB 0.29 19984 195838 60682 130920 4236 70.0 MiB 1.45 0.02 17.0385 -49.2963 -17.0385 nan 0.49 0.00449691 0.00389108 0.328393 0.287877 -1 -1 -1 -1 28 30181 48 2.028e+07 1.878e+07 -1 -1 17.00 1.80013 1.5249 28758 383844 -1 28376 15 9150 33071 2590424 247096 20.7907 nan -60.2269 -20.7907 0 0 -1 -1 0.07 0.68 0.22 -1 -1 0.07 0.170018 0.15064 + k4_n4_v7_bidir.xml apex4.blif common 9.32 vpr 64.72 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 434 9 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66272 9 19 1271 1290 0 989 462 23 23 529 clb auto 25.3 MiB 0.38 13918 105777 29780 74317 1680 64.7 MiB 0.80 0.01 13.9047 -226.083 -13.9047 nan 0.32 0.00309616 0.00274963 0.190094 0.170268 -1 -1 -1 -1 31 20642 28 1.323e+07 1.302e+07 -1 -1 5.45 1.02556 0.874569 20514 283063 -1 19844 22 7738 30852 2604644 234674 16.6245 nan -269.64 -16.6245 0 0 -1 -1 0.05 0.64 0.16 -1 -1 0.05 0.148675 0.128977 + k4_n4_v7_bidir.xml bigkey.blif common 11.94 vpr 70.33 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 492 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72020 229 197 2152 2349 1 1586 918 29 29 841 io auto 29.9 MiB 0.37 13173 415605 135003 270551 10051 70.3 MiB 2.27 0.03 7.81345 -1868.02 -7.81345 7.81345 0.52 0.0065376 0.00583609 0.598798 0.540386 -1 -1 -1 -1 18 19586 48 2.187e+07 1.476e+07 -1 -1 5.81 2.28089 2.02935 25794 279159 -1 18213 18 8082 24448 1355876 164744 9.41024 9.41024 -2355.47 -9.41024 0 0 -1 -1 0.05 0.56 0.16 -1 -1 0.05 0.23271 0.207395 + k4_n4_v7_bidir.xml clma.blif common 111.22 vpr 201.37 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2648 62 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 206200 62 82 8460 8542 1 6288 2792 54 54 2916 clb auto 75.5 MiB 2.26 106658 1830428 722593 1094428 13407 201.4 MiB 14.42 0.15 27.2182 -1339.27 -27.2182 27.2182 2.09 0.0213137 0.0174867 2.12276 1.76542 -1 -1 -1 -1 36 141908 31 8.112e+07 7.944e+07 -1 -1 77.84 9.38964 7.64653 120708 1865668 -1 137505 19 39620 150199 14663994 1348289 32.9328 32.9328 -1696.22 -32.9328 0 0 -1 -1 0.42 4.22 1.10 -1 -1 0.42 1.02992 0.874094 + k4_n4_v7_bidir.xml des.blif common 12.45 vpr 73.90 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 484 256 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75676 256 245 1847 2092 0 1412 985 34 34 1156 io auto 28.8 MiB 0.47 16647 378208 121053 242540 14615 73.9 MiB 1.97 0.03 12.9369 -2198.65 -12.9369 nan 0.75 0.00633861 0.00581167 0.523122 0.480492 -1 -1 -1 -1 18 23173 26 3.072e+07 1.452e+07 -1 -1 5.70 2.0657 1.87447 35364 387024 -1 21989 19 8778 32560 2141080 246703 15.131 nan -2729.2 -15.131 0 0 -1 -1 0.07 0.71 0.23 -1 -1 0.07 0.271271 0.249347 + k4_n4_v7_bidir.xml diffeq.blif common 7.63 vpr 67.86 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 439 64 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69484 64 39 1935 1974 1 1077 542 23 23 529 clb auto 28.0 MiB 0.35 10284 139709 36550 99088 4071 67.9 MiB 1.01 0.02 13.3471 -2484.01 -13.3471 13.3471 0.32 0.00419252 0.00369096 0.286261 0.253568 -1 -1 -1 -1 20 14214 22 1.323e+07 1.317e+07 -1 -1 3.69 1.10599 0.957164 16818 186659 -1 13852 17 5686 19604 1108385 127625 15.0107 15.0107 -3068.04 -15.0107 0 0 -1 -1 0.03 0.41 0.10 -1 -1 0.03 0.166567 0.14676 + k4_n4_v7_bidir.xml dsip.blif common 12.60 vpr 68.01 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 443 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69640 229 197 1815 2012 1 1190 869 29 29 841 io auto 27.9 MiB 0.38 11973 394644 125240 259207 10197 68.0 MiB 2.08 0.03 7.81345 -1864.07 -7.81345 7.81345 0.53 0.00544034 0.00496078 0.530962 0.484759 -1 -1 -1 -1 16 17634 29 2.187e+07 1.329e+07 -1 -1 6.87 1.81366 1.63082 24114 234671 -1 15615 17 6539 22470 1305981 155172 8.39336 8.39336 -2240 -8.39336 0 0 -1 -1 0.05 0.52 0.14 -1 -1 0.05 0.212997 0.192439 + k4_n4_v7_bidir.xml elliptic.blif common 38.32 vpr 90.62 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1023 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92796 131 114 4855 4969 1 2112 1268 34 34 1156 clb auto 44.4 MiB 0.81 32596 556928 195696 354146 7086 90.6 MiB 3.80 0.04 24.1099 -12023.9 -24.1099 24.1099 0.75 0.0102584 0.0091465 0.934203 0.802866 -1 -1 -1 -1 30 49231 36 3.072e+07 3.069e+07 -1 -1 27.40 4.62906 3.90641 44604 633776 -1 42934 20 10387 48413 3768591 351570 30.2109 30.2109 -15345.5 -30.2109 0 0 -1 -1 0.12 1.35 0.36 -1 -1 0.12 0.495156 0.424841 + k4_n4_v7_bidir.xml ex1010.blif common 62.13 vpr 121.14 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1563 10 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 124048 10 10 4608 4618 0 3574 1583 42 42 1764 clb auto 49.1 MiB 1.14 45921 757787 273354 482875 1558 121.1 MiB 6.22 0.07 24.867 -238.877 -24.867 nan 1.19 0.012262 0.0108187 1.08065 0.899545 -1 -1 -1 -1 26 68475 43 4.8e+07 4.689e+07 -1 -1 46.05 4.57249 3.76639 62610 833692 -1 64752 18 24374 99160 6613607 701101 30.222 nan -281.378 -30.222 0 0 -1 -1 0.17 1.93 0.48 -1 -1 0.17 0.456049 0.397848 + k4_n4_v7_bidir.xml ex5p.blif common 10.46 vpr 63.45 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 367 8 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64976 8 63 1072 1135 0 898 438 22 22 484 clb auto 24.1 MiB 0.28 12146 90186 23768 64733 1685 63.5 MiB 0.65 0.01 13.0939 -572.821 -13.0939 nan 0.29 0.00283248 0.00253802 0.163928 0.147946 -1 -1 -1 -1 30 18611 31 1.2e+07 1.101e+07 -1 -1 7.08 1.12368 0.966503 18780 258080 -1 16902 22 7677 27841 2272527 218032 15.2991 nan -713.758 -15.2991 0 0 -1 -1 0.04 0.54 0.14 -1 -1 0.04 0.134047 0.117306 + k4_n4_v7_bidir.xml frisc.blif common 38.85 vpr 97.48 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1094 20 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 99824 20 116 4445 4561 1 2290 1230 36 36 1296 clb auto 44.1 MiB 0.99 37881 521603 180411 331963 9229 97.5 MiB 3.86 0.05 26.8202 -13903.6 -26.8202 26.8202 0.87 0.0102599 0.00917768 0.898452 0.776935 -1 -1 -1 -1 32 53318 28 3.468e+07 3.282e+07 -1 -1 27.16 4.18198 3.52615 51266 747164 -1 50100 20 13055 62295 4926128 476378 30.0915 30.0915 -16608.9 -30.0915 0 0 -1 -1 0.15 1.48 0.42 -1 -1 0.15 0.457164 0.39497 + k4_n4_v7_bidir.xml misex3.blif common 9.98 vpr 65.83 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 450 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67408 14 14 1411 1425 0 1056 478 24 24 576 clb auto 26.2 MiB 0.37 13796 110690 30564 78259 1867 65.8 MiB 0.85 0.01 13.1269 -168.734 -13.1269 nan 0.36 0.00342497 0.00303222 0.219069 0.194505 -1 -1 -1 -1 26 21634 42 1.452e+07 1.35e+07 -1 -1 6.05 0.997106 0.851832 20598 264060 -1 19608 17 6511 24644 1933803 195879 17.4098 nan -216.951 -17.4098 0 0 -1 -1 0.05 0.51 0.15 -1 -1 0.05 0.13661 0.11998 + k4_n4_v7_bidir.xml pdc.blif common 44.50 vpr 128.24 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1606 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 131316 16 40 4591 4631 0 3616 1662 43 43 1849 clb auto 50.4 MiB 1.52 70823 847902 321209 521330 5363 125.7 MiB 4.92 0.05 23.2596 -828.944 -23.2596 nan 1.00 0.00841282 0.00666783 0.783661 0.629777 -1 -1 -1 -1 43 102107 34 5.043e+07 4.818e+07 -1 -1 28.07 3.94383 3.22568 85938 1423039 -1 93998 18 22530 96951 9514643 817700 28.4929 nan -968.631 -28.4929 0 0 -1 -1 0.34 2.50 0.85 -1 -1 0.34 0.514949 0.447331 + k4_n4_v7_bidir.xml s298.blif common 11.79 vpr 70.21 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 573 4 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71900 4 6 1942 1948 1 1167 583 26 26 676 clb auto 29.9 MiB 0.37 13731 153925 45496 107368 1061 70.2 MiB 1.21 0.02 22.8024 -174.336 -22.8024 22.8024 0.43 0.00495495 0.00447367 0.342306 0.301958 -1 -1 -1 -1 23 22316 43 1.728e+07 1.719e+07 -1 -1 6.89 1.66157 1.4153 22796 276132 -1 19575 20 6968 37799 2544526 246480 26.5962 26.5962 -218.415 -26.5962 0 0 -1 -1 0.05 0.76 0.16 -1 -1 0.05 0.215817 0.187595 + k4_n4_v7_bidir.xml s38417.blif common 56.36 vpr 153.38 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1852 29 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 157060 29 106 7534 7640 1 4623 1987 46 46 2116 clb auto 61.7 MiB 1.50 47120 1100755 398762 686220 15773 153.4 MiB 8.62 0.10 17.0693 -10670.2 -17.0693 17.0693 1.49 0.0162359 0.0142566 1.60568 1.33906 -1 -1 -1 -1 20 64477 44 5.808e+07 5.556e+07 -1 -1 35.59 6.83387 5.57107 66566 774700 -1 61499 21 26109 89230 5425163 617233 21.8055 21.8055 -13475.3 -21.8055 0 0 -1 -1 0.17 2.13 0.45 -1 -1 0.17 0.834679 0.70784 + k4_n4_v7_bidir.xml s38584.1.blif common 34.26 vpr 145.84 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1787 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 149340 38 304 7475 7779 1 4320 2129 45 45 2025 clb auto 61.7 MiB 1.46 43523 1261609 468867 769100 23642 145.8 MiB 9.25 0.10 14.2895 -8834.77 -14.2895 14.2895 1.38 0.0164363 0.0135273 1.9198 1.57751 -1 -1 -1 -1 22 56507 39 5.547e+07 5.361e+07 -1 -1 14.13 6.24617 5.16218 65746 795487 -1 53443 14 19463 62781 3400798 392488 16.6132 16.6132 -10472.1 -16.6132 0 0 -1 -1 0.16 1.39 0.45 -1 -1 0.16 0.603539 0.525069 + k4_n4_v7_bidir.xml seq.blif common 16.11 vpr 69.16 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 567 41 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70820 41 35 1791 1826 0 1347 643 26 26 676 clb auto 28.6 MiB 0.45 18350 178179 53492 120210 4477 69.2 MiB 1.27 0.02 13.9603 -407.385 -13.9603 nan 0.41 0.00424711 0.00368813 0.298257 0.260714 -1 -1 -1 -1 30 27234 30 1.728e+07 1.701e+07 -1 -1 11.02 1.74536 1.48094 26172 364912 -1 25057 20 8509 31894 2435484 232013 16.69 nan -479.986 -16.69 0 0 -1 -1 0.07 0.71 0.21 -1 -1 0.07 0.195294 0.17145 + k4_n4_v7_bidir.xml spla.blif common 59.03 vpr 105.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1282 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 107844 16 46 3706 3752 0 2852 1344 38 38 1444 clb auto 43.1 MiB 1.07 49392 594464 213328 377715 3421 105.3 MiB 4.63 0.05 20.6968 -701.437 -20.6968 nan 0.96 0.0109368 0.00975249 0.883005 0.740731 -1 -1 -1 -1 36 72996 40 3.888e+07 3.846e+07 -1 -1 45.25 4.17045 3.44653 59972 912004 -1 69746 21 19571 87523 9218620 820248 24.7304 nan -857.824 -24.7304 0 0 -1 -1 0.19 2.25 0.51 -1 -1 0.19 0.461129 0.395755 + k4_n4_v7_bidir.xml tseng.blif common 7.30 vpr 64.55 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 292 52 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66100 52 122 1483 1605 1 725 466 20 20 400 clb auto 25.1 MiB 0.25 6234 99796 25426 70692 3678 64.6 MiB 0.65 0.01 13.3576 -2408.51 -13.3576 13.3576 0.24 0.00342831 0.00309879 0.206214 0.185678 -1 -1 -1 -1 18 10309 49 9.72e+06 8.76e+06 -1 -1 4.45 1.17005 1.01875 12348 129228 -1 8807 18 3900 13884 654555 82155 14.5157 14.5157 -3101.03 -14.5157 0 0 -1 -1 0.02 0.28 0.07 -1 -1 0.02 0.13539 0.119674 + k4_n4_v7_l1_bidir.xml alu4.blif common 26.35 vpr 67.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 490 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 68900 14 8 1536 1544 0 1075 512 25 25 625 clb auto 27.1 MiB 0.38 14121 141755 45026 94787 1942 67.3 MiB 1.03 0.01 18.0745 -136.614 -18.0745 nan 0.64 0.0035682 0.00313836 0.260079 0.230285 -1 -1 -1 -1 20 15728 44 1.587e+07 1.47e+07 -1 -1 21.12 1.18225 1.00687 40434 275643 -1 14000 16 6841 27996 1626919 290551 17.7632 nan -134.96 -17.7632 0 0 -1 -1 0.06 0.62 0.21 -1 -1 0.06 0.14068 0.122961 + k4_n4_v7_l1_bidir.xml apex2.blif common 31.08 vpr 75.03 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 626 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76828 38 3 1916 1919 0 1483 667 28 28 784 clb auto 29.5 MiB 0.52 19979 213316 69985 138621 4710 74.9 MiB 1.55 0.02 22.2574 -65.2689 -22.2574 nan 0.81 0.00447547 0.0038973 0.348446 0.306125 -1 -1 -1 -1 23 23121 33 2.028e+07 1.878e+07 -1 -1 23.92 1.64799 1.39246 56784 401268 -1 20352 15 9956 37018 2993920 415761 21.9001 nan -65.1428 -21.9001 0 0 -1 -1 0.09 0.89 0.31 -1 -1 0.09 0.169098 0.148832 + k4_n4_v7_l1_bidir.xml apex4.blif common 29.87 vpr 64.77 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 434 9 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66324 9 19 1271 1290 0 989 462 23 23 529 clb auto 25.3 MiB 0.24 13905 125412 38326 85376 1710 64.8 MiB 0.93 0.01 18.3289 -292.406 -18.3289 nan 0.54 0.00295728 0.00263697 0.223166 0.199747 -1 -1 -1 -1 24 15651 33 1.323e+07 1.302e+07 -1 -1 24.88 1.17628 0.99802 39522 283015 -1 14291 16 7091 27457 2432804 329588 18.2498 nan -294.601 -18.2498 0 0 -1 -1 0.06 0.66 0.21 -1 -1 0.06 0.117459 0.103392 + k4_n4_v7_l1_bidir.xml bigkey.blif common 20.11 vpr 79.47 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 492 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81376 229 197 2152 2349 1 1586 918 29 29 841 io auto 30.2 MiB 0.23 13124 451277 143814 296619 10844 79.3 MiB 2.42 0.03 10.2071 -2455.12 -10.2071 10.2071 0.88 0.005948 0.00536127 0.62692 0.567205 -1 -1 -1 -1 12 12537 29 2.187e+07 1.476e+07 -1 -1 12.91 2.04717 1.81918 39906 235943 -1 11657 19 7315 21879 1091195 200069 10.329 10.329 -2581.85 -10.329 0 0 -1 -1 0.06 0.60 0.18 -1 -1 0.06 0.242064 0.21604 + k4_n4_v7_l1_bidir.xml clma.blif common 152.57 vpr 260.00 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2648 62 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 266244 62 82 8460 8542 1 6288 2792 54 54 2916 clb auto 75.6 MiB 2.24 103742 2007296 810980 1179155 17161 259.9 MiB 15.94 0.15 39.1156 -2083.02 -39.1156 39.1156 3.68 0.0217357 0.0178819 2.38549 1.96894 -1 -1 -1 -1 30 102811 27 8.112e+07 7.944e+07 -1 -1 111.17 9.74575 7.86223 274144 2056336 -1 98721 16 38802 154791 12663335 1902409 37.7061 37.7061 -2171.92 -37.7061 0 0 -1 -1 0.55 5.07 1.63 -1 -1 0.55 0.999472 0.848067 + k4_n4_v7_l1_bidir.xml des.blif common 25.77 vpr 92.64 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 484 256 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 94864 256 245 1847 2092 0 1412 985 34 34 1156 io auto 28.9 MiB 0.48 17257 432097 143595 271357 17145 92.3 MiB 2.26 0.03 18.8241 -3130.1 -18.8241 nan 1.27 0.00658266 0.00603047 0.609402 0.558097 -1 -1 -1 -1 14 17359 30 3.072e+07 1.452e+07 -1 -1 17.02 2.07374 1.87856 59520 367032 -1 16289 18 8044 28971 1902864 353863 18.0321 nan -3199.72 -18.0321 0 0 -1 -1 0.10 0.80 0.29 -1 -1 0.10 0.267971 0.244445 + k4_n4_v7_l1_bidir.xml diffeq.blif common 11.64 vpr 67.82 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 439 64 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69448 64 39 1935 1974 1 1077 542 23 23 529 clb auto 27.9 MiB 0.36 10163 148545 42077 102375 4093 67.8 MiB 1.08 0.02 12.0441 -2875.38 -12.0441 12.0441 0.56 0.00430479 0.0037965 0.308045 0.272397 -1 -1 -1 -1 16 10332 33 1.323e+07 1.317e+07 -1 -1 6.74 1.30841 1.12025 28434 179743 -1 9483 18 6528 24074 1365996 234850 12.4631 12.4631 -3015.42 -12.4631 0 0 -1 -1 0.04 0.61 0.13 -1 -1 0.04 0.194916 0.171717 + k4_n4_v7_l1_bidir.xml dsip.blif common 18.79 vpr 77.63 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 443 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79492 229 197 1815 2012 1 1190 869 29 29 841 io auto 28.0 MiB 0.38 12024 411224 134532 266541 10151 77.3 MiB 2.23 0.03 11.1435 -2606.08 -11.1435 11.1435 0.94 0.00566744 0.00516461 0.588345 0.536406 -1 -1 -1 -1 11 11733 38 2.187e+07 1.329e+07 -1 -1 11.77 1.744 1.56963 36882 207979 -1 10574 14 6196 21392 985879 203001 10.7649 10.7649 -2673.27 -10.7649 0 0 -1 -1 0.05 0.48 0.16 -1 -1 0.05 0.173526 0.156981 + k4_n4_v7_l1_bidir.xml elliptic.blif common 115.75 vpr 111.10 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1023 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 113768 131 114 4855 4969 1 2112 1268 34 34 1156 clb auto 44.4 MiB 0.84 32789 577508 205884 364526 7098 111.0 MiB 3.92 0.04 28.7214 -15557.5 -28.7214 28.7214 1.27 0.0109815 0.00921172 0.986391 0.833304 -1 -1 -1 -1 24 36433 43 3.072e+07 3.069e+07 -1 -1 103.73 4.66493 3.90246 89088 639360 -1 31740 17 11379 52651 4955240 805229 28.1279 28.1279 -16635.6 -28.1279 0 0 -1 -1 0.15 1.28 0.30 -1 -1 0.15 0.298224 0.258467 + k4_n4_v7_l1_bidir.xml ex1010.blif common 78.90 vpr 149.61 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1563 10 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 153204 10 10 4608 4618 0 3574 1583 42 42 1764 clb auto 49.0 MiB 1.13 45378 813119 301047 509692 2380 149.6 MiB 6.25 0.07 35.3671 -339.874 -35.3671 nan 2.01 0.0102833 0.00845195 0.968513 0.801445 -1 -1 -1 -1 20 49075 39 4.8e+07 4.689e+07 -1 -1 59.88 3.92366 3.2018 117920 807896 -1 44998 16 24650 99851 5423374 1000125 34.8516 nan -338.727 -34.8516 0 0 -1 -1 0.21 2.22 0.62 -1 -1 0.21 0.42565 0.366905 + k4_n4_v7_l1_bidir.xml ex5p.blif common 21.65 vpr 63.54 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 367 8 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65060 8 63 1072 1135 0 898 438 22 22 484 clb auto 24.2 MiB 0.28 11915 106806 31455 73557 1794 63.5 MiB 0.77 0.01 16.2558 -718.217 -16.2558 nan 0.47 0.00280855 0.00253426 0.195106 0.176517 -1 -1 -1 -1 24 13413 30 1.2e+07 1.101e+07 -1 -1 17.58 1.01507 0.875233 36000 257712 -1 11900 15 6787 23733 1853955 288518 16.2529 nan -726.803 -16.2529 0 0 -1 -1 0.05 0.53 0.19 -1 -1 0.05 0.103489 0.0915351 + k4_n4_v7_l1_bidir.xml frisc.blif common 94.05 vpr 121.02 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1094 20 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 123920 20 116 4445 4561 1 2290 1230 36 36 1296 clb auto 44.1 MiB 0.99 38255 580886 202911 367450 10525 120.6 MiB 4.25 0.05 29.223 -16576.9 -29.223 29.223 1.43 0.0105708 0.00897893 0.999254 0.851085 -1 -1 -1 -1 26 40614 39 3.468e+07 3.282e+07 -1 -1 79.50 4.26322 3.57492 104992 763300 -1 37284 18 13336 60167 5347576 900846 28.6821 28.6821 -16996 -28.6821 0 0 -1 -1 0.20 1.82 0.58 -1 -1 0.20 0.421201 0.366517 + k4_n4_v7_l1_bidir.xml misex3.blif common 17.56 vpr 65.71 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 450 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67284 14 14 1411 1425 0 1056 478 24 24 576 clb auto 26.1 MiB 0.40 13799 121898 36530 83432 1936 65.7 MiB 0.91 0.01 18.1166 -222.755 -18.1166 nan 0.58 0.00323875 0.00286176 0.229781 0.204181 -1 -1 -1 -1 21 15917 38 1.452e+07 1.35e+07 -1 -1 12.60 1.01596 0.869596 39160 271852 -1 13861 19 6925 26912 1892268 324238 17.8372 nan -222.89 -17.8372 0 0 -1 -1 0.06 0.66 0.21 -1 -1 0.06 0.14886 0.130215 + k4_n4_v7_l1_bidir.xml pdc.blif common 418.57 vpr 161.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1606 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 164932 16 40 4591 4631 0 3616 1662 43 43 1849 clb auto 50.4 MiB 1.48 71388 995502 386267 603819 5416 155.6 MiB 7.56 0.07 36.0567 -1232.66 -36.0567 nan 2.15 0.0118231 0.00974149 1.23901 1.02884 -1 -1 -1 -1 34 83956 48 5.043e+07 4.818e+07 -1 -1 394.83 5.32434 4.35296 185730 1416087 -1 74292 16 24740 103095 11970321 1866116 34.9964 nan -1210.26 -34.9964 0 0 -1 -1 0.37 3.72 1.11 -1 -1 0.37 0.501094 0.427155 + k4_n4_v7_l1_bidir.xml s298.blif common 14.97 vpr 70.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 573 4 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71716 4 6 1942 1948 1 1167 583 26 26 676 clb auto 29.8 MiB 0.37 13721 168529 51547 115716 1266 70.0 MiB 1.27 0.02 26.8992 -206.444 -26.8992 26.8992 0.69 0.00496419 0.00432315 0.361202 0.317423 -1 -1 -1 -1 17 15103 41 1.728e+07 1.719e+07 -1 -1 8.88 1.36971 1.16775 39072 254696 -1 13708 17 7890 40380 2928639 390491 25.7904 25.7904 -205.684 -25.7904 0 0 -1 -1 0.06 0.94 0.21 -1 -1 0.06 0.209805 0.184411 + k4_n4_v7_l1_bidir.xml s38417.blif common 61.66 vpr 189.10 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1852 29 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 193636 29 106 7534 7640 1 4623 1987 46 46 2116 clb auto 61.8 MiB 1.63 45682 1150699 423694 711314 15691 189.1 MiB 8.82 0.10 24.016 -14000.6 -24.016 24.016 2.46 0.0169007 0.0139461 1.64128 1.36389 -1 -1 -1 -1 16 41981 27 5.808e+07 5.556e+07 -1 -1 37.78 5.55941 4.54523 118272 756192 -1 39731 15 23886 81328 4350389 861432 23.6137 23.6137 -14728 -23.6137 0 0 -1 -1 0.20 2.01 0.59 -1 -1 0.20 0.647233 0.553971 + k4_n4_v7_l1_bidir.xml s38584.1.blif common 67.22 vpr 183.30 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1787 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 187696 38 304 7475 7779 1 4320 2129 45 45 2025 clb auto 61.8 MiB 1.45 43799 1343749 517594 801490 24665 183.3 MiB 9.49 0.12 21.0587 -13121.7 -21.0587 21.0587 2.45 0.0167009 0.0137478 1.77708 1.46891 -1 -1 -1 -1 16 39654 47 5.547e+07 5.361e+07 -1 -1 43.54 5.72828 4.70791 113090 722879 -1 37482 13 19902 66283 3641519 680597 20.2436 20.2436 -13770 -20.2436 0 0 -1 -1 0.19 1.67 0.56 -1 -1 0.19 0.58033 0.500952 + k4_n4_v7_l1_bidir.xml seq.blif common 39.62 vpr 69.59 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 567 41 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71260 41 35 1791 1826 0 1347 643 26 26 676 clb auto 29.0 MiB 0.45 18608 203145 65187 132320 5638 69.6 MiB 1.44 0.02 18.1385 -520.265 -18.1385 nan 0.69 0.00437225 0.00383137 0.338582 0.298021 -1 -1 -1 -1 24 20201 48 1.728e+07 1.701e+07 -1 -1 33.10 1.88123 1.59699 51072 366016 -1 19098 15 8908 34158 2699342 394310 17.881 nan -530.81 -17.881 0 0 -1 -1 0.08 0.83 0.27 -1 -1 0.08 0.160739 0.141136 + k4_n4_v7_l1_bidir.xml spla.blif common 187.45 vpr 130.33 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1282 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 133456 16 46 3706 3752 0 2852 1344 38 38 1444 clb auto 43.1 MiB 1.08 48851 705674 261242 438237 6195 129.8 MiB 4.82 0.05 28.2789 -928.469 -28.2789 nan 1.62 0.00923375 0.00767658 0.87033 0.724376 -1 -1 -1 -1 30 55651 36 3.888e+07 3.846e+07 -1 -1 170.35 3.95474 3.27046 133344 1000208 -1 49871 15 17906 78246 6885546 1014380 27.1504 nan -941.372 -27.1504 0 0 -1 -1 0.25 2.27 0.77 -1 -1 0.25 0.36105 0.312454 + k4_n4_v7_l1_bidir.xml tseng.blif common 6.87 vpr 64.39 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 292 52 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65932 52 122 1483 1605 1 725 466 20 20 400 clb auto 25.0 MiB 0.16 6135 112438 30480 78177 3781 64.4 MiB 0.46 0.01 11.1777 -2780.46 -11.1777 11.1777 0.26 0.00160816 0.00140359 0.112456 0.0992435 -1 -1 -1 -1 14 6150 30 9.72e+06 8.76e+06 -1 -1 4.21 0.681536 0.590913 19872 120996 -1 5573 18 4232 15969 711167 147306 11.3812 11.3812 -3151.62 -11.3812 0 0 -1 -1 0.03 0.34 0.09 -1 -1 0.03 0.138745 0.122462 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_func_formal/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_func_formal/config/golden_results.txt index 9a0185a4a79..bb78a015d23 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_func_formal/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_func_formal/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml sha.v common 44.03 vpr 73.78 MiB -1 -1 3.12 49440 21 3.46 -1 -1 43232 -1 -1 149 38 -1 -1 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 75548 38 36 2570 2606 1 1074 223 15 15 225 clb auto 36.3 MiB 1.63 9037 73.8 MiB 0.90 0.01 11.9791 -2411.35 -11.9791 11.9791 0.03 0.00596368 0.00534087 0.447849 0.394176 -1 12486 10 9.10809e+06 8.03021e+06 2.53713e+06 11276.1 0.39 0.766703 0.68744 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_40nm.xml sha.v common 27.28 vpr 73.95 MiB -1 -1 4.23 47424 21 2.24 -1 -1 40536 -1 -1 150 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75720 38 36 2570 2606 1 1074 224 15 15 225 clb auto 33.2 MiB 1.42 8222 34904 7738 25262 1904 73.9 MiB 0.73 0.01 13.816 -2613.59 -13.816 13.816 0.00 0.0060727 0.0053926 0.356833 0.315667 -1 -1 -1 -1 -1 11320 14 9.10809e+06 8.0841e+06 2.53713e+06 11276.1 0.33 0.616726 0.549992 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_reg_multiclock_mcnc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_reg_multiclock_mcnc/config/golden_results.txt index 8308ae3e0d1..fce3205d8f2 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_reg_multiclock_mcnc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_reg_multiclock_mcnc/config/golden_results.txt @@ -1,11 +1,11 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_40nm.xml bigkey.blif common 11.30 vpr 63.27 MiB -1 -1 -1 -1 3 0.32 -1 -1 34796 -1 -1 53 229 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64792 229 197 1023 1220 1 510 479 16 16 256 io auto 24.4 MiB 0.26 3412 154065 46239 98678 9148 63.3 MiB 0.73 0.01 2.41239 -578.515 -2.41239 2.41239 0.56 0.00388149 0.00363052 0.3504 0.328056 34 6563 36 1.05632e+07 2.85638e+06 613832. 2397.78 7.21 1.89404 1.73907 24564 122629 -1 5999 13 1330 2398 156004 35692 2.69369 2.69369 -639.318 -2.69369 0 0 751777. 2936.63 0.19 0.17 0.10 -1 -1 0.19 0.128614 0.119851 -k6_frac_N10_40nm.xml clma.blif common 7.57 vpr 60.88 MiB -1 -1 -1 -1 7 2.24 -1 -1 39876 -1 -1 77 36 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62344 36 82 542 624 1 389 195 11 11 121 clb auto 22.2 MiB 0.52 2075 39180 14775 18229 6176 60.9 MiB 0.27 0.00 4.56725 -162.762 -4.56725 4.56725 0.22 0.00159549 0.00146528 0.119745 0.110395 40 4742 31 4.36541e+06 4.14984e+06 303235. 2506.08 2.55 0.592576 0.518987 11571 60661 -1 3946 19 2186 7344 217806 45734 4.7836 4.7836 -183.173 -4.7836 0 0 379421. 3135.71 0.08 0.13 0.05 -1 -1 0.08 0.0725952 0.0652714 -k6_frac_N10_40nm.xml diffeq.blif common 4.35 vpr 62.58 MiB -1 -1 -1 -1 8 0.40 -1 -1 34344 -1 -1 52 64 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64080 64 39 941 980 1 449 155 10 10 100 clb auto 23.7 MiB 0.70 2754 14299 2774 10573 952 62.6 MiB 0.19 0.00 5.00123 -878.262 -5.00123 5.00123 0.18 0.00232392 0.00210955 0.0947283 0.0862055 46 4930 28 3.44922e+06 2.80249e+06 276332. 2763.32 1.38 0.487916 0.424969 9816 55112 -1 4472 14 1803 5492 169063 35103 5.16783 5.16783 -930.109 -5.16783 0 0 354105. 3541.05 0.07 0.14 0.04 -1 -1 0.07 0.0907073 0.0819924 -k6_frac_N10_40nm.xml dsip.blif common 7.40 vpr 64.36 MiB -1 -1 -1 -1 3 0.30 -1 -1 34644 -1 -1 68 229 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65904 229 197 1135 1332 1 648 494 16 16 256 io auto 24.9 MiB 0.70 4700 170318 50861 109699 9758 64.4 MiB 0.86 0.01 2.3875 -617.47 -2.3875 2.3875 0.55 0.00411276 0.00382969 0.375801 0.34991 34 9287 43 1.05632e+07 3.66479e+06 613832. 2397.78 2.70 1.24173 1.13943 24564 122629 -1 8151 13 2265 5666 327590 69866 2.60848 2.60848 -674.007 -2.60848 0 0 751777. 2936.63 0.18 0.22 0.10 -1 -1 0.18 0.147267 0.137349 -k6_frac_N10_40nm.xml elliptic.blif common 12.50 vpr 72.55 MiB -1 -1 -1 -1 10 1.33 -1 -1 37408 -1 -1 133 131 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74288 131 114 2471 2585 1 970 378 14 14 196 clb auto 32.0 MiB 2.92 9165 74142 19344 51191 3607 72.5 MiB 0.75 0.02 7.14215 -3414.64 -7.14215 7.14215 0.39 0.00547722 0.00485817 0.331988 0.297156 64 15825 36 7.76074e+06 7.1679e+06 810706. 4136.26 3.87 1.47043 1.28666 22444 164128 -1 14159 17 4519 20253 781043 131442 7.47917 7.47917 -3699.02 -7.47917 0 0 1.00880e+06 5146.95 0.21 0.43 0.13 -1 -1 0.21 0.253091 0.228552 -k6_frac_N10_40nm.xml frisc.blif common 16.27 vpr 74.19 MiB -1 -1 -1 -1 12 2.02 -1 -1 37188 -1 -1 153 20 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75968 20 116 2477 2593 1 1088 289 15 15 225 clb auto 33.3 MiB 3.67 12581 59499 15581 39947 3971 74.2 MiB 0.86 0.01 8.14365 -3747.83 -8.14365 8.14365 0.46 0.00553861 0.00488686 0.382187 0.339626 74 21933 44 9.10809e+06 8.24578e+06 1.06098e+06 4715.46 5.56 1.63058 1.41486 27275 217117 -1 19654 18 5722 25295 1201911 194668 8.26982 8.26982 -3960.51 -8.26982 0 0 1.32822e+06 5903.22 0.28 0.55 0.17 -1 -1 0.28 0.277444 0.249442 -k6_frac_N10_40nm.xml s298.blif common 5.81 vpr 62.13 MiB -1 -1 -1 -1 8 0.57 -1 -1 33988 -1 -1 61 4 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63620 4 6 671 677 1 350 71 10 10 100 clb auto 23.2 MiB 0.91 3493 3283 433 2659 191 62.1 MiB 0.10 0.00 5.33805 -43.3781 -5.33805 5.33805 0.18 0.00193693 0.00174134 0.0562002 0.0515773 52 5783 22 3.44922e+06 3.28753e+06 305142. 3051.42 2.47 0.614574 0.526903 10212 61796 -1 5397 17 2148 10775 376607 64965 5.90507 5.90507 -48.8576 -5.90507 0 0 401807. 4018.07 0.08 0.19 0.05 -1 -1 0.08 0.0945264 0.084902 -k6_frac_N10_40nm.xml s38417.blif common 14.75 vpr 78.14 MiB -1 -1 -1 -1 6 3.01 -1 -1 42440 -1 -1 179 29 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80016 29 106 3450 3556 1 1198 314 16 16 256 clb auto 37.1 MiB 2.04 8989 70670 18144 45519 7007 78.1 MiB 0.96 0.01 4.74073 -2890.12 -4.74073 4.74073 0.54 0.00636901 0.00556012 0.4763 0.414513 48 14980 41 1.05632e+07 9.64703e+06 819368. 3200.65 4.15 2.12828 1.82805 26860 167058 -1 13212 15 5024 17286 529604 104654 4.75139 4.75139 -2966.77 -4.75139 0 0 1.04748e+06 4091.72 0.24 0.42 0.13 -1 -1 0.24 0.302619 0.272356 -k6_frac_N10_40nm.xml s38584.1.blif common 16.03 vpr 78.52 MiB -1 -1 -1 -1 6 2.15 -1 -1 41076 -1 -1 194 38 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80400 38 304 3259 3563 1 1574 536 16 16 256 clb auto 37.8 MiB 3.25 9889 183320 55884 108640 18796 78.5 MiB 1.52 0.02 4.27217 -2394.9 -4.27217 4.27217 0.54 0.00692031 0.00615749 0.646279 0.571663 58 17695 25 1.05632e+07 1.04554e+07 977637. 3818.90 4.53 2.11223 1.84277 28644 201685 -1 15484 13 5074 13582 483608 102794 4.69981 4.69981 -2495.41 -4.69981 0 0 1.24374e+06 4858.37 0.28 0.40 0.16 -1 -1 0.28 0.308951 0.281339 -k6_frac_N10_40nm.xml tseng.blif common 3.98 vpr 60.70 MiB -1 -1 -1 -1 7 0.27 -1 -1 34484 -1 -1 34 52 -1 -1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62156 52 122 664 786 1 355 208 8 8 64 io clb auto 22.0 MiB 0.68 1783 26080 5856 18588 1636 60.7 MiB 0.20 0.00 4.2185 -596.347 -4.2185 4.2185 0.10 0.00193464 0.00178089 0.0920256 0.0848454 58 3398 46 1.94018e+06 1.8324e+06 203254. 3175.84 1.50 0.686589 0.603505 6532 39661 -1 2965 13 1169 3143 108747 29150 4.26194 4.26194 -630.352 -4.26194 0 0 258247. 4035.11 0.05 0.10 0.03 -1 -1 0.05 0.0682836 0.0622434 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_40nm.xml bigkey.blif common 5.65 vpr 64.37 MiB -1 -1 -1 -1 3 0.37 -1 -1 34760 -1 -1 53 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65912 229 197 1023 1220 1 510 479 16 16 256 io auto 23.7 MiB 0.27 3641 154065 46430 98015 9620 64.4 MiB 0.75 0.01 2.42373 -577.247 -2.42373 2.42373 0.27 0.00402185 0.0037687 0.347336 0.325429 -1 -1 -1 -1 36 6328 35 1.05632e+07 2.85638e+06 638738. 2495.07 2.33 1.3288 1.226 24820 128426 -1 5481 8 1306 2376 126862 31374 2.67597 2.67597 -632.008 -2.67597 0 0 786978. 3074.13 0.03 0.14 0.10 -1 -1 0.03 0.10014 0.0939306 + k6_frac_N10_40nm.xml clma.blif common 7.01 vpr 61.45 MiB -1 -1 -1 -1 7 2.26 -1 -1 40008 -1 -1 79 36 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62928 36 82 542 624 1 389 197 11 11 121 clb auto 22.0 MiB 0.54 2089 43172 15722 20877 6573 61.5 MiB 0.30 0.01 4.60077 -165.07 -4.60077 4.60077 0.11 0.00158856 0.00146661 0.128968 0.119097 -1 -1 -1 -1 44 4139 30 4.36541e+06 4.25763e+06 327165. 2703.84 1.96 0.513339 0.454587 11931 67129 -1 3316 16 1708 5716 138864 32420 4.83136 4.83136 -175.151 -4.83136 0 0 426099. 3521.48 0.01 0.11 0.05 -1 -1 0.01 0.0673334 0.0609583 + k6_frac_N10_40nm.xml diffeq.blif common 4.08 vpr 63.54 MiB -1 -1 -1 -1 8 0.41 -1 -1 34312 -1 -1 52 64 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65060 64 39 941 980 1 449 155 10 10 100 clb auto 23.5 MiB 0.77 2832 12635 2438 9282 915 63.5 MiB 0.18 0.01 4.98272 -882.824 -4.98272 4.98272 0.09 0.00238086 0.0021534 0.0867068 0.0790903 -1 -1 -1 -1 46 4591 19 3.44922e+06 2.80249e+06 276332. 2763.32 1.21 0.589006 0.512826 9816 55112 -1 4111 18 1656 5042 136520 29654 5.41789 5.41789 -952.235 -5.41789 0 0 354105. 3541.05 0.01 0.16 0.04 -1 -1 0.01 0.110724 0.0994958 + k6_frac_N10_40nm.xml dsip.blif common 7.35 vpr 64.67 MiB -1 -1 -1 -1 3 0.33 -1 -1 34628 -1 -1 68 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66224 229 197 1135 1332 1 648 494 16 16 256 io auto 25.0 MiB 0.72 5390 162510 50223 103313 8974 64.7 MiB 0.91 0.01 2.31397 -600.978 -2.31397 2.31397 0.27 0.00421493 0.00392148 0.372643 0.347093 -1 -1 -1 -1 34 9972 32 1.05632e+07 3.66479e+06 613832. 2397.78 3.30 1.45616 1.33282 24564 122629 -1 8332 13 2339 5868 306706 68264 2.51113 2.51113 -669.569 -2.51113 0 0 751777. 2936.63 0.03 0.23 0.10 -1 -1 0.03 0.152244 0.141942 + k6_frac_N10_40nm.xml elliptic.blif common 11.64 vpr 72.78 MiB -1 -1 -1 -1 10 1.34 -1 -1 37432 -1 -1 134 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74524 131 114 2471 2585 1 965 379 14 14 196 clb auto 31.8 MiB 3.04 9493 78526 21300 53244 3982 72.8 MiB 0.92 0.02 6.66989 -3362.18 -6.66989 6.66989 0.19 0.00621513 0.00561729 0.393073 0.34792 -1 -1 -1 -1 64 15463 36 7.76074e+06 7.2218e+06 810706. 4136.26 3.33 1.63306 1.42706 22444 164128 -1 13666 16 4234 17970 605416 107406 6.78748 6.78748 -3469.91 -6.78748 0 0 1.00880e+06 5146.95 0.03 0.43 0.13 -1 -1 0.03 0.272075 0.245691 + k6_frac_N10_40nm.xml frisc.blif common 13.54 vpr 74.06 MiB -1 -1 -1 -1 12 2.03 -1 -1 37144 -1 -1 154 20 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75836 20 116 2477 2593 1 1095 290 15 15 225 clb auto 33.2 MiB 3.17 12890 61666 15631 41457 4578 74.1 MiB 0.67 0.01 8.02167 -3816.55 -8.02167 8.02167 0.17 0.00308355 0.00276008 0.244864 0.21648 -1 -1 -1 -1 74 21484 43 9.10809e+06 8.29968e+06 1.06098e+06 4715.46 4.50 1.57616 1.37159 27275 217117 -1 19434 16 5788 25215 1069833 185373 8.27179 8.27179 -4029.23 -8.27179 0 0 1.32822e+06 5903.22 0.04 0.55 0.17 -1 -1 0.04 0.282827 0.255609 + k6_frac_N10_40nm.xml s298.blif common 4.79 vpr 62.54 MiB -1 -1 -1 -1 8 0.58 -1 -1 33952 -1 -1 61 4 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64036 4 6 671 677 1 350 71 10 10 100 clb auto 23.2 MiB 0.90 3495 3137 432 2529 176 62.5 MiB 0.10 0.00 5.3014 -43.7499 -5.3014 5.3014 0.09 0.00191372 0.00173621 0.0550982 0.0506336 -1 -1 -1 -1 54 5597 27 3.44922e+06 3.28753e+06 316276. 3162.76 1.25 0.504852 0.437208 10312 63908 -1 5157 17 2266 11525 327366 61505 5.48392 5.48392 -46.8399 -5.48392 0 0 411339. 4113.39 0.01 0.18 0.05 -1 -1 0.01 0.0985021 0.0889271 + k6_frac_N10_40nm.xml s38417.blif common 14.29 vpr 78.14 MiB -1 -1 -1 -1 6 3.06 -1 -1 42400 -1 -1 176 29 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80012 29 106 3450 3556 1 1190 311 16 16 256 clb auto 36.9 MiB 1.87 8949 65597 16026 43107 6464 78.1 MiB 1.20 0.02 4.50231 -2905.37 -4.50231 4.50231 0.27 0.00878799 0.00788817 0.629893 0.548582 -1 -1 -1 -1 46 15732 39 1.05632e+07 9.48534e+06 786978. 3074.13 4.38 2.35028 2.01673 26604 162157 -1 13635 14 5142 17426 519616 106370 4.53102 4.53102 -2987.6 -4.53102 0 0 1.01084e+06 3948.58 0.03 0.46 0.12 -1 -1 0.03 0.329139 0.295823 + k6_frac_N10_40nm.xml s38584.1.blif common 15.85 vpr 78.47 MiB -1 -1 -1 -1 6 2.17 -1 -1 41016 -1 -1 203 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80352 38 304 3259 3563 1 1559 545 17 17 289 clb auto 37.3 MiB 3.11 10446 174095 49766 105233 19096 78.5 MiB 1.70 0.02 4.29015 -2399.8 -4.29015 4.29015 0.30 0.00816298 0.00737727 0.707435 0.623009 -1 -1 -1 -1 58 17767 23 1.21262e+07 1.09405e+07 1.11519e+06 3858.79 4.82 2.79347 2.44034 32499 230706 -1 16008 15 5250 14700 516522 110817 4.81093 4.81093 -2541.32 -4.81093 0 0 1.41877e+06 4909.24 0.05 0.49 0.18 -1 -1 0.05 0.36595 0.331861 + k6_frac_N10_40nm.xml tseng.blif common 3.49 vpr 61.39 MiB -1 -1 -1 -1 7 0.30 -1 -1 34332 -1 -1 33 52 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62864 52 122 664 786 1 358 207 8 8 64 io clb auto 21.8 MiB 0.69 1908 22239 4478 16280 1481 61.4 MiB 0.19 0.01 4.25559 -597.466 -4.25559 4.25559 0.05 0.00195021 0.00179724 0.0809638 0.0748422 -1 -1 -1 -1 58 3323 26 1.94018e+06 1.7785e+06 203254. 3175.84 1.00 0.508961 0.449326 6532 39661 -1 2850 14 1079 2916 89573 24965 4.64398 4.64398 -644.298 -4.64398 0 0 258247. 4035.11 0.01 0.11 0.03 -1 -1 0.01 0.0730931 0.0666261 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_reg_netlist_writer/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_reg_netlist_writer/config/golden_results.txt index e7281d70fba..6a59a733970 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_reg_netlist_writer/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_reg_netlist_writer/config/golden_results.txt @@ -1,5 +1,5 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 47.21 vpr 98.92 MiB -1 -1 5.05 65016 8 3.45 -1 -1 44040 -1 -1 251 385 2 1 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 101292 385 362 4531 4417 1 2465 1001 26 26 676 io auto 59.7 MiB 5.71 30896 96.5 MiB 3.76 0.04 8.37958 -9104.32 -8.37958 8.37958 1.98 0.00830834 0.00758752 1.05656 0.959646 90 47787 47 3.69863e+07 1.50194e+07 3.84682e+06 5690.57 18.16 4.21656 3.81172 44385 19 10566 34671 3451960 662494 9.05146 9.05146 -10537 -9.05146 0 0 4.81243e+06 7118.99 1.55 1.22 0.549245 0.511207 - k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 19.74 vpr 79.73 MiB -1 -1 2.63 46860 4 2.10 -1 -1 41524 -1 -1 132 38 0 0 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 81640 38 36 2744 2493 1 1037 206 16 16 256 clb auto 42.8 MiB 2.33 8753 79.7 MiB 0.86 0.01 9.36767 -2501.88 -9.36767 9.36767 0.82 0.00550316 0.00491598 0.40002 0.356102 62 13665 39 1.21132e+07 7.11401e+06 968026. 3781.35 6.03 2.53777 2.22319 12472 21 4184 9814 370969 64997 10.7551 10.7551 -3015.2 -10.7551 0 0 1.20332e+06 4700.46 0.45 0.55 0.435383 0.391894 - k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 28.60 vpr 68.80 MiB -1 -1 17.23 47568 3 0.87 -1 -1 38244 -1 -1 44 196 1 0 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 70452 196 193 1202 1347 1 614 434 15 15 225 io auto 30.8 MiB 0.96 3011 68.8 MiB 0.68 0.01 2.01184 -980.486 -2.01184 2.01184 0.78 0.00265232 0.00237738 0.259845 0.234269 40 6048 22 1.03862e+07 2.91934e+06 568276. 2525.67 4.59 1.09948 1.00544 5465 12 1709 2607 217962 55517 2.51002 2.51002 -1171.5 -2.51002 0 0 712852. 3168.23 0.29 0.17 0.113728 0.107732 - k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 39.01 vpr 82.69 MiB -1 -1 4.24 45940 3 1.03 -1 -1 40156 -1 -1 120 236 1 6 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 84672 236 305 3195 3007 1 1534 668 19 19 361 io auto 45.6 MiB 3.15 12151 82.7 MiB 2.21 0.03 4.27652 -2642.42 -4.27652 4.27652 1.33 0.00627945 0.00562841 0.771371 0.692542 62 23629 24 1.72706e+07 9.39128e+06 1.42198e+06 3939.00 19.99 3.88206 3.48504 20659 16 6434 17007 3134575 708021 4.9842 4.9842 -3082.5 -4.9842 0 0 1.76637e+06 4892.99 0.79 1.16 0.398852 0.370941 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 45.88 vpr 105.39 MiB -1 -1 6.79 63484 8 3.06 -1 -1 40704 -1 -1 250 385 2 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 107916 385 362 4415 4299 1 2365 1000 26 26 676 io auto 53.4 MiB 8.02 30064 545782 201861 320153 23768 96.5 MiB 5.36 0.06 9.17025 -9814.95 -9.17025 9.17025 0.75 0.0154429 0.0143793 1.79153 1.64504 -1 -1 -1 -1 86 44693 20 3.69863e+07 1.49655e+07 3.69198e+06 5461.52 13.35 6.72204 6.1687 89040 769342 -1 41511 17 9662 32287 1739041 311622 9.36868 9.36868 -10331.7 -9.36868 0 0 4.67059e+06 6909.16 0.16 1.11 0.68 -1 -1 0.16 0.681008 0.635643 + k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 15.44 vpr 81.45 MiB -1 -1 3.43 44504 3 1.23 -1 -1 39800 -1 -1 141 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83404 38 36 2739 2488 1 1022 215 17 17 289 clb auto 40.5 MiB 1.96 8926 42010 10393 28489 3128 81.4 MiB 0.91 0.01 10.0828 -2706.04 -10.0828 10.0828 0.30 0.00541664 0.00477131 0.391772 0.345384 -1 -1 -1 -1 62 13454 38 1.34605e+07 7.59905e+06 1.10657e+06 3828.96 3.45 1.77757 1.52314 31771 216973 -1 12449 21 4102 9497 328915 58876 10.8931 10.8931 -3012.43 -10.8931 0 0 1.37508e+06 4758.06 0.05 0.40 0.19 -1 -1 0.05 0.289893 0.256286 + k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 24.74 vpr 70.58 MiB -1 -1 17.86 45828 3 0.69 -1 -1 35540 -1 -1 48 196 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72272 196 193 1201 1346 1 606 438 15 15 225 io auto 31.2 MiB 0.83 3130 146694 39708 93961 13025 70.6 MiB 0.73 0.01 2.24601 -1081.12 -2.24601 2.24601 0.23 0.00356204 0.00332113 0.333364 0.31069 -1 -1 -1 -1 36 6058 29 1.03862e+07 3.13491e+06 520410. 2312.93 1.93 1.18409 1.08635 21110 102306 -1 5134 10 1618 2340 136007 39516 2.56471 2.56471 -1177.45 -2.56471 0 0 643451. 2859.78 0.02 0.14 0.09 -1 -1 0.02 0.102579 0.095844 + k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 22.86 vpr 83.41 MiB -1 -1 4.78 42380 3 0.69 -1 -1 37656 -1 -1 129 236 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85412 236 305 3199 3011 1 1520 677 19 19 361 io auto 42.5 MiB 2.99 12761 268067 88565 164726 14776 83.4 MiB 2.03 0.03 4.74988 -2887.79 -4.74988 4.74988 0.39 0.00859777 0.00794312 0.829104 0.763261 -1 -1 -1 -1 62 24213 37 1.72706e+07 9.87633e+06 1.42198e+06 3939.00 7.32 3.04293 2.76861 40483 281719 -1 20603 18 6062 15441 1381198 347776 4.88181 4.88181 -3127.07 -4.88181 0 0 1.76637e+06 4892.99 0.06 0.66 0.24 -1 -1 0.06 0.38505 0.357195 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_timing_update_diff/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_timing_update_diff/config/golden_results.txt index cf79f555b8c..a4f9b24ece9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_timing_update_diff/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_timing_update_diff/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 641.67 vpr 492.06 MiB -1 -1 62.37 452332 97 114.33 -1 -1 115316 -1 -1 2134 114 45 8 success 897b3a8-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-31T03:36:34 gh-actions-runner-vtr-auto-spawned5 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 503872 114 102 35773 31864 1 16914 2403 56 56 3136 clb auto 343.5 MiB 83.71 223478 368.9 MiB 77.61 0.67 65.1983 -51745.6 -65.1983 65.1983 1.35 0.10571 0.0920455 13.1674 10.7012 -1 321806 23 1.8697e+08 1.4284e+08 2.05038e+07 6538.21 25.53 21.7816 18.0231 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 487.31 vpr 496.91 MiB -1 -1 76.36 452592 97 71.44 -1 -1 112732 -1 -1 2151 114 45 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 508836 114 102 35834 31925 1 16897 2420 56 56 3136 clb auto 278.8 MiB 75.84 221426 1805060 662974 1113867 28219 408.5 MiB 56.83 0.51 73.2133 -55671.2 -73.2133 73.2133 0.01 0.0965983 0.0857314 13.1957 10.9836 -1 -1 -1 -1 -1 309163 22 1.8697e+08 1.43756e+08 2.23321e+07 7121.20 10.26 19.311 16.2288 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_timing_update_diff_titan/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_timing_update_diff_titan/config/golden_results.txt index f2b439ff03e..b88815848b4 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_timing_update_diff_titan/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2/vtr_timing_update_diff_titan/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time - stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common 1315.84 77 3123 89 136 0 0 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 2858228 42 35 119888 86875 1 51283 3425 129 96 12384 DSP auto 86.66 609879 114.00 0.78 7.31659 -73007.4 -6.31659 6.39652 193.04 0.230423 0.177265 33.2852 25.4119 777696 -1 -1 -1 -1 0 0 2.28642e+08 18462.7 20 7.77193 6.96909 -118197 -6.77193 0 0 50.21 46.7196 37.2174 149.58 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common 868.60 vpr 2.85 GiB 77 3136 89 136 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2992704 42 35 119888 86875 1 50816 3438 129 96 12384 DSP auto 1747.1 MiB 107.17 582667 3141815 1177622 1941316 22877 2922.6 MiB 141.55 1.07 8.30634 -81008.6 -7.30634 5.71368 0.07 0.395558 0.333308 50.4231 42.3852 753664 14.8455 159283 3.13753 108681 189329 132420316 35517631 0 0 2.28639e+08 18462.4 18 3593250 39165143 -1 8.74065 6.13717 -112227 -7.74065 0 0 68.73 -1 -1 2922.6 MiB 45.88 68.8436 58.8864 2922.6 MiB -1 22.31 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/titan_other/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/titan_other/config/golden_results.txt index 71836073bd1..b1f58c98f8e 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/titan_other/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/titan_other/config/golden_results.txt @@ -1,24 +1,24 @@ -arch circuit script_params vtr_flow_elapsed_time error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time -stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 311.80 274 967 36 59 0 2 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1686764 22 252 53001 29054 7 24654 1338 89 66 5874 DSP auto 23.51 252822 67.35 0.34 7.26641 -38060.9 -6.26641 2.79561 72.76 0.0922902 0.0742036 19.4784 15.1106 354720 83977 171255 284760839 58437920 0 0 1.08076e+08 18399.1 50 7.93667 2.94925 -43306.7 -6.93667 0 0 48.18 30.2955 24.559 65.43 -stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 215.82 36 1583 10 10 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1399980 3 33 48977 39238 1 25960 1639 54 40 2160 LAB auto 60.22 275660 73.30 0.48 76.888 -77679.7 -75.888 76.888 8.10 0.0956007 0.0692678 14.5078 10.6502 370341 90509 238008 217472654 23545267 0 0 3.96465e+07 18354.9 28 71.6492 71.6492 -105914 -70.6492 0 0 28.14 21.4905 16.5041 21.42 -stratixiv_arch.timing.xml CHERI_stratixiv_arch_timing.blif common 492.02 211 2256 3 210 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1898600 38 173 62892 59064 3 36122 2680 86 64 5504 M9K auto 130.91 654114 131.72 0.80 13.3368 -342543 -12.3368 7.39474 50.51 0.177306 0.126609 25.7151 17.5933 892864 172996 611822 407520129 46601819 0 0 1.01289e+08 18402.8 68 14.1432 7.7062 -394796 -13.1432 0 0 73.84 51.3879 38.3937 62.13 -stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 613.15 574 2805 16 0 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1988108 4 570 66175 54803 2 39637 3395 91 67 6097 io auto 110.71 677805 163.29 1.08 28.2408 -109542 -27.2408 6.93264 72.80 0.187151 0.130173 25.6141 17.899 973037 224900 867383 947842960 143826835 0 0 1.12157e+08 18395.4 25 29.6387 8.19964 -124725 -28.6387 0 0 154.76 37.9372 28.0766 66.17 -stratixiv_arch.timing.xml fir_cascade_stratixiv_arch_timing.blif common 1318.55 40 3678 172 1 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 4743240 19 21 171111 96274 1 72623 3891 194 144 27936 DSP auto 76.77 765977 145.09 1.60 5.16314 -128136 -4.16314 3.90969 508.03 0.285112 0.239247 42.3664 34.8257 874132 150455 182899 349628973 70478863 0 0 5.18919e+08 18575.3 13 5.45721 4.48464 -179446 -4.45721 0 0 63.66 54.6347 45.956 400.24 -stratixiv_arch.timing.xml jacobi_stratixiv_arch_timing.blif common 341.45 536 1972 7 4 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1657868 227 309 49176 40422 1 28183 2519 85 63 5355 io auto 77.01 312290 91.24 0.69 195.971 -119913 -194.971 195.971 50.83 0.0991588 0.084565 16.0983 11.5643 406195 106821 335442 213233166 16541048 0 0 9.84408e+07 18383.0 22 188.774 188.774 -136523 -187.774 0 0 25.35 22.7627 17.0347 58.18 -stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 247.43 36 1332 8 149 2 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1531868 3 33 52402 39411 1 28117 1527 73 54 3942 M9K auto 61.30 324276 56.90 0.43 16.9115 -306564 -15.9115 16.9115 21.92 0.115668 0.0811981 14.2927 9.97478 446671 101291 248928 219409565 29355499 0 0 7.26339e+07 18425.6 49 17.5096 17.5096 -329353 -16.5096 0 0 36.21 25.5848 19.3127 40.00 -stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 103.86 251 951 1 17 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1118884 55 196 20131 19956 1 8395 1220 44 33 1452 io auto 36.33 120394 17.88 0.13 7.53064 -73100.4 -6.53064 7.53064 5.18 0.0385338 0.0250793 5.54194 3.66441 176474 31041 128473 83605460 6753731 0 0 2.65099e+07 18257.5 28 8.2232 8.2232 -80771.2 -7.2232 0 0 10.41 8.44641 6.09178 14.90 -stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 219.94 255 2095 1 28 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1429560 84 171 36458 36247 3 20591 2379 62 46 2852 LAB auto 73.17 304905 58.87 0.36 12.4545 -84121.6 -11.4545 3.90486 14.28 0.0957957 0.0630812 14.7936 9.65547 399311 62124 215218 133537079 11346973 0 0 5.24521e+07 18391.3 13 13.7366 4.34743 -96722.9 -12.7366 0 0 15.78 19.0668 13.1481 28.90 -stratixiv_arch.timing.xml MCML_stratixiv_arch_timing.blif common 423.80 69 2106 10 295 16 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 2030312 36 33 57796 49182 1 20383 2496 105 78 8190 M9K auto 64.78 248417 57.14 0.43 8.87852 -98126.1 -7.87852 8.87852 101.81 0.102251 0.0739036 16.5433 11.9168 401785 70797 206901 253160930 44501707 0 0 1.50986e+08 18435.5 70 8.73181 8.73181 -152440 -7.73181 0 0 59.20 32.5906 25.4858 95.57 -stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 414.48 478 1243 1 300 4 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1930672 202 276 35125 30509 3 21597 2026 106 79 8374 M9K auto 62.32 273262 46.18 0.37 9.02165 -38875.4 -8.02165 2.98256 116.87 0.119629 0.0874896 15.1424 10.6139 427620 69908 196969 259577742 42937167 0 0 1.54360e+08 18433.2 24 9.59492 3.21937 -53394.2 -8.59492 0 0 49.61 22.0979 16.4463 95.83 -stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 221.49 5 335 31 105 0 2 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1529424 3 2 14862 10304 26 7545 478 89 66 5874 DSP auto 32.10 110073 8.69 0.05 5.6799 -31954.6 -4.6799 3.86103 73.08 0.0297436 0.0249301 5.08886 3.80117 162487 18820 40748 49231361 8764921 0 0 1.08076e+08 18399.1 13 5.94858 4.19214 -42746.9 -4.94858 0 0 8.06 6.74261 5.24191 66.24 -stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 453.16 693 1772 25 16 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 2041664 35 658 51416 37539 1 27838 2506 108 80 8640 io auto 58.41 242409 99.55 0.46 37.8217 -58716.9 -36.8217 37.8217 112.56 0.0923575 0.0800076 22.4325 16.6445 339418 92511 294098 299646713 40180048 0 0 1.59377e+08 18446.5 21 38.6529 38.6529 -63587.1 -37.6529 0 0 37.23 29.0352 22.2784 99.48 -stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 370.66 753 1134 5 32 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 2077072 13 740 25173 25306 1 12841 1924 117 87 10179 io auto 43.57 162862 27.12 0.18 9.4498 -29888.4 -8.4498 7.62781 119.49 0.0419754 0.0337119 7.41368 5.12874 203573 33756 127355 88616513 6903805 0 0 1.87947e+08 18464.1 16 10.0597 7.94534 -35332.5 -9.05972 0 0 10.27 10.3001 7.58645 123.59 -stratixiv_arch.timing.xml smithwaterman_stratixiv_arch_timing.blif common 254.87 117 2162 0 0 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1586756 79 38 66795 54922 1 35874 2279 62 46 2852 LAB auto 66.38 265514 91.85 0.60 9.73271 -169191 -8.73271 9.73271 14.10 0.109447 0.0754959 15.151 10.2167 353280 150391 325210 185311692 14766611 0 0 5.24521e+07 18391.3 39 10.5988 10.5988 -198966 -9.59881 0 0 26.10 26.0487 19.0873 27.50 -stratixiv_arch.timing.xml stap_steering_stratixiv_arch_timing.blif common 199.98 213 1566 26 4 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1599748 139 74 57121 41054 1 24187 1809 75 56 4200 DSP auto 50.57 166705 40.06 0.27 5.67384 -21057.2 -4.67384 4.83115 17.58 0.089833 0.0688155 14.1813 10.5486 223321 55207 100513 123731930 21668188 0 0 7.74195e+07 18433.2 28 6.01154 5.30578 -34881.6 -5.01154 0 0 20.05 20.8584 16.3292 41.08 -stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 93.73 54 671 0 40 0 1 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1075876 2 52 16673 16662 2 12020 766 38 28 1064 LAB auto 28.79 181169 14.48 0.11 5.49667 -21261.7 -4.49667 4.87568 5.83 0.044069 0.0283665 5.5448 3.63538 246051 57719 179681 173183538 18866158 0 0 1.93821e+07 18216.2 19 6.0422 5.29849 -27330.5 -5.0422 0 0 19.56 8.20931 5.7957 8.71 -stratixiv_arch.timing.xml SURF_desc_stratixiv_arch_timing.blif common 310.33 445 2166 19 51 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1713300 131 314 57881 45152 1 32966 2681 73 54 3942 io auto 70.45 321679 104.87 0.89 196.373 -68832.2 -195.373 196.373 21.24 0.227108 0.170335 20.1175 14.878 433232 110664 348056 280252346 23247136 0 0 7.26339e+07 18425.6 39 188.336 188.336 -82847 -187.336 0 0 37.14 33.2841 25.9806 41.01 -stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 54.29 42 750 0 0 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1042248 13 29 26295 20086 1 12417 792 39 29 1131 LAB auto 9.43 75218 10.95 0.06 4.87175 -4560.25 -3.87175 2.71822 4.94 0.0168256 0.0134598 2.75581 1.80879 82722 32407 46016 30062452 2507226 0 0 2.05958e+07 18210.3 16 4.98602 2.75435 -5381.95 -3.98602 0 0 3.35 3.89581 2.77576 9.91 -stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 678.97 964 982 19 34 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 2781748 542 422 37277 26038 1 20655 1999 147 109 16023 io auto 41.73 267816 73.62 0.80 7.80246 -38033.5 -6.80246 7.6263 258.47 0.0892357 0.0697083 13.6631 10.2442 362796 92851 202881 211513388 35702086 0 0 2.96650e+08 18514.0 55 8.53312 8.20447 -44732.7 -7.53312 0 0 41.77 24.7555 19.7387 200.64 -stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 799.35 1107 724 0 0 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 3223976 403 704 15490 16194 1 8415 1831 167 124 20708 io auto 37.55 190229 16.71 0.14 11.4027 -21636.9 -10.4027 4.89489 400.55 0.0371088 0.0262547 5.13621 3.50481 233299 26413 105009 64404491 4696027 0 0 3.84012e+08 18544.1 14 11.8994 5.31154 -27542.3 -10.8994 0 0 7.34 6.87555 4.97917 259.42 -stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 89.94 35 730 0 6 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1046032 18 17 16969 16357 1 6291 771 39 29 1131 LAB auto 39.14 82220 9.36 0.08 6.86051 -43809.9 -5.86051 6.86051 4.27 0.0198281 0.0155483 3.08359 2.01596 116615 27639 146882 73829529 5721062 0 0 2.05958e+07 18210.3 43 7.27333 7.27333 -49633.6 -6.27333 0 0 10.96 6.52073 4.82028 9.83 -stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 16.85 35 76 0 8 0 0 success v8.0.0-4099-g0082ba205 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-08-02T02:41:49 betzgrp-wintermute.eecg.utoronto.ca /home/ahmadi55/vtr-verilog-to-routing/vtr_flow 1076940 18 17 2291 2142 1 1504 119 16 12 192 LAB M9K auto 4.08 10271 0.96 0.01 4.965 -3328.06 -3.965 3.70554 0.09 0.00544208 0.00414461 0.470524 0.35424 14141 4076 9559 7859416 739818 0 0 3.35078e+06 17452.0 20 5.1517 3.94454 -3676.27 -4.1517 0 0 0.88 0.737841 0.591727 0.41 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 241.08 vpr 1.80 GiB 274 1048 36 59 0 2 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1886052 22 252 53001 29054 7 22984 1419 89 66 5874 DSP auto 1200.1 MiB 62.98 248316 1021579 298715 629192 93672 1841.8 MiB 71.11 0.58 7.79847 -44076.4 -6.79847 3.16357 0.04 0.198705 0.17417 22.2423 19.5664 348037 15.1637 76678 3.34080 64297 133419 118695520 34142571 0 0 1.08074e+08 18398.6 17 1714760 18504579 -1 8.25872 3.11653 -42832.7 -7.25872 0 0 38.44 -1 -1 1841.8 MiB 31.31 29.4315 26.0648 1841.8 MiB -1 9.04 + stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 233.28 vpr 1.47 GiB 36 1585 10 10 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1538304 3 33 48977 39238 1 26095 1641 54 40 2160 LAB auto 1221.8 MiB 84.47 286068 978816 295772 657268 25776 1394.3 MiB 74.27 0.84 87.9237 -89444.7 -86.9237 87.9237 0.01 0.179554 0.150537 15.844 13.3663 379754 14.5550 90020 3.45023 82718 219511 74496266 16257762 0 0 3.96436e+07 18353.5 24 632584 6763270 -1 71.937 71.937 -113847 -70.937 0 0 15.41 -1 -1 1448.4 MiB 27.15 24.3536 20.7107 1394.3 MiB -1 3.17 + stratixiv_arch.timing.xml CHERI_stratixiv_arch_timing.blif common 441.95 vpr 1.93 GiB 211 2277 3 210 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2019444 38 173 62892 59064 3 35370 2701 86 64 5504 M9K auto 1405.6 MiB 168.89 614048 2033317 732647 1250104 50566 1914.9 MiB 137.05 1.09 13.4281 -360550 -12.4281 8.02047 0.05 0.239812 0.192125 28.6805 22.9358 838072 23.6991 190423 5.38481 138127 489921 156343017 30753609 0 0 1.01286e+08 18402.3 18 1602300 17340426 -1 13.7513 7.61228 -381806 -12.7513 0 0 36.09 -1 -1 1914.9 MiB 50.39 40.4405 32.9849 1914.9 MiB -1 8.79 + stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 495.11 vpr 2.01 GiB 574 2786 16 0 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2102508 4 570 66175 54803 2 39221 3376 91 67 6097 io auto 1442.4 MiB 160.90 637050 2737396 996225 1655508 85663 2024.5 MiB 152.59 1.32 31.0835 -120493 -30.0835 7.14678 0.05 0.24893 0.221734 29.4275 24.9184 899667 22.9413 200386 5.10980 182427 712388 314287781 64497091 0 0 1.12154e+08 18394.9 22 1777086 19206576 -1 31.4681 7.0455 -124410 -30.4681 0 0 40.50 -1 -1 2024.5 MiB 89.22 43.711 37.2882 2024.5 MiB -1 9.88 + stratixiv_arch.timing.xml fir_cascade_stratixiv_arch_timing.blif common 581.34 vpr 4.71 GiB 40 3697 172 1 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 4942252 19 21 171111 96274 1 69059 3910 194 144 27936 DSP auto 1879.6 MiB 92.06 765653 3945030 1609251 2318045 17734 4826.4 MiB 169.94 1.44 6.56186 -137549 -5.56186 3.59168 0.15 0.573745 0.515034 74.5309 66.7529 885829 12.8277 186548 2.70140 135284 168559 115764486 31200317 0 0 5.18916e+08 18575.2 10 8071764 88644687 -1 6.86266 4.08192 -171393 -5.86266 0 0 172.02 -1 -1 4826.4 MiB 38.33 90.8485 81.8179 4826.4 MiB -1 54.25 + stratixiv_arch.timing.xml jacobi_stratixiv_arch_timing.blif common 320.58 vpr 1.78 GiB 536 1955 7 4 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1867668 227 309 49176 40422 1 28301 2502 85 63 5355 io auto 1274.9 MiB 118.78 297917 2005906 751440 1231297 23169 1823.9 MiB 99.16 0.91 221.816 -136664 -220.816 221.816 0.03 0.170987 0.145091 21.3526 18.1394 392043 13.8546 93134 3.29130 81629 256552 61785790 11540590 0 0 9.84380e+07 18382.4 20 1549486 16842765 -1 194.877 194.877 -143592 -193.877 0 0 35.05 -1 -1 1823.9 MiB 24.83 29.7338 25.3808 1823.9 MiB -1 8.18 + stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 246.78 vpr 1.60 GiB 36 1393 8 149 2 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1676048 3 33 52402 39411 1 26961 1588 73 54 3942 M9K auto 1241.5 MiB 97.88 308817 862861 247827 593176 21858 1636.8 MiB 57.74 0.60 18.2872 -344515 -17.2872 18.2872 0.02 0.147274 0.120036 14.8626 12.172 431314 16.0024 99151 3.67866 81236 209217 91482078 19724978 0 0 7.26311e+07 18424.9 20 1148308 12423798 -1 18.3421 18.3421 -345738 -17.3421 0 0 26.09 -1 -1 1636.8 MiB 28.30 22.5504 18.7685 1636.8 MiB -1 5.81 + stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 112.99 vpr 1.21 GiB 251 955 1 17 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1271264 55 196 20131 19956 1 8273 1224 44 33 1452 io auto 1086.7 MiB 51.58 121891 590184 190135 382049 18000 1219.4 MiB 16.94 0.19 8.00991 -79285.3 -7.00991 8.00991 0.01 0.0540335 0.042834 5.3265 4.25169 175526 21.2244 41043 4.96288 27288 110050 30231721 5468094 0 0 2.65070e+07 18255.5 16 423692 4510959 -1 8.24194 8.24194 -78833.9 -7.24194 0 0 10.78 -1 -1 1219.4 MiB 10.31 7.96372 6.52567 1219.4 MiB -1 2.08 + stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 249.81 vpr 1.51 GiB 255 2122 1 28 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1578260 84 171 36458 36247 3 20327 2406 62 46 2852 LAB auto 1227.7 MiB 129.65 282856 1613906 577988 956437 79481 1496.5 MiB 49.09 0.46 12.7635 -89890.6 -11.7635 4.81564 0.02 0.124467 0.0997979 13.3692 10.8067 395367 19.4637 87910 4.32777 59014 216498 49458484 8714433 0 0 5.24492e+07 18390.3 15 836198 8956163 -1 12.8132 4.74014 -89522.7 -11.8132 0 0 19.62 -1 -1 1496.5 MiB 18.17 18.9908 15.6091 1496.5 MiB -1 4.26 + stratixiv_arch.timing.xml MCML_stratixiv_arch_timing.blif common 282.45 vpr 2.14 GiB 69 2192 10 295 16 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2241332 36 33 57796 49182 1 19758 2582 105 78 8190 M9K auto 1352.4 MiB 76.04 254549 2375186 921212 1420848 33126 2188.8 MiB 76.86 0.69 9.75634 -115117 -8.75634 9.75634 0.04 0.161644 0.129698 22.7179 18.3545 406833 20.5960 91948 4.65489 55491 166503 116017937 30967034 0 0 1.50983e+08 18435.1 16 2375962 25880196 -1 8.76007 8.76007 -153174 -7.76007 0 0 51.81 -1 -1 2188.8 MiB 34.92 30.0211 24.6695 2188.8 MiB -1 13.54 + stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 251.50 vpr 2.06 GiB 478 1233 1 300 4 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2160412 202 276 35125 30509 3 21219 2016 106 79 8374 M9K auto 1184.1 MiB 78.68 275268 1593266 551386 986614 55266 2109.8 MiB 48.14 0.37 9.2665 -49067 -8.2665 3.57275 0.07 0.129234 0.101118 16.7727 13.3885 420825 19.8381 90110 4.24787 51659 142658 103986450 24159841 0 0 1.54357e+08 18432.8 12 2427254 26454832 -1 9.68883 3.86627 -55338.2 -8.68883 0 0 53.33 -1 -1 2109.8 MiB 27.66 21.6738 17.6546 2109.8 MiB -1 13.88 + stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 141.61 vpr 1.69 GiB 5 333 31 105 0 2 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1767028 3 2 14862 10304 26 7583 476 89 66 5874 DSP auto 1033.8 MiB 53.02 124138 182462 49732 129080 3650 1725.6 MiB 10.85 0.11 5.88079 -31819.8 -4.88079 4.5134 0.03 0.0618536 0.0525314 6.42815 5.46252 179249 23.7196 37106 4.91015 18387 40581 28916864 7312373 0 0 1.08074e+08 18398.6 14 1714760 18504579 -1 6.28555 4.43959 -39032.4 -5.28555 0 0 38.34 -1 -1 1725.6 MiB 8.47 8.90485 7.65703 1725.6 MiB -1 8.94 + stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 303.21 vpr 2.16 GiB 693 1797 25 16 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2264080 35 658 51416 37539 1 27427 2531 108 80 8640 io auto 1279.4 MiB 79.02 241934 2243861 754419 1344439 145003 2211.0 MiB 86.86 0.75 41.8615 -66574.8 -40.8615 41.8615 0.05 0.203543 0.173106 25.8257 22.4261 341602 13.1806 80002 3.08685 77035 236832 91449571 21610430 0 0 1.59375e+08 18446.1 27 2505018 27321913 -1 38.4065 38.4065 -64812.4 -37.4065 0 0 55.15 -1 -1 2211.0 MiB 33.62 37.1323 32.3775 2211.0 MiB -1 14.51 + stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 209.32 vpr 2.23 GiB 753 1113 5 32 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2333520 13 740 25173 25306 1 12716 1903 117 87 10179 io auto 1130.6 MiB 61.64 151917 1239643 452352 735278 52013 2278.8 MiB 28.13 0.24 9.32912 -33745.1 -8.32912 8.97758 0.12 0.0721934 0.0626931 8.64427 7.12198 194710 15.3206 43227 3.40129 29693 108615 25829106 4900313 0 0 1.87944e+08 18463.9 12 2952054 32219012 -1 9.94244 8.79357 -35834.6 -8.94244 0 0 64.13 -1 -1 2278.8 MiB 9.61 11.8566 9.93272 2278.8 MiB -1 17.95 + stratixiv_arch.timing.xml smithwaterman_stratixiv_arch_timing.blif common 314.64 vpr 1.67 GiB 117 2338 0 0 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1755812 79 38 66795 54922 1 35698 2455 65 48 3120 LAB auto 1327.9 MiB 132.97 278809 1724071 544940 1146248 32883 1591.6 MiB 104.68 0.83 10.5464 -202407 -9.54638 10.5464 0.02 0.166476 0.132994 19.5532 15.7669 365710 10.2454 86560 2.42499 84230 195736 44412238 7890024 0 0 5.74574e+07 18415.8 16 913942 9818425 -1 10.2871 10.2871 -211712 -9.28709 0 0 21.17 -1 -1 1628.9 MiB 18.56 27.1812 22.2659 1591.6 MiB -1 4.42 + stratixiv_arch.timing.xml stap_steering_stratixiv_arch_timing.blif common 207.50 vpr 1.66 GiB 213 1565 26 4 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1740272 139 74 57121 41054 1 24001 1808 75 56 4200 DSP auto 1288.6 MiB 70.43 167389 1300988 426055 839266 35667 1699.5 MiB 55.74 0.51 5.92747 -26440.3 -4.92747 5.12571 0.04 0.163802 0.140085 19.2082 16.3816 226209 9.42655 53807 2.24224 52155 95084 47723189 13084284 0 0 7.74167e+07 18432.5 19 1223026 13250712 -1 6.18889 5.28844 -34182.8 -5.18889 0 0 27.88 -1 -1 1699.5 MiB 17.42 27.0898 23.3547 1699.5 MiB -1 6.41 + stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 102.62 vpr 1.18 GiB 54 665 0 40 0 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1232304 2 52 16673 16662 2 12027 760 37 27 999 LAB auto 1064.5 MiB 40.48 185817 260785 68816 184545 7424 1165.2 MiB 13.22 0.17 6.43593 -22019.6 -5.43593 5.34219 0.01 0.0618671 0.0490203 5.05876 4.09428 252094 20.9676 58089 4.83149 56425 171226 68372675 13142469 0 0 1.81123e+07 18130.5 18 291844 3070977 -1 6.97302 5.70366 -28347.4 -5.97302 0 0 7.56 -1 -1 1183.8 MiB 19.39 8.27475 6.86154 1165.2 MiB -1 1.22 + stratixiv_arch.timing.xml SURF_desc_stratixiv_arch_timing.blif common 304.04 vpr 1.76 GiB 445 2156 19 52 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1847792 131 314 57881 45152 1 32833 2672 73 54 3942 io auto 1361.0 MiB 95.05 318946 2041412 717468 1272847 51097 1720.1 MiB 107.20 0.86 221.943 -77080.5 -220.943 221.943 0.03 0.158605 0.131197 25.7237 21.9639 431464 13.1709 103995 3.17455 107179 331669 90059672 17820477 0 0 7.26311e+07 18424.9 19 1148308 12423798 -1 191.341 191.341 -83524.8 -190.341 0 0 26.51 -1 -1 1725.9 MiB 33.64 36.2469 31.1156 1720.1 MiB -1 6.18 + stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 56.35 vpr 1.16 GiB 42 758 0 0 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1213036 13 29 26295 20086 1 12439 800 39 29 1131 LAB auto 1061.7 MiB 12.38 72155 253216 50624 190930 11662 1175.9 MiB 10.02 0.13 5.18599 -5515.92 -4.18599 2.85104 0.01 0.0366291 0.0316121 2.8417 2.37087 84093 6.76152 20141 1.61944 25550 34715 9357710 1681121 0 0 2.05929e+07 18207.7 16 331560 3499109 -1 5.29142 2.82099 -5638.13 -4.29142 0 0 8.52 -1 -1 1175.9 MiB 3.91 4.51909 3.83081 1175.9 MiB -1 1.49 + stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 335.23 vpr 2.90 GiB 964 1119 19 34 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3043780 542 422 37277 26038 1 20403 2136 147 109 16023 io auto 1148.7 MiB 80.27 272838 1734636 659517 1007756 67363 2972.4 MiB 69.82 0.57 8.43041 -42423.1 -7.43041 8.08995 0.09 0.110892 0.0979927 15.5695 13.2591 363091 17.7986 78522 3.84912 59722 139345 87871064 23006283 0 0 2.96647e+08 18513.8 19 4640960 50771684 -1 8.69484 7.49966 -42054.1 -7.69484 0 0 98.95 -1 -1 2972.4 MiB 25.07 21.2025 18.289 2972.4 MiB -1 29.48 + stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 287.05 vpr 3.37 GiB 1107 725 0 0 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3531316 403 704 15490 16194 1 8534 1832 167 124 20708 io auto 1059.2 MiB 60.68 187193 1324022 523278 764997 35747 3448.6 MiB 22.04 0.19 12.7682 -23323.6 -11.7682 6.27217 0.11 0.058631 0.0487404 7.24478 6.0757 231524 27.1328 38817 4.54905 24809 96129 21440863 3812157 0 0 3.84009e+08 18544.0 14 5987112 65598998 -1 12.9996 6.14541 -26165.8 -11.9996 0 0 128.48 -1 -1 3448.6 MiB 8.30 9.76557 8.27695 3448.6 MiB -1 39.20 + stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 105.89 vpr 1.15 GiB 35 739 0 6 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1206268 18 17 16969 16357 1 6288 780 39 29 1131 LAB auto 1055.9 MiB 58.67 84377 244832 62116 178083 4633 1170.7 MiB 9.36 0.15 7.65805 -46422.6 -6.65805 7.65805 0.01 0.0403174 0.0343915 3.27761 2.60777 119256 18.9777 28323 4.50716 18857 88786 20657004 3728094 0 0 2.05929e+07 18207.7 16 331560 3499109 -1 7.35046 7.35046 -45160 -6.35046 0 0 8.57 -1 -1 1170.7 MiB 7.33 5.31368 4.36758 1170.7 MiB -1 1.64 + stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 24.32 vpr 991.27 MiB 35 78 0 8 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1015056 18 17 2291 2142 1 1448 121 16 12 192 LAB M9K auto 952.9 MiB 5.78 10189 9390 1103 7334 953 991.3 MiB 0.56 0.01 5.3129 -4153.14 -4.3129 4.5918 0.00 0.00719423 0.00593688 0.266582 0.228623 14035 9.71280 3656 2.53010 3331 8155 2407464 497474 0 0 3.34790e+06 17437.0 10 54372 558374 -1 5.45077 4.46245 -3957.23 -4.45077 0 0 1.85 -1 -1 991.3 MiB 0.84 0.535245 0.473492 991.3 MiB -1 0.09 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/titan_quick_qor/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/titan_quick_qor/config/golden_results.txt index d7048468870..82ffc857817 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/titan_quick_qor/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/titan_quick_qor/config/golden_results.txt @@ -1,23 +1,23 @@ -arch circuit script_params vtr_flow_elapsed_time error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time -stratixiv_arch.timing.xml gsm_switch_stratixiv_arch_timing.blif common 3434.92 136 21492 0 1848 0 1 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9760656 100 36 504627 490068 5 200916 23477 255 189 48195 M9K auto 700.76 -1 1504.23 11.74 8.0573 -1.40572e+06 -8.0573 5.6189 177.19 1.11051 0.713981 193.057 125.871 5385844 441201 1322987 933414169 132816309 0 0 8.91222e+08 18492.0 12 9.20679 6.19052 -1.94741e+06 -8.20679 0 0 180.15 240.444 164.718 597.95 -stratixiv_arch.timing.xml mes_noc_stratixiv_arch_timing.blif common 5178.68 5 23760 0 800 0 8 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 9098808 3 2 577696 547568 17 345674 24573 193 143 27599 LAB auto 1364.30 -1 2826.61 26.29 11.27 -2.97906e+06 -10.27 8.03813 91.32 1.80404 1.18002 246.878 163.248 5138525 830618 2460044 1865303663 160918985 0 0 5.12586e+08 18572.6 38 12.0087 8.42243 -3.49809e+06 -11.0087 0 0 349.79 399.113 285.519 300.82 -stratixiv_arch.timing.xml dart_stratixiv_arch_timing.blif common 1295.19 69 6862 0 530 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 4184456 23 46 223304 202401 1 131203 7461 138 102 14076 M9K auto 382.91 -1 481.59 3.87 14.1501 -1.40215e+06 -13.1501 11.4821 49.45 0.648403 0.438358 83.6769 57.0805 2176369 347924 886285 611522444 59989634 0 0 2.60164e+08 18482.8 20 15.0213 12.3857 -1.73557e+06 -14.0213 0 0 105.49 121.372 87.8932 164.95 -stratixiv_arch.timing.xml denoise_stratixiv_arch_timing.blif common 3182.96 852 14030 24 359 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 5971932 264 588 355537 274786 1 218574 15265 150 111 16650 LAB auto 395.29 -1 2053.18 13.33 866.716 -868475 -865.716 866.716 59.74 0.852057 0.609981 129.74 93.1704 3069575 1205817 3821898 2625594594 200491408 0 0 3.08278e+08 18515.2 43 857.427 857.427 -1.06208e+06 -856.427 0 0 316.47 210.711 159.272 198.99 -stratixiv_arch.timing.xml sparcT2_core_stratixiv_arch_timing.blif common 3101.89 451 14725 0 260 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 5492144 239 212 302755 300220 1 184812 15436 153 113 17289 LAB auto 720.50 -1 1616.14 9.31 10.5604 -686489 -9.56037 10.5604 74.77 0.872497 0.578117 133.503 89.1183 4841206 557658 2081616 1599598820 153094223 0 0 3.20293e+08 18525.8 65 10.8439 10.8439 -962235 -9.84391 0 0 295.90 247.633 179.457 246.21 -stratixiv_arch.timing.xml cholesky_bdti_stratixiv_arch_timing.blif common 2191.28 162 9680 132 600 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 5522328 94 68 331744 255478 1 156536 10574 169 125 21125 DSP auto 343.39 -1 889.63 8.09 8.69851 -566131 -7.69851 8.69851 109.08 1.20758 0.935206 125.961 91.4 2616338 369944 775061 1257487865 245527477 0 0 3.91827e+08 18548.0 18 9.26921 9.26921 -849140 -8.26921 0 0 268.77 166.745 126.095 403.77 -stratixiv_arch.timing.xml minres_stratixiv_arch_timing.blif common 2432.68 229 7818 78 1459 0 1 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 6819488 129 100 316623 257480 3 183470 9585 225 167 37575 M9K auto 381.42 -1 827.15 4.18 7.64836 -339381 -6.64836 4.9105 204.80 0.749549 0.556493 143.207 105.834 2913341 385664 736714 785197968 116848060 0 0 6.95909e+08 18520.5 15 8.94983 6.16821 -534628 -7.94983 0 0 140.68 181.401 138.116 657.28 -stratixiv_arch.timing.xml stap_qrd_stratixiv_arch_timing.blif common 2706.95 150 15899 75 553 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 4970724 68 82 284051 234177 1 144423 16677 158 117 18486 LAB auto 315.03 -1 1579.67 9.66 6.97142 -374581 -5.97142 6.97142 129.35 0.569765 0.447079 154.643 112.186 2649637 299124 721610 828065173 126669656 0 0 3.42752e+08 18541.2 16 7.53291 7.53291 -591536 -6.53291 0 0 196.94 202.379 151.111 327.94 -stratixiv_arch.timing.xml openCV_stratixiv_arch_timing.blif common 2406.79 208 7145 213 785 40 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 5944316 106 102 279132 212552 1 168784 8391 209 155 32395 DSP auto 412.15 -1 692.21 4.57 10.0969 -598047 -9.09688 10.0969 169.15 0.727472 0.55054 116.775 85.9459 3370536 460982 1021226 1164410289 196307724 0 0 6.00287e+08 18530.2 43 10.8759 10.8759 -859659 -9.87586 0 0 300.88 195.6 151.617 643.04 -stratixiv_arch.timing.xml bitonic_mesh_stratixiv_arch_timing.blif common 3396.68 119 7239 85 1664 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 6841728 87 32 233978 190746 1 146198 9107 242 179 43318 M9K auto 559.97 -1 1127.28 6.90 12.1144 -1.51589e+06 -11.1144 12.1144 221.34 1.21887 0.860698 175.663 128.302 4588292 456078 1491126 1548811766 242407085 0 0 8.01751e+08 18508.5 18 12.7209 12.7209 -1.86107e+06 -11.7209 0 0 304.05 240.372 183.004 932.96 -stratixiv_arch.timing.xml segmentation_stratixiv_arch_timing.blif common 1763.17 441 6937 15 481 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 3718544 72 369 178312 137832 1 108345 7874 136 101 13736 M9K auto 185.42 -1 1080.57 6.58 851.19 -389269 -850.19 851.19 54.10 0.501823 0.365842 89.6848 65.6521 1630334 475504 1473018 1179152997 94653229 0 0 2.53781e+08 18475.6 24 837.727 837.727 -515162 -836.727 0 0 172.25 126.618 95.8909 165.68 -stratixiv_arch.timing.xml SLAM_spheric_stratixiv_arch_timing.blif common 884.93 479 5366 37 0 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 2821524 323 156 140638 111354 1 78004 5882 95 70 6650 LAB auto 212.42 -1 396.74 2.66 78.3162 -375071 -77.3162 78.3162 29.78 0.331472 0.280128 50.9109 37.3753 1612271 249303 845612 794012785 90253580 0 0 1.22432e+08 18410.9 20 77.2015 77.2015 -414731 -76.2015 0 0 107.22 71.3949 54.5921 73.43 -stratixiv_arch.timing.xml des90_stratixiv_arch_timing.blif common 1446.48 117 4233 44 860 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 4013740 85 32 138853 110549 1 87969 5254 171 127 21717 M9K auto 282.94 -1 444.78 4.09 11.4477 -770173 -10.4477 11.4477 81.79 0.834987 0.625928 85.2758 63.1207 2230768 283309 861480 732978149 114988063 0 0 4.02762e+08 18545.9 57 12.2596 12.2596 -928196 -11.2596 0 0 239.80 184.596 144.573 274.03 -stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common 582.49 77 3123 89 136 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 2813800 42 35 119888 86875 1 51283 3425 129 96 12384 DSP auto 85.55 -1 117.60 0.94 7.88637 -71260.7 -6.88637 5.1277 63.82 0.276687 0.214216 36.7265 27.9182 749667 110099 187821 250313976 47472949 0 0 2.28642e+08 18462.7 29 8.05602 5.58124 -112257 -7.05602 0 0 57.52 54.3082 43.3457 181.77 -stratixiv_arch.timing.xml sparcT1_core_stratixiv_arch_timing.blif common 791.54 310 4000 1 128 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 2316624 173 137 92814 91975 1 60944 4439 82 61 5002 LAB auto 250.21 -1 292.72 2.97 7.69498 -527081 -6.69498 7.69498 18.58 0.484786 0.335188 47.2008 32.1521 1293421 213067 742140 562420350 57380434 0 0 9.19900e+07 18390.6 34 8.28235 8.28235 -655221 -7.28235 0 0 115.19 81.8934 60.2717 57.04 -stratixiv_arch.timing.xml stereo_vision_stratixiv_arch_timing.blif common 563.33 506 3246 76 113 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 2744440 172 334 127090 94090 3 61732 3941 129 96 12384 DSP auto 81.66 -1 117.95 0.82 7.16483 -51326.5 -6.16483 3.19482 60.85 0.192659 0.134604 27.0351 19.0385 580833 129881 199489 173990883 19816534 0 0 2.28642e+08 18462.7 24 7.39025 3.26548 -71146 -6.39025 0 0 30.31 39.7283 29.6661 189.20 -stratixiv_arch.timing.xml cholesky_mc_stratixiv_arch_timing.blif common 822.42 262 4765 59 444 16 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 3139848 111 151 140214 108592 1 66751 5546 125 93 11625 M9K auto 127.78 -1 257.07 1.60 6.64077 -177966 -5.64077 6.64077 58.12 0.325199 0.243045 52.6464 38.4057 1180344 153949 342701 556413254 117858039 0 0 2.14514e+08 18452.8 16 6.97434 6.97434 -288912 -5.97434 0 0 137.35 70.3563 53.4736 154.69 -stratixiv_arch.timing.xml directrf_stratixiv_arch_timing.blif common 13033.91 319 61450 240 2535 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 19989968 62 257 1374456 930989 2 679981 64544 317 235 74495 M9K auto 1100.54 -1 8835.77 82.27 10.0391 -1.7189e+06 -9.03907 8.60202 365.26 2.32644 1.66281 526.865 374.58 12211049 1565796 2766063 3167014806 442243815 0 0 1.38708e+09 18619.7 36 10.5916 9.11279 -2.47963e+06 -9.59162 0 0 683.17 734.274 541.813 1479.59 -stratixiv_arch.timing.xml bitcoin_miner_stratixiv_arch_timing.blif common 12379.71 385 32503 0 1331 0 1 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 14041524 353 32 1446409 1087537 2 848902 34220 225 167 37575 LAB auto 800.01 -1 8905.24 34.75 8.79365 -825548 -7.79365 8.79365 142.25 1.97467 1.46614 404.675 290.131 10667459 2667357 3983335 3475083060 437778344 0 0 6.95909e+08 18520.5 221 13.7216 13.7216 -1.26214e+06 -12.7216 0 0 1592.57 1348.11 1039.94 533.31 -stratixiv_arch.timing.xml LU230_stratixiv_arch_timing.blif common 9437.46 373 16571 116 5040 16 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 18756984 178 195 663067 568001 2 413013 22116 430 319 137170 M9K auto 1116.15 -1 3180.91 12.00 22.8182 -3.14594e+06 -21.8182 7.83218 755.18 1.81031 1.31598 435.301 309.645 17977564 1024239 1942686 3346505372 605233804 0 0 2.57820e+09 18795.7 28 23.0087 9.77401 -5.72551e+06 -22.0087 0 0 898.40 569.516 420.296 2890.28 -stratixiv_arch.timing.xml sparcT1_chip2_stratixiv_arch_timing.blif common 9296.08 1891 33629 3 506 0 0 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 12985200 815 1076 764693 760412 1423 416439 36029 280 207 57960 io auto 1621.50 -1 5111.77 25.16 15.6661 -3.78262e+06 -14.6661 4.89313 350.69 1.92453 1.34688 361.645 249.477 7726080 1115595 3632800 1983755594 192008211 0 0 1.07375e+09 18525.7 57 16.6384 5.21373 -4.62443e+06 -15.6384 0 0 491.65 599.9 438.336 1286.93 -stratixiv_arch.timing.xml LU_Network_stratixiv_arch_timing.blif common 6921.18 399 31006 112 1175 0 2 success v8.0.0-4626-g00c7dece9 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2021-10-05T20:25:38 betzgrp-wintermute.eecg.utoronto.ca /home/khalid88/Documents/vtr-verilog-to-routing/vtr_flow/tasks 11533096 85 185 721554 630079 28 403716 32694 220 163 35860 LAB auto 882.59 -1 4693.25 30.21 8.36036 -542473 -7.36036 5.25441 159.88 2.20091 1.50729 457.23 324.706 5827891 815904 1701202 1435542337 195550222 0 0 6.64235e+08 18523.0 28 9.38323 5.663 -836677 -8.38323 0 0 358.54 645.807 476.117 488.15 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + stratixiv_arch.timing.xml gsm_switch_stratixiv_arch_timing.blif common 3747.42 vpr 9.52 GiB 136 21504 0 1848 0 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9977556 100 36 504627 490068 5 198545 23489 255 189 48195 M9K auto 5041.0 MiB 972.74 4332625 50794974 21668256 28971329 155389 9110.7 MiB 2001.50 12.44 9.96357 -1.51998e+06 -8.96357 6.49198 0.26 1.80969 1.4287 298.424 232.701 5229239 26.3389 1045752 5.26729 410850 1211037 480001507 101337385 0 0 8.91219e+08 18491.9 10 13900790 152931888 -1 10.3578 7.19605 -1.99416e+06 -9.35779 0 0 290.44 -1 -1 9110.7 MiB 160.42 357.238 282.891 9110.7 MiB -1 104.42 + stratixiv_arch.timing.xml mes_noc_stratixiv_arch_timing.blif common 6126.00 vpr 9.09 GiB 5 23916 0 800 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9531356 3 2 577696 547568 17 341442 24729 194 144 27936 LAB auto 5323.3 MiB 1942.23 4055082 42517355 16315421 25922320 279614 7155.5 MiB 3391.57 35.07 11.5629 -3.37827e+06 -10.5629 9.0769 0.24 2.42175 1.84167 309.995 238.445 5095179 14.9234 1162348 3.40443 789306 2352358 1081659555 125128736 0 0 5.18916e+08 18575.2 15 8071764 88644687 -1 12.2104 9.02729 -3.74243e+06 -11.2104 0 0 171.30 -1 -1 8415.6 MiB 325.24 411.416 323.361 7155.5 MiB -1 57.27 + stratixiv_arch.timing.xml dart_stratixiv_arch_timing.blif common 1421.83 vpr 4.14 GiB 69 6931 0 530 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 4344032 23 46 223304 202401 1 129225 7530 138 102 14076 M9K auto 2556.4 MiB 526.27 1714625 8270106 3190151 5044292 35663 3653.6 MiB 591.88 4.69 14.2802 -1.54084e+06 -13.2802 12.3793 0.08 0.883983 0.74307 107.635 85.2922 2055075 15.9034 458593 3.54888 297367 740146 235651862 45406936 0 0 2.60161e+08 18482.6 14 4086206 44556140 -1 14.9988 12.4707 -1.73529e+06 -13.9988 0 0 87.85 -1 -1 3962.8 MiB 92.99 144.478 116.555 3653.6 MiB -1 26.12 + stratixiv_arch.timing.xml denoise_stratixiv_arch_timing.blif common 3612.12 vpr 5.90 GiB 852 13987 24 359 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 6184928 264 588 355537 274786 1 219009 15222 149 110 16390 LAB auto 3671.6 MiB 506.63 2464862 24528542 9827437 14108672 592433 4743.7 MiB 2589.46 18.02 967.792 -982177 -966.792 967.792 0.14 1.39849 1.17922 188.943 160.067 3121215 14.2760 717598 3.28220 645789 1965649 538477406 101156798 0 0 3.03467e+08 18515.4 24 4743182 51928591 -1 872.499 872.499 -1.11951e+06 -871.499 0 0 100.41 -1 -1 5433.7 MiB 222.02 265.077 225.276 4743.7 MiB -1 28.48 + stratixiv_arch.timing.xml sparcT2_core_stratixiv_arch_timing.blif common 3326.26 vpr 5.50 GiB 451 14839 0 260 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 5767992 239 212 302755 300220 1 181521 15550 154 114 17556 LAB auto 3349.8 MiB 1072.90 3721798 23295670 9439777 13739878 116015 4547.0 MiB 1736.09 11.53 11.1472 -742465 -10.1472 11.1472 0.15 1.17822 0.926367 152.048 119.91 4727835 26.0462 1038677 5.72220 525619 2006778 644455233 119097951 0 0 3.25222e+08 18524.8 17 5072426 55624973 -1 11.1518 11.1518 -969099 -10.1518 0 0 108.84 -1 -1 5056.0 MiB 229.04 210.198 168.83 4547.0 MiB -1 31.85 + stratixiv_arch.timing.xml cholesky_bdti_stratixiv_arch_timing.blif common 1533.29 vpr 5.44 GiB 162 9636 132 600 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 5708972 94 68 331744 255478 1 157744 10530 169 125 21125 DSP auto 3246.7 MiB 363.59 2005742 14315666 5672844 8585426 57396 4955.9 MiB 708.20 5.62 8.93995 -571391 -7.93995 8.93995 0.11 1.07011 0.871396 143.486 118.269 2653981 16.8250 551794 3.49812 353191 739639 617425772 157277103 0 0 3.91824e+08 18547.9 16 6121200 66923291 -1 9.5233 9.5233 -790704 -8.5233 0 0 129.31 -1 -1 5138.3 MiB 176.68 188.703 157.999 4955.9 MiB -1 37.20 + stratixiv_arch.timing.xml minres_stratixiv_arch_timing.blif common 1811.33 vpr 6.72 GiB 229 7914 78 1459 0 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 7042264 129 100 316623 257480 3 181651 9681 225 167 37575 M9K auto 3314.7 MiB 500.79 2123170 13107445 5087321 7934181 85943 6877.2 MiB 760.32 5.23 7.93147 -358933 -6.93147 4.81959 0.22 1.10761 0.906358 143.858 118.394 2797295 15.3998 593038 3.26482 381697 742393 356555803 85730190 0 0 6.95906e+08 18520.5 12 10840348 119192345 -1 8.37723 5.95425 -493664 -7.37723 0 0 228.35 -1 -1 6877.2 MiB 116.94 184.37 154.077 6877.2 MiB -1 79.73 + stratixiv_arch.timing.xml stap_qrd_stratixiv_arch_timing.blif common 2010.85 vpr 4.90 GiB 150 15863 75 553 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 5133028 68 82 284051 234177 1 144736 16641 158 117 18486 LAB auto 2976.9 MiB 357.55 2088307 36542045 14247231 22098179 196635 4444.7 MiB 1290.30 7.16 7.38406 -476991 -6.38406 7.38406 0.15 0.862188 0.735368 162.596 133.459 2567026 17.7541 529779 3.66406 289864 655651 341038378 80514986 0 0 3.42749e+08 18541.0 11 5339222 58616324 -1 8.09385 8.09385 -647847 -7.09385 0 0 112.01 -1 -1 4544.8 MiB 109.73 194.196 161.021 4444.7 MiB -1 29.95 + stratixiv_arch.timing.xml openCV_stratixiv_arch_timing.blif common 1665.26 vpr 5.84 GiB 208 7119 213 785 40 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 6128412 106 102 279132 212552 1 162356 8365 209 155 32395 DSP auto 2803.7 MiB 444.77 2510506 10787744 4321684 6370284 95776 5984.8 MiB 695.75 5.32 10.1653 -633874 -9.16529 10.1653 0.17 1.11211 0.921741 146.67 122.676 3218216 19.8237 659984 4.06539 376511 809526 494815430 122202001 0 0 6.00284e+08 18530.2 12 9347734 102631318 -1 10.7495 10.7495 -821258 -9.74952 0 0 196.46 -1 -1 5984.8 MiB 151.30 185.499 156.919 5984.8 MiB -1 60.42 + stratixiv_arch.timing.xml bitonic_mesh_stratixiv_arch_timing.blif common 2317.92 vpr 7.02 GiB 119 7274 85 1664 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 7358284 87 32 233978 190746 1 145272 9142 242 179 43318 M9K auto 2725.5 MiB 664.68 3172561 12430512 5129292 7242218 59002 7185.8 MiB 976.98 6.66 13.4808 -1.6246e+06 -12.4808 13.4808 0.24 1.33084 1.10137 182.874 152.55 4279338 29.4580 889653 6.12418 393012 1259442 669185737 159151016 0 0 8.01748e+08 18508.4 15 12487288 137499365 -1 14.2471 14.2471 -1.83579e+06 -13.2471 0 0 259.99 -1 -1 7185.8 MiB 199.73 239.903 202.485 7185.8 MiB -1 90.09 + stratixiv_arch.timing.xml segmentation_stratixiv_arch_timing.blif common 1352.40 vpr 3.62 GiB 441 6918 15 481 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3799108 72 369 178312 137832 1 108238 7855 136 101 13736 M9K auto 2234.3 MiB 241.13 1237688 9998127 3996335 5805214 196578 3435.8 MiB 781.96 6.20 935.021 -456410 -934.021 935.021 0.07 0.667722 0.568702 88.9026 75.8435 1655933 15.3404 382250 3.54112 328338 1006700 295119820 56769904 0 0 2.53778e+08 18475.4 23 3977116 43472369 -1 841.797 841.797 -545657 -840.797 0 0 86.41 -1 -1 3465.7 MiB 117.95 123.894 105.878 3435.8 MiB -1 23.24 + stratixiv_arch.timing.xml SLAM_spheric_stratixiv_arch_timing.blif common 832.90 vpr 2.81 GiB 479 5352 37 0 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2943124 323 156 140638 111354 1 77784 5868 95 70 6650 LAB auto 1966.0 MiB 244.59 1239442 6089943 2318138 3672292 99513 2411.1 MiB 379.36 2.89 89.6859 -410307 -88.6859 89.6859 0.03 0.477735 0.400024 60.5703 50.844 1612054 20.7253 356619 4.58485 225242 756851 283275863 58954477 0 0 1.22429e+08 18410.4 14 1937142 20982374 -1 80.5628 80.5628 -422180 -79.5628 0 0 43.10 -1 -1 2657.9 MiB 84.12 80.2658 68.0624 2411.1 MiB -1 9.25 + stratixiv_arch.timing.xml des90_stratixiv_arch_timing.blif common 1120.47 vpr 4.08 GiB 117 4260 44 860 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 4276324 85 32 138853 110549 1 85957 5281 171 127 21717 M9K auto 1958.7 MiB 362.77 1547419 5613499 2132144 3420610 60745 4176.1 MiB 399.53 2.44 13.8519 -836778 -12.8519 13.8519 0.14 0.519841 0.428857 88.4948 73.8123 2171963 25.2689 460782 5.36080 226991 709396 353879049 83917984 0 0 4.02759e+08 18545.8 14 6285588 68776455 -1 14.1441 14.1441 -917915 -13.1441 0 0 134.43 -1 -1 4176.1 MiB 102.09 117.721 99.3918 4176.1 MiB -1 40.63 + stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common 421.30 vpr 2.85 GiB 77 3136 89 136 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2991324 42 35 119888 86875 1 50816 3438 129 96 12384 DSP auto 1745.7 MiB 108.53 589279 3141815 1167038 1945807 28970 2921.2 MiB 122.40 1.04 7.38717 -81468.3 -6.38717 5.54912 0.07 0.387866 0.32584 48.8479 41.3623 766630 15.1010 160642 3.16430 100455 168766 108374381 28508729 0 0 2.28639e+08 18462.4 15 3593250 39165143 -1 7.60292 5.98464 -114944 -6.60292 0 0 77.84 -1 -1 2921.2 MiB 37.56 65.2582 56.1183 2921.2 MiB -1 19.09 + stratixiv_arch.timing.xml sparcT1_core_stratixiv_arch_timing.blif common 695.98 vpr 2.32 GiB 310 4015 1 128 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2437260 173 137 92814 91975 1 60525 4454 82 61 5002 LAB auto 1682.1 MiB 328.02 905799 3923864 1456413 2412431 55020 2002.6 MiB 208.23 1.73 9.26063 -594496 -8.26063 9.26063 0.04 0.361226 0.287081 41.9149 33.3166 1241316 20.5105 280369 4.63259 192705 660207 193204537 36029492 0 0 9.19872e+07 18390.1 17 1450842 15736794 -1 9.26352 9.26352 -654561 -8.26352 0 0 33.03 -1 -1 2227.7 MiB 64.37 59.3287 48.138 2002.6 MiB -1 7.27 + stratixiv_arch.timing.xml stereo_vision_stratixiv_arch_timing.blif common 369.28 vpr 2.83 GiB 506 3280 76 113 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2962964 172 334 127090 94090 3 61320 3975 129 96 12384 DSP auto 1700.4 MiB 92.28 509805 3655075 1361648 2244810 48617 2893.5 MiB 106.78 1.02 7.86325 -61457.1 -6.86325 3.46836 0.06 0.267265 0.217852 32.269 26.2483 592827 9.66870 127681 2.08241 120500 173662 60165583 13187056 0 0 2.28639e+08 18462.4 15 3593250 39165143 -1 7.51746 3.25652 -73809.5 -6.51746 0 0 72.77 -1 -1 2893.5 MiB 24.19 43.7993 36.2426 2893.5 MiB -1 18.65 + stratixiv_arch.timing.xml cholesky_mc_stratixiv_arch_timing.blif common 617.64 vpr 3.09 GiB 262 4762 59 444 16 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3240764 111 151 140214 108592 1 66275 5543 125 93 11625 M9K auto 1990.3 MiB 151.48 788106 6330929 2486828 3764499 79602 2999.8 MiB 232.35 1.87 6.96286 -206320 -5.96286 6.96286 0.07 0.500192 0.409213 65.7757 53.6456 1136243 17.1454 244932 3.69592 141963 302339 243323807 67066242 0 0 2.14511e+08 18452.6 16 3364308 36745094 -1 7.39694 7.39694 -298154 -6.39694 0 0 72.75 -1 -1 2999.8 MiB 72.42 87.4449 72.7019 2999.8 MiB -1 18.74 + stratixiv_arch.timing.xml directrf_stratixiv_arch_timing.blif common 12065.34 vpr 19.50 GiB 319 61499 240 2535 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 20448212 62 257 1374456 930989 2 664817 64593 317 235 74495 M9K auto 11400.2 MiB 1468.30 10830328 216389546 87578779 128174025 636742 16624.7 MiB 9036.53 60.52 9.32838 -1.81224e+06 -8.32838 8.21814 0.64 3.49044 2.85081 631.297 518.226 11749927 17.6766 2324437 3.49689 1382377 2282905 1372376586 322363776 0 0 1.38707e+09 18619.7 14 21381670 236483135 -1 9.87707 8.61989 -2.31232e+06 -8.87707 0 0 423.56 -1 -1 16912.8 MiB 478.20 764.49 633.315 16624.7 MiB -1 172.48 + stratixiv_arch.timing.xml bitcoin_miner_stratixiv_arch_timing.blif common 10920.08 vpr 14.34 GiB 385 35914 0 1331 0 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 15035900 353 32 1446409 1087537 2 802897 37631 236 175 41300 LAB auto 8407.9 MiB 1399.69 8069197 81976111 30515078 50803021 658012 10893.4 MiB 8471.96 46.28 8.82853 -897986 -7.82853 8.82853 0.36 2.98543 2.55333 437.471 361.343 9365241 11.6644 2162474 2.69335 1742182 2571548 800029867 152528004 0 0 7.64522e+08 18511.4 17 11894042 131060469 -1 10.6871 10.6871 -1.2059e+06 -9.68713 0 0 248.62 -1 -1 12829.4 MiB 335.71 579.023 482.876 10893.4 MiB -1 83.17 + stratixiv_arch.timing.xml LU230_stratixiv_arch_timing.blif common 6630.33 vpr 19.49 GiB 373 16678 116 5040 16 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 20438700 178 195 663067 568001 2 406083 22223 430 319 137170 M9K auto 5700.6 MiB 1488.67 15354107 51862695 21830000 29930794 101901 19959.7 MiB 3209.27 16.23 26.6172 -3.23472e+06 -25.6172 8.81163 0.75 2.90058 2.38659 503.078 413.353 16386901 40.3553 3026953 7.45434 917037 1739755 1410990275 326533180 0 0 2.57820e+09 18795.6 12 39390806 435738327 -1 24.6154 10.5061 -5.75347e+06 -23.6154 0 0 818.19 -1 -1 19959.7 MiB 464.81 608.245 504.614 19959.7 MiB -1 324.73 + stratixiv_arch.timing.xml sparcT1_chip2_stratixiv_arch_timing.blif common 8193.12 vpr 12.67 GiB 1891 33747 3 506 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 13287440 815 1076 764693 760412 1423 413569 36147 280 207 57960 io auto 6871.9 MiB 2170.60 6321041 78292272 33005860 44758558 527854 11353.3 MiB 4815.14 29.77 17.7516 -4.16163e+06 -16.7516 4.89119 0.49 2.87248 2.26378 416.975 332.994 7527876 18.5260 1630241 4.01199 988164 3143557 705151553 127852079 0 0 1.07374e+09 18525.6 21 16684552 184042692 -1 17.843 5.02145 -4.80984e+06 -16.843 0 0 356.76 -1 -1 11473.0 MiB 368.46 581.186 470.324 11353.3 MiB -1 125.64 + stratixiv_arch.timing.xml LU_Network_stratixiv_arch_timing.blif common 6013.51 vpr 11.23 GiB 399 31093 112 1175 0 2 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 11773592 85 185 721554 630079 28 405002 32781 220 163 35860 LAB auto 6475.5 MiB 1002.80 5178404 84458444 33598600 50279421 580423 8860.1 MiB 4172.19 24.46 8.14669 -688925 -7.14669 5.20564 0.32 2.89777 2.30021 490.741 391.133 6249071 15.4486 1362456 3.36819 825418 1759906 637573300 144402801 0 0 6.64232e+08 18522.9 14 10351778 113707977 -1 8.89873 5.39961 -915798 -7.89873 0 0 217.47 -1 -1 10398.8 MiB 253.99 604.773 489.305 8860.1 MiB -1 69.95 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph/config/golden_results.txt index 94c339dadc7..2bd7b64568f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph/config/golden_results.txt @@ -1,6 +1,6 @@ - arch circuit script_params vtr_flow_elapsed_time error odin_synth_time max_odin_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml raygentop.v common 15.44 0.32 30784 3 1.53 -1 -1 39924 -1 -1 100 214 0 8 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 72096 214 305 2963 2869 1 1462 627 19 19 361 io auto 1.29 11329 1.92 0.02 4.35337 -2516.86 -4.35337 4.35337 0.06 0.00328107 0.00285296 0.738956 0.625763 20096 -1 -1 -1 -1 1.72706e+07 8.5574e+06 2.90560e+06 8048.76 17 4.76636 4.76636 -2957.47 -4.76636 0 0 0.58 0.953726 0.825808 1.10 - k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 15.47 0.42 30800 3 1.31 -1 -1 39976 -1 -1 111 214 0 8 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 70728 214 305 2963 2869 1 1440 638 19 19 361 io auto 2.27 10427 1.32 0.01 4.36814 -2472.84 -4.36814 4.36814 0.05 0.00317149 0.00278315 0.443043 0.379506 17186 -1 -1 -1 -1 1.72706e+07 9.15023e+06 2.71656e+06 7525.11 12 4.72208 4.72208 -2856.74 -4.72208 0 0 0.43 0.616587 0.542339 0.70 - k6_frac_N10_mem32K_40nm.xml raygentop.v common 17.49 0.42 31904 8 1.69 -1 -1 40332 -1 -1 104 214 0 9 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 68708 214 305 2625 2741 1 1440 632 22 22 484 mult_36 auto 2.50 11461 1.44 0.02 4.30553 -2458.71 -4.30553 4.30553 0.09 0.00294167 0.00252875 0.478138 0.403453 18517 -1 -1 -1 -1 2.50602e+07 9.16898e+06 3.71564e+06 7676.94 12 5.02187 5.02187 -2941.43 -5.02187 0 0 0.53 0.630845 0.545873 0.89 - k6_N10_mem32K_40nm.xml raygentop.v common 17.74 0.33 31864 8 1.76 -1 -1 40228 -1 -1 164 214 0 9 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 65808 214 305 2625 2741 1 1482 692 22 22 484 mult_36 auto 0.65 12264 1.70 0.02 4.21675 -2419.09 -4.21675 4.21675 0.14 0.00304558 0.00252583 0.51155 0.423932 18017 -1 -1 -1 -1 2.50602e+07 1.24026e+07 3.52243e+06 7277.74 49 4.898 4.898 -2810.42 -4.898 0 0 2.32 0.867474 0.743958 1.39 - hard_fpu_arch_timing.xml raygentop.v common 159.25 0.60 66344 38 100.76 -1 -1 67464 -1 -1 2217 211 -1 -1 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 229228 211 305 9491 9796 1 6956 2733 53 53 2809 clb auto 1.57 68376 10.58 0.09 21.0864 -5585.28 -21.0864 21.0864 0.42 0.0102485 0.00869648 1.45593 1.02314 106554 -1 -1 -1 -1 5.87942e+06 5.0818e+06 1.13971e+07 4057.33 27 22.8705 22.8705 -6341.42 -22.8705 -0.0851 -0.0851 3.38 2.35962 1.78429 4.77 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml raygentop.v common 18.10 vpr 84.26 MiB 0.49 31568 -1 -1 3 1.10 -1 -1 40400 -1 -1 119 214 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86280 214 305 2963 2869 1 1465 646 19 19 361 io auto 42.9 MiB 2.38 11473 279746 97784 164344 17618 84.3 MiB 2.03 0.03 4.50048 -2595.18 -4.50048 4.50048 0.00 0.00847397 0.00785036 0.847998 0.78148 -1 -1 -1 -1 19540 13.4666 5175 3.56651 4591 11814 1372016 333143 1.72706e+07 9.58139e+06 2.90560e+06 8048.76 12 52798 501983 -1 4.7575 4.7575 -2835.11 -4.7575 0 0 0.44 -1 -1 84.3 MiB 0.59 1.16192 1.07597 84.3 MiB -1 0.10 + k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 16.87 vpr 84.21 MiB 0.49 31612 -1 -1 3 1.08 -1 -1 40360 -1 -1 123 214 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86232 214 305 2963 2869 1 1444 650 19 19 361 io auto 43.2 MiB 2.84 11413 231398 76618 140983 13797 84.2 MiB 1.01 0.02 4.67106 -2660.25 -4.67106 4.67106 0.00 0.0042158 0.00385403 0.364929 0.335739 -1 -1 -1 -1 17576 12.2909 4673 3.26783 3635 8404 916352 219992 1.72706e+07 9.79696e+06 2.71656e+06 7525.11 13 49483 447175 -1 4.79628 4.79628 -2823.47 -4.79628 0 0 0.33 -1 -1 84.2 MiB 0.32 0.56686 0.527395 84.2 MiB -1 0.09 + k6_frac_N10_mem32K_40nm.xml raygentop.v common 19.25 vpr 79.38 MiB 0.51 32832 -1 -1 8 1.59 -1 -1 40644 -1 -1 117 214 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81284 214 305 2625 2741 1 1438 645 22 22 484 mult_36 auto 38.5 MiB 2.62 11780 287500 97684 170577 19239 79.4 MiB 1.98 0.03 4.70477 -2668 -4.70477 4.70477 0.00 0.00775198 0.00716962 0.832076 0.767666 -1 -1 -1 -1 18108 12.7074 4758 3.33895 3393 7424 854677 202496 2.50602e+07 9.8696e+06 3.71564e+06 7676.94 15 65910 614443 -1 4.88861 4.88861 -2835.89 -4.88861 0 0 0.57 -1 -1 79.4 MiB 0.53 1.15898 1.07234 79.4 MiB -1 0.13 + k6_N10_mem32K_40nm.xml raygentop.v common 16.82 vpr 78.59 MiB 0.51 32832 -1 -1 8 1.69 -1 -1 40844 -1 -1 198 214 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80472 214 305 2625 2741 1 1415 726 22 22 484 mult_36 auto 37.4 MiB 1.14 12153 264867 85581 162230 17056 78.6 MiB 1.77 0.02 4.91361 -2793.64 -4.91361 4.91361 0.00 0.00766742 0.0070794 0.656327 0.603669 -1 -1 -1 -1 16547 11.8024 4369 3.11626 6679 17662 2743548 596669 2.50602e+07 1.4235e+07 3.52243e+06 7277.74 26 57210 572443 -1 4.90022 4.90022 -2862.69 -4.90022 0 0 0.55 -1 -1 78.6 MiB 0.94 1.10615 1.01763 78.6 MiB -1 0.12 + hard_fpu_arch_timing.xml raygentop.v common 138.65 vpr 231.80 MiB 0.99 70388 -1 -1 38 69.46 -1 -1 68188 -1 -1 2403 211 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 237368 211 305 9491 9796 1 6660 2919 55 55 3025 clb auto 80.5 MiB 2.31 66631 1817043 654938 1126978 35127 231.8 MiB 13.17 0.11 26.0917 -6594.81 -26.0917 26.0917 0.00 0.0168338 0.0140529 2.38382 2.05463 -1 -1 -1 -1 110311 16.5956 28136 4.23289 74198 194987 16052984 1871492 6.31033e+06 5.50811e+06 1.22764e+07 4058.32 35 255054 2544855 -1 23.6419 23.6419 -6371.61 -23.6419 -0.0851 -0.0851 2.53 -1 -1 231.8 MiB 5.25 4.12195 3.55168 231.8 MiB -1 0.79 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_bidir/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_bidir/config/golden_results.txt index 36fa7bb0a1b..5f9d2a62a25 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_bidir/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_bidir/config/golden_results.txt @@ -1,3 +1,3 @@ - arch circuit script_params vtr_flow_elapsed_time error odin_synth_time max_odin_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time - k4_n4_v7_bidir.xml dsip.blif common 27.42 -1 -1 -1 -1 -1 -1 -1 -1 -1 390 229 -1 -1 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 118552 229 197 1815 2012 1 1190 816 29 29 841 io auto 0.33 11648 1.49 0.02 7.41386 -1808.21 -7.41386 7.41386 0.61 0.0021178 0.0016946 0.281919 0.225954 15856 -1 -1 -1 -1 2.187e+07 1.17e+07 -1 -1 20 9.24582 9.24582 -2352.55 -9.24582 0 0 0.83 0.391481 0.321957 5.69 - k4_n4_v7_bidir.xml elliptic.blif common 48.58 -1 -1 -1 -1 -1 -1 -1 -1 -1 996 131 -1 -1 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 169988 131 114 4855 4969 1 2150 1241 34 34 1156 clb auto 0.88 31542 3.21 0.03 21.1193 -11571.9 -21.1193 21.1193 0.90 0.00449081 0.00368456 0.662064 0.449287 40462 -1 -1 -1 -1 3.072e+07 2.988e+07 -1 -1 20 26.9983 26.9983 -14687.8 -26.9983 0 0 1.69 1.0392 0.770433 7.04 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k4_n4_v7_bidir.xml dsip.blif common 24.15 vpr 129.01 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 443 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 132104 229 197 1815 2012 1 1190 869 29 29 841 io auto 28.1 MiB 0.38 11808 386354 130262 246701 9391 129.0 MiB 1.99 0.02 8.26026 -2002.89 -8.26026 8.26026 0.00 0.00522947 0.00475426 0.50234 0.458325 -1 -1 -1 -1 14837 12.4786 3857 3.24390 5280 18595 4288015 314127 2.187e+07 1.329e+07 -1 -1 12 141714 3348831 -1 10.3649 10.3649 -2489.23 -10.3649 0 0 1.93 -1 -1 129.0 MiB 0.71 0.66274 0.604038 129.0 MiB -1 0.64 + k4_n4_v7_bidir.xml elliptic.blif common 39.80 vpr 178.39 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1023 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 182672 131 114 4855 4969 1 2112 1268 34 34 1156 clb auto 44.4 MiB 0.83 31400 563788 196591 359179 8018 178.4 MiB 3.77 0.04 22.2892 -11723.6 -22.2892 22.2892 0.00 0.0101629 0.00906485 0.918798 0.79034 -1 -1 -1 -1 40056 18.9749 10269 4.86452 9570 44105 8008892 526134 3.072e+07 3.069e+07 -1 -1 19 194754 4643496 -1 27.7541 27.7541 -14616.1 -27.7541 0 0 2.78 -1 -1 178.4 MiB 1.65 1.38449 1.19731 178.4 MiB -1 0.94 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_complex_switch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_complex_switch/config/golden_results.txt index a3bec08d847..ab193c4d4af 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_complex_switch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_complex_switch/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time error odin_synth_time max_odin_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time - complex_switch/k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml raygentop.v common 29.30 0.39 31692 12 1.62 -1 -1 37060 -1 -1 238 214 0 9 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 91116 214 305 2927 3043 1 2181 766 26 26 676 mult_36 auto 0.46 16418 1.91 0.02 3.92422 -1914.18 -3.92422 3.92422 0.10 0.00303059 0.00265402 0.424989 0.354567 24730 -1 -1 -1 -1 3.88769e+06 1.9974e+06 7.17610e+06 10615.5 17 4.06116 4.06116 -2255.58 -4.06116 0 0 1.44 0.597094 0.511983 5.30 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + complex_switch/k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml raygentop.v common 24.33 vpr 90.75 MiB 0.51 32872 -1 -1 12 1.55 -1 -1 40880 -1 -1 238 214 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92924 214 305 2927 3043 1 2181 766 26 26 676 mult_36 auto 35.0 MiB 0.55 17879 291515 96968 176018 18529 90.7 MiB 2.29 0.03 4.04097 -2026.17 -4.04097 4.04097 0.00 0.00789147 0.00729898 0.68601 0.631189 -1 -1 -1 -1 25655 11.8335 11525 5.31596 7010 22783 3120920 787237 3.88769e+06 1.9974e+06 7.17610e+06 10615.5 17 154908 1423382 -1 4.23901 4.23901 -2367.29 -4.23901 0 0 2.25 -1 -1 90.7 MiB 0.90 1.00021 0.919765 90.7 MiB -1 0.66 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_error_check/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_error_check/config/golden_results.txt index 7e7ccf79b1f..d6391fd6791 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_error_check/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_error_check/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time error odin_synth_time max_odin_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time - complex_switch/k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml raygentop.v common 12.84 0.38 31704 12 2.23 -1 -1 37112 -1 -1 238 214 0 9 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 74736 214 305 2927 3043 1 2181 766 26 26 676 mult_36 auto 0.66 16553 2.42 0.03 3.96803 -1941.86 -3.96803 3.96803 0.09 0.0050075 0.00438498 0.553215 0.461746 25791 -1 -1 -1 -1 3.88769e+06 1.9974e+06 3.89335e+06 5759.39 17 4.2505 4.2505 -2428.8 -4.2505 0 0 1.81 0.756122 0.644202 2.88 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + complex_switch/k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml raygentop.v common 10.04 vpr 76.59 MiB 0.56 32824 -1 -1 12 1.57 -1 -1 41056 -1 -1 238 214 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78432 214 305 2927 3043 1 2181 766 26 26 676 mult_36 auto 35.0 MiB 0.55 18203 298521 99577 180379 18565 76.6 MiB 2.21 0.03 4.02384 -2044.4 -4.02384 4.02384 0.00 0.0078973 0.00729681 0.668637 0.615335 -1 -1 -1 -1 27105 12.5023 12496 5.76384 9844 29663 3742250 1056449 3.88769e+06 1.9974e+06 3.89335e+06 5759.39 18 97004 733416 -1 4.47172 4.47172 -2442.55 -4.47172 0 0 1.15 -1 -1 76.6 MiB 1.23 1.03037 0.946995 76.6 MiB -1 0.36 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_titan/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_titan/config/golden_results.txt index 067a8cc5230..d3376c5e4de 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_titan/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vpr_verify_rr_graph_titan/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time error odin_synth_time max_odin_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time - stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common 1504.22 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 2859008 42 35 119888 86875 1 51143 3418 129 96 12384 DSP auto 85.58 583323 119.39 0.71 7.89477 -209772 -7.89477 7.89477 208.83 0.200591 0.17182 32.4665 25.7145 744976 -1 -1 -1 -1 0 0 2.28642e+08 18462.7 33 8.25962 8.25962 -264487 -8.25962 0 0 57.37 50.95 41.9616 169.27 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common 993.75 vpr 2.85 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2990508 42 35 119888 86875 1 50848 3434 129 96 12384 DSP auto 1744.8 MiB 110.48 593082 3188765 1210302 1953134 25329 2920.4 MiB 131.36 1.01 7.82707 -223897 -7.82707 7.82707 0.07 0.35368 0.316469 47.6901 41.67 -1 -1 -1 -1 760648 14.9737 161961 3.18827 105791 178983 117012215 31658104 0 0 2.28639e+08 18462.4 15 3593250 39165143 -1 8.06159 8.06159 -257707 -8.06159 0 0 77.71 -1 -1 2920.4 MiB 39.46 63.6187 56.0573 2920.4 MiB -1 21.95 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_bidir/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_bidir/config/golden_results.txt index 79387b20d65..9d333670603 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_bidir/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_bidir/config/golden_results.txt @@ -1,41 +1,41 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k4_n4_v7_bidir.xml alu4.blif common 14.12 vpr 67.20 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 477 14 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68808 14 8 1536 1544 0 1088 499 24 24 576 clb auto 26.9 MiB 0.35 13713 125113 37636 85702 1775 67.2 MiB 0.83 0.01 14.0421 -101.788 -14.0421 nan 0.89 0.00337182 0.00294136 0.228232 0.201212 28 19990 27 1.452e+07 1.431e+07 -1 -1 8.35 1.31835 1.12022 21174 279108 -1 19228 18 7062 27087 2139856 196285 17.1451 nan -119.753 -17.1451 0 0 -1 -1 0.37 0.58 0.16 -1 -1 0.37 0.149382 0.130325 -k4_n4_v7_bidir.xml apex2.blif common 20.08 vpr 69.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 598 38 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71168 38 3 1916 1919 0 1508 639 27 27 729 clb auto 29.3 MiB 0.47 20032 176703 52353 120171 4179 69.5 MiB 1.23 0.02 17.5139 -50.2777 -17.5139 nan 1.17 0.00472981 0.00411722 0.317696 0.278113 31 29578 36 1.875e+07 1.794e+07 -1 -1 12.05 1.72453 1.47135 28210 394495 -1 28636 22 10688 37557 3476301 294242 20.8295 nan -58.5593 -20.8295 0 0 -1 -1 0.56 1.01 0.23 -1 -1 0.56 0.253299 0.22082 -k4_n4_v7_bidir.xml apex4.blif common 15.04 vpr 65.03 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 410 9 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66588 9 19 1271 1290 0 989 438 23 23 529 clb auto 25.5 MiB 0.27 13508 95172 26074 67665 1433 65.0 MiB 0.65 0.01 13.1269 -216.571 -13.1269 nan 0.83 0.00276239 0.0024392 0.1738 0.155352 31 20275 34 1.323e+07 1.23e+07 -1 -1 9.83 1.0323 0.884588 20514 283063 -1 18947 16 6713 24480 2299733 193456 16.0497 nan -259.266 -16.0497 0 0 -1 -1 0.39 0.53 0.16 -1 -1 0.39 0.116433 0.102846 -k4_n4_v7_bidir.xml bigkey.blif common 19.30 vpr 69.99 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 456 229 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71668 229 197 2152 2349 1 1587 882 29 29 841 io auto 29.8 MiB 0.34 12720 440594 137247 291517 11830 70.0 MiB 2.20 0.02 8.05109 -1841.77 -8.05109 8.05109 1.37 0.00589079 0.00536855 0.626379 0.566795 18 20694 46 2.187e+07 1.368e+07 -1 -1 10.54 2.27299 2.02358 25794 279159 -1 18007 20 8342 23483 1514225 162064 9.13231 9.13231 -2338.69 -9.13231 0 0 -1 -1 0.39 0.61 0.17 -1 -1 0.39 0.250982 0.22507 -k4_n4_v7_bidir.xml clma.blif common 125.73 vpr 199.90 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2521 62 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 204696 62 82 8460 8542 1 6346 2665 53 53 2809 clb auto 74.6 MiB 2.08 106112 1720282 687942 1019943 12397 191.1 MiB 12.56 0.13 27.7798 -1475.05 -27.7798 27.7798 5.67 0.0212825 0.0174879 2.07784 1.7299 39 139827 30 7.803e+07 7.563e+07 -1 -1 77.34 8.16732 6.70717 121914 1953961 -1 145653 37 50338 174644 40465788 3496071 37.5154 37.5154 -1994.17 -37.5154 0 0 -1 -1 3.29 8.98 1.16 -1 -1 3.29 1.60084 1.35094 -k4_n4_v7_bidir.xml des.blif common 21.96 vpr 73.96 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 449 256 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75736 256 245 1847 2092 0 1443 950 34 34 1156 io auto 28.6 MiB 0.43 15858 337046 106006 219615 11425 74.0 MiB 1.69 0.02 13.6482 -2283.71 -13.6482 nan 2.03 0.00653534 0.00597695 0.503268 0.461334 18 23829 44 3.072e+07 1.347e+07 -1 -1 11.46 2.34979 2.13492 35364 387024 -1 21475 27 8540 28313 2203704 220439 16.6899 nan -2838.96 -16.6899 0 0 -1 -1 0.56 0.82 0.23 -1 -1 0.56 0.360996 0.330838 -k4_n4_v7_bidir.xml diffeq.blif common 12.81 vpr 67.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 415 64 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69116 64 39 1935 1974 1 1102 518 23 23 529 clb auto 27.8 MiB 0.35 9992 137732 37830 95961 3941 67.5 MiB 0.95 0.01 13.4033 -2671.54 -13.4033 13.4033 0.80 0.00428173 0.00383691 0.312705 0.277181 24 14332 21 1.323e+07 1.245e+07 -1 -1 7.02 1.61062 1.38241 18402 227975 -1 13634 22 6342 21307 1309693 133684 15.9009 15.9009 -3236.59 -15.9009 0 0 -1 -1 0.30 0.50 0.13 -1 -1 0.30 0.20143 0.175765 -k4_n4_v7_bidir.xml dsip.blif common 17.77 vpr 67.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 390 229 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68900 229 197 1815 2012 1 1190 816 29 29 841 io auto 27.5 MiB 0.32 11545 347617 108721 229249 9647 67.3 MiB 1.74 0.02 7.21771 -1868.83 -7.21771 7.21771 1.36 0.00565774 0.00516606 0.524959 0.478887 18 18641 50 2.187e+07 1.17e+07 -1 -1 9.76 2.03171 1.82626 25794 279159 -1 15915 19 6571 20190 1355499 141050 8.71824 8.71824 -2334.27 -8.71824 0 0 -1 -1 0.39 0.53 0.17 -1 -1 0.39 0.218562 0.197453 -k4_n4_v7_bidir.xml elliptic.blif common 55.36 vpr 90.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1000 131 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 93164 131 114 4855 4969 1 2139 1245 34 34 1156 clb auto 44.4 MiB 0.80 31454 550235 189587 353717 6931 90.2 MiB 3.44 0.04 23.2801 -11982.4 -23.2801 23.2801 1.99 0.0102012 0.00912611 0.93124 0.796503 29 50282 41 3.072e+07 3e+07 -1 -1 39.75 4.26668 3.61139 43448 604980 -1 46002 25 13205 58637 9554007 846071 38.8565 38.8565 -19809.8 -38.8565 0 0 -1 -1 0.87 2.29 0.35 -1 -1 0.87 0.550161 0.477667 -k4_n4_v7_bidir.xml ex1010.blif common 70.88 vpr 117.80 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1507 10 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 120624 10 10 4608 4618 0 3617 1527 41 41 1681 clb auto 49.0 MiB 1.01 44970 713436 251975 459721 1740 117.8 MiB 5.24 0.06 23.5124 -229.462 -23.5124 nan 3.18 0.0097988 0.00855656 0.894426 0.754306 28 68638 30 4.563e+07 4.521e+07 -1 -1 49.13 4.21383 3.49296 61362 838935 -1 63940 21 25222 100656 7392341 708511 28.5457 nan -270.488 -28.5457 0 0 -1 -1 1.32 2.31 0.49 -1 -1 1.32 0.568954 0.493491 -k4_n4_v7_bidir.xml ex5p.blif common 10.49 vpr 63.61 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 342 8 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65140 8 63 1072 1135 0 906 413 21 21 441 clb auto 24.3 MiB 0.26 11449 84948 23521 59664 1763 63.6 MiB 0.57 0.01 12.8622 -575.489 -12.8622 nan 0.65 0.0025827 0.00230286 0.159252 0.143541 35 17128 25 1.083e+07 1.026e+07 -1 -1 5.53 0.949583 0.821185 18442 269153 -1 17835 36 8694 28272 4470817 400190 22.7431 nan -871.031 -22.7431 0 0 -1 -1 0.35 0.95 0.15 -1 -1 0.35 0.19761 0.170925 -k4_n4_v7_bidir.xml frisc.blif common 42.63 vpr 94.10 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1051 20 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 96356 20 116 4445 4561 1 2326 1187 35 35 1225 clb auto 43.5 MiB 0.91 37678 522593 180255 332319 10019 91.3 MiB 3.77 0.04 26.7351 -13400.3 -26.7351 26.7351 2.15 0.0120194 0.0108773 1.0849 0.940114 35 54338 28 3.267e+07 3.153e+07 -1 -1 24.33 3.94847 3.3672 50922 772933 -1 56811 45 18725 83358 16216109 1534592 31.802 31.802 -18151.6 -31.802 0 0 -1 -1 1.17 3.76 0.44 -1 -1 1.17 0.873991 0.748271 -k4_n4_v7_bidir.xml misex3.blif common 18.72 vpr 65.60 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 431 14 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 67172 14 14 1411 1425 0 1079 459 23 23 529 clb auto 26.0 MiB 0.34 13374 101292 28145 71403 1744 65.6 MiB 0.68 0.01 13.1746 -165.157 -13.1746 nan 0.79 0.00303589 0.00266696 0.186267 0.165347 29 21582 50 1.323e+07 1.293e+07 -1 -1 13.16 1.49464 1.27631 19986 270173 -1 20352 22 8774 29285 3704651 332778 24.3868 nan -237.829 -24.3868 0 0 -1 -1 0.36 0.82 0.16 -1 -1 0.36 0.176582 0.155298 -k4_n4_v7_bidir.xml pdc.blif common 89.27 vpr 127.76 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1534 16 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 130824 16 40 4591 4631 0 3629 1590 42 42 1764 clb auto 49.8 MiB 1.35 69656 771498 279852 487559 4087 122.1 MiB 5.61 0.05 21.5017 -765.92 -21.5017 nan 3.20 0.010994 0.00878298 1.09979 0.925234 43 105542 40 4.8e+07 4.602e+07 -1 -1 64.86 5.02154 4.16488 82004 1356368 -1 93688 22 24061 102167 12513613 966499 27.3055 nan -968.887 -27.3055 0 0 -1 -1 2.02 2.93 0.78 -1 -1 2.02 0.546929 0.471748 -k4_n4_v7_bidir.xml s298.blif common 28.12 vpr 70.23 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 560 4 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71916 4 6 1942 1948 1 1191 570 26 26 676 clb auto 29.6 MiB 0.38 14088 144652 40631 103074 947 70.2 MiB 0.99 0.02 22.0836 -173.078 -22.0836 22.0836 1.07 0.00491168 0.00428489 0.311727 0.276726 24 20876 31 1.728e+07 1.68e+07 -1 -1 21.18 2.03896 1.73599 23472 293888 -1 19701 18 6999 36928 2660713 235221 25.651 25.651 -206.277 -25.651 0 0 -1 -1 0.40 0.75 0.17 -1 -1 0.40 0.195686 0.170997 -k4_n4_v7_bidir.xml s38417.blif common 64.70 vpr 143.33 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1733 29 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 146772 29 106 7534 7640 1 4762 1868 44 44 1936 clb auto 62.1 MiB 1.32 45565 1025279 365918 643209 16152 143.3 MiB 7.37 0.08 18.085 -10617.5 -18.085 18.085 4.06 0.0161761 0.0135336 1.59516 1.34543 24 61471 26 5.292e+07 5.199e+07 -1 -1 37.97 6.34309 5.25504 66744 864380 -1 58433 19 27083 89331 5592291 571595 20.8628 20.8628 -13144.4 -20.8628 0 0 -1 -1 1.36 2.13 0.50 -1 -1 1.36 0.806156 0.688074 -k4_n4_v7_bidir.xml s38584.1.blif common 50.70 vpr 141.71 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1648 38 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 145112 38 304 7475 7779 1 4417 1990 43 43 1849 clb auto 61.6 MiB 1.17 43646 1140582 418967 699450 22165 140.6 MiB 7.51 0.10 12.4934 -8495.56 -12.4934 12.4934 3.66 0.0153425 0.0134828 1.57061 1.3122 24 56870 27 5.043e+07 4.944e+07 -1 -1 26.06 5.23547 4.35923 63762 824815 -1 53639 17 21182 66620 4051433 421817 14.8518 14.8518 -10248.4 -14.8518 0 0 -1 -1 1.27 1.62 0.34 -1 -1 1.27 0.677626 0.594605 -k4_n4_v7_bidir.xml seq.blif common 19.51 vpr 68.60 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 542 41 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70244 41 35 1791 1826 0 1384 618 26 26 676 clb auto 28.5 MiB 0.42 18072 171633 50023 117007 4603 68.6 MiB 1.12 0.02 13.9875 -383.03 -13.9875 nan 1.07 0.00418281 0.00364452 0.298342 0.262226 31 27471 45 1.728e+07 1.626e+07 -1 -1 12.32 1.67388 1.42342 26172 364912 -1 24826 17 7941 27602 2335091 207885 17.5932 nan -469.839 -17.5932 0 0 -1 -1 0.50 0.70 0.21 -1 -1 0.50 0.196938 0.175163 -k4_n4_v7_bidir.xml spla.blif common 60.66 vpr 102.86 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1235 16 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 105328 16 46 3706 3752 0 2877 1297 38 38 1444 clb auto 42.7 MiB 1.01 47749 581037 208352 367672 5013 99.3 MiB 3.85 0.03 20.3447 -680.522 -20.3447 nan 2.60 0.00621774 0.00502048 0.751662 0.637625 39 70670 41 3.888e+07 3.705e+07 -1 -1 37.66 3.50877 2.9362 62858 992060 -1 76144 39 24431 104085 28248602 3041950 35.5685 nan -1147.95 -35.5685 0 0 -1 -1 1.57 6.38 0.58 -1 -1 1.57 0.841206 0.713888 -k4_n4_v7_bidir.xml tseng.blif common 7.02 vpr 63.92 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 277 52 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65452 52 122 1483 1605 1 737 451 19 19 361 clb auto 24.7 MiB 0.21 5974 102403 26155 72807 3441 63.9 MiB 0.62 0.01 12.4944 -2327.14 -12.4944 12.4944 0.52 0.00326589 0.00294421 0.217207 0.195042 20 10097 45 8.67e+06 8.31e+06 -1 -1 3.13 0.794811 0.694288 11514 125901 -1 9941 31 5221 17602 1464836 167257 18.1334 18.1334 -3640.61 -18.1334 0 0 -1 -1 0.17 0.50 0.07 -1 -1 0.17 0.203642 0.17827 -k4_n4_v7_l1_bidir.xml alu4.blif common 16.76 vpr 67.28 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 477 14 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68892 14 8 1536 1544 0 1088 499 24 24 576 clb auto 27.0 MiB 0.36 13984 140937 45113 93822 2002 67.3 MiB 0.93 0.01 19.0754 -137.015 -19.0754 nan 1.45 0.00332316 0.00292489 0.260135 0.230348 21 15543 38 1.452e+07 1.431e+07 -1 -1 8.90 1.02211 0.874365 39160 271852 -1 13921 16 7054 27883 1712984 292201 19.0623 nan -136.352 -19.0623 0 0 -1 -1 0.46 0.66 0.21 -1 -1 0.46 0.139528 0.123059 -k4_n4_v7_l1_bidir.xml apex2.blif common 52.00 vpr 71.34 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 598 38 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73052 38 3 1916 1919 0 1508 639 27 27 729 clb auto 29.3 MiB 0.50 20368 198711 63540 130621 4550 71.2 MiB 1.32 0.02 19.5349 -56.7155 -19.5349 nan 1.99 0.00443088 0.00386614 0.333877 0.293995 25 22646 41 1.875e+07 1.794e+07 -1 -1 41.58 1.70571 1.45087 55250 396047 -1 20496 14 9800 35327 3055493 423988 19.5858 nan -57.7976 -19.5858 0 0 -1 -1 0.69 0.94 0.30 -1 -1 0.69 0.162176 0.144077 -k4_n4_v7_l1_bidir.xml apex4.blif common 70.34 vpr 64.80 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 410 9 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66352 9 19 1271 1290 0 989 438 23 23 529 clb auto 25.3 MiB 0.32 13593 111792 33269 76922 1601 64.8 MiB 0.78 0.01 17.4441 -280.025 -17.4441 nan 1.30 0.00282461 0.00249568 0.216436 0.193116 24 16307 44 1.323e+07 1.23e+07 -1 -1 63.21 1.11363 0.950994 39522 283015 -1 14011 17 7335 26794 2589120 339808 17.324 nan -281.605 -17.324 0 0 -1 -1 0.47 0.73 0.21 -1 -1 0.47 0.124671 0.10992 -k4_n4_v7_l1_bidir.xml bigkey.blif common 20.32 vpr 78.95 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 456 229 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80848 229 197 2152 2349 1 1587 882 29 29 841 io auto 29.8 MiB 0.34 12928 449050 142972 294362 11716 78.9 MiB 2.23 0.03 10.9343 -2508.89 -10.9343 10.9343 2.27 0.00589735 0.00536017 0.639732 0.580781 13 13251 38 2.187e+07 1.368e+07 -1 -1 9.08 1.88627 1.68227 39906 235943 -1 11958 14 7356 20700 1195443 240334 11.7389 11.7389 -2706.25 -11.7389 0 0 -1 -1 0.42 0.63 0.18 -1 -1 0.42 0.200169 0.179468 -k4_n4_v7_l1_bidir.xml clma.blif common 307.18 vpr 251.17 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2521 62 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 257200 62 82 8460 8542 1 6346 2665 53 53 2809 clb auto 74.7 MiB 2.13 103093 1868034 747801 1104381 15852 216.9 MiB 13.60 0.13 39.2492 -2176.87 -39.2492 39.2492 8.80 0.0209799 0.0173447 2.24476 1.87174 32 104222 33 7.803e+07 7.563e+07 -1 -1 248.96 9.15262 7.49212 274482 2081397 -1 100635 17 42461 160041 22532310 4050975 39.0294 39.0294 -2247.27 -39.0294 0 0 -1 -1 4.31 6.62 1.62 -1 -1 4.31 0.864371 0.74718 -k4_n4_v7_l1_bidir.xml des.blif common 28.55 vpr 91.76 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 449 256 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 93964 256 245 1847 2092 0 1443 950 34 34 1156 io auto 28.5 MiB 0.43 15906 393062 127116 252345 13601 91.8 MiB 1.94 0.02 20.3995 -3028.52 -20.3995 nan 3.33 0.00647824 0.00594822 0.580162 0.533469 13 16286 26 3.072e+07 1.347e+07 -1 -1 14.20 1.75238 1.60068 55296 328128 -1 15494 14 7727 25523 2266674 423135 19.7011 nan -3078.13 -19.7011 0 0 -1 -1 0.61 0.79 0.26 -1 -1 0.61 0.216889 0.199622 -k4_n4_v7_l1_bidir.xml diffeq.blif common 16.86 vpr 67.47 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 415 64 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69088 64 39 1935 1974 1 1102 518 23 23 529 clb auto 27.8 MiB 0.31 10492 141890 39582 99524 2784 67.5 MiB 0.93 0.01 13.2324 -3140.42 -13.2324 13.2324 1.25 0.00393511 0.00346711 0.293403 0.260449 17 11013 39 1.323e+07 1.245e+07 -1 -1 9.91 1.25508 1.07962 30282 197837 -1 10183 17 7161 24290 2102474 387542 13.998 13.998 -3530.12 -13.998 0 0 -1 -1 0.33 0.68 0.15 -1 -1 0.33 0.168619 0.147619 -k4_n4_v7_l1_bidir.xml dsip.blif common 18.37 vpr 77.31 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 390 229 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79164 229 197 1815 2012 1 1190 816 29 29 841 io auto 27.8 MiB 0.37 11598 374294 119751 244235 10308 77.1 MiB 1.79 0.02 10.0144 -2422.01 -10.0144 10.0144 2.27 0.00514724 0.00470645 0.513885 0.469075 13 11442 27 2.187e+07 1.17e+07 -1 -1 7.76 1.49451 1.34677 39906 235943 -1 11038 14 6322 21798 1233985 243124 9.72035 9.72035 -2465.43 -9.72035 0 0 -1 -1 0.42 0.55 0.18 -1 -1 0.42 0.175175 0.15871 -k4_n4_v7_l1_bidir.xml elliptic.blif common 98.79 vpr 110.57 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1000 131 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 113220 131 114 4855 4969 1 2139 1245 34 34 1156 clb auto 44.4 MiB 0.79 31868 570320 201737 361297 7286 110.5 MiB 3.55 0.04 27.5367 -15528.6 -27.5367 27.5367 3.36 0.0103214 0.00878102 0.980741 0.83959 25 34137 34 3.072e+07 3e+07 -1 -1 79.42 3.92865 3.3214 89088 639360 -1 31463 15 11772 53132 5712872 950004 27.6157 27.6157 -16433.5 -27.6157 0 0 -1 -1 1.22 1.85 0.49 -1 -1 1.22 0.38528 0.335882 -k4_n4_v7_l1_bidir.xml ex1010.blif common 71.36 vpr 151.47 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1507 10 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 155104 10 10 4608 4618 0 3617 1527 41 41 1681 clb auto 48.7 MiB 1.10 45297 766170 281250 482628 2292 151.5 MiB 5.42 0.06 34.262 -331.976 -34.262 nan 4.96 0.010008 0.00836927 0.919051 0.775111 22 50207 44 4.563e+07 4.521e+07 -1 -1 43.29 3.61641 3.00912 118482 826103 -1 45736 19 25518 101876 6500789 1048212 33.9643 nan -327.132 -33.9643 0 0 -1 -1 1.65 2.62 0.63 -1 -1 1.65 0.47744 0.411914 -k4_n4_v7_l1_bidir.xml ex5p.blif common 44.40 vpr 63.62 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 342 8 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65148 8 63 1072 1135 0 906 413 21 21 441 clb auto 24.3 MiB 0.26 11525 103392 30541 70852 1999 63.6 MiB 0.70 0.01 15.3987 -683.467 -15.3987 nan 1.04 0.00321359 0.00293638 0.201974 0.182425 24 13975 43 1.083e+07 1.026e+07 -1 -1 38.32 0.992793 0.856134 32642 233591 -1 11974 18 7588 26729 2496486 384914 15.4966 nan -702.501 -15.4966 0 0 -1 -1 0.37 0.71 0.17 -1 -1 0.37 0.117593 0.103388 -k4_n4_v7_l1_bidir.xml frisc.blif common 214.96 vpr 113.44 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1051 20 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 116160 20 116 4445 4561 1 2326 1187 35 35 1225 clb auto 43.0 MiB 0.92 38041 547721 194194 343617 9910 113.0 MiB 3.78 0.04 29.669 -17468.6 -29.669 29.669 3.65 0.0104562 0.00890534 0.987355 0.843382 28 41548 42 3.267e+07 3.153e+07 -1 -1 193.33 4.28678 3.61947 103554 761463 -1 38134 16 14316 62718 7007768 1275611 29.914 29.914 -18147.8 -29.914 0 0 -1 -1 1.47 2.22 0.58 -1 -1 1.47 0.390519 0.341641 -k4_n4_v7_l1_bidir.xml misex3.blif common 27.78 vpr 65.73 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 431 14 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 67308 14 14 1411 1425 0 1079 459 23 23 529 clb auto 26.1 MiB 0.34 13570 115444 35365 77921 2158 65.7 MiB 0.85 0.01 16.5481 -214.047 -16.5481 nan 1.32 0.00343151 0.00304105 0.24315 0.215567 23 16411 49 1.323e+07 1.293e+07 -1 -1 20.41 1.3145 1.12146 37674 266685 -1 14120 17 7506 27271 2802281 504310 16.6457 nan -222.192 -16.6457 0 0 -1 -1 0.44 0.81 0.20 -1 -1 0.44 0.135567 0.118838 -k4_n4_v7_l1_bidir.xml pdc.blif common 437.89 vpr 157.42 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1534 16 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 161200 16 40 4591 4631 0 3629 1590 42 42 1764 clb auto 49.8 MiB 1.39 68418 892086 346558 540595 4933 150.8 MiB 6.20 0.06 36.7881 -1303.9 -36.7881 nan 5.29 0.0108622 0.0090833 1.1235 0.946351 36 77639 35 4.8e+07 4.602e+07 -1 -1 401.76 4.81213 3.9872 183520 1412616 -1 72478 20 26645 109578 24577553 5074635 37.3294 nan -1337.86 -37.3294 0 0 -1 -1 2.82 6.79 0.98 -1 -1 2.82 0.586723 0.509447 -k4_n4_v7_l1_bidir.xml s298.blif common 29.05 vpr 69.84 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 560 4 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71512 4 6 1942 1948 1 1191 570 26 26 676 clb auto 29.2 MiB 0.36 13678 163548 49020 113454 1074 69.8 MiB 1.14 0.02 27.2823 -210.916 -27.2823 27.2823 1.75 0.00488208 0.00428492 0.362234 0.32063 18 15471 48 1.728e+07 1.68e+07 -1 -1 19.80 1.58254 1.35503 41472 276960 -1 13523 17 7420 36189 2499380 342718 27.1897 27.1897 -216.357 -27.1897 0 0 -1 -1 0.48 0.86 0.22 -1 -1 0.48 0.190748 0.168076 -k4_n4_v7_l1_bidir.xml s38417.blif common 62.77 vpr 176.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1733 29 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 180732 29 106 7534 7640 1 4762 1868 44 44 1936 clb auto 62.2 MiB 1.34 45056 1071275 385192 670718 15365 176.5 MiB 7.66 0.09 22.5444 -13519.5 -22.5444 22.5444 5.84 0.0166307 0.0137461 1.62748 1.36367 17 41539 30 5.292e+07 5.199e+07 -1 -1 28.66 4.9169 4.07306 115248 760028 -1 40571 17 28994 95236 7408027 1333632 22.6581 22.6581 -14950.1 -22.6581 0 0 -1 -1 1.55 2.74 0.61 -1 -1 1.55 0.707924 0.608179 -k4_n4_v7_l1_bidir.xml s38584.1.blif common 94.65 vpr 176.47 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1648 38 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 180704 38 304 7475 7779 1 4417 1990 43 43 1849 clb auto 61.6 MiB 1.24 43720 1178118 437684 717506 22928 176.5 MiB 6.99 0.08 18.7815 -12464.4 -18.7815 18.7815 5.81 0.0109664 0.00878091 1.39171 1.15557 18 41129 48 5.043e+07 4.944e+07 -1 -1 63.34 5.19924 4.32986 116850 784767 -1 37715 14 19654 59701 3392358 579896 18.1779 18.1779 -12910.9 -18.1779 0 0 -1 -1 1.60 1.66 0.60 -1 -1 1.60 0.59384 0.523831 -k4_n4_v7_l1_bidir.xml seq.blif common 87.69 vpr 68.63 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 542 41 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70276 41 35 1791 1826 0 1384 618 26 26 676 clb auto 28.5 MiB 0.42 18388 197943 61665 130902 5376 68.6 MiB 1.24 0.02 18.3637 -488.934 -18.3637 nan 1.71 0.00395188 0.00347761 0.310181 0.273588 24 21148 41 1.728e+07 1.626e+07 -1 -1 78.13 1.66505 1.41902 51072 366016 -1 18609 14 8765 31217 2658871 391227 18.2272 nan -500.696 -18.2272 0 0 -1 -1 0.65 0.84 0.28 -1 -1 0.65 0.153264 0.136189 -k4_n4_v7_l1_bidir.xml spla.blif common 301.36 vpr 127.51 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1235 16 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 130568 16 46 3706 3752 0 2877 1297 38 38 1444 clb auto 42.9 MiB 0.90 49708 630527 232378 394740 3409 127.1 MiB 4.25 0.05 28.3135 -974.196 -28.3135 nan 4.25 0.00881876 0.00744277 0.831837 0.708165 32 56984 38 3.888e+07 3.705e+07 -1 -1 274.14 3.82998 3.19488 138672 1051752 -1 53100 26 23199 100609 16532905 3013044 29.7405 nan -1062.74 -29.7405 0 0 -1 -1 2.02 4.75 0.81 -1 -1 2.02 0.553551 0.473188 -k4_n4_v7_l1_bidir.xml tseng.blif common 9.53 vpr 64.09 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 277 52 -1 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65628 52 122 1483 1605 1 737 451 19 19 361 clb auto 24.9 MiB 0.21 5983 109315 29948 75773 3594 64.1 MiB 0.67 0.01 11.3287 -2602.86 -11.3287 11.3287 0.82 0.00340983 0.00305651 0.232213 0.208878 15 7018 46 8.67e+06 8.31e+06 -1 -1 4.77 0.940926 0.819446 19074 119991 -1 5647 17 4142 14252 649546 124293 11.3704 11.3704 -2847.23 -11.3704 0 0 -1 -1 0.19 0.32 0.09 -1 -1 0.19 0.129153 0.114061 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k4_n4_v7_bidir.xml alu4.blif common 8.39 vpr 67.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 490 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69032 14 8 1536 1544 0 1075 512 25 25 625 clb auto 27.2 MiB 0.36 13907 125379 37375 86426 1578 67.4 MiB 0.91 0.01 13.7808 -101.412 -13.7808 nan 0.38 0.00342542 0.00300013 0.22514 0.199123 -1 -1 -1 -1 26 21244 40 1.587e+07 1.47e+07 -1 -1 4.17 1.03153 0.88257 22338 287359 -1 19467 17 6635 26447 1985465 198804 17.3063 nan -123.659 -17.3063 0 0 -1 -1 0.05 0.53 0.16 -1 -1 0.05 0.14501 0.127061 + k4_n4_v7_bidir.xml apex2.blif common 21.64 vpr 70.33 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 626 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72020 38 3 1916 1919 0 1483 667 28 28 784 clb auto 29.9 MiB 0.50 19984 195838 60682 130920 4236 70.3 MiB 1.43 0.02 17.0385 -49.2963 -17.0385 nan 0.50 0.0045814 0.00395475 0.349192 0.304991 -1 -1 -1 -1 28 30181 48 2.028e+07 1.878e+07 -1 -1 15.75 1.92993 1.63738 28758 383844 -1 28376 15 9150 33071 2590424 247096 20.7907 nan -60.2269 -20.7907 0 0 -1 -1 0.08 0.85 0.22 -1 -1 0.08 0.210948 0.189195 + k4_n4_v7_bidir.xml apex4.blif common 9.75 vpr 65.17 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 434 9 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66736 9 19 1271 1290 0 989 462 23 23 529 clb auto 25.7 MiB 0.32 13918 105777 29780 74317 1680 65.2 MiB 0.81 0.01 13.9047 -226.083 -13.9047 nan 0.32 0.0030748 0.00273013 0.194941 0.173608 -1 -1 -1 -1 31 20642 28 1.323e+07 1.302e+07 -1 -1 5.92 1.11839 0.956669 20514 283063 -1 19844 22 7738 30852 2604644 234674 16.6245 nan -269.64 -16.6245 0 0 -1 -1 0.05 0.62 0.16 -1 -1 0.05 0.145692 0.126532 + k4_n4_v7_bidir.xml bigkey.blif common 11.63 vpr 70.60 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 492 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72292 229 197 2152 2349 1 1586 918 29 29 841 io auto 30.2 MiB 0.37 13173 415605 135003 270551 10051 70.6 MiB 2.24 0.03 7.81345 -1868.02 -7.81345 7.81345 0.52 0.00606642 0.00542756 0.578132 0.522649 -1 -1 -1 -1 18 19586 48 2.187e+07 1.476e+07 -1 -1 5.48 2.18935 1.94852 25794 279159 -1 18213 18 8082 24448 1355876 164744 9.41024 9.41024 -2355.47 -9.41024 0 0 -1 -1 0.05 0.57 0.16 -1 -1 0.05 0.235213 0.209282 + k4_n4_v7_bidir.xml clma.blif common 224.87 vpr 203.84 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2648 62 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 208728 62 82 8460 8542 1 6288 2792 54 54 2916 clb auto 75.6 MiB 2.27 106658 1830428 722593 1094428 13407 201.6 MiB 14.21 0.15 27.2182 -1339.27 -27.2182 27.2182 2.08 0.0215909 0.0178186 2.12263 1.76347 -1 -1 -1 -1 36 141908 31 8.112e+07 7.944e+07 -1 -1 191.58 12.4243 10.0429 120708 1865668 -1 137505 19 39620 150199 14663994 1348289 32.9328 32.9328 -1696.22 -32.9328 0 0 -1 -1 0.41 3.94 1.09 -1 -1 0.41 0.963284 0.821045 + k4_n4_v7_bidir.xml des.blif common 12.78 vpr 74.02 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 484 256 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75792 256 245 1847 2092 0 1412 985 34 34 1156 io auto 28.9 MiB 0.47 16647 378208 121053 242540 14615 74.0 MiB 1.98 0.03 12.9369 -2198.65 -12.9369 nan 0.77 0.00660655 0.00603036 0.536618 0.492113 -1 -1 -1 -1 18 23173 26 3.072e+07 1.452e+07 -1 -1 6.01 2.13958 1.93851 35364 387024 -1 21989 19 8778 32560 2141080 246703 15.131 nan -2729.2 -15.131 0 0 -1 -1 0.07 0.73 0.23 -1 -1 0.07 0.275917 0.253962 + k4_n4_v7_bidir.xml diffeq.blif common 10.51 vpr 67.99 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 439 64 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69620 64 39 1935 1974 1 1077 542 23 23 529 clb auto 28.1 MiB 0.40 10284 139709 36550 99088 4071 68.0 MiB 1.02 0.02 13.3471 -2484.01 -13.3471 13.3471 0.32 0.00434183 0.00380037 0.295045 0.260426 -1 -1 -1 -1 20 14214 22 1.323e+07 1.317e+07 -1 -1 6.48 1.83956 1.57386 16818 186659 -1 13852 17 5686 19604 1108385 127625 15.0107 15.0107 -3068.04 -15.0107 0 0 -1 -1 0.03 0.41 0.10 -1 -1 0.03 0.165953 0.145945 + k4_n4_v7_bidir.xml dsip.blif common 17.11 vpr 68.17 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 443 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69808 229 197 1815 2012 1 1190 869 29 29 841 io auto 28.1 MiB 0.38 11973 394644 125240 259207 10197 68.2 MiB 2.08 0.03 7.81345 -1864.07 -7.81345 7.81345 0.53 0.00535814 0.00488395 0.530074 0.483477 -1 -1 -1 -1 16 17634 29 2.187e+07 1.329e+07 -1 -1 11.35 2.24088 2.01058 24114 234671 -1 15615 17 6539 22470 1305981 155172 8.39336 8.39336 -2240 -8.39336 0 0 -1 -1 0.04 0.51 0.14 -1 -1 0.04 0.207463 0.187572 + k4_n4_v7_bidir.xml elliptic.blif common 37.61 vpr 90.62 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1023 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92800 131 114 4855 4969 1 2112 1268 34 34 1156 clb auto 44.4 MiB 0.81 32596 556928 195696 354146 7086 90.6 MiB 3.78 0.04 24.1099 -12023.9 -24.1099 24.1099 0.75 0.0101772 0.00905489 0.939617 0.809593 -1 -1 -1 -1 30 49231 36 3.072e+07 3.069e+07 -1 -1 26.75 4.49362 3.80212 44604 633776 -1 42934 20 10387 48413 3768591 351570 30.2109 30.2109 -15345.5 -30.2109 0 0 -1 -1 0.12 1.33 0.36 -1 -1 0.12 0.485595 0.418404 + k4_n4_v7_bidir.xml ex1010.blif common 36.68 vpr 121.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1563 10 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 123980 10 10 4608 4618 0 3574 1583 42 42 1764 clb auto 48.8 MiB 1.06 45921 757787 273354 482875 1558 121.1 MiB 6.00 0.07 24.867 -238.877 -24.867 nan 1.20 0.0102756 0.00899418 0.917843 0.766839 -1 -1 -1 -1 26 68475 43 4.8e+07 4.689e+07 -1 -1 20.73 3.33818 2.7637 62610 833692 -1 64752 18 24374 99160 6613607 701101 30.222 nan -281.378 -30.222 0 0 -1 -1 0.18 1.93 0.48 -1 -1 0.18 0.456402 0.397122 + k4_n4_v7_bidir.xml ex5p.blif common 11.95 vpr 63.71 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 367 8 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65240 8 63 1072 1135 0 898 438 22 22 484 clb auto 24.3 MiB 0.29 12146 90186 23768 64733 1685 63.7 MiB 0.66 0.01 13.0939 -572.821 -13.0939 nan 0.29 0.00333392 0.00303459 0.168069 0.151968 -1 -1 -1 -1 30 18611 31 1.2e+07 1.101e+07 -1 -1 8.51 1.19968 1.02863 18780 258080 -1 16902 22 7677 27841 2272527 218032 15.2991 nan -713.758 -15.2991 0 0 -1 -1 0.04 0.56 0.14 -1 -1 0.04 0.144319 0.127029 + k4_n4_v7_bidir.xml frisc.blif common 54.50 vpr 97.34 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1094 20 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 99680 20 116 4445 4561 1 2290 1230 36 36 1296 clb auto 43.9 MiB 1.02 37881 521603 180411 331963 9229 97.3 MiB 3.92 0.05 26.8202 -13903.6 -26.8202 26.8202 0.86 0.0122203 0.0110894 0.956025 0.829061 -1 -1 -1 -1 32 53318 28 3.468e+07 3.282e+07 -1 -1 42.35 5.09669 4.3045 51266 747164 -1 50100 20 13055 62295 4926128 476378 30.0915 30.0915 -16608.9 -30.0915 0 0 -1 -1 0.17 1.59 0.42 -1 -1 0.17 0.497557 0.429913 + k4_n4_v7_bidir.xml misex3.blif common 8.18 vpr 65.96 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 450 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67548 14 14 1411 1425 0 1056 478 24 24 576 clb auto 26.3 MiB 0.37 13796 110690 30564 78259 1867 66.0 MiB 0.85 0.01 13.1269 -168.734 -13.1269 nan 0.35 0.00354587 0.0031583 0.217774 0.193705 -1 -1 -1 -1 28 20617 40 1.452e+07 1.35e+07 -1 -1 4.27 0.965632 0.831349 21174 279108 -1 19405 15 6568 24543 1770202 179540 17.0249 nan -206.629 -17.0249 0 0 -1 -1 0.05 0.47 0.16 -1 -1 0.05 0.124274 0.109733 + k4_n4_v7_bidir.xml pdc.blif common 48.90 vpr 128.40 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1606 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 131484 16 40 4591 4631 0 3616 1662 43 43 1849 clb auto 50.4 MiB 1.49 70823 847902 321209 521330 5363 125.7 MiB 6.45 0.07 23.2596 -828.944 -23.2596 nan 1.26 0.0113332 0.00931231 1.06274 0.88275 -1 -1 -1 -1 43 102107 34 5.043e+07 4.818e+07 -1 -1 30.48 4.53535 3.75938 85938 1423039 -1 93998 18 22530 96951 9514643 817700 28.4929 nan -968.631 -28.4929 0 0 -1 -1 0.30 2.43 0.82 -1 -1 0.30 0.499348 0.434383 + k4_n4_v7_bidir.xml s298.blif common 12.27 vpr 70.25 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 573 4 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71936 4 6 1942 1948 1 1167 583 26 26 676 clb auto 30.0 MiB 0.37 13731 153925 45496 107368 1061 70.2 MiB 1.16 0.02 22.8024 -174.336 -22.8024 22.8024 0.41 0.00477359 0.00429243 0.331304 0.293349 -1 -1 -1 -1 23 22316 43 1.728e+07 1.719e+07 -1 -1 7.18 1.75212 1.49433 22796 276132 -1 19575 20 6968 37799 2544526 246480 26.5962 26.5962 -218.415 -26.5962 0 0 -1 -1 0.05 0.78 0.16 -1 -1 0.05 0.223437 0.192845 + k4_n4_v7_bidir.xml s38417.blif common 33.21 vpr 153.43 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1852 29 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 157116 29 106 7534 7640 1 4623 1987 46 46 2116 clb auto 61.8 MiB 1.59 47120 1100755 398762 686220 15773 153.4 MiB 8.42 0.09 17.0693 -10670.2 -17.0693 17.0693 1.47 0.0156768 0.0137401 1.56188 1.30558 -1 -1 -1 -1 22 63457 28 5.808e+07 5.556e+07 -1 -1 12.01 4.69097 3.86789 68682 831896 -1 59949 23 25525 87816 4971508 563863 21.4975 21.4975 -13271.4 -21.4975 0 0 -1 -1 0.17 2.09 0.48 -1 -1 0.17 0.875222 0.744994 + k4_n4_v7_bidir.xml s38584.1.blif common 31.69 vpr 145.99 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1787 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 149496 38 304 7475 7779 1 4320 2129 45 45 2025 clb auto 61.9 MiB 1.48 43523 1261609 468867 769100 23642 146.0 MiB 8.39 0.10 14.2895 -8834.77 -14.2895 14.2895 1.40 0.0164366 0.0135486 1.52844 1.26 -1 -1 -1 -1 22 56507 39 5.547e+07 5.361e+07 -1 -1 11.71 4.80638 3.99386 65746 795487 -1 53443 14 19463 62781 3400798 392488 16.6132 16.6132 -10472.1 -16.6132 0 0 -1 -1 0.16 1.40 0.46 -1 -1 0.16 0.603802 0.52713 + k4_n4_v7_bidir.xml seq.blif common 10.16 vpr 69.34 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 567 41 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71000 41 35 1791 1826 0 1347 643 26 26 676 clb auto 28.8 MiB 0.45 18350 178179 53492 120210 4477 69.3 MiB 1.25 0.02 13.9603 -407.385 -13.9603 nan 0.41 0.00419927 0.00366842 0.291283 0.256813 -1 -1 -1 -1 30 27234 30 1.728e+07 1.701e+07 -1 -1 5.14 1.17635 1.00481 26172 364912 -1 25057 20 8509 31894 2435484 232013 16.69 nan -479.986 -16.69 0 0 -1 -1 0.06 0.76 0.15 -1 -1 0.06 0.217075 0.190178 + k4_n4_v7_bidir.xml spla.blif common 84.73 vpr 105.58 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1282 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 108116 16 46 3706 3752 0 2852 1344 38 38 1444 clb auto 43.4 MiB 1.07 49392 594464 213328 377715 3421 105.6 MiB 4.44 0.05 20.6968 -701.437 -20.6968 nan 1.01 0.0086741 0.00758534 0.831813 0.699797 -1 -1 -1 -1 36 72996 40 3.888e+07 3.846e+07 -1 -1 70.80 5.03852 4.16463 59972 912004 -1 69746 21 19571 87523 9218620 820248 24.7304 nan -857.824 -24.7304 0 0 -1 -1 0.18 2.22 0.51 -1 -1 0.18 0.445326 0.381362 + k4_n4_v7_bidir.xml tseng.blif common 8.38 vpr 64.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 292 52 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65836 52 122 1483 1605 1 725 466 20 20 400 clb auto 24.9 MiB 0.25 6234 99796 25426 70692 3678 64.3 MiB 0.64 0.01 13.3576 -2408.51 -13.3576 13.3576 0.23 0.00331288 0.0029812 0.197284 0.177335 -1 -1 -1 -1 18 10309 49 9.72e+06 8.76e+06 -1 -1 5.56 1.33251 1.15654 12348 129228 -1 8807 18 3900 13884 654555 82155 14.5157 14.5157 -3101.03 -14.5157 0 0 -1 -1 0.02 0.28 0.07 -1 -1 0.02 0.133824 0.118201 + k4_n4_v7_l1_bidir.xml alu4.blif common 53.72 vpr 67.38 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 490 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 68996 14 8 1536 1544 0 1075 512 25 25 625 clb auto 27.1 MiB 0.36 14121 141755 45026 94787 1942 67.4 MiB 0.91 0.01 18.0745 -136.614 -18.0745 nan 0.63 0.00207399 0.00179826 0.22308 0.19566 -1 -1 -1 -1 20 15728 44 1.587e+07 1.47e+07 -1 -1 48.36 1.58823 1.33714 40434 275643 -1 14000 16 6841 27996 1626919 290551 17.7632 nan -134.96 -17.7632 0 0 -1 -1 0.06 0.62 0.21 -1 -1 0.06 0.140168 0.122565 + k4_n4_v7_l1_bidir.xml apex2.blif common 70.47 vpr 75.17 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 626 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76976 38 3 1916 1919 0 1483 667 28 28 784 clb auto 29.5 MiB 0.53 19979 213316 69985 138621 4710 74.6 MiB 1.56 0.02 22.2574 -65.2689 -22.2574 nan 0.82 0.00470119 0.00408705 0.354241 0.310695 -1 -1 -1 -1 23 23121 33 2.028e+07 1.878e+07 -1 -1 62.95 2.11239 1.77439 56784 401268 -1 20352 15 9956 37018 2993920 415761 21.9001 nan -65.1428 -21.9001 0 0 -1 -1 0.10 0.91 0.30 -1 -1 0.10 0.170248 0.149876 + k4_n4_v7_l1_bidir.xml apex4.blif common 29.38 vpr 64.87 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 434 9 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66424 9 19 1271 1290 0 989 462 23 23 529 clb auto 25.4 MiB 0.32 13905 125412 38326 85376 1710 64.9 MiB 0.96 0.01 18.3289 -292.406 -18.3289 nan 0.53 0.00312781 0.00279111 0.236393 0.211465 -1 -1 -1 -1 24 15651 33 1.323e+07 1.302e+07 -1 -1 24.58 1.17096 1.00223 39522 283015 -1 14291 16 7091 27457 2432804 329588 18.2498 nan -294.601 -18.2498 0 0 -1 -1 0.06 0.73 0.20 -1 -1 0.06 0.131909 0.116358 + k4_n4_v7_l1_bidir.xml bigkey.blif common 28.71 vpr 79.48 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 492 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81384 229 197 2152 2349 1 1586 918 29 29 841 io auto 30.1 MiB 0.37 13124 451277 143814 296619 10844 79.4 MiB 2.43 0.03 10.2071 -2455.12 -10.2071 10.2071 0.92 0.00612883 0.00548445 0.635991 0.573636 -1 -1 -1 -1 12 12537 29 2.187e+07 1.476e+07 -1 -1 21.22 2.48774 2.20538 39906 235943 -1 11657 19 7315 21879 1091195 200069 10.329 10.329 -2581.85 -10.329 0 0 -1 -1 0.06 0.62 0.18 -1 -1 0.06 0.247603 0.220899 + k4_n4_v7_l1_bidir.xml clma.blif common 81.26 vpr 259.79 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2648 62 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 266020 62 82 8460 8542 1 6288 2792 54 54 2916 clb auto 75.6 MiB 2.24 103742 2007296 810980 1179155 17161 259.8 MiB 15.33 0.15 39.1156 -2083.02 -39.1156 39.1156 3.44 0.0210014 0.0172835 2.27054 1.88291 -1 -1 -1 -1 30 102811 27 8.112e+07 7.944e+07 -1 -1 41.14 6.35894 5.1982 274144 2056336 -1 98721 16 38802 154791 12663335 1902409 37.7061 37.7061 -2171.92 -37.7061 0 0 -1 -1 0.55 4.56 1.67 -1 -1 0.55 0.871339 0.739969 + k4_n4_v7_l1_bidir.xml des.blif common 24.81 vpr 92.69 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 484 256 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 94916 256 245 1847 2092 0 1412 985 34 34 1156 io auto 28.9 MiB 0.47 17257 432097 143595 271357 17145 92.1 MiB 2.25 0.03 18.8241 -3130.1 -18.8241 nan 1.26 0.00649619 0.00595636 0.601962 0.552974 -1 -1 -1 -1 14 17359 30 3.072e+07 1.452e+07 -1 -1 16.11 2.03951 1.85165 59520 367032 -1 16289 18 8044 28971 1902864 353863 18.0321 nan -3199.72 -18.0321 0 0 -1 -1 0.10 0.81 0.30 -1 -1 0.10 0.284453 0.258612 + k4_n4_v7_l1_bidir.xml diffeq.blif common 16.84 vpr 68.11 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 439 64 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69748 64 39 1935 1974 1 1077 542 23 23 529 clb auto 28.2 MiB 0.35 10163 148545 42077 102375 4093 68.1 MiB 1.07 0.02 12.0441 -2875.38 -12.0441 12.0441 0.52 0.00422795 0.00373808 0.307469 0.27239 -1 -1 -1 -1 16 10332 33 1.323e+07 1.317e+07 -1 -1 12.08 1.63206 1.38989 28434 179743 -1 9483 18 6528 24074 1365996 234850 12.4631 12.4631 -3015.42 -12.4631 0 0 -1 -1 0.04 0.53 0.13 -1 -1 0.04 0.173946 0.15238 + k4_n4_v7_l1_bidir.xml dsip.blif common 29.87 vpr 77.79 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 443 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 79660 229 197 1815 2012 1 1190 869 29 29 841 io auto 28.0 MiB 0.38 12024 411224 134532 266541 10151 77.5 MiB 2.19 0.03 11.1435 -2606.08 -11.1435 11.1435 0.83 0.00536955 0.00490806 0.562132 0.513221 -1 -1 -1 -1 11 11733 38 2.187e+07 1.329e+07 -1 -1 22.79 2.12017 1.90204 36882 207979 -1 10574 14 6196 21392 985879 203001 10.7649 10.7649 -2673.27 -10.7649 0 0 -1 -1 0.05 0.53 0.18 -1 -1 0.05 0.186653 0.169012 + k4_n4_v7_l1_bidir.xml elliptic.blif common 40.51 vpr 111.21 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1023 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 113880 131 114 4855 4969 1 2112 1268 34 34 1156 clb auto 44.5 MiB 0.81 32789 577508 205884 364526 7098 111.1 MiB 3.94 0.05 28.7214 -15557.5 -28.7214 28.7214 1.30 0.011601 0.00986944 1.0309 0.884728 -1 -1 -1 -1 24 36433 43 3.072e+07 3.069e+07 -1 -1 27.07 3.21778 2.72598 89088 639360 -1 31740 17 11379 52651 4955240 805229 28.1279 28.1279 -16635.6 -28.1279 0 0 -1 -1 0.17 1.78 0.50 -1 -1 0.17 0.455205 0.393337 + k4_n4_v7_l1_bidir.xml ex1010.blif common 204.88 vpr 149.71 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1563 10 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 153304 10 10 4608 4618 0 3574 1583 42 42 1764 clb auto 49.1 MiB 1.14 45378 813119 301047 509692 2380 149.7 MiB 6.52 0.07 35.3671 -339.874 -35.3671 nan 2.00 0.0105216 0.00867872 1.13108 0.935671 -1 -1 -1 -1 20 49075 39 4.8e+07 4.689e+07 -1 -1 185.14 5.35262 4.37628 117920 807896 -1 44998 16 24650 99851 5423374 1000125 34.8516 nan -338.727 -34.8516 0 0 -1 -1 0.21 2.26 0.62 -1 -1 0.21 0.445109 0.384955 + k4_n4_v7_l1_bidir.xml ex5p.blif common 22.68 vpr 63.71 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 367 8 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65236 8 63 1072 1135 0 898 438 22 22 484 clb auto 24.3 MiB 0.28 11915 106806 31455 73557 1794 63.7 MiB 0.76 0.01 16.2558 -718.217 -16.2558 nan 0.48 0.00266047 0.00239417 0.186827 0.16858 -1 -1 -1 -1 24 13413 30 1.2e+07 1.101e+07 -1 -1 18.57 1.14807 0.989575 36000 257712 -1 11900 15 6787 23733 1853955 288518 16.2529 nan -726.803 -16.2529 0 0 -1 -1 0.05 0.53 0.19 -1 -1 0.05 0.103498 0.0915159 + k4_n4_v7_l1_bidir.xml frisc.blif common 38.93 vpr 121.06 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1094 20 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 123968 20 116 4445 4561 1 2290 1230 36 36 1296 clb auto 44.0 MiB 1.00 38255 580886 202911 367450 10525 121.0 MiB 4.07 0.05 29.223 -16576.9 -29.223 29.223 1.13 0.0106484 0.00904003 0.940065 0.801656 -1 -1 -1 -1 26 40614 39 3.468e+07 3.282e+07 -1 -1 24.94 2.98417 2.53653 104992 763300 -1 37284 18 13336 60167 5347576 900846 28.6821 28.6821 -16996 -28.6821 0 0 -1 -1 0.20 1.82 0.58 -1 -1 0.20 0.419427 0.365252 + k4_n4_v7_l1_bidir.xml misex3.blif common 45.92 vpr 66.11 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 450 14 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67696 14 14 1411 1425 0 1056 478 24 24 576 clb auto 26.5 MiB 0.37 13799 121898 36530 83432 1936 66.1 MiB 0.90 0.01 18.1166 -222.755 -18.1166 nan 0.60 0.00309451 0.00272996 0.216068 0.191921 -1 -1 -1 -1 21 15917 38 1.452e+07 1.35e+07 -1 -1 40.79 1.44479 1.22595 39160 271852 -1 13861 19 6925 26912 1892268 324238 17.8372 nan -222.89 -17.8372 0 0 -1 -1 0.06 0.64 0.20 -1 -1 0.06 0.144778 0.126476 + k4_n4_v7_l1_bidir.xml pdc.blif common 185.86 vpr 161.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1606 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 165376 16 40 4591 4631 0 3616 1662 43 43 1849 clb auto 50.5 MiB 1.46 71388 995502 386267 603819 5416 155.7 MiB 7.79 0.07 36.0567 -1232.66 -36.0567 nan 2.17 0.0114444 0.00941235 1.37422 1.1363 -1 -1 -1 -1 34 83956 48 5.043e+07 4.818e+07 -1 -1 161.61 5.08837 4.17381 185730 1416087 -1 74292 16 24740 103095 11970321 1866116 34.9964 nan -1210.26 -34.9964 0 0 -1 -1 0.37 3.70 1.22 -1 -1 0.37 0.489235 0.420817 + k4_n4_v7_l1_bidir.xml s298.blif common 12.12 vpr 70.05 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 573 4 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71732 4 6 1942 1948 1 1167 583 26 26 676 clb auto 29.8 MiB 0.37 13721 168529 51547 115716 1266 70.1 MiB 0.87 0.01 26.8992 -206.444 -26.8992 26.8992 0.51 0.00299887 0.00257425 0.223433 0.19287 -1 -1 -1 -1 17 15103 41 1.728e+07 1.719e+07 -1 -1 6.67 0.869853 0.739775 39072 254696 -1 13708 17 7890 40380 2928639 390491 25.7904 25.7904 -205.684 -25.7904 0 0 -1 -1 0.06 0.93 0.19 -1 -1 0.06 0.19636 0.172164 + k4_n4_v7_l1_bidir.xml s38417.blif common 85.43 vpr 189.48 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1852 29 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 194024 29 106 7534 7640 1 4623 1987 46 46 2116 clb auto 62.1 MiB 1.48 45682 1150699 423694 711314 15691 189.5 MiB 8.94 0.10 24.016 -14000.6 -24.016 24.016 2.52 0.0168312 0.0139048 1.65489 1.37293 -1 -1 -1 -1 16 41981 27 5.808e+07 5.556e+07 -1 -1 61.09 6.8711 5.59216 118272 756192 -1 39731 15 23886 81328 4350389 861432 23.6137 23.6137 -14728 -23.6137 0 0 -1 -1 0.21 2.06 0.61 -1 -1 0.21 0.672518 0.576412 + k4_n4_v7_l1_bidir.xml s38584.1.blif common 89.69 vpr 183.28 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1787 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 187676 38 304 7475 7779 1 4320 2129 45 45 2025 clb auto 61.8 MiB 1.45 43799 1343749 517594 801490 24665 183.3 MiB 9.55 0.12 21.0587 -13121.7 -21.0587 21.0587 2.33 0.0205892 0.0168564 1.8726 1.54631 -1 -1 -1 -1 16 39654 47 5.547e+07 5.361e+07 -1 -1 65.95 7.05965 5.7985 113090 722879 -1 37482 13 19902 66283 3641519 680597 20.2436 20.2436 -13770 -20.2436 0 0 -1 -1 0.19 1.67 0.56 -1 -1 0.19 0.582155 0.503652 + k4_n4_v7_l1_bidir.xml seq.blif common 39.04 vpr 69.39 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 567 41 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71060 41 35 1791 1826 0 1347 643 26 26 676 clb auto 28.8 MiB 0.45 18608 203145 65187 132320 5638 69.4 MiB 1.45 0.02 18.1385 -520.265 -18.1385 nan 0.69 0.00440251 0.00379688 0.341235 0.296573 -1 -1 -1 -1 24 20201 48 1.728e+07 1.701e+07 -1 -1 32.66 1.86519 1.57725 51072 366016 -1 19098 15 8908 34158 2699342 394310 17.881 nan -530.81 -17.881 0 0 -1 -1 0.08 0.84 0.27 -1 -1 0.08 0.169259 0.148746 + k4_n4_v7_l1_bidir.xml spla.blif common 55.44 vpr 130.51 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1282 16 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 133644 16 46 3706 3752 0 2852 1344 38 38 1444 clb auto 43.3 MiB 0.97 48851 705674 261242 438237 6195 130.4 MiB 5.28 0.05 28.2789 -928.469 -28.2789 nan 1.62 0.00915224 0.00762446 0.960488 0.808085 -1 -1 -1 -1 30 55651 36 3.888e+07 3.846e+07 -1 -1 38.42 2.90573 2.41614 133344 1000208 -1 49871 15 17906 78246 6885546 1014380 27.1504 nan -941.372 -27.1504 0 0 -1 -1 0.25 2.26 0.77 -1 -1 0.25 0.363869 0.316143 + k4_n4_v7_l1_bidir.xml tseng.blif common 11.07 vpr 64.45 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 292 52 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65992 52 122 1483 1605 1 725 466 20 20 400 clb auto 25.0 MiB 0.25 6135 112438 30480 78177 3781 64.4 MiB 0.73 0.01 11.1777 -2780.46 -11.1777 11.1777 0.38 0.00330812 0.00294725 0.224125 0.201235 -1 -1 -1 -1 14 6150 30 9.72e+06 8.76e+06 -1 -1 7.57 1.13613 0.985613 19872 120996 -1 5573 18 4232 15969 711167 147306 11.3812 11.3812 -3151.62 -11.3812 0 0 -1 -1 0.03 0.33 0.09 -1 -1 0.03 0.136984 0.120602 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_func_formal/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_func_formal/config/golden_results.txt index a64f0a882d0..9878ca23cf5 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_func_formal/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_func_formal/config/golden_results.txt @@ -1,3 +1,3 @@ - arch circuit script_params vtr_flow_elapsed_time error odin_synth_time max_odin_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time placement_technique reward uniform_percentage median_percentage wmedian_percentage wcent_percentage fr_percentage critUni_percentage centroid_percentage - k6_frac_N10_40nm.xml sha.v common 2075.74 0.69 36476 20 506.72 -1 -1 95572 -1 -1 208 38 -1 -1 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 75108 38 36 3404 3440 1 1290 282 17 17 289 clb auto 1.64 12508 1.22 0.01 11.3503 -3905.76 -11.3503 11.3503 0.02 0.00324856 0.00264942 0.47841 0.349287 -1 17462 12 1.21262e+07 1.121e+07 3.33009e+06 11522.8 0.29 0.692138 0.542275 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 simple RL 'Softmax agent' -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml stereovision3.v common 1.42 0.05 8820 4 0.11 -1 -1 33040 -1 -1 13 11 -1 -1 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 25032 23 30 262 304 2 110 66 6 6 36 clb auto 0.09 358 0.09 0.00 2.24318 -154.283 -2.24318 2.0857 0.00 0.000283319 0.000227979 0.0465577 0.036372 -1 397 9 862304 700622 300248. 8340.23 0.02 0.0593364 0.0478995 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 simple RL 'Softmax agent' -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_40nm.xml sha.v common 1573.18 abc 94.10 MiB 2.09 38248 -1 -1 20 467.44 -1 -1 96356 -1 -1 207 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82452 38 36 3404 3440 1 1294 281 17 17 289 clb auto 38.8 MiB 2.28 12837 53641 12833 37659 3149 80.5 MiB 1.12 0.02 13.5016 -4515.69 -13.5016 13.5016 0.00 0.00752789 0.00668401 0.495491 0.4378 -1 -1 -1 -1 -1 17934 16 1.21262e+07 1.11561e+07 3.33009e+06 11522.8 0.50 0.863052 0.768227 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml stereovision3.v common 1.63 vpr 58.65 MiB 0.08 9400 -1 -1 4 0.15 -1 -1 33352 -1 -1 13 11 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 60056 23 30 262 304 2 110 66 6 6 36 clb auto 19.5 MiB 0.09 409 2593 543 1856 194 58.6 MiB 0.02 0.00 2.55648 -171.328 -2.55648 2.31607 0.00 0.00037611 0.000333951 0.0105184 0.00953081 -1 -1 -1 -1 -1 426 9 862304 700622 300248. 8340.23 0.02 0.0265046 0.024223 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_reg_multiclock_mcnc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_reg_multiclock_mcnc/config/golden_results.txt index 76aa77f8ebe..f952fd79e3d 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_reg_multiclock_mcnc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_reg_multiclock_mcnc/config/golden_results.txt @@ -1,11 +1,11 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_40nm.xml bigkey.blif common 15.50 vpr 63.39 MiB -1 -1 -1 -1 3 0.37 -1 -1 34844 -1 -1 53 229 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64912 229 197 1023 1220 1 510 479 16 16 256 io auto 24.2 MiB 0.27 3412 154065 46239 98678 9148 63.4 MiB 0.95 0.01 2.41239 -578.515 -2.41239 2.41239 0.79 0.00631748 0.00590554 0.537724 0.50284 34 6563 36 1.05632e+07 2.85638e+06 613832. 2397.78 10.54 3.12398 2.91529 24564 122629 -1 5999 13 1330 2398 156004 35692 2.69369 2.69369 -639.318 -2.69369 0 0 751777. 2936.63 0.21 0.25 0.16 -1 -1 0.21 0.197289 0.185691 -k6_frac_N10_40nm.xml clma.blif common 8.18 vpr 60.61 MiB -1 -1 -1 -1 7 2.28 -1 -1 39892 -1 -1 77 36 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62060 36 82 542 624 1 389 195 11 11 121 clb auto 21.8 MiB 0.54 2075 39180 14775 18229 6176 60.6 MiB 0.39 0.01 4.56725 -162.762 -4.56725 4.56725 0.32 0.00290424 0.00269628 0.21446 0.199553 40 4742 31 4.36541e+06 4.14984e+06 303235. 2506.08 2.72 0.896352 0.821286 11571 60661 -1 3946 19 2186 7344 217806 45734 4.7836 4.7836 -183.173 -4.7836 0 0 379421. 3135.71 0.09 0.20 0.08 -1 -1 0.09 0.124637 0.115259 -k6_frac_N10_40nm.xml diffeq.blif common 5.52 vpr 62.28 MiB -1 -1 -1 -1 8 0.40 -1 -1 34272 -1 -1 52 64 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63776 64 39 941 980 1 449 155 10 10 100 clb auto 23.5 MiB 0.73 2754 14299 2774 10573 952 62.3 MiB 0.27 0.01 5.00123 -878.262 -5.00123 5.00123 0.25 0.00444533 0.00408514 0.170524 0.156838 46 4930 28 3.44922e+06 2.80249e+06 276332. 2763.32 2.10 0.960344 0.875051 9816 55112 -1 4472 14 1803 5492 169063 35103 5.16783 5.16783 -930.109 -5.16783 0 0 354105. 3541.05 0.08 0.21 0.08 -1 -1 0.08 0.15494 0.143538 -k6_frac_N10_40nm.xml dsip.blif common 9.71 vpr 64.65 MiB -1 -1 -1 -1 3 0.33 -1 -1 34640 -1 -1 68 229 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66204 229 197 1135 1332 1 648 494 16 16 256 io auto 25.3 MiB 0.73 4700 170318 50861 109699 9758 64.7 MiB 1.18 0.02 2.3875 -617.47 -2.3875 2.3875 0.78 0.00683703 0.00637878 0.619128 0.577611 34 9287 43 1.05632e+07 3.66479e+06 613832. 2397.78 3.93 2.05956 1.91939 24564 122629 -1 8151 13 2265 5666 327590 69866 2.60848 2.60848 -674.007 -2.60848 0 0 751777. 2936.63 0.21 0.32 0.16 -1 -1 0.21 0.222292 0.209372 -k6_frac_N10_40nm.xml elliptic.blif common 15.88 vpr 72.66 MiB -1 -1 -1 -1 10 1.34 -1 -1 37424 -1 -1 133 131 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 74408 131 114 2471 2585 1 970 378 14 14 196 clb auto 32.2 MiB 3.03 9165 74142 19344 51191 3607 72.7 MiB 1.13 0.02 7.14215 -3414.64 -7.14215 7.14215 0.57 0.0112416 0.0101644 0.638433 0.581928 64 15825 36 7.76074e+06 7.1679e+06 810706. 4136.26 5.95 2.90989 2.65593 22444 164128 -1 14159 17 4519 20253 781043 131442 7.47917 7.47917 -3699.02 -7.47917 0 0 1.00880e+06 5146.95 0.24 0.70 0.25 -1 -1 0.24 0.461466 0.427062 -k6_frac_N10_40nm.xml frisc.blif common 19.77 vpr 74.44 MiB -1 -1 -1 -1 12 2.03 -1 -1 37396 -1 -1 153 20 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76224 20 116 2477 2593 1 1088 289 15 15 225 clb auto 33.6 MiB 3.85 12581 59499 15581 39947 3971 74.4 MiB 1.33 0.02 8.14365 -3747.83 -8.14365 8.14365 0.64 0.0112947 0.0102836 0.764701 0.696515 74 21933 44 9.10809e+06 8.24578e+06 1.06098e+06 4715.46 8.34 3.32442 3.03038 27275 217117 -1 19654 18 5722 25295 1201911 194668 8.26982 8.26982 -3960.51 -8.26982 0 0 1.32822e+06 5903.22 0.30 0.43 0.18 -1 -1 0.30 0.243345 0.22521 -k6_frac_N10_40nm.xml s298.blif common 7.43 vpr 62.24 MiB -1 -1 -1 -1 8 0.57 -1 -1 33856 -1 -1 61 4 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63732 4 6 671 677 1 350 71 10 10 100 clb auto 23.4 MiB 0.92 3493 3283 433 2659 191 62.2 MiB 0.16 0.01 5.33805 -43.3781 -5.33805 5.33805 0.25 0.00397189 0.00366099 0.105657 0.0981952 52 5783 22 3.44922e+06 3.28753e+06 305142. 3051.42 3.75 1.33758 1.22437 10212 61796 -1 5397 17 2148 10775 376607 64965 5.90507 5.90507 -48.8576 -5.90507 0 0 401807. 4018.07 0.09 0.27 0.09 -1 -1 0.09 0.165044 0.153465 -k6_frac_N10_40nm.xml s38417.blif common 18.81 vpr 78.45 MiB -1 -1 -1 -1 6 3.02 -1 -1 42408 -1 -1 179 29 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80332 29 106 3450 3556 1 1198 314 16 16 256 clb auto 37.5 MiB 2.17 8989 70670 18144 45519 7007 78.4 MiB 1.55 0.02 4.74073 -2890.12 -4.74073 4.74073 0.79 0.0138904 0.0125607 0.984666 0.887956 48 14980 41 1.05632e+07 9.64703e+06 819368. 3200.65 6.57 4.33054 3.91711 26860 167058 -1 13212 15 5024 17286 529604 104654 4.75139 4.75139 -2966.77 -4.75139 0 0 1.04748e+06 4091.72 0.30 0.71 0.23 -1 -1 0.30 0.554676 0.511839 -k6_frac_N10_40nm.xml s38584.1.blif common 18.55 vpr 78.51 MiB -1 -1 -1 -1 6 2.16 -1 -1 40952 -1 -1 194 38 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80396 38 304 3259 3563 1 1574 536 16 16 256 clb auto 37.8 MiB 2.15 9889 183320 55884 108640 18796 78.5 MiB 2.36 0.03 4.27217 -2394.9 -4.27217 4.27217 0.56 0.0145306 0.0132396 1.31178 1.18976 58 17695 25 1.05632e+07 1.04554e+07 977637. 3818.90 7.00 4.20263 3.82602 28644 201685 -1 15484 13 5074 13582 483608 102794 4.69981 4.69981 -2495.41 -4.69981 0 0 1.24374e+06 4858.37 0.31 0.65 0.30 -1 -1 0.31 0.521931 0.485523 -k6_frac_N10_40nm.xml tseng.blif common 4.95 vpr 60.83 MiB -1 -1 -1 -1 7 0.25 -1 -1 34444 -1 -1 34 52 -1 -1 success v8.0.0-11333-g6a44da44e-dirty release VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T21:53:04 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 62292 52 122 664 786 1 355 208 8 8 64 io clb auto 22.2 MiB 0.71 1783 26080 5856 18588 1636 60.8 MiB 0.28 0.01 4.2185 -596.347 -4.2185 4.2185 0.15 0.00333934 0.00308628 0.153624 0.142133 58 3398 46 1.94018e+06 1.8324e+06 203254. 3175.84 2.23 1.20749 1.10156 6532 39661 -1 2965 13 1169 3143 108747 29150 4.26194 4.26194 -630.352 -4.26194 0 0 258247. 4035.11 0.06 0.15 0.06 -1 -1 0.06 0.107329 0.0995631 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_40nm.xml bigkey.blif common 6.23 vpr 64.53 MiB -1 -1 -1 -1 3 0.37 -1 -1 34788 -1 -1 53 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66076 229 197 1023 1220 1 510 479 16 16 256 io auto 23.9 MiB 0.27 3641 154065 46430 98015 9620 64.5 MiB 0.74 0.01 2.42373 -577.247 -2.42373 2.42373 0.26 0.00398091 0.00373104 0.340965 0.319373 -1 -1 -1 -1 36 6328 35 1.05632e+07 2.85638e+06 638738. 2495.07 2.89 1.48274 1.36625 24820 128426 -1 5481 8 1306 2376 126862 31374 2.67597 2.67597 -632.008 -2.67597 0 0 786978. 3074.13 0.03 0.13 0.10 -1 -1 0.03 0.0974416 0.0913862 + k6_frac_N10_40nm.xml clma.blif common 7.46 vpr 61.55 MiB -1 -1 -1 -1 7 2.24 -1 -1 39940 -1 -1 79 36 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63032 36 82 542 624 1 389 197 11 11 121 clb auto 22.1 MiB 0.53 2089 43172 15722 20877 6573 61.6 MiB 0.30 0.01 4.60077 -165.07 -4.60077 4.60077 0.11 0.00158818 0.0014666 0.129463 0.1196 -1 -1 -1 -1 44 4139 30 4.36541e+06 4.25763e+06 327165. 2703.84 2.77 0.732744 0.643123 11931 67129 -1 3316 16 1708 5716 138864 32420 4.83136 4.83136 -175.151 -4.83136 0 0 426099. 3521.48 0.01 0.11 0.05 -1 -1 0.01 0.0672002 0.0607985 + k6_frac_N10_40nm.xml diffeq.blif common 4.01 vpr 63.38 MiB -1 -1 -1 -1 8 0.41 -1 -1 34292 -1 -1 52 64 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64900 64 39 941 980 1 449 155 10 10 100 clb auto 23.4 MiB 0.70 2832 12635 2438 9282 915 63.4 MiB 0.18 0.01 4.98272 -882.824 -4.98272 4.98272 0.09 0.00234454 0.00211606 0.0863666 0.0788215 -1 -1 -1 -1 46 4591 19 3.44922e+06 2.80249e+06 276332. 2763.32 1.20 0.589632 0.513259 9816 55112 -1 4111 18 1656 5042 136520 29654 5.41789 5.41789 -952.235 -5.41789 0 0 354105. 3541.05 0.01 0.15 0.04 -1 -1 0.01 0.107362 0.0964647 + k6_frac_N10_40nm.xml dsip.blif common 7.36 vpr 64.88 MiB -1 -1 -1 -1 3 0.33 -1 -1 34684 -1 -1 68 229 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66440 229 197 1135 1332 1 648 494 16 16 256 io auto 25.2 MiB 0.71 5390 162510 50223 103313 8974 64.9 MiB 0.94 0.01 2.31397 -600.978 -2.31397 2.31397 0.26 0.00420661 0.00390485 0.388428 0.36156 -1 -1 -1 -1 34 9972 32 1.05632e+07 3.66479e+06 613832. 2397.78 3.22 1.46481 1.34083 24564 122629 -1 8332 13 2339 5868 306706 68264 2.51113 2.51113 -669.569 -2.51113 0 0 751777. 2936.63 0.03 0.22 0.10 -1 -1 0.03 0.14848 0.138451 + k6_frac_N10_40nm.xml elliptic.blif common 11.38 vpr 72.87 MiB -1 -1 -1 -1 10 1.33 -1 -1 37312 -1 -1 134 131 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74616 131 114 2471 2585 1 965 379 14 14 196 clb auto 31.9 MiB 3.01 9493 78526 21300 53244 3982 72.9 MiB 0.89 0.02 6.66989 -3362.18 -6.66989 6.66989 0.19 0.00572216 0.00514529 0.368526 0.328102 -1 -1 -1 -1 64 15463 36 7.76074e+06 7.2218e+06 810706. 4136.26 3.17 1.56022 1.36164 22444 164128 -1 13666 16 4234 17970 605416 107406 6.78748 6.78748 -3469.91 -6.78748 0 0 1.00880e+06 5146.95 0.03 0.41 0.13 -1 -1 0.03 0.262304 0.236626 + k6_frac_N10_40nm.xml frisc.blif common 14.61 vpr 74.16 MiB -1 -1 -1 -1 12 2.04 -1 -1 37212 -1 -1 154 20 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 75944 20 116 2477 2593 1 1095 290 15 15 225 clb auto 33.3 MiB 3.71 12890 61666 15631 41457 4578 74.2 MiB 0.99 0.02 8.02167 -3816.55 -8.02167 8.02167 0.22 0.00590075 0.00528608 0.419513 0.373344 -1 -1 -1 -1 74 21484 43 9.10809e+06 8.29968e+06 1.06098e+06 4715.46 4.44 1.71833 1.49724 27275 217117 -1 19434 16 5788 25215 1069833 185373 8.27179 8.27179 -4029.23 -8.27179 0 0 1.32822e+06 5903.22 0.04 0.53 0.17 -1 -1 0.04 0.276787 0.249571 + k6_frac_N10_40nm.xml s298.blif common 5.13 vpr 62.66 MiB -1 -1 -1 -1 8 0.60 -1 -1 33840 -1 -1 61 4 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 64168 4 6 671 677 1 350 71 10 10 100 clb auto 23.3 MiB 0.92 3495 3137 432 2529 176 62.7 MiB 0.11 0.00 5.3014 -43.7499 -5.3014 5.3014 0.09 0.00193317 0.0017562 0.0558011 0.0513432 -1 -1 -1 -1 54 5597 27 3.44922e+06 3.28753e+06 316276. 3162.76 1.97 0.645928 0.55799 10312 63908 -1 5157 17 2266 11525 327366 61505 5.48392 5.48392 -46.8399 -5.48392 0 0 411339. 4113.39 0.01 0.18 0.05 -1 -1 0.01 0.0964402 0.0870985 + k6_frac_N10_40nm.xml s38417.blif common 14.45 vpr 78.21 MiB -1 -1 -1 -1 6 3.08 -1 -1 42448 -1 -1 176 29 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80088 29 106 3450 3556 1 1190 311 16 16 256 clb auto 36.9 MiB 2.12 8949 65597 16026 43107 6464 78.2 MiB 1.04 0.02 4.50231 -2905.37 -4.50231 4.50231 0.26 0.0069377 0.00610226 0.499027 0.435137 -1 -1 -1 -1 46 15732 39 1.05632e+07 9.48534e+06 786978. 3074.13 4.30 2.34785 2.00419 26604 162157 -1 13635 14 5142 17426 519616 106370 4.53102 4.53102 -2987.6 -4.53102 0 0 1.01084e+06 3948.58 0.03 0.49 0.12 -1 -1 0.03 0.357573 0.323077 + k6_frac_N10_40nm.xml s38584.1.blif common 15.91 vpr 78.62 MiB -1 -1 -1 -1 6 2.20 -1 -1 41012 -1 -1 203 38 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80504 38 304 3259 3563 1 1559 545 17 17 289 clb auto 37.4 MiB 3.13 10446 174095 49766 105233 19096 78.6 MiB 1.73 0.02 4.29015 -2399.8 -4.29015 4.29015 0.30 0.0081369 0.00733169 0.717489 0.631478 -1 -1 -1 -1 58 17767 23 1.21262e+07 1.09405e+07 1.11519e+06 3858.79 4.85 2.80811 2.44124 32499 230706 -1 16008 15 5250 14700 516522 110817 4.81093 4.81093 -2541.32 -4.81093 0 0 1.41877e+06 4909.24 0.05 0.47 0.18 -1 -1 0.05 0.353691 0.320217 + k6_frac_N10_40nm.xml tseng.blif common 3.79 vpr 61.52 MiB -1 -1 -1 -1 7 0.51 -1 -1 34300 -1 -1 33 52 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 63000 52 122 664 786 1 358 207 8 8 64 io clb auto 22.0 MiB 0.69 1908 22239 4478 16280 1481 61.5 MiB 0.19 0.01 4.25559 -597.466 -4.25559 4.25559 0.05 0.0019736 0.00181929 0.081938 0.075812 -1 -1 -1 -1 58 3323 26 1.94018e+06 1.7785e+06 203254. 3175.84 1.01 0.511783 0.451955 6532 39661 -1 2850 14 1079 2916 89573 24965 4.64398 4.64398 -644.298 -4.64398 0 0 258247. 4035.11 0.01 0.11 0.03 -1 -1 0.01 0.0729861 0.0664889 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_reg_netlist_writer/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_reg_netlist_writer/config/golden_results.txt index 38a7505faba..b77b4bd5ed6 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_reg_netlist_writer/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_reg_netlist_writer/config/golden_results.txt @@ -1,5 +1,5 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 68.70 vpr 141.21 MiB 0.93 39844 -1 -1 8 4.37 -1 -1 41924 -1 -1 258 385 2 1 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 144600 385 394 4673 4537 1 2422 1040 27 27 729 io auto 62.8 MiB 9.25 31099 601478 234916 341577 24985 100.0 MiB 5.37 0.06 7.91028 -9390.87 -7.91028 7.91028 2.16 0.0160116 0.0148357 1.92959 1.75908 98 45582 18 3.93038e+07 1.53967e+07 4.48119e+06 6147.03 34.34 8.78739 8.0602 101765 947141 -1 43516 17 9970 36172 3767326 768291 8.49882 8.49882 -10225.8 -8.49882 0 0 5.68448e+06 7797.64 1.45 1.61 0.90 -1 -1 1.45 0.711623 0.664406 -k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 248.04 abc 92.62 MiB 2.07 38132 -1 -1 3 222.88 -1 -1 94848 -1 -1 156 38 0 0 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85708 38 36 2995 2744 1 1210 230 17 17 289 clb auto 46.9 MiB 2.35 11187 48102 12239 32898 2965 83.7 MiB 1.02 0.02 8.50665 -2425.54 -8.50665 8.50665 0.68 0.00648791 0.00577114 0.492669 0.42844 86 15535 20 1.34605e+07 8.40746e+06 1.47169e+06 5092.36 8.86 2.72296 2.3536 36379 299185 -1 14997 15 3639 10555 369332 60267 10.0216 10.0216 -2922.92 -10.0216 0 0 1.86203e+06 6443.01 0.42 0.41 0.32 -1 -1 0.42 0.297614 0.2695 -k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 8.70 vpr 70.45 MiB 0.75 45128 -1 -1 3 0.52 -1 -1 37948 -1 -1 92 142 0 0 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72136 142 193 1069 1140 1 565 427 14 14 196 clb auto 32.4 MiB 0.82 1818 153092 57142 75216 20734 70.4 MiB 0.62 0.01 2.94229 -453.47 -2.94229 2.94229 0.43 0.00270225 0.00251819 0.270422 0.252062 36 4119 16 9.20055e+06 4.95825e+06 447080. 2281.02 1.25 0.678648 0.626119 18336 87587 -1 3545 13 1258 1911 94099 25244 3.69367 3.69367 -542.415 -3.69367 0 0 553089. 2821.88 0.13 0.13 0.06 -1 -1 0.13 0.0968577 0.090647 -k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 24.99 vpr 84.66 MiB 0.47 31528 -1 -1 3 1.06 -1 -1 40312 -1 -1 112 214 0 8 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86692 214 305 2963 2869 1 1445 639 19 19 361 io auto 46.9 MiB 2.82 10827 256482 85370 157603 13509 84.7 MiB 1.63 0.02 4.36739 -2477.41 -4.36739 4.36739 0.89 0.00721181 0.00661461 0.712875 0.654201 56 24300 32 1.72706e+07 9.20413e+06 1.27879e+06 3542.35 11.31 2.9929 2.72715 39043 256479 -1 19887 20 6510 14395 4285781 1013890 4.87643 4.87643 -2933.55 -4.87643 0 0 1.63234e+06 4521.70 0.37 1.20 0.23 -1 -1 0.37 0.376058 0.348776 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 35.73 vpr 117.99 MiB 0.88 39796 -1 -1 8 4.27 -1 -1 42064 -1 -1 258 385 2 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 120820 385 394 4673 4537 1 2402 1040 27 27 729 io auto 55.2 MiB 8.87 31226 590944 229570 335529 25845 99.0 MiB 4.01 0.05 8.49305 -10507.1 -8.49305 8.49305 0.67 0.00985407 0.00919392 1.21454 1.10916 -1 -1 -1 -1 92 45492 18 3.93038e+07 1.53967e+07 4.24291e+06 5820.18 8.25 3.43478 3.14555 98853 888777 -1 43336 19 10072 35436 1903495 335341 8.64458 8.64458 -10958.4 -8.64458 0 0 5.38988e+06 7393.52 0.21 1.31 0.80 -1 -1 0.21 0.81131 0.758475 + k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 252.53 abc 92.89 MiB 2.10 38212 -1 -1 3 229.65 -1 -1 95116 -1 -1 155 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85380 38 36 2995 2744 1 1203 229 17 17 289 clb auto 42.6 MiB 2.62 11670 42229 10578 28453 3198 83.4 MiB 1.00 0.02 9.56668 -2644.49 -9.56668 9.56668 0.30 0.00653116 0.00584439 0.427666 0.375753 -1 -1 -1 -1 68 16961 39 1.34605e+07 8.35357e+06 1.21205e+06 4193.96 7.00 2.98402 2.54931 32923 238265 -1 15449 21 4262 11796 386501 67599 10.0346 10.0346 -2927.77 -10.0346 0 0 1.50552e+06 5209.41 0.05 0.47 0.21 -1 -1 0.05 0.348571 0.310711 + k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 9.27 vpr 70.62 MiB 0.76 45212 -1 -1 3 0.57 -1 -1 37660 -1 -1 97 142 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72316 142 193 1069 1140 1 544 432 14 14 196 clb auto 31.5 MiB 1.33 1935 140784 46814 73686 20284 70.6 MiB 0.61 0.01 3.36481 -502.007 -3.36481 3.36481 0.20 0.00294747 0.00276699 0.269116 0.252539 -1 -1 -1 -1 42 3322 13 9.20055e+06 5.22772e+06 506340. 2583.37 1.96 1.10351 1.01282 19116 100245 -1 3124 11 1007 1567 69332 19451 3.55486 3.55486 -535.708 -3.55486 0 0 635007. 3239.83 0.02 0.12 0.09 -1 -1 0.02 0.0909108 0.0848666 + k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 20.73 vpr 84.22 MiB 0.49 31708 -1 -1 3 1.08 -1 -1 40516 -1 -1 123 214 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86244 214 305 2963 2869 1 1444 650 19 19 361 io auto 43.2 MiB 3.52 11237 214514 71103 131344 12067 84.2 MiB 1.56 0.03 4.53 -2651.41 -4.53 4.53 0.39 0.00798613 0.00737775 0.647388 0.59775 -1 -1 -1 -1 58 21099 31 1.72706e+07 9.79696e+06 1.32779e+06 3678.09 7.97 3.16487 2.88635 39763 268823 -1 18983 16 5722 13316 1766310 456164 4.74311 4.74311 -2886.77 -4.74311 0 0 1.69263e+06 4688.74 0.06 0.74 0.27 -1 -1 0.06 0.376597 0.351474 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff/config/golden_results.txt index 472b47cb7f6..806aa8c8a19 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff/config/golden_results.txt @@ -1,2 +1,2 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 628.23 vpr 523.07 MiB 4.94 207220 -1 -1 101 125.94 -1 -1 108124 -1 -1 2196 114 44 8 success b37c31d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-04-04T16:52:55 gh-actions-runner-vtr-auto-spawned70 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 535628 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 366.7 MiB 79.89 235628 1915489 723145 1160834 31510 434.9 MiB 90.41 0.75 68.8354 -54271.6 -68.8354 68.8354 1.27 0.122715 0.109144 14.833 12.338 -1 337567 24 1.92089e+08 1.45633e+08 2.12617e+07 6544.09 29.31 22.8823 19.2537 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 461.97 vpr 520.77 MiB 5.52 207104 -1 -1 101 82.80 -1 -1 104772 -1 -1 2232 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 533268 114 102 38224 33865 1 17936 2500 57 57 3249 clb auto 296.6 MiB 87.81 234808 1969860 734649 1200070 35141 428.8 MiB 60.45 0.51 75.4166 -53786.3 -75.4166 75.4166 0.01 0.108744 0.090072 13.6268 11.3009 -1 -1 -1 -1 -1 329498 24 1.92089e+08 1.47573e+08 2.12617e+07 6544.09 11.64 20.3553 17.0367 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff_titan/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff_titan/config/golden_results.txt index f2b439ff03e..18b3e54aa6f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff_titan/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test2_odin/vtr_timing_update_diff_titan/config/golden_results.txt @@ -1,2 +1,2 @@ - arch circuit script_params vtr_flow_elapsed_time error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time - stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common 1315.84 77 3123 89 136 0 0 success v8.0.0-3151-g91780fa55 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-60-generic x86_64 2020-11-24T20:22:40 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/gold/vtr-verilog-to-routing 2858228 42 35 119888 86875 1 51283 3425 129 96 12384 DSP auto 86.66 609879 114.00 0.78 7.31659 -73007.4 -6.31659 6.39652 193.04 0.230423 0.177265 33.2852 25.4119 777696 -1 -1 -1 -1 0 0 2.28642e+08 18462.7 20 7.77193 6.96909 -118197 -6.77193 0 0 50.21 46.7196 37.2174 149.58 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common 875.57 vpr 2.85 GiB 77 3136 89 136 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2992920 42 35 119888 86875 1 50816 3438 129 96 12384 DSP auto 1747.3 MiB 113.44 582667 3141815 1177622 1941316 22877 2922.8 MiB 131.76 0.97 8.30634 -81008.6 -7.30634 5.71368 0.07 0.390966 0.330249 50.2947 42.4929 753664 14.8455 159283 3.13753 108681 189329 132420316 35517631 0 0 2.28639e+08 18462.4 18 3593250 39165143 -1 8.74065 6.13717 -112227 -7.74065 0 0 78.47 -1 -1 2922.8 MiB 45.38 68.7408 59.0089 2922.8 MiB -1 22.64 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/complex_switch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/complex_switch/config/golden_results.txt index 05102b14630..4719bdbbc41 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/complex_switch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/complex_switch/config/golden_results.txt @@ -1,15 +1,15 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem router_lookahead_computation_time - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml bgm.v common 94.08 yosys 239.28 MiB -1 -1 49.30 245024 18 13.22 -1 -1 51004 -1 -1 689 257 0 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 115188 257 32 6041 6073 1 5235 978 30 30 900 clb auto 57.2 MiB 1.23 29985 112.5 MiB 5.78 0.07 6.0875 -2546.45 -6.0875 6.0875 0.21 0.0116004 0.00952958 0.966535 0.81325 47934 24472 85349 11868342 2593378 4.97244e+06 2.6871e+06 9.69309e+06 10770.1 19 6.86469 6.86469 -2916.73 -6.86469 0 0 112.5 MiB 3.47 1.59485 1.36176 112.5 MiB 14.13 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml blob_merge.v common 111.52 yosys 302.91 MiB -1 -1 17.69 310184 11 18.95 -1 -1 60792 -1 -1 1309 36 0 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 199576 36 100 10272 10372 1 9717 1445 41 41 1681 clb auto 91.3 MiB 1.71 84755 194.9 MiB 22.24 0.23 4.16019 -1591.46 -4.16019 4.16019 0.51 0.0327612 0.0271477 3.22883 2.67515 138099 47433 159547 25268625 4863134 8.95136e+06 5.1051e+06 1.84779e+07 10992.2 18 4.66194 4.66194 -1818.85 -4.66194 0 0 194.9 MiB 8.70 4.88548 4.09248 194.9 MiB 30.37 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml boundtop.v common 19.98 vpr 63.44 MiB -1 -1 14.95 35240 7 0.23 -1 -1 37236 -1 -1 84 195 1 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 64960 195 193 1172 1365 1 837 473 15 15 225 io memory auto 25.4 MiB 0.13 3551 63.4 MiB 0.68 0.01 2.02671 -779.537 -2.02671 2.02671 0.04 0.0019832 0.00177416 0.190053 0.170064 5389 2076 6396 795212 201029 1.16234e+06 410348 2.18283e+06 9701.45 12 2.21102 2.21102 -902.31 -2.21102 -5.18176 -0.375057 63.4 MiB 0.27 0.270471 0.244687 63.4 MiB 2.21 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml LU8PEEng.v common 494.37 vpr 715.82 MiB -1 -1 73.42 337876 197 97.67 -1 -1 83744 -1 -1 2994 114 84 8 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 733004 114 102 27412 27322 1 24802 3302 86 86 7396 memory auto 208.9 MiB 7.36 251641 715.8 MiB 75.10 0.65 63.9648 -36231.9 -63.9648 63.9648 2.70 0.0670445 0.0543409 7.08657 5.72048 339513 88760 297702 125893415 50379905 4.18276e+07 1.95778e+07 8.44414e+07 11417.2 23 71.4148 71.4148 -60631.2 -71.4148 -145.469 -0.36083 715.8 MiB 58.92 10.8826 8.92707 715.8 MiB 142.88 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml mkDelayWorker32B.v common 194.12 vpr 556.41 MiB -1 -1 14.86 128884 6 5.85 -1 -1 60228 -1 -1 530 506 80 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 569760 506 553 3101 3654 1 3095 1669 82 82 6724 memory auto 47.2 MiB 1.67 22423 556.4 MiB 4.95 0.05 6.05217 -1454.35 -6.05217 6.05217 2.62 0.0154982 0.013967 2.20022 1.96905 25147 4320 5400 6396252 2098319 3.85878e+07 8.68684e+06 7.66484e+07 11399.2 14 6.28816 6.28816 -1748.1 -6.28816 -21.3514 -0.36083 556.4 MiB 2.98 2.83156 2.55993 556.4 MiB 138.42 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml mkSMAdapter4B.v common 42.90 vpr 132.11 MiB -1 -1 7.13 57948 12 3.00 -1 -1 40372 -1 -1 268 193 10 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 135280 193 205 2654 2859 1 2029 676 37 37 1369 memory auto 36.3 MiB 0.49 16037 132.1 MiB 2.25 0.03 3.73419 -2252.01 -3.73419 3.73419 0.34 0.00539662 0.00465347 0.562454 0.485859 23850 7572 24450 6218122 1974634 7.45627e+06 1.87268e+06 1.49196e+07 10898.2 15 4.1 4.1 -2552.52 -4.1 -16.2594 -0.362934 132.1 MiB 2.33 0.801166 0.701352 132.1 MiB 21.99 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml or1200.v common 39.95 vpr 96.50 MiB -1 -1 6.09 70384 45 5.24 -1 -1 41644 -1 -1 506 385 4 1 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 98816 385 394 5015 5346 1 4350 1290 27 27 729 io clb auto 53.1 MiB 0.87 41926 96.2 MiB 8.07 0.08 12.8573 -9363.03 -12.8573 12.8573 0.17 0.0144261 0.0132607 1.60279 1.42637 60904 14787 54657 9040768 2197120 4.06709e+06 2.42319e+06 7.75339e+06 10635.7 17 14.1675 14.1675 -10940.6 -14.1675 0 0 96.2 MiB 3.48 2.34681 2.10329 96.2 MiB 11.60 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml raygentop.v common 24.52 vpr 81.19 MiB -1 -1 4.98 48784 13 1.22 -1 -1 41592 -1 -1 256 235 1 6 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 83140 235 305 3025 3186 1 2385 803 26 26 676 mult_36 auto 37.2 MiB 0.54 17792 81.2 MiB 2.23 0.03 4.07341 -1915.66 -4.07341 4.07341 0.14 0.00596069 0.00545514 0.514273 0.454401 26395 7994 25461 5630378 1530085 3.88769e+06 1.79395e+06 7.17610e+06 10615.5 16 4.6849 4.6849 -2313.21 -4.6849 -34.8532 -0.36083 81.2 MiB 1.82 0.812614 0.726893 81.2 MiB 10.22 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml sha.v common 19.66 vpr 79.00 MiB -1 -1 3.03 50220 31 2.57 -1 -1 43480 -1 -1 339 38 0 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 80900 38 36 3594 3630 1 2712 413 22 22 484 clb auto 41.5 MiB 0.56 19133 79.0 MiB 1.85 0.03 9.67412 -2335.65 -9.67412 9.67412 0.09 0.00827985 0.00698716 0.450426 0.38139 31734 11686 45588 5830197 1332550 2.41174e+06 1.3221e+06 5.02684e+06 10386.0 19 11.0674 11.0674 -2864.8 -11.0674 0 0 79.0 MiB 2.11 0.88857 0.765334 79.0 MiB 6.48 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml stereovision0.v common 189.41 vpr 271.55 MiB -1 -1 14.30 122280 8 82.48 -1 -1 68444 -1 -1 1797 169 0 0 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 278064 169 197 22197 22394 1 13746 2163 47 47 2209 clb auto 138.5 MiB 3.04 85795 267.7 MiB 31.39 0.27 2.50171 -8669.97 -2.50171 2.50171 0.66 0.0403795 0.0362891 3.80529 3.19758 118886 40594 118357 15152531 3513251 1.16296e+07 7.0083e+06 2.45588e+07 11117.6 21 2.8778 2.8778 -11110.8 -2.8778 0 0 267.7 MiB 5.98 5.93885 5.05753 267.7 MiB 38.39 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml stereovision1.v common 195.46 vpr 397.98 MiB -1 -1 15.94 137060 10 28.43 -1 -1 71864 -1 -1 1714 113 0 44 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 407536 113 145 23206 21071 1 16047 2016 62 62 3844 mult_36 auto 141.4 MiB 3.29 143820 398.0 MiB 37.12 0.30 4.32437 -16925.8 -4.32437 4.32437 1.40 0.0406386 0.0362444 4.69579 4.02718 184101 52325 148236 47967259 12664479 2.17057e+07 1.19118e+07 4.33614e+07 11280.3 11 4.51376 4.51376 -20726.1 -4.51376 0 0 398.0 MiB 15.31 6.30117 5.46654 398.0 MiB 75.08 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml stereovision2.v common 872.12 vpr 1.36 GiB -1 -1 42.72 330780 25 179.00 -1 -1 138520 -1 -1 4676 149 0 179 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1427112 149 182 52817 45103 1 44034 5186 122 122 14884 mult_36 auto 326.8 MiB 10.95 610462 1393.7 MiB 226.09 1.76 13.0565 -37981.2 -13.0565 13.0565 6.72 0.159797 0.135184 18.8147 15.9648 736559 204108 531773 150008074 39360140 8.48203e+07 3.95016e+07 1.71497e+08 11522.2 22 14.2436 14.2436 -51356 -14.2436 0 0 1393.7 MiB 69.40 27.2881 23.2133 1393.7 MiB 273.96 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml LU32PEEng.v common 2391.64 vpr 2.61 GiB -1 -1 234.05 1004236 194 955.24 -1 -1 244488 -1 -1 10059 114 300 32 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2741712 114 102 92287 91621 1 84399 10607 169 169 28561 memory auto 658.5 MiB 29.62 1216880 2677.5 MiB 357.10 2.11 62.0725 -197921 -62.0725 62.0725 13.34 0.124521 0.107158 19.5021 16.0585 1482464 255550 882048 445592440 172910089 1.64515e+08 6.78569e+07 3.31299e+08 11599.7 23 70.5706 70.5706 -402404 -70.5706 -164.012 -0.303936 2677.5 MiB 127.59 28.232 23.5901 2677.5 MiB 547.92 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml mcml.v common 5113.29 vpr 2.74 GiB -1 -1 575.72 1411668 103 3659.55 -1 -1 351940 -1 -1 11309 36 318 27 success 574ed3d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T03:32:19 gh-actions-runner-vtr-auto-spawned7 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2874024 36 356 136553 135338 1 90495 12046 169 169 28561 memory auto 828.6 MiB 24.94 1170765 2806.7 MiB 337.47 2.18 50.6685 -209521 -50.6685 50.6685 9.59 0.127346 0.101888 18.9974 15.5512 1072699 303422 1211223 222613194 60267108 1.64515e+08 7.36332e+07 3.31299e+08 11599.7 22 55.8604 55.8604 -329249 -55.8604 -0.687454 -0.189615 2806.7 MiB 52.28 27.1724 22.6753 2806.7 MiB 356.12 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml bgm.v common 86.33 parmys 237.66 MiB -1 -1 59.56 243368 18 8.03 -1 -1 47792 -1 -1 690 257 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 131604 257 32 6023 6055 1 5218 979 30 30 900 clb auto 50.4 MiB 1.32 30778 384840 112160 259942 12738 128.5 MiB 5.07 0.06 6.21971 -2685.64 -6.21971 6.21971 0.00 0.0121286 0.010889 1.07079 0.930807 -1 -1 -1 -1 48326 9.26495 23261 4.45955 24183 85231 10762077 2437584 4.97244e+06 2.691e+06 9.69309e+06 10770.1 19 207906 1928213 -1 6.7296 6.7296 -2945.08 -6.7296 0 0 3.08 -1 -1 128.5 MiB 2.73 1.64504 1.43517 128.5 MiB -1 1.06 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml blob_merge.v common 69.59 parmys 308.92 MiB -1 -1 20.53 316332 11 11.34 -1 -1 60732 -1 -1 1320 36 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 232392 36 100 10357 10457 1 9801 1456 41 41 1681 clb auto 78.0 MiB 1.62 84812 768613 262427 482842 23344 226.9 MiB 14.06 0.14 4.39308 -1711.02 -4.39308 4.39308 0.01 0.022016 0.0196054 2.33652 1.96707 -1 -1 -1 -1 138657 14.2256 61058 6.26429 47871 161906 24294871 4760787 8.95136e+06 5.148e+06 1.84779e+07 10992.2 18 392750 3677203 -1 4.59418 4.59418 -1851.22 -4.59418 0 0 6.19 -1 -1 226.9 MiB 6.17 3.45481 2.92361 226.9 MiB -1 2.09 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml boundtop.v common 20.54 vpr 65.45 MiB -1 -1 16.87 31768 7 0.22 -1 -1 34408 -1 -1 84 195 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67016 195 193 1168 1361 1 833 473 15 15 225 io memory auto 25.1 MiB 0.15 4149 116519 30489 77528 8502 65.4 MiB 0.67 0.01 2.05786 -845.694 -2.05786 2.05786 0.00 0.00358265 0.00334889 0.236637 0.220258 -1 -1 -1 -1 5820 7.04600 2934 3.55206 2158 7108 836065 203922 1.16234e+06 410348 2.18283e+06 9701.45 17 48952 428016 -1 2.20416 2.20416 -941.195 -2.20416 -5.04525 -0.362152 0.68 -1 -1 65.4 MiB 0.28 0.370687 0.343567 65.4 MiB -1 0.15 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml LU8PEEng.v common 274.74 vpr 777.04 MiB -1 -1 83.07 347172 198 58.46 -1 -1 81260 -1 -1 3007 114 84 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 795688 114 102 27514 27424 1 24905 3315 86 86 7396 memory auto 170.7 MiB 7.52 250054 2844620 1146946 1672566 25108 777.0 MiB 55.92 0.50 66.2365 -37444.4 -66.2365 66.2365 0.03 0.0719073 0.0590585 8.30877 6.87541 -1 -1 -1 -1 335253 13.4721 155368 6.24344 86691 292279 36780303 8465074 4.18276e+07 1.96285e+07 8.44414e+07 11417.2 24 1767072 16882712 -1 70.2921 70.2921 -60297.3 -70.2921 -110.441 -0.36083 28.98 -1 -1 777.0 MiB 12.56 12.1445 10.0766 777.0 MiB -1 10.31 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml mkDelayWorker32B.v common 73.08 vpr 620.53 MiB -1 -1 17.95 124768 6 3.38 -1 -1 56996 -1 -1 530 506 80 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 635420 506 553 3101 3654 1 3095 1669 82 82 6724 memory auto 42.3 MiB 2.14 25405 1218877 631944 388945 197988 620.5 MiB 5.58 0.06 6.36014 -1487.33 -6.36014 6.36014 0.02 0.0224669 0.0202745 2.8854 2.57995 -1 -1 -1 -1 27932 19.9514 10368 7.40571 4777 5953 1376059 343598 3.85878e+07 8.68684e+06 7.66484e+07 11399.2 21 1605176 15314284 -1 6.5448 6.5448 -1848.22 -6.5448 -0.972977 -0.141294 25.68 -1 -1 620.5 MiB 1.55 3.99336 3.59651 620.5 MiB -1 10.26 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml mkSMAdapter4B.v common 23.67 vpr 147.68 MiB -1 -1 8.41 55880 12 2.17 -1 -1 37716 -1 -1 272 193 10 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 151220 193 205 2702 2907 1 2077 680 37 37 1369 memory auto 33.5 MiB 0.48 16016 320503 103025 185798 31680 147.7 MiB 2.61 0.03 4.08522 -2332.4 -4.08522 4.08522 0.01 0.00778378 0.00708911 0.828223 0.743596 -1 -1 -1 -1 23965 11.8521 10835 5.35856 7511 24534 3256668 748305 7.45627e+06 1.88828e+06 1.49196e+07 10898.2 16 318394 2964149 -1 4.35451 4.35451 -2541.42 -4.35451 -24.4938 -0.362934 4.82 -1 -1 147.7 MiB 0.89 1.11325 1.00034 147.7 MiB -1 1.52 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml or1200.v common 28.65 vpr 105.23 MiB -1 -1 7.65 67984 45 3.84 -1 -1 42248 -1 -1 507 385 4 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 107756 385 394 4999 5330 1 4334 1291 27 27 729 io clb auto 46.7 MiB 0.86 41641 689839 241566 416847 31426 105.2 MiB 7.31 0.09 12.8735 -9951.57 -12.8735 12.8735 0.00 0.0260078 0.0241428 1.96109 1.79428 -1 -1 -1 -1 59914 13.8690 27944 6.46852 14932 56161 6978653 1633667 4.06709e+06 2.42709e+06 7.75339e+06 10635.7 18 167232 1538736 -1 13.6878 13.6878 -10969.7 -13.6878 0 0 2.47 -1 -1 105.2 MiB 2.35 2.72247 2.49544 105.2 MiB -1 0.73 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml raygentop.v common 16.48 vpr 89.94 MiB -1 -1 5.88 46220 13 1.05 -1 -1 37316 -1 -1 258 235 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92096 235 305 3050 3211 1 2409 805 26 26 676 mult_36 auto 34.0 MiB 0.56 18548 318960 106153 197804 15003 89.9 MiB 2.65 0.04 4.63435 -2030.57 -4.63435 4.63435 0.00 0.00827489 0.0076449 0.769092 0.705313 -1 -1 -1 -1 27327 11.3768 12604 5.24729 7556 24511 3145050 770465 3.88769e+06 1.80175e+06 7.17610e+06 10615.5 17 154908 1423382 -1 4.95816 4.95816 -2400.04 -4.95816 -4.91839 -0.302506 2.27 -1 -1 89.9 MiB 0.93 1.12269 1.03119 89.9 MiB -1 0.68 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml sha.v common 15.25 vpr 78.47 MiB -1 -1 4.12 47116 31 2.37 -1 -1 40420 -1 -1 339 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80356 38 36 3594 3630 1 2712 413 22 22 484 clb auto 36.8 MiB 0.58 17999 98781 25241 68531 5009 78.5 MiB 1.84 0.03 10.7412 -2419.14 -10.7412 10.7412 0.00 0.00821692 0.00743293 0.528021 0.461966 -1 -1 -1 -1 30168 11.1280 14799 5.45887 10929 43555 5053693 1185379 2.41174e+06 1.3221e+06 5.02684e+06 10386.0 20 109406 996619 -1 11.4575 11.4575 -2860.74 -11.4575 0 0 1.58 -1 -1 78.5 MiB 1.35 0.870992 0.761788 78.5 MiB -1 0.47 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml stereovision0.v common 103.28 vpr 294.09 MiB -1 -1 17.98 122104 8 39.33 -1 -1 64452 -1 -1 1787 169 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 301152 169 197 22094 22291 1 13644 2153 47 47 2209 clb auto 117.4 MiB 2.78 84093 1433441 529843 874670 28928 294.1 MiB 19.06 0.15 2.84155 -9368.95 -2.84155 2.84155 0.01 0.0199557 0.017421 3.02047 2.53301 -1 -1 -1 -1 115302 8.46067 53202 3.90387 38990 116247 13530534 3173474 1.16296e+07 6.9693e+06 2.45588e+07 11117.6 16 519358 4899383 -1 2.96164 2.96164 -11594.8 -2.96164 0 0 8.02 -1 -1 294.1 MiB 4.21 4.45013 3.76666 294.1 MiB -1 3.48 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml stereovision1.v common 98.48 vpr 447.37 MiB -1 -1 19.52 136472 10 16.43 -1 -1 68076 -1 -1 1715 113 0 44 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 458104 113 145 23238 21103 1 16079 2017 62 62 3844 mult_36 auto 119.0 MiB 3.08 134593 1403197 540133 835168 27896 447.4 MiB 23.88 0.24 4.23784 -17475.8 -4.23784 4.23784 0.01 0.0346943 0.0300817 4.16831 3.52318 -1 -1 -1 -1 176092 10.9564 75407 4.69182 50926 135810 19956608 4725070 2.17057e+07 1.19157e+07 4.33614e+07 11280.3 14 911886 8653859 -1 4.38297 4.38297 -19916.5 -4.38297 0 0 14.28 -1 -1 447.4 MiB 5.54 5.64953 4.81546 447.4 MiB -1 6.14 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml stereovision2.v common 372.97 vpr 1.48 GiB -1 -1 50.73 340684 27 70.28 -1 -1 133796 -1 -1 4514 149 0 179 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1552900 149 182 51692 43978 1 42908 5024 122 122 14884 mult_36 auto 259.4 MiB 12.71 621019 5252244 2230923 2978613 42708 1516.5 MiB 123.00 0.94 14.0121 -39813.6 -14.0121 14.0121 0.05 0.104595 0.0884544 13.3662 11.3391 -1 -1 -1 -1 742073 17.3479 293415 6.85934 188011 479712 70501963 16268887 8.48203e+07 3.88698e+07 1.71497e+08 11522.2 19 3576636 34359014 -1 14.4461 14.4461 -50058 -14.4461 0 0 47.11 -1 -1 1516.5 MiB 20.56 18.3681 15.6666 1516.5 MiB -1 23.25 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml LU32PEEng.v common 1674.43 vpr 2.85 GiB -1 -1 256.57 1022168 199 537.09 -1 -1 242520 -1 -1 10114 114 300 32 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2990340 114 102 92654 91988 1 84766 10662 169 169 28561 memory auto 525.1 MiB 31.09 1203406 15024678 6357887 8639212 27579 2920.3 MiB 574.23 3.72 67.3778 -210140 -67.3778 67.3778 0.11 0.278779 0.222735 37.1223 30.3265 -1 -1 -1 -1 1463950 17.2795 649390 7.66495 258955 907352 119266978 27221298 1.64515e+08 6.80716e+07 3.31299e+08 11599.7 23 6883162 66233393 -1 70.9748 70.9748 -425681 -70.9748 -218.84 -0.303936 116.22 -1 -1 2920.3 MiB 45.72 50.9414 41.7057 2920.3 MiB -1 51.89 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml mcml.v common 5150.59 vpr 2.98 GiB -1 -1 677.26 1443056 107 3544.23 -1 -1 351720 -1 -1 11492 36 318 27 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3125316 36 356 138376 137161 1 92319 12229 169 169 28561 memory auto 678.5 MiB 47.34 1163441 20009663 9094677 10835623 79363 3052.1 MiB 590.30 3.67 55.3268 -200630 -55.3268 55.3268 0.11 0.27376 0.236978 43.1291 36.3531 -1 -1 -1 -1 1075540 11.6506 502988 5.44855 311069 1247888 150917753 35326137 1.64515e+08 7.43477e+07 3.31299e+08 11599.7 22 6883162 66233393 -1 58.8084 58.8084 -304419 -58.8084 -0.0952056 -0.03838 104.27 -1 -1 3052.1 MiB 56.17 58.8307 49.565 3052.1 MiB -1 54.29 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor/config/golden_results.txt index ff1ef00e2e0..35a4467c277 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor/config/golden_results.txt @@ -1,21 +1,21 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -k6_frac_N10_mem32K_40nm.xml arm_core.v common 280.20 vpr 257.79 MiB -1 -1 25.75 122844 25 38.75 -1 -1 67596 -1 -1 880 133 24 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 263980 133 179 13858 14037 1 6950 1216 37 37 1369 clb auto 139.2 MiB 38.72 121109 624064 206086 398117 19861 181.0 MiB 12.25 0.12 18.1031 -192864 -18.1031 18.1031 3.43 0.0372554 0.0323182 4.18441 3.50112 110 190128 48 7.54166e+07 6.05797e+07 9.46594e+06 6914.49 136.75 18.0878 15.0006 198012 2024479 -1 169999 16 34106 138688 11533618 1984932 18.7961 18.7961 -198290 -18.7961 -1.49014 -0.292146 1.20852e+07 8827.75 3.07 4.13 1.84 -1 -1 3.07 1.65134 1.45084 8205 9816 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml bgm.v common 81.05 parmys 231.22 MiB -1 -1 42.19 236768 13 8.21 -1 -1 47672 -1 -1 277 257 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 99912 257 32 5061 5093 1 2823 566 22 22 484 clb auto 60.3 MiB 4.06 19462 199466 58246 130455 10765 97.6 MiB 2.58 0.03 8.83591 -3915.72 -8.83591 8.83591 1.08 0.0115025 0.00994773 1.03584 0.908806 58 32389 32 2.50602e+07 1.49286e+07 1.81652e+06 3753.13 13.19 4.54237 3.95427 52870 368945 -1 28855 15 10906 34558 982071 189322 9.64046 9.64046 -3932.02 -9.64046 0 0 2.31597e+06 4785.06 0.53 0.76 0.31 -1 -1 0.53 0.488917 0.443615 2580 2833 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml blob_merge.v common 89.76 parmys 291.04 MiB -1 -1 15.38 298020 7 11.99 -1 -1 57968 -1 -1 566 36 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 163404 36 100 6840 6940 1 3200 702 30 30 900 clb auto 85.5 MiB 4.42 44186 278204 76945 183206 18053 122.5 MiB 4.81 0.05 5.85686 -2192.32 -5.85686 5.85686 2.38 0.0180181 0.0160503 1.85784 1.60892 68 71187 28 4.8774e+07 3.0504e+07 4.08678e+06 4540.87 35.59 8.94537 7.61686 104936 820930 -1 63255 15 15176 68305 3028418 384879 6.04948 6.04948 -2275.21 -6.04948 0 0 5.07014e+06 5633.48 1.15 1.64 0.67 -1 -1 1.15 0.867118 0.785571 4872 6243 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml boundtop.v common 19.71 vpr 67.77 MiB -1 -1 12.00 31536 4 0.23 -1 -1 34556 -1 -1 42 195 1 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69400 195 193 1088 1281 1 593 431 15 15 225 io auto 29.8 MiB 0.40 2789 140353 37684 89836 12833 67.8 MiB 0.68 0.01 2.5026 -1068.95 -2.5026 2.5026 0.45 0.0035004 0.00326724 0.318219 0.29679 42 5940 17 1.03862e+07 2.81155e+06 589524. 2620.11 3.76 1.43455 1.31489 21486 116796 -1 5209 12 1582 2286 164748 45289 2.70224 2.70224 -1159.85 -2.70224 -0.575265 -0.201936 739091. 3284.85 0.16 0.16 0.11 -1 -1 0.16 0.112943 0.105183 347 531 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 3.90 vpr 64.06 MiB -1 -1 0.34 18776 3 0.09 -1 -1 33160 -1 -1 68 99 1 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65600 99 130 343 473 1 225 298 12 12 144 clb auto 25.6 MiB 0.15 593 66963 19571 35031 12361 64.1 MiB 0.23 0.00 1.865 -117.456 -1.865 1.865 0.27 0.00127756 0.00120824 0.0904413 0.0855813 42 1503 16 5.66058e+06 4.21279e+06 345702. 2400.71 1.53 0.430255 0.394458 12810 66778 -1 1212 8 350 556 27894 7863 2.02345 2.02345 -142.963 -2.02345 -0.458472 -0.106489 434679. 3018.61 0.09 0.04 0.07 -1 -1 0.09 0.0281257 0.026127 144 154 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml diffeq1.v common 11.92 vpr 67.45 MiB -1 -1 0.54 23452 15 0.32 -1 -1 34120 -1 -1 36 162 0 5 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69068 162 96 994 935 1 694 299 16 16 256 mult_36 auto 29.4 MiB 0.28 5022 91208 31929 52152 7127 67.4 MiB 0.66 0.01 21.1771 -1637.49 -21.1771 21.1771 0.51 0.0034124 0.00320223 0.331093 0.310751 56 12767 47 1.21132e+07 3.92018e+06 870502. 3400.40 6.96 1.28868 1.18762 26504 172068 -1 9174 19 3073 5862 965990 269588 22.2519 22.2519 -1734.32 -22.2519 0 0 1.11200e+06 4343.75 0.24 0.38 0.17 -1 -1 0.24 0.15888 0.147497 337 479 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml diffeq2.v common 12.80 vpr 65.55 MiB -1 -1 0.20 22176 16 0.24 -1 -1 33572 -1 -1 27 66 0 5 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 67124 66 96 610 551 1 452 194 16 16 256 mult_36 auto 27.3 MiB 0.30 3491 41708 11675 25394 4639 65.6 MiB 0.36 0.01 16.8255 -937.879 -16.8255 16.8255 0.51 0.00238587 0.00225299 0.189255 0.178809 50 9637 45 1.21132e+07 3.43514e+06 780512. 3048.87 8.84 1.16511 1.07001 25484 153448 -1 7566 22 3118 6840 1168639 359265 17.7302 17.7302 -1031.17 -17.7302 0 0 1.00276e+06 3917.05 0.21 0.38 0.14 -1 -1 0.21 0.120297 0.111441 235 288 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml mkDelayWorker32B.v common 81.17 vpr 332.60 MiB -1 -1 13.40 124716 5 3.43 -1 -1 56692 -1 -1 460 506 47 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 340580 506 553 3055 3608 1 2790 1566 50 50 2500 memory auto 52.9 MiB 4.44 15016 1146906 560934 403027 182945 332.6 MiB 5.64 0.06 7.02534 -1950.88 -7.02534 7.02534 18.86 0.0232393 0.0210229 3.05303 2.74244 38 22534 14 1.47946e+08 5.05479e+07 6.86584e+06 2746.33 17.59 8.34828 7.5787 251304 1421084 -1 21732 15 3907 5076 1040584 239224 7.06661 7.06661 -2232.41 -7.06661 -3.54638 -0.295467 8.69095e+06 3476.38 2.71 1.06 1.22 -1 -1 2.71 0.855401 0.790478 953 1207 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml mkPktMerge.v common 20.83 vpr 75.12 MiB -1 -1 1.22 25852 2 0.36 -1 -1 33772 -1 -1 27 311 15 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 76920 311 156 972 1128 1 953 509 28 28 784 memory auto 30.4 MiB 0.49 9059 189392 67096 112947 9349 71.6 MiB 1.08 0.02 4.05723 -4390.2 -4.05723 4.05723 1.83 0.00563434 0.00500276 0.552809 0.49016 48 14303 15 4.25198e+07 9.67514e+06 2.50616e+06 3196.63 10.09 2.37376 2.1062 80602 506684 -1 13827 14 2506 2832 619608 160214 4.25681 4.25681 -4915.31 -4.25681 -18.6727 -0.360359 3.20999e+06 4094.38 0.77 0.33 0.43 -1 -1 0.77 0.195921 0.177483 109 186 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml mkSMAdapter4B.v common 26.49 vpr 76.90 MiB -1 -1 6.16 54304 7 2.23 -1 -1 39368 -1 -1 153 193 5 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 78748 193 205 2152 2357 1 1161 556 20 20 400 memory auto 39.4 MiB 1.52 8858 231341 79266 127842 24233 76.9 MiB 1.59 0.02 4.96605 -2953.62 -4.96605 4.96605 0.81 0.00676456 0.00610519 0.727478 0.653919 50 16222 33 2.07112e+07 1.09858e+07 1.26944e+06 3173.59 9.27 2.9981 2.67772 40848 252947 -1 14533 15 4363 10859 617682 132576 4.91884 4.91884 -3085.46 -4.91884 -7.99782 -0.340786 1.63222e+06 4080.54 0.37 0.42 0.23 -1 -1 0.37 0.267345 0.244193 947 1174 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml or1200.v common 57.25 vpr 106.50 MiB -1 -1 5.56 66516 27 4.10 -1 -1 41788 -1 -1 251 385 2 1 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 109052 385 394 3884 4215 1 2355 1033 27 27 729 io auto 55.4 MiB 3.25 30389 543809 195671 324050 24088 92.4 MiB 4.43 0.05 14.2915 -14497.5 -14.2915 14.2915 1.78 0.0159307 0.0147229 1.73485 1.59441 76 49108 37 3.93038e+07 1.50194e+07 3.58343e+06 4915.54 28.21 6.60013 6.08071 88945 732515 -1 44455 16 10274 35988 2241978 383269 14.6402 14.6402 -15147.4 -14.6402 0 0 4.48127e+06 6147.14 1.14 1.23 0.62 -1 -1 1.14 0.658728 0.615958 2220 2704 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml raygentop.v common 27.43 vpr 78.75 MiB -1 -1 4.42 44676 8 1.07 -1 -1 37480 -1 -1 116 235 1 6 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 80640 235 305 2594 2755 1 1443 663 19 19 361 io auto 41.4 MiB 1.93 11469 249117 81672 155282 12163 78.8 MiB 1.77 0.02 5.02261 -2656.49 -5.02261 5.02261 0.76 0.0080707 0.00746005 0.743191 0.684756 62 23379 36 1.72706e+07 9.1757e+06 1.42202e+06 3939.11 12.37 3.57352 3.25894 39599 281069 -1 19716 13 5626 15462 1415752 327524 5.02806 5.02806 -2816.27 -5.02806 -1.25369 -0.0304031 1.76637e+06 4892.99 0.44 0.61 0.25 -1 -1 0.44 0.300482 0.280819 1038 1294 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml sha.v common 16.37 vpr 79.59 MiB -1 -1 2.93 46276 21 2.14 -1 -1 41028 -1 -1 149 38 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81500 38 36 2570 2606 1 1074 223 17 17 289 clb auto 42.3 MiB 1.33 9358 36727 8203 26236 2288 79.6 MiB 0.70 0.01 13.9422 -2847.26 -13.9422 13.9422 0.59 0.0057032 0.00504453 0.365255 0.3232 46 17017 35 1.34605e+07 8.03021e+06 830882. 2875.03 4.35 1.59721 1.3833 28231 166010 -1 14224 16 4373 13089 440600 78841 14.6248 14.6248 -3199.06 -14.6248 0 0 1.06831e+06 3696.59 0.23 0.41 0.15 -1 -1 0.23 0.273543 0.245358 1458 1647 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml stereovision0.v common 120.06 vpr 211.45 MiB -1 -1 12.46 118612 5 38.23 -1 -1 65512 -1 -1 744 169 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 216520 169 197 21166 21363 1 7142 1110 34 34 1156 clb auto 148.3 MiB 8.67 45861 598590 201276 373880 23434 180.8 MiB 8.20 0.08 3.51874 -13718.2 -3.51874 3.51874 3.18 0.0315804 0.0269482 3.60117 3.03896 50 72032 40 6.50233e+07 4.00974e+07 3.91608e+06 3387.61 29.88 11.7812 9.90136 122504 796036 -1 63680 13 19399 32912 1202068 232349 3.99587 3.99587 -14950.3 -3.99587 0 0 5.04415e+06 4363.45 1.17 1.65 0.64 -1 -1 1.17 1.41413 1.26102 7006 9660 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml stereovision1.v common 149.64 vpr 255.67 MiB -1 -1 13.28 133600 6 15.86 -1 -1 68812 -1 -1 757 113 0 44 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 261808 113 145 21669 19534 1 9921 1059 40 40 1600 mult_36 auto 151.3 MiB 8.85 84857 572929 187668 359255 26006 218.2 MiB 9.69 0.10 5.28341 -22594.6 -5.28341 5.28341 4.27 0.0329171 0.0273991 3.78077 3.17952 78 133452 45 9.16046e+07 5.82227e+07 8.23230e+06 5145.19 72.58 15.4019 13.0152 199776 1720042 -1 120901 15 32194 54580 8831164 1886670 5.47538 5.47538 -24275.7 -5.47538 0 0 1.04203e+07 6512.68 2.53 3.33 1.44 -1 -1 2.53 1.5499 1.3837 7327 9014 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml stereovision2.v common 635.75 vpr 996.02 MiB -1 -1 36.83 328740 16 70.21 -1 -1 133104 -1 -1 1876 149 0 179 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1019928 149 182 46661 38947 1 28355 2386 80 80 6400 mult_36 auto 328.4 MiB 30.28 389491 1882952 686590 1144232 52130 996.0 MiB 38.45 0.31 16.4918 -53244.5 -16.4918 16.4918 52.21 0.0935859 0.0784214 11.9317 10.1222 104 510700 36 3.90281e+08 1.71987e+08 4.40397e+07 6881.20 320.76 47.4113 40.4603 922180 9498422 -1 485911 15 96178 161941 21019070 3995106 16.9093 16.9093 -58249.2 -16.9093 0 0 5.58521e+07 8726.89 15.76 8.57 8.77 -1 -1 15.76 3.90485 3.48743 17801 23404 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml stereovision3.v common 3.68 vpr 61.75 MiB -1 -1 0.66 22116 5 0.56 -1 -1 33120 -1 -1 7 10 0 0 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 63228 10 2 181 183 1 37 19 6 6 36 clb auto 23.3 MiB 0.07 141 69 24 38 7 61.7 MiB 0.01 0.00 2.09635 -88.5998 -2.09635 2.09635 0.03 0.000440273 0.000400129 0.00344373 0.00327563 22 153 8 646728 377258 38051.9 1057.00 0.22 0.0706388 0.0596697 2252 6684 -1 146 6 59 78 1250 509 1.9813 1.9813 -92.4243 -1.9813 0 0 48335.4 1342.65 0.01 0.02 0.01 -1 -1 0.01 0.0110679 0.0100532 52 90 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml LU8PEEng.v common 380.28 vpr 447.63 MiB -1 -1 63.14 330592 122 52.12 -1 -1 78388 -1 -1 1335 114 45 8 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 458376 114 102 21867 21777 1 11032 1604 50 50 2500 memory auto 204.8 MiB 23.62 152279 1043339 380268 642201 20870 447.6 MiB 21.42 0.17 78.4204 -50987.4 -78.4204 78.4204 18.09 0.0559181 0.0485358 7.05789 5.89715 92 227942 22 1.47946e+08 9.97789e+07 1.52089e+07 6083.58 157.66 24.3402 20.3808 338772 3221652 -1 206756 21 42610 166663 10460851 1877538 80.03 80.03 -60801.1 -80.03 -37.6276 -0.296573 1.93279e+07 7731.17 5.48 5.23 2.85 -1 -1 5.48 3.20026 2.7896 12499 14107 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml LU32PEEng.v common 3075.17 vpr 1.51 GiB -1 -1 189.96 982752 123 549.53 -1 -1 232976 -1 -1 4468 114 168 32 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1579944 114 102 72376 71710 1 37280 4884 92 92 8464 memory auto 646.1 MiB 89.95 732413 5099544 2065394 2999776 34374 1453.7 MiB 162.73 1.10 79.8891 -312568 -79.8891 79.8891 75.41 0.228722 0.186131 29.8829 24.2673 120 983849 32 5.19428e+08 3.45512e+08 6.67849e+07 7890.46 1835.24 97.1313 79.4348 1305538 14414463 -1 910412 19 138241 602112 42567703 7206155 81.5412 81.5412 -447020 -81.5412 -28.0615 -0.217744 8.37475e+07 9894.55 29.95 24.32 13.39 -1 -1 29.95 11.7864 10.1246 42353 47014 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml mcml.v common 4265.25 vpr 1.57 GiB -1 -1 492.00 1362020 63 2913.81 -1 -1 353612 -1 -1 4799 36 159 27 success v8.0.0-10974-gd2d425477 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T01:29:20 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1648392 36 356 125109 123894 1 31753 5377 92 92 8464 memory auto 846.0 MiB 59.60 392580 6126557 2461082 3596228 69247 1609.8 MiB 109.27 0.81 61.5857 -306901 -61.5857 61.5857 68.45 0.226264 0.194004 31.9559 26.766 76 562122 45 5.19428e+08 3.56438e+08 4.44025e+07 5246.05 459.61 107.258 89.1941 1060110 9229922 -1 526565 19 113526 355232 24122936 4589796 63.0032 63.0032 -372579 -63.0032 -0.368789 -0.0921972 5.54969e+07 6556.82 16.04 18.01 7.95 -1 -1 16.04 12.4825 10.8292 46698 73839 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length + k6_frac_N10_mem32K_40nm.xml arm_core.v common 261.24 vpr 316.07 MiB -1 -1 33.29 126068 25 37.98 -1 -1 67604 -1 -1 860 133 24 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 323660 133 179 13570 13749 1 6878 1196 37 37 1369 clb auto 110.9 MiB 43.34 126488 610412 200791 390403 19218 177.3 MiB 13.71 0.14 20.0309 -205911 -20.0309 20.0309 1.63 0.0397878 0.0347628 4.34547 3.63654 -1 -1 -1 -1 114 193007 35 7.54166e+07 5.95018e+07 9.78878e+06 7150.32 110.05 22.6352 18.503 200748 2078770 -1 174588 18 34212 136816 12117584 2170296 20.244 20.244 -212602 -20.244 0 0 1.23736e+07 9038.42 0.49 5.09 2.03 -1 -1 0.49 2.15707 1.86559 7991 9528 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml bgm.v common 94.07 parmys 238.16 MiB -1 -1 60.80 243876 13 8.21 -1 -1 47856 -1 -1 279 257 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 98160 257 32 5008 5040 1 2765 568 22 22 484 clb auto 52.7 MiB 4.58 19199 193350 54568 128233 10549 95.9 MiB 2.75 0.04 8.60243 -3803.53 -8.60243 8.60243 0.53 0.0112387 0.0100576 1.04034 0.912019 -1 -1 -1 -1 52 33463 47 2.50602e+07 1.50364e+07 1.61880e+06 3344.62 8.88 4.13763 3.58974 50938 332675 -1 28900 17 11356 35949 975127 201373 8.87817 8.87817 -3888.49 -8.87817 0 0 2.13063e+06 4402.12 0.07 0.82 0.28 -1 -1 0.07 0.53436 0.483494 2590 2780 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml blob_merge.v common 70.54 parmys 309.57 MiB -1 -1 21.15 317000 7 11.80 -1 -1 60756 -1 -1 574 36 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 144908 36 100 6778 6878 1 3224 710 30 30 900 clb auto 71.7 MiB 4.56 42476 276152 79804 178456 17892 118.8 MiB 5.08 0.06 5.73752 -2192.99 -5.73752 5.73752 1.02 0.0189148 0.0157635 1.75861 1.48365 -1 -1 -1 -1 64 66239 26 4.8774e+07 3.09352e+07 3.87092e+06 4301.02 14.42 6.06673 5.10753 103136 784142 -1 60659 16 15143 68417 2752853 366749 5.78719 5.78719 -2261.84 -5.78719 0 0 4.83441e+06 5371.56 0.17 1.63 0.66 -1 -1 0.17 0.888601 0.787188 4835 6181 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml boundtop.v common 24.18 vpr 68.94 MiB -1 -1 17.09 32076 4 0.23 -1 -1 34396 -1 -1 46 195 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70592 195 193 1087 1280 1 591 435 15 15 225 io auto 28.7 MiB 0.43 3104 143724 37679 93135 12910 68.9 MiB 0.71 0.01 2.49928 -1046.26 -2.49928 2.49928 0.23 0.00352562 0.00328769 0.320523 0.298976 -1 -1 -1 -1 38 5754 20 1.03862e+07 3.02712e+06 544128. 2418.35 3.65 1.61222 1.47504 21038 109288 -1 5105 12 1678 2521 174465 50971 2.62627 2.62627 -1162.82 -2.62627 -0.327915 -0.0597658 690492. 3068.85 0.02 0.16 0.10 -1 -1 0.02 0.111406 0.103683 349 530 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 3.87 vpr 64.81 MiB -1 -1 0.49 18556 3 0.09 -1 -1 33240 -1 -1 68 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66364 99 130 344 474 1 227 298 12 12 144 clb auto 25.1 MiB 0.19 746 71938 21553 33989 16396 64.8 MiB 0.25 0.00 1.86362 -118.958 -1.86362 1.86362 0.14 0.00129646 0.0012288 0.0970948 0.0918856 -1 -1 -1 -1 36 1607 26 5.66058e+06 4.21279e+06 305235. 2119.69 1.22 0.531089 0.485915 12238 58442 -1 1355 8 391 572 29041 9249 2.0173 2.0173 -136.789 -2.0173 -1.34217 -0.320482 378970. 2631.74 0.01 0.04 0.06 -1 -1 0.01 0.0283823 0.0263431 145 155 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml diffeq1.v common 8.08 vpr 67.70 MiB -1 -1 0.71 23652 15 0.35 -1 -1 34068 -1 -1 39 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69320 162 96 1009 950 1 701 302 16 16 256 mult_36 auto 28.4 MiB 0.33 5561 85310 26461 50883 7966 67.7 MiB 0.64 0.01 20.9375 -1590.86 -20.9375 20.9375 0.26 0.00340866 0.00320456 0.302182 0.283898 -1 -1 -1 -1 52 13207 47 1.21132e+07 4.08187e+06 805949. 3148.24 3.72 1.31877 1.2128 25992 162577 -1 9540 20 3299 6814 827696 272509 22.2848 22.2848 -1756.52 -22.2848 0 0 1.06067e+06 4143.25 0.03 0.33 0.15 -1 -1 0.03 0.157361 0.14582 352 494 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml diffeq2.v common 11.02 vpr 65.98 MiB -1 -1 0.63 22588 16 0.25 -1 -1 33640 -1 -1 27 66 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67568 66 96 616 557 1 448 194 16 16 256 mult_36 auto 26.4 MiB 0.41 3907 42830 13640 23412 5778 66.0 MiB 0.37 0.01 17.4533 -930.815 -17.4533 17.4533 0.26 0.00236417 0.00223009 0.191301 0.180573 -1 -1 -1 -1 44 10525 45 1.21132e+07 3.43514e+06 694168. 2711.59 7.26 0.996389 0.914467 24716 140770 -1 7662 20 2891 6704 1229966 385600 18.1336 18.1336 -1021.71 -18.1336 0 0 904549. 3533.39 0.03 0.34 0.13 -1 -1 0.03 0.1125 0.10444 242 294 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml mkDelayWorker32B.v common 64.74 vpr 332.39 MiB -1 -1 18.24 127380 5 3.16 -1 -1 56804 -1 -1 470 506 47 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 340372 506 553 3055 3608 1 2790 1576 50 50 2500 memory auto 46.7 MiB 5.01 15429 1147451 561958 401565 183928 332.4 MiB 5.57 0.07 7.14518 -1819.3 -7.14518 7.14518 9.50 0.0224854 0.0203066 2.95925 2.65615 -1 -1 -1 -1 38 22405 16 1.47946e+08 5.10868e+07 6.86584e+06 2746.33 11.79 8.27583 7.50555 251304 1421084 -1 21511 15 4035 5401 1044862 275250 7.27128 7.27128 -2081.28 -7.27128 -7.37001 -0.293253 8.69095e+06 3476.38 0.40 1.04 1.27 -1 -1 0.40 0.85678 0.789562 934 1207 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml mkPktMerge.v common 15.24 vpr 71.62 MiB -1 -1 1.66 25832 2 0.13 -1 -1 33636 -1 -1 29 311 15 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73340 311 156 972 1128 1 953 511 28 28 784 memory auto 29.7 MiB 0.52 8793 198585 71660 117140 9785 71.6 MiB 1.16 0.02 4.36476 -4371.45 -4.36476 4.36476 0.89 0.00563225 0.00500235 0.56612 0.500291 -1 -1 -1 -1 40 14336 21 4.25198e+07 9.78293e+06 2.13295e+06 2720.61 7.39 2.39556 2.11772 76686 431115 -1 13340 12 2525 2866 684087 201157 4.53421 4.53421 -4840.29 -4.53421 -17.2664 -0.360359 2.67004e+06 3405.67 0.10 0.31 0.36 -1 -1 0.10 0.176312 0.159689 104 186 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml mkSMAdapter4B.v common 22.26 vpr 76.89 MiB -1 -1 8.55 56140 7 2.27 -1 -1 37468 -1 -1 162 193 5 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78732 193 205 2234 2439 1 1165 565 20 20 400 memory auto 36.6 MiB 2.14 9347 231631 81077 125892 24662 76.9 MiB 1.72 0.02 5.34516 -2827.2 -5.34516 5.34516 0.43 0.00714221 0.00647556 0.744982 0.671405 -1 -1 -1 -1 48 16610 37 2.07112e+07 1.14708e+07 1.23055e+06 3076.38 3.34 2.11554 1.88911 40448 245963 -1 14673 15 4045 10296 538881 123476 5.94696 5.94696 -3085.85 -5.94696 -7.95135 -0.342703 1.57502e+06 3937.55 0.06 0.41 0.21 -1 -1 0.06 0.278421 0.254458 1007 1256 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml or1200.v common 51.50 vpr 103.12 MiB -1 -1 7.82 68828 27 4.10 -1 -1 38592 -1 -1 254 385 2 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 105592 385 394 3906 4237 1 2372 1036 27 27 729 io auto 48.3 MiB 3.89 31981 582676 226584 332025 24067 91.3 MiB 5.20 0.06 14.047 -12595.7 -14.047 14.047 0.85 0.0162465 0.0150699 1.93473 1.77782 -1 -1 -1 -1 76 49904 38 3.93038e+07 1.51811e+07 3.58343e+06 4915.54 22.67 6.16207 5.65976 88945 732515 -1 44183 15 9877 34531 1904983 342061 14.7658 14.7658 -13004.5 -14.7658 0 0 4.48127e+06 6147.14 0.16 1.11 0.64 -1 -1 0.16 0.640177 0.598882 2235 2726 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml raygentop.v common 23.53 vpr 78.19 MiB -1 -1 6.21 46608 8 0.99 -1 -1 37768 -1 -1 117 235 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80064 235 305 2600 2761 1 1433 664 19 19 361 io auto 37.7 MiB 2.02 12027 249634 86844 149473 13317 78.2 MiB 1.87 0.03 5.30864 -2648.81 -5.30864 5.30864 0.39 0.00799633 0.00740581 0.747518 0.688936 -1 -1 -1 -1 56 22630 21 1.72706e+07 9.2296e+06 1.27879e+06 3542.35 8.20 2.88004 2.62374 38159 255829 -1 20346 20 5608 15965 1386935 355889 5.35066 5.35066 -2866.8 -5.35066 -8.65745 -0.196482 1.63234e+06 4521.70 0.05 0.66 0.22 -1 -1 0.05 0.385253 0.355995 1041 1300 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml sha.v common 17.48 vpr 78.97 MiB -1 -1 4.21 48012 21 2.24 -1 -1 40584 -1 -1 150 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 80868 38 36 2570 2606 1 1074 224 17 17 289 clb auto 38.2 MiB 1.44 9206 36264 8126 25763 2375 79.0 MiB 0.76 0.01 13.8191 -2630.52 -13.8191 13.8191 0.30 0.00635308 0.00568636 0.379903 0.337131 -1 -1 -1 -1 48 15632 40 1.34605e+07 8.0841e+06 864508. 2991.38 5.47 2.34496 2.023 28519 171069 -1 13363 20 4013 11904 355523 67720 14.9903 14.9903 -2979.62 -14.9903 0 0 1.10659e+06 3829.03 0.03 0.31 0.11 -1 -1 0.03 0.228029 0.208867 1457 1647 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml stereovision0.v common 114.12 vpr 221.60 MiB -1 -1 11.00 122760 5 42.78 -1 -1 65056 -1 -1 749 169 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 226920 169 197 21117 21314 1 6554 1115 34 34 1156 clb auto 126.4 MiB 9.77 46234 579015 188806 368341 21868 178.3 MiB 8.09 0.09 3.53206 -14136.7 -3.53206 3.53206 1.36 0.0344197 0.0296157 3.35344 2.80961 -1 -1 -1 -1 52 68844 40 6.50233e+07 4.03669e+07 4.04437e+06 3498.59 25.57 14.8521 12.2843 124812 842136 -1 62393 13 16656 29543 1106417 213468 3.61463 3.61463 -15392.2 -3.61463 0 0 5.32397e+06 4605.51 0.20 1.61 0.70 -1 -1 0.20 1.41906 1.26452 7032 9611 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml stereovision1.v common 115.98 vpr 253.16 MiB -1 -1 19.69 136952 6 16.86 -1 -1 68736 -1 -1 783 113 0 44 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 259236 113 145 21669 19534 1 9766 1085 40 40 1600 mult_36 auto 126.8 MiB 10.38 79827 575104 187105 361369 26630 198.4 MiB 10.36 0.10 5.27456 -23178 -5.27456 5.27456 1.88 0.0353696 0.0306657 3.82763 3.27368 -1 -1 -1 -1 74 128551 45 9.16046e+07 5.9624e+07 7.91032e+06 4943.95 37.27 15.5336 13.0894 194980 1622922 -1 117079 15 32689 57201 9560454 2192338 5.43736 5.43736 -25068.6 -5.43736 0 0 9.93055e+06 6206.59 0.38 3.33 1.42 -1 -1 0.38 1.57329 1.401 7371 9014 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml stereovision2.v common 529.92 vpr 996.61 MiB -1 -1 51.17 343160 16 69.11 -1 -1 132500 -1 -1 2044 149 0 179 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1020532 149 182 46679 38965 1 28127 2554 80 80 6400 mult_36 auto 271.2 MiB 32.31 389233 2044204 742132 1251893 50179 996.6 MiB 50.87 0.42 16.2119 -52109.1 -16.2119 16.2119 26.34 0.100471 0.0848097 12.4631 10.6322 -1 -1 -1 -1 100 516627 49 3.90281e+08 1.8104e+08 4.24662e+07 6635.34 235.71 42.1203 35.5712 902980 9095896 -1 483518 16 98809 168918 19936286 4034646 16.1024 16.1024 -56925.6 -16.1024 0 0 5.35784e+07 8371.63 2.61 9.33 8.85 -1 -1 2.61 4.64638 4.09589 18197 23422 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml stereovision3.v common 2.83 vpr 63.49 MiB -1 -1 0.96 22952 5 0.13 -1 -1 33376 -1 -1 7 10 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65016 10 2 181 183 1 37 19 6 6 36 clb auto 24.0 MiB 0.06 125 94 37 54 3 63.5 MiB 0.01 0.00 2.09443 -87.946 -2.09443 2.09443 0.02 0.000491328 0.000453678 0.00392453 0.0037192 -1 -1 -1 -1 26 127 8 646728 377258 45676.2 1268.78 0.25 0.10472 0.0875195 2356 7902 -1 119 8 72 98 1263 541 1.98035 1.98035 -90.0206 -1.98035 0 0 56047.2 1556.87 0.00 0.02 0.01 -1 -1 0.00 0.0122617 0.0109864 52 90 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml LU8PEEng.v common 335.48 vpr 446.37 MiB -1 -1 85.53 350812 123 57.25 -1 -1 78588 -1 -1 1354 114 45 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 457080 114 102 21994 21904 1 11067 1623 50 50 2500 memory auto 169.9 MiB 25.36 151955 1021761 356538 644113 21110 446.4 MiB 24.23 0.20 79.7251 -52220.5 -79.7251 79.7251 9.68 0.0602828 0.0526636 7.23374 6.03628 -1 -1 -1 -1 88 230345 35 1.47946e+08 1.00803e+08 1.46563e+07 5862.50 97.97 27.3303 22.5517 331272 3068748 -1 205366 19 40592 161935 9797150 1881837 81.0471 81.0471 -67091 -81.0471 -7.13348 -0.293253 1.83775e+07 7351.00 0.80 5.76 2.82 -1 -1 0.80 3.27315 2.80685 12609 14234 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml LU32PEEng.v common 2442.52 vpr 1.73 GiB -1 -1 258.68 1026588 124 552.80 -1 -1 233444 -1 -1 4481 114 168 32 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1813676 114 102 72759 72093 1 37610 4897 92 92 8464 memory auto 510.6 MiB 96.92 754623 5076071 2040814 3006065 29192 1442.0 MiB 220.81 1.57 80.7548 -305716 -80.7548 80.7548 37.06 0.237208 0.205198 30.9063 25.5114 -1 -1 -1 -1 122 1020904 46 5.19428e+08 3.46213e+08 6.75417e+07 7979.88 1138.60 133.192 108.89 1314002 14600600 -1 937208 19 140677 611398 44735088 7699678 80.7275 80.7275 -415911 -80.7275 -29.9669 -0.17368 8.47015e+07 10007.3 4.65 24.60 14.85 -1 -1 4.65 12.2915 10.5138 42648 47397 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml mcml.v common 4904.44 vpr 1.68 GiB -1 -1 681.62 1428456 64 3460.75 -1 -1 347276 -1 -1 4906 36 159 27 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1762484 36 356 125423 124208 1 32010 5484 92 92 8464 memory auto 675.0 MiB 73.34 379984 6144664 2439048 3655799 49817 1599.2 MiB 177.06 1.20 63.3831 -263362 -63.3831 63.3831 40.06 0.258391 0.224397 35.9954 30.3596 -1 -1 -1 -1 80 535404 46 5.19428e+08 3.62204e+08 4.63837e+07 5480.11 333.49 155.427 127.989 1085502 9765414 -1 503795 17 105029 333178 19086430 3883087 64.399 64.399 -305691 -64.399 -0.590352 -0.0337239 5.84708e+07 6908.18 2.83 17.10 9.03 -1 -1 2.83 12.3477 10.6541 47698 74153 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain/config/golden_results.txt index e665c066fed..5fc9ae47bce 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain/config/golden_results.txt @@ -1,22 +1,22 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_frac_chain_mem32K_40nm.xml arm_core.v common 255.87 vpr 266.12 MiB -1 -1 33.21 121108 20 51.66 -1 -1 67420 -1 -1 852 133 25 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 272504 133 179 14228 14085 1 7083 1189 37 37 1369 clb auto 124.1 MiB 55.24 118769 611901 198399 390860 22642 182.9 MiB 12.74 0.12 23.082 -209424 -23.082 23.082 4.18 0.0401013 0.0351966 4.51768 3.8456 110 180467 24 7.54166e+07 5.96187e+07 9.46577e+06 6914.37 70.64 15.6471 13.0594 201652 2027183 -1 164262 14 29902 115834 9220015 1621549 24.3564 24.3564 -221310 -24.3564 0 0 1.20852e+07 8827.75 3.46 5.39 1.97 -1 -1 3.46 2.40428 2.08959 -k6_frac_N10_frac_chain_mem32K_40nm.xml bgm.v common 525.83 vpr 710.25 MiB -1 -1 69.20 614744 14 71.56 -1 -1 121924 -1 -1 2715 257 0 11 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 727296 257 32 36080 33722 1 19553 3015 63 63 3969 clb auto 301.2 MiB 92.74 248033 2180215 773829 1379285 27101 710.2 MiB 60.47 0.53 19.0622 -25745.4 -19.0622 19.0622 36.12 0.105944 0.0877618 11.3985 9.52259 74 394698 40 2.36641e+08 1.5068e+08 2.02178e+07 5093.92 113.40 35.3604 29.3374 502298 4195434 -1 380376 21 97229 438645 20887764 3077897 19.7741 19.7741 -26305.4 -19.7741 0 0 2.53694e+07 6391.88 9.43 13.49 3.91 -1 -1 9.43 6.66179 5.7969 -k6_frac_N10_frac_chain_mem32K_40nm.xml blob_merge.v common 88.16 parmys 244.68 MiB -1 -1 15.96 250556 5 3.94 -1 -1 54952 -1 -1 487 36 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 141756 36 100 10178 7632 1 2732 623 28 28 784 clb auto 89.6 MiB 21.09 41236 216002 63618 139932 12452 136.6 MiB 4.12 0.05 15.0315 -2401.76 -15.0315 15.0315 2.01 0.0200758 0.0181589 1.82478 1.61386 70 69778 28 4.25198e+07 2.62464e+07 3.59791e+06 4589.17 25.18 6.26144 5.39126 94322 733910 -1 61041 13 12371 63921 2526758 365216 15.5884 15.5884 -2563.65 -15.5884 0 0 4.52633e+06 5773.37 1.61 2.02 0.72 -1 -1 1.61 1.14017 1.00737 -k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 28.72 vpr 70.14 MiB -1 -1 19.91 45044 3 0.67 -1 -1 35452 -1 -1 44 196 1 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71828 196 193 1201 1346 1 607 434 15 15 225 io auto 31.5 MiB 0.79 2924 143288 42391 88468 12429 70.1 MiB 0.70 0.01 2.18307 -1105.54 -2.18307 2.18307 0.47 0.00366212 0.00341729 0.333891 0.310594 40 5977 15 1.03862e+07 2.91934e+06 568276. 2525.67 3.57 1.23727 1.13314 21782 113316 -1 5329 11 1700 2587 187113 48920 2.5066 2.5066 -1182.04 -2.5066 0 0 712852. 3168.23 0.17 0.16 0.11 -1 -1 0.17 0.113224 0.105684 -k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 3.56 vpr 65.28 MiB -1 -1 0.48 18496 3 0.09 -1 -1 33264 -1 -1 68 99 1 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66844 99 130 344 474 1 227 298 12 12 144 clb auto 26.4 MiB 0.28 665 70943 20214 37821 12908 65.3 MiB 0.26 0.00 1.89985 -118.566 -1.89985 1.89985 0.28 0.00130138 0.00123193 0.101489 0.0957523 34 1540 15 5.66058e+06 4.21279e+06 293035. 2034.97 0.82 0.368758 0.33821 12374 55836 -1 1457 10 403 605 42523 12642 1.99363 1.99363 -143.624 -1.99363 0 0 360780. 2505.42 0.08 0.06 0.06 -1 -1 0.08 0.0350922 0.0325653 -k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 9.67 vpr 68.42 MiB -1 -1 0.56 21980 5 0.19 -1 -1 33884 -1 -1 32 162 0 5 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70060 162 96 1075 892 1 666 295 16 16 256 mult_36 auto 29.5 MiB 0.27 4888 98395 35924 54623 7848 68.4 MiB 0.68 0.01 15.9849 -1245.5 -15.9849 15.9849 0.53 0.00320112 0.00300688 0.336242 0.316142 52 12010 41 1.21132e+07 3.70461e+06 805949. 3148.24 4.85 1.09429 1.01247 26552 162987 -1 9148 19 3314 5627 1080193 297034 17.378 17.378 -1353.55 -17.378 0 0 1.06067e+06 4143.25 0.24 0.43 0.16 -1 -1 0.24 0.156249 0.145747 -k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 15.75 vpr 67.16 MiB -1 -1 0.40 21156 5 0.12 -1 -1 33328 -1 -1 22 66 0 5 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68768 66 96 778 595 1 467 189 16 16 256 mult_36 auto 28.4 MiB 0.56 3517 47885 16325 27094 4466 67.2 MiB 0.40 0.01 12.1762 -748.789 -12.1762 12.1762 0.53 0.00230453 0.0021795 0.213397 0.201764 52 8274 39 1.21132e+07 3.16567e+06 805949. 3148.24 11.14 1.09357 1.00673 26552 162987 -1 7068 21 3782 7774 1632015 443524 13.1607 13.1607 -839.522 -13.1607 0 0 1.06067e+06 4143.25 0.25 0.47 0.16 -1 -1 0.25 0.116281 0.107939 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 93.22 vpr 349.15 MiB -1 -1 17.44 116612 5 3.90 -1 -1 44528 -1 -1 476 506 44 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 357528 506 553 3236 3734 1 2872 1579 50 50 2500 memory auto 51.6 MiB 6.51 14971 1113690 526086 410342 177262 349.1 MiB 5.31 0.06 7.31968 -2110 -7.31968 7.31968 21.80 0.022942 0.0207528 2.90606 2.61748 38 22926 16 1.47946e+08 4.97661e+07 6.86579e+06 2746.32 17.46 8.24531 7.48908 258216 1426232 -1 21797 17 3999 5239 1137467 266641 7.3073 7.3073 -2413.51 -7.3073 0 0 8.69102e+06 3476.41 2.86 1.20 1.27 -1 -1 2.86 0.966868 0.893014 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 16.39 vpr 71.90 MiB -1 -1 1.61 25648 2 0.13 -1 -1 34292 -1 -1 30 311 15 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73628 311 156 1015 1158 1 965 512 28 28 784 memory auto 31.5 MiB 0.75 8486 199071 71349 117527 10195 71.6 MiB 1.12 0.01 4.24477 -4356.51 -4.24477 4.24477 2.05 0.00569352 0.00505236 0.581736 0.512316 38 15147 15 4.25198e+07 9.83682e+06 2.03941e+06 2601.29 5.43 1.57592 1.39699 77878 418209 -1 13712 14 2926 3253 768340 194647 4.5197 4.5197 -4898.99 -4.5197 -0.00135869 -0.00135869 2.58563e+06 3298.00 0.81 0.39 0.38 -1 -1 0.81 0.212607 0.192934 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 31.31 vpr 81.52 MiB -1 -1 8.33 51772 5 1.65 -1 -1 39584 -1 -1 168 193 5 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 83472 193 205 2718 2652 1 1369 571 20 20 400 memory auto 41.2 MiB 2.84 10405 249211 88189 134771 26251 81.5 MiB 2.00 0.02 5.54144 -2844.04 -5.54144 5.54144 0.90 0.00871169 0.00796795 0.931181 0.844897 52 18527 40 2.07112e+07 1.17942e+07 1.31074e+06 3276.84 10.58 3.66777 3.29251 42580 268535 -1 16553 14 4438 10865 633330 129099 5.75132 5.75132 -3050.13 -5.75132 0 0 1.72518e+06 4312.96 0.43 0.45 0.25 -1 -1 0.43 0.29671 0.272513 -k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 54.12 vpr 108.53 MiB -1 -1 6.76 61916 8 3.11 -1 -1 42448 -1 -1 244 385 2 1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 111136 385 362 4415 4299 1 2362 994 26 26 676 io auto 53.0 MiB 8.19 28802 566206 220084 320639 25483 96.0 MiB 5.79 0.06 9.04335 -9663.12 -9.04335 9.04335 1.73 0.0171815 0.0155065 2.26812 2.05715 100 42993 19 3.69863e+07 1.46421e+07 4.20647e+06 6222.59 19.15 7.45516 6.79825 95112 886306 -1 41101 16 9376 30904 1717536 289820 9.07427 9.07427 -9946.4 -9.07427 0 0 5.30968e+06 7854.55 1.41 1.14 0.85 -1 -1 1.41 0.667977 0.624234 -k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 29.59 vpr 83.10 MiB -1 -1 5.06 41884 3 0.70 -1 -1 37624 -1 -1 123 236 1 6 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85092 236 305 3199 3011 1 1513 671 19 19 361 io auto 42.6 MiB 2.72 12120 320695 113510 191287 15898 83.1 MiB 2.30 0.03 4.73195 -2862.64 -4.73195 4.73195 0.87 0.00909231 0.00842401 1.03819 0.956171 64 23856 39 1.72706e+07 9.55296e+06 1.47376e+06 4082.44 12.62 4.13598 3.76865 41203 295207 -1 21273 20 6777 17874 2007335 442771 4.91953 4.91953 -3135.76 -4.91953 0 0 1.84179e+06 5101.91 0.42 0.88 0.27 -1 -1 0.42 0.439225 0.406927 -k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 16.29 vpr 80.88 MiB -1 -1 3.25 44644 3 1.27 -1 -1 38068 -1 -1 139 38 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82824 38 36 2739 2488 1 1037 213 16 16 256 clb auto 40.4 MiB 1.88 8683 35138 8440 23818 2880 80.9 MiB 0.71 0.01 10.5532 -2964 -10.5532 10.5532 0.57 0.00566854 0.00501568 0.347411 0.308041 58 13904 46 1.21132e+07 7.49127e+06 904541. 3533.36 4.60 2.08142 1.80839 27572 180683 -1 12013 19 4037 9495 325437 57075 11.0965 11.0965 -3476.79 -11.0965 0 0 1.15318e+06 4504.63 0.29 0.43 0.17 -1 -1 0.29 0.310158 0.278315 -k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 14.15 vpr 71.75 MiB -1 -1 3.80 31976 16 0.46 -1 -1 34924 -1 -1 61 45 3 1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73472 45 32 1192 1151 1 777 142 14 14 196 memory auto 33.0 MiB 2.55 6628 27152 7327 16424 3401 71.8 MiB 0.53 0.01 10.702 -6928.42 -10.702 10.702 0.40 0.00352052 0.0031054 0.285483 0.251814 58 13671 36 9.20055e+06 5.32753e+06 687722. 3508.79 3.59 0.850596 0.740097 21260 137239 -1 11025 15 3480 9027 813623 192300 11.3683 11.3683 -7484.66 -11.3683 0 0 876180. 4470.31 0.19 0.36 0.14 -1 -1 0.19 0.168935 0.153487 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision0.v common 83.57 vpr 228.68 MiB -1 -1 13.74 99196 5 5.87 -1 -1 66180 -1 -1 705 169 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 234168 169 197 23225 21365 1 6465 1071 33 33 1089 clb auto 147.2 MiB 9.64 39170 581633 186976 363755 30902 204.8 MiB 8.30 0.09 3.56268 -13928.8 -3.56268 3.56268 2.76 0.0390071 0.0341085 4.0399 3.46041 50 60580 25 6.0475e+07 3.79954e+07 3.66263e+06 3363.29 23.60 12.7263 10.6369 117303 744553 -1 54383 16 15818 25042 917816 181630 3.91171 3.91171 -15577.8 -3.91171 0 0 4.71657e+06 4331.10 1.28 1.78 0.67 -1 -1 1.28 1.62354 1.44041 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision1.v common 146.24 vpr 326.46 MiB -1 -1 12.35 121528 3 10.77 -1 -1 74060 -1 -1 676 115 0 40 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 334300 115 145 22864 19301 1 9618 976 40 40 1600 mult_36 auto 143.4 MiB 8.87 80479 509071 165921 318245 24905 211.9 MiB 8.29 0.08 5.56775 -22677.1 -5.56775 5.56775 4.58 0.0305357 0.0263168 3.52948 3.01259 88 126673 45 9.16046e+07 5.2273e+07 9.19823e+06 5748.90 75.74 16.6276 13.971 213624 1916262 -1 116105 14 31404 47815 7424249 1570128 5.60942 5.60942 -24546.4 -5.60942 0 0 1.15336e+07 7208.51 3.12 2.87 1.76 -1 -1 3.12 1.45662 1.32027 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision2.v common 956.01 vpr 1.01 GiB -1 -1 15.28 194672 3 5.46 -1 -1 152152 -1 -1 1500 149 0 179 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1054072 149 182 55415 37074 1 28634 2010 80 80 6400 mult_36 auto 293.5 MiB 22.09 291783 1688450 588524 1039779 60147 1029.4 MiB 42.98 0.31 13.8123 -52573.7 -13.8123 13.8123 63.10 0.0794459 0.0705422 11.6426 10.0651 90 404732 49 3.90281e+08 1.51724e+08 3.88106e+07 6064.16 721.18 58.3011 49.3565 876284 8162653 -1 383287 22 101061 120561 16142116 3252600 14.7193 14.7193 -57508.7 -14.7193 0 0 4.85641e+07 7588.14 17.47 9.04 7.95 -1 -1 17.47 4.91362 4.32352 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 3.05 vpr 63.80 MiB -1 -1 0.93 22120 4 0.13 -1 -1 33452 -1 -1 15 11 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65328 11 2 303 283 2 80 28 7 7 49 clb auto 25.4 MiB 0.21 271 994 177 758 59 63.8 MiB 0.04 0.00 2.03512 -161.709 -2.03512 1.89824 0.06 0.000796077 0.000729401 0.0229181 0.0211886 28 386 10 1.07788e+06 808410 72669.7 1483.05 0.43 0.164764 0.140406 3564 12808 -1 328 11 210 348 6062 2167 2.1147 1.93975 -167.576 -2.1147 0 0 87745.0 1790.71 0.01 0.04 0.01 -1 -1 0.01 0.0250113 0.0223794 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 528.74 vpr 617.43 MiB -1 -1 73.84 441672 97 70.17 -1 -1 112716 -1 -1 2136 114 45 8 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 632248 114 102 35834 31925 1 16958 2405 56 56 3136 clb auto 280.7 MiB 75.20 229130 1838489 685976 1121608 30905 603.7 MiB 54.07 0.46 74.3846 -53458.5 -74.3846 74.3846 30.63 0.103522 0.0863647 12.7407 10.5687 92 342770 30 1.8697e+08 1.42948e+08 1.91065e+07 6092.62 156.58 42.572 34.9556 432882 4054463 -1 315324 23 68942 268090 14998722 2533805 73.8599 73.8599 -63586.7 -73.8599 0 0 2.42931e+07 7746.54 8.85 11.57 3.99 -1 -1 8.85 6.76989 5.85716 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 7391.10 vpr 2.12 GiB -1 -1 237.77 1431332 97 906.08 -1 -1 355192 -1 -1 7474 114 168 32 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2228092 114 102 120350 108159 1 57611 7890 102 102 10404 clb auto 897.5 MiB 264.63 996953 9743502 4021914 5678221 43367 1986.8 MiB 448.67 2.92 71.9201 -335041 -71.9201 71.9201 121.01 0.346618 0.30305 47.6649 40.2088 122 1357271 50 6.36957e+08 5.075e+08 8.33909e+07 8015.28 5146.84 189.664 155.402 1646912 18059953 -1 1274576 23 213361 922405 53953070 8630476 72.2056 72.2056 -464885 -72.2056 0 0 1.04484e+08 10042.6 38.13 37.88 18.68 -1 -1 38.13 21.5477 18.347 -k6_frac_N10_frac_chain_mem32K_40nm.xml mcml.v common 5746.03 vpr 2.37 GiB -1 -1 289.13 1202048 25 2996.45 -1 -1 369516 -1 -1 6372 36 159 27 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2480236 36 356 185159 159806 1 64132 6950 95 95 9025 clb auto 1060.0 MiB 219.93 734598 9553574 3704014 5673676 175884 1979.1 MiB 446.52 3.05 50.9431 -309210 -50.9431 50.9431 84.67 0.352353 0.278124 50.5817 42.3825 146 958494 27 5.4965e+08 4.41207e+08 8.47514e+07 9390.74 1465.42 212.374 173.936 1572330 18711342 -1 929944 22 218800 501430 30637058 5079656 50.1702 50.1702 -342096 -50.1702 0 0 1.07341e+08 11893.7 35.68 28.61 20.49 -1 -1 35.68 19.5696 16.8411 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_frac_chain_mem32K_40nm.xml arm_core.v common 237.52 vpr 262.28 MiB -1 -1 32.91 121376 20 45.70 -1 -1 67188 -1 -1 857 133 25 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 268572 133 179 14228 14085 1 7013 1194 37 37 1369 clb auto 123.1 MiB 53.30 118244 596308 187805 386918 21585 185.1 MiB 14.11 0.14 22.8372 -207023 -22.8372 22.8372 1.66 0.0425386 0.0375764 4.48215 3.81006 -1 -1 -1 -1 108 181970 35 7.54166e+07 5.98881e+07 9.28840e+06 6784.81 67.59 16.5132 13.7215 198916 1972836 -1 161583 15 29674 114770 9098344 1675362 24.0804 24.0804 -223110 -24.0804 0 0 1.17342e+07 8571.36 0.47 4.41 1.87 -1 -1 0.47 2.21375 1.92596 + k6_frac_N10_frac_chain_mem32K_40nm.xml bgm.v common 494.13 vpr 712.53 MiB -1 -1 67.51 634620 14 70.59 -1 -1 122036 -1 -1 2741 257 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 729628 257 32 36080 33722 1 19295 3041 63 63 3969 clb auto 300.2 MiB 92.25 247801 2183219 784650 1370393 28176 712.5 MiB 71.53 0.62 19.785 -25840.1 -19.785 19.785 16.56 0.102779 0.0918008 11.5762 9.76479 -1 -1 -1 -1 72 386360 42 2.36641e+08 1.52081e+08 1.98694e+07 5006.15 110.59 42.9221 35.5891 498330 4113940 -1 362013 19 91449 417245 17088983 2690880 20.0733 20.0733 -26346.1 -20.0733 0 0 2.48734e+07 6266.93 1.16 11.31 3.82 -1 -1 1.16 5.98384 5.18194 + k6_frac_N10_frac_chain_mem32K_40nm.xml blob_merge.v common 81.79 parmys 261.76 MiB -1 -1 16.25 268040 5 3.83 -1 -1 54936 -1 -1 499 36 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 144920 36 100 10178 7632 1 2726 635 29 29 841 clb auto 89.3 MiB 20.95 42663 237971 70464 152269 15238 136.4 MiB 5.32 0.05 14.7669 -2473.22 -14.7669 14.7669 0.97 0.0211143 0.0190909 2.35792 2.11102 -1 -1 -1 -1 70 69685 21 4.4999e+07 2.68931e+07 3.87716e+06 4610.18 22.40 6.46234 5.5956 101140 791177 -1 63521 14 12660 66318 2601627 385811 14.9702 14.9702 -2667.46 -14.9702 0 0 4.87732e+06 5799.43 0.18 1.24 0.61 -1 -1 0.18 0.765348 0.685884 + k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 27.98 vpr 70.30 MiB -1 -1 19.04 45836 3 0.71 -1 -1 35388 -1 -1 48 196 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71984 196 193 1201 1346 1 606 438 15 15 225 io auto 31.0 MiB 0.83 3130 146694 39708 93961 13025 70.3 MiB 0.75 0.01 2.24601 -1081.12 -2.24601 2.24601 0.23 0.00372098 0.00347122 0.346766 0.322935 -1 -1 -1 -1 36 6058 29 1.03862e+07 3.13491e+06 520410. 2312.93 4.20 1.65535 1.51569 21110 102306 -1 5134 10 1618 2340 136007 39516 2.56471 2.56471 -1177.45 -2.56471 0 0 643451. 2859.78 0.02 0.14 0.09 -1 -1 0.02 0.105047 0.098196 + k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 2.63 vpr 65.72 MiB -1 -1 0.47 18896 3 0.09 -1 -1 33312 -1 -1 68 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67300 99 130 344 474 1 227 298 12 12 144 clb auto 26.5 MiB 0.17 749 71938 22933 33485 15520 65.7 MiB 0.13 0.00 1.86413 -118.59 -1.86413 1.86413 0.10 0.000549638 0.000516276 0.0433027 0.040723 -1 -1 -1 -1 42 1520 10 5.66058e+06 4.21279e+06 345696. 2400.67 0.59 0.187247 0.170993 13090 66981 -1 1349 11 399 648 28156 8528 2.01841 2.01841 -138.411 -2.01841 0 0 434636. 3018.30 0.01 0.05 0.06 -1 -1 0.01 0.0359727 0.0332907 + k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 9.83 vpr 68.52 MiB -1 -1 0.57 22264 5 0.15 -1 -1 34252 -1 -1 32 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70164 162 96 1075 892 1 665 295 16 16 256 mult_36 auto 29.5 MiB 0.47 5186 94471 34661 52400 7410 68.5 MiB 0.71 0.01 15.8635 -1239.63 -15.8635 15.8635 0.26 0.00331121 0.00311453 0.328384 0.30882 -1 -1 -1 -1 58 10489 28 1.21132e+07 3.70461e+06 904541. 3533.36 5.64 1.61544 1.48773 27572 180683 -1 8500 19 2932 4812 741239 227046 17.067 17.067 -1349.92 -17.067 0 0 1.15318e+06 4504.63 0.04 0.31 0.16 -1 -1 0.04 0.144606 0.134419 + k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 11.47 vpr 67.82 MiB -1 -1 0.42 21216 5 0.11 -1 -1 33532 -1 -1 21 66 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69452 66 96 778 595 1 467 188 16 16 256 mult_36 auto 28.2 MiB 0.61 3552 38386 11370 22642 4374 67.8 MiB 0.36 0.01 11.8641 -739.791 -11.8641 11.8641 0.26 0.00237331 0.00224591 0.18002 0.170428 -1 -1 -1 -1 56 8238 44 1.21132e+07 3.11177e+06 870502. 3400.40 7.66 1.20105 1.10303 27064 172478 -1 6899 24 3920 8254 1448980 464078 13.0139 13.0139 -835.321 -13.0139 0 0 1.11200e+06 4343.75 0.04 0.43 0.15 -1 -1 0.04 0.125507 0.116065 + k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 66.31 vpr 349.85 MiB -1 -1 18.06 118764 5 3.19 -1 -1 44732 -1 -1 482 506 44 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 358248 506 553 3236 3734 1 2871 1585 50 50 2500 memory auto 51.8 MiB 6.65 15677 1193158 583612 419742 189804 349.9 MiB 5.89 0.07 7.82454 -2101.77 -7.82454 7.82454 10.39 0.0232107 0.0209756 3.11831 2.78915 -1 -1 -1 -1 38 23230 14 1.47946e+08 5.00895e+07 6.86579e+06 2746.32 11.78 8.44468 7.65217 258216 1426232 -1 22340 13 3999 5540 1044268 276431 8.15652 8.15652 -2449.78 -8.15652 0 0 8.69102e+06 3476.41 0.36 0.62 0.94 -1 -1 0.36 0.474915 0.450631 + k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 14.83 vpr 75.46 MiB -1 -1 1.65 25776 2 0.13 -1 -1 34240 -1 -1 32 311 15 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 77268 311 156 1015 1158 1 965 514 28 28 784 memory auto 31.5 MiB 0.84 8771 212488 81670 120789 10029 71.8 MiB 1.28 0.02 4.24034 -4274.29 -4.24034 4.24034 0.91 0.00591498 0.00525545 0.632092 0.561616 -1 -1 -1 -1 46 13629 17 4.25198e+07 9.94461e+06 2.40571e+06 3068.51 6.49 2.43454 2.16131 81794 492802 -1 13067 13 2559 2889 592994 173675 4.10368 4.10368 -4803.02 -4.10368 -0.000474482 -0.000474482 3.09729e+06 3950.62 0.12 0.33 0.43 -1 -1 0.12 0.19988 0.181279 + k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 24.37 vpr 81.55 MiB -1 -1 6.55 53024 5 1.65 -1 -1 39496 -1 -1 170 193 5 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83508 193 205 2718 2652 1 1367 573 20 20 400 memory auto 40.7 MiB 3.02 11072 243231 85018 132684 25529 81.6 MiB 2.05 0.03 5.05891 -2813.63 -5.05891 5.05891 0.43 0.00798011 0.00703653 0.846187 0.756249 -1 -1 -1 -1 52 19118 33 2.07112e+07 1.1902e+07 1.31074e+06 3276.84 6.44 2.84139 2.53272 42580 268535 -1 16450 14 4598 11278 570237 127961 5.38192 5.38192 -3001.18 -5.38192 0 0 1.72518e+06 4312.96 0.06 0.45 0.23 -1 -1 0.06 0.306487 0.281362 + k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 66.97 vpr 107.66 MiB -1 -1 6.90 62616 8 3.11 -1 -1 40568 -1 -1 250 385 2 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 110248 385 362 4415 4299 1 2365 1000 26 26 676 io auto 52.9 MiB 8.14 30064 545782 201861 320153 23768 96.4 MiB 5.58 0.07 9.17025 -9814.95 -9.17025 9.17025 0.80 0.0163028 0.0152115 1.90499 1.74695 -1 -1 -1 -1 86 44693 20 3.69863e+07 1.49655e+07 3.69198e+06 5461.52 35.19 9.11242 8.32756 89040 769342 -1 41511 17 9662 32287 1739041 311622 9.36868 9.36868 -10331.7 -9.36868 0 0 4.67059e+06 6909.16 0.18 1.17 0.69 -1 -1 0.18 0.716853 0.668029 + k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 22.37 vpr 83.04 MiB -1 -1 4.90 42944 3 0.55 -1 -1 37604 -1 -1 129 236 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85036 236 305 3199 3011 1 1520 677 19 19 361 io auto 42.2 MiB 2.97 12761 268067 88565 164726 14776 83.0 MiB 2.08 0.03 4.74988 -2887.79 -4.74988 4.74988 0.39 0.00887262 0.00821018 0.850069 0.782416 -1 -1 -1 -1 62 24213 37 1.72706e+07 9.87633e+06 1.42198e+06 3939.00 7.42 3.57822 3.25701 40483 281719 -1 20603 18 6062 15441 1381198 347776 4.88181 4.88181 -3127.07 -4.88181 0 0 1.76637e+06 4892.99 0.06 0.70 0.24 -1 -1 0.06 0.408372 0.379499 + k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 16.67 vpr 81.33 MiB -1 -1 3.50 44744 3 1.23 -1 -1 39752 -1 -1 141 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83280 38 36 2739 2488 1 1022 215 17 17 289 clb auto 40.3 MiB 1.97 8926 42010 10393 28489 3128 81.3 MiB 0.94 0.02 10.0828 -2706.04 -10.0828 10.0828 0.30 0.00581633 0.00515675 0.417217 0.368285 -1 -1 -1 -1 58 14183 39 1.34605e+07 7.59905e+06 1.03370e+06 3576.80 5.06 2.06621 1.78557 31195 207102 -1 12451 20 4121 9643 348072 63845 10.9297 10.9297 -2988.87 -10.9297 0 0 1.31783e+06 4559.95 0.04 0.45 0.18 -1 -1 0.04 0.311517 0.277211 + k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 15.04 vpr 72.55 MiB -1 -1 3.93 32244 16 0.46 -1 -1 34912 -1 -1 60 45 3 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74296 45 32 1192 1151 1 782 141 14 14 196 memory auto 33.0 MiB 2.61 6900 30885 8865 18758 3262 72.6 MiB 0.66 0.01 10.7041 -7102.05 -10.7041 10.7041 0.20 0.00375059 0.00334308 0.345865 0.307399 -1 -1 -1 -1 60 13080 27 9.20055e+06 5.27364e+06 710723. 3626.14 4.65 1.49178 1.29898 21456 140545 -1 11406 14 3518 9267 727533 186037 11.4629 11.4629 -7580.27 -11.4629 0 0 894373. 4563.13 0.03 0.32 0.13 -1 -1 0.03 0.15979 0.145282 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision0.v common 80.41 vpr 230.75 MiB -1 -1 14.04 99996 5 7.02 -1 -1 66224 -1 -1 721 169 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 236292 169 197 23225 21365 1 6061 1087 34 34 1156 clb auto 145.3 MiB 11.54 37017 593203 196123 370826 26254 203.3 MiB 8.12 0.08 3.50768 -13965.8 -3.50768 3.50768 1.39 0.0314237 0.026993 3.68019 3.10409 -1 -1 -1 -1 46 58126 45 6.50233e+07 3.88578e+07 3.64223e+06 3150.72 21.83 13.775 11.4226 123264 752332 -1 51405 13 15223 24254 807106 167773 3.87082 3.87082 -15222.1 -3.87082 0 0 4.69209e+06 4058.90 0.20 1.57 0.63 -1 -1 0.20 1.51477 1.34949 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision1.v common 144.19 vpr 269.33 MiB -1 -1 12.21 122580 3 10.12 -1 -1 74072 -1 -1 768 115 0 40 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 275792 115 145 22864 19301 1 9609 1068 40 40 1600 mult_36 auto 143.1 MiB 11.43 79476 584967 186467 369840 28660 213.0 MiB 10.55 0.11 5.41341 -23480.4 -5.41341 5.41341 2.17 0.0319079 0.0275548 3.94511 3.37191 -1 -1 -1 -1 78 126298 33 9.16046e+07 5.72315e+07 8.23220e+06 5145.12 76.60 16.9981 14.2428 204032 1723206 -1 115064 14 29800 47012 6851687 1564903 5.46393 5.46393 -25542.6 -5.46393 0 0 1.04203e+07 6512.68 0.43 3.13 1.54 -1 -1 0.43 1.68782 1.49543 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision2.v common 305.89 vpr 1.03 GiB -1 -1 16.73 194960 3 6.23 -1 -1 152176 -1 -1 1699 149 0 179 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1075592 149 182 55415 37074 1 28414 2209 80 80 6400 mult_36 auto 293.3 MiB 30.70 276200 2001029 706104 1220084 74841 1050.4 MiB 59.88 0.40 12.9413 -50214.8 -12.9413 12.9413 28.80 0.0899255 0.0755434 13.3433 11.2726 -1 -1 -1 -1 84 389613 48 3.90281e+08 1.62448e+08 3.63717e+07 5683.08 105.23 42.721 36.1082 857088 7768622 -1 366997 20 100923 120240 14759319 3128518 13.8463 13.8463 -54794.6 -13.8463 0 0 4.62462e+07 7225.96 2.39 8.04 7.35 -1 -1 2.39 4.60189 4.03624 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.86 vpr 65.79 MiB -1 -1 0.98 23176 4 0.13 -1 -1 32552 -1 -1 15 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67372 11 2 303 283 2 78 28 7 7 49 clb auto 26.2 MiB 0.22 262 1078 238 765 75 65.8 MiB 0.04 0.00 2.0391 -163.079 -2.0391 1.90116 0.04 0.00079628 0.000729774 0.0247413 0.0227926 -1 -1 -1 -1 28 333 12 1.07788e+06 808410 72669.7 1483.05 0.17 0.112952 0.0979406 3564 12808 -1 288 8 200 345 4799 1871 2.11979 1.94261 -165.174 -2.11979 0 0 87745.0 1790.71 0.00 0.03 0.01 -1 -1 0.00 0.022123 0.0200623 + k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 497.88 vpr 611.74 MiB -1 -1 77.76 452540 97 80.96 -1 -1 112748 -1 -1 2151 114 45 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 626424 114 102 35834 31925 1 16897 2420 56 56 3136 clb auto 279.1 MiB 70.81 224666 1805060 668533 1109456 27071 611.7 MiB 64.72 0.55 75.1122 -53345.7 -75.1122 75.1122 13.40 0.101362 0.0900982 12.5616 10.6146 -1 -1 -1 -1 88 335261 49 1.8697e+08 1.43756e+08 1.84122e+07 5871.24 134.02 47.1194 39.1334 423474 3861999 -1 307396 22 65997 258491 13780558 2468289 76.0017 76.0017 -64554.6 -76.0017 0 0 2.30976e+07 7365.31 1.10 10.19 3.64 -1 -1 1.10 6.17356 5.28565 + k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 2692.08 vpr 2.42 GiB -1 -1 242.89 1496112 97 858.98 -1 -1 355104 -1 -1 7513 114 168 32 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2535652 114 102 120350 108159 1 57345 7929 103 103 10609 clb auto 892.1 MiB 262.75 1003045 9728742 4018902 5663791 46049 2004.2 MiB 480.49 3.14 72.4024 -329114 -72.4024 72.4024 47.02 0.346214 0.301919 48.3359 40.4685 -1 -1 -1 -1 124 1323068 31 6.46441e+08 5.09602e+08 8.61045e+07 8116.18 587.22 199.076 163.111 1699828 18865638 -1 1270470 22 208280 903882 50603811 8413337 73.1548 73.1548 -457667 -73.1548 0 0 1.09063e+08 10280.2 5.97 39.87 20.11 -1 -1 5.97 22.9615 19.528 + k6_frac_N10_frac_chain_mem32K_40nm.xml mcml.v common 5532.81 vpr 2.11 GiB -1 -1 301.74 1243688 25 2880.43 -1 -1 369296 -1 -1 6763 36 159 27 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2217356 36 356 185159 159806 1 63309 7341 98 98 9604 clb auto 1056.9 MiB 254.50 722860 10062756 3907097 5967087 188572 2054.1 MiB 616.55 3.41 47.3986 -303024 -47.3986 47.3986 45.23 0.338735 0.29516 55.1437 45.9685 -1 -1 -1 -1 126 949879 27 5.9175e+08 4.62277e+08 7.90658e+07 8232.59 1240.96 214.222 174.297 1551988 17290692 -1 919494 20 208733 484691 28296676 5077184 47.6251 47.6251 -321060 -47.6251 0 0 9.99791e+07 10410.1 5.20 27.91 18.77 -1 -1 5.20 19.465 16.7334 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_depop/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_depop/config/golden_results.txt index 87e9637f9ad..f1eeb0d6e78 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_depop/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_depop/config/golden_results.txt @@ -1,22 +1,22 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml arm_core.v common 277.11 vpr 289.11 MiB -1 -1 16.67 124648 20 39.27 -1 -1 72328 -1 -1 679 133 25 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 296044 133 179 14228 14085 1 6986 1016 36 36 1296 memory auto 149.9 MiB 23.02 111869 506411 160230 326278 19903 181.8 MiB 9.47 0.08 19.5094 -192112 -19.5094 19.5094 4.12 0.0160466 0.0136643 1.86358 1.55727 154 199903 32 7.21828e+07 5.02946e+07 1.28857e+07 9942.66 153.26 8.23573 7.08873 239994 2946416 -1 183903 16 33799 138265 51564315 11944708 22.41 22.41 -214787 -22.41 -3.1378 -0.29436 1.62481e+07 12537.1 5.26 10.90 2.38 -1 -1 5.26 1.4659 1.3784 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml bgm.v common 434.85 vpr 712.33 MiB -1 -1 33.46 637524 14 62.12 -1 -1 123408 -1 -1 2287 257 0 11 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 729428 257 32 36080 33722 1 18672 2587 58 58 3364 clb auto 366.8 MiB 41.13 238750 1777787 613628 1135373 28786 691.6 MiB 44.36 0.36 16.9078 -22798.4 -16.9078 16.9078 38.14 0.0417663 0.0365797 5.01023 4.34011 114 489515 43 2.00088e+08 1.27615e+08 2.67492e+07 7951.60 147.45 22.3155 19.5798 548026 6020043 -1 456285 20 103684 494058 43713903 7882929 19.3528 19.3528 -25719.6 -19.3528 0 0 3.36466e+07 10002.0 12.44 11.94 4.53 -1 -1 12.44 3.97353 3.71849 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml blob_merge.v common 87.69 parmys 262.07 MiB -1 -1 8.08 268356 5 3.85 -1 -1 58680 -1 -1 447 36 0 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 179696 36 100 10178 7632 1 2978 583 27 27 729 clb auto 104.4 MiB 16.70 43318 219643 62652 141928 15063 140.1 MiB 3.02 0.03 13.6111 -2272.14 -13.6111 13.6111 2.20 0.00651588 0.00551055 0.768924 0.662803 110 84751 33 3.93038e+07 2.40906e+07 5.33614e+06 7319.81 41.58 4.4518 3.87219 114714 1189977 -1 77052 17 14017 69317 4925517 913768 15.4853 15.4853 -2667.39 -15.4853 0 0 6.77266e+06 9290.34 1.99 1.35 0.86 -1 -1 1.99 0.624448 0.586094 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml boundtop.v common 17.08 vpr 71.96 MiB -1 -1 9.15 48936 3 0.67 -1 -1 39220 -1 -1 45 196 1 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 73692 196 193 1201 1346 1 604 435 15 15 225 io auto 33.8 MiB 0.47 2981 150312 44495 93614 12203 72.0 MiB 0.47 0.01 2.05507 -979.869 -2.05507 2.05507 0.57 0.00161266 0.00148292 0.165224 0.151168 40 7176 44 1.03862e+07 2.97323e+06 618415. 2748.51 3.52 0.779086 0.725008 23732 127356 -1 6238 14 2121 3542 344117 91418 2.57674 2.57674 -1194.23 -2.57674 -0.478269 -0.152189 773047. 3435.76 0.25 0.14 0.09 -1 -1 0.25 0.0824519 0.078801 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml ch_intrinsics.v common 3.66 vpr 66.66 MiB -1 -1 0.24 21888 3 0.09 -1 -1 36920 -1 -1 65 99 1 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 68260 99 130 344 474 1 221 295 12 12 144 clb auto 28.3 MiB 0.10 584 75832 24915 37314 13603 66.7 MiB 0.14 0.00 1.60782 -108.554 -1.60782 1.60782 0.30 0.000408101 0.000365301 0.032699 0.0291703 46 1375 14 5.66058e+06 4.05111e+06 408669. 2837.98 1.60 0.165947 0.151987 14568 82464 -1 1241 9 501 815 42163 14297 1.90052 1.90052 -138.848 -1.90052 -0.522528 -0.192271 525203. 3647.24 0.16 0.02 0.06 -1 -1 0.16 0.0164117 0.0156824 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml diffeq1.v common 13.23 vpr 69.73 MiB -1 -1 0.30 25348 5 0.16 -1 -1 38040 -1 -1 26 162 0 5 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 71408 162 96 1075 892 1 662 289 16 16 256 mult_36 auto 31.7 MiB 0.29 5020 87194 31634 49300 6260 69.7 MiB 0.37 0.01 15.5513 -1198.01 -15.5513 15.5513 0.60 0.00108968 0.00087173 0.117896 0.106419 62 11041 23 1.21132e+07 3.38124e+06 1.04918e+06 4098.38 9.27 0.629926 0.579055 30184 211102 -1 9365 22 3684 6482 1932785 501322 17.3515 17.3515 -1402.06 -17.3515 0 0 1.29183e+06 5046.22 0.37 0.35 0.14 -1 -1 0.37 0.0801783 0.0761602 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml diffeq2.v common 16.37 vpr 68.38 MiB -1 -1 0.22 24472 5 0.12 -1 -1 37504 -1 -1 16 66 0 5 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 70016 66 96 778 595 1 452 183 16 16 256 mult_36 auto 30.2 MiB 0.25 3796 47931 18238 24999 4694 68.4 MiB 0.23 0.01 11.6653 -721.689 -11.6653 11.6653 0.61 0.0021724 0.000634322 0.0820875 0.0733953 54 8985 24 1.21132e+07 2.8423e+06 903890. 3530.82 12.65 0.47082 0.431882 28908 188420 -1 7667 19 3422 6989 2894348 736724 13.1378 13.1378 -884.515 -13.1378 0 0 1.17254e+06 4580.24 0.33 0.47 0.12 -1 -1 0.33 0.054892 0.0522077 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml LU8PEEng.v common 453.19 vpr 634.28 MiB -1 -1 40.35 458136 97 67.61 -1 -1 116280 -1 -1 1817 114 45 8 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 649504 114 102 35834 31925 1 16655 2086 52 52 2704 clb auto 338.8 MiB 38.84 215398 1480939 529962 920626 30351 592.6 MiB 35.90 0.30 63.9023 -51832.3 -63.9023 63.9023 29.27 0.0365643 0.0313887 4.72225 3.98955 118 403134 41 1.58905e+08 1.25757e+08 2.19720e+07 8125.73 179.07 22.5222 19.4447 445196 4945367 -1 365440 26 84812 347712 59122473 12667064 72.9598 72.9598 -67594.2 -72.9598 -22.3536 -0.293253 2.76197e+07 10214.4 10.15 15.98 3.68 -1 -1 10.15 3.82828 3.51735 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml LU32PEEng.v common 4545.11 vpr 2.15 GiB -1 -1 128.48 1499332 97 635.41 -1 -1 358708 -1 -1 6264 114 168 32 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 2253068 114 102 120350 108159 1 57393 6680 94 94 8836 clb auto 1099.3 MiB 142.33 1002377 7741412 3101845 4587037 52530 1910.5 MiB 279.40 2.10 61.1772 -294786 -61.1772 61.1772 110.76 0.150743 0.129744 22.1123 18.9696 164 1599216 47 5.40921e+08 4.42296e+08 9.81028e+07 11102.6 2935.70 80.2872 69.5089 1741328 23094485 -1 1497489 23 245053 1111111 345304904 91442091 72.177 72.177 -449510 -72.177 -38.9067 -0.292146 1.25175e+08 14166.5 51.93 126.42 20.24 -1 -1 51.93 14.3855 13.1443 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mcml.v common 4052.63 vpr 2.22 GiB -1 -1 164.66 1254260 25 2216.02 -1 -1 373144 -1 -1 6092 36 159 27 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 2323188 36 356 185159 159806 1 63968 6670 93 93 8649 clb auto 1312.7 MiB 122.70 764984 9043966 3452533 5377457 213976 2034.1 MiB 415.93 2.64 44.0249 -277627 -44.0249 44.0249 108.37 0.156207 0.128577 24.3516 20.2318 154 1118635 43 5.27943e+08 4.26118e+08 9.06356e+07 10479.3 795.63 98.3709 83.4586 1641104 21087044 -1 1050884 22 254701 613161 130623601 28909159 47.7077 47.7077 -351690 -47.7077 -0.240863 -0.0215478 1.14427e+08 13230.1 50.66 42.81 17.78 -1 -1 50.66 12.7798 11.6449 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkDelayWorker32B.v common 81.80 vpr 381.34 MiB -1 -1 8.92 121524 5 3.40 -1 -1 48636 -1 -1 465 506 44 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 390492 506 553 3236 3734 1 2854 1568 50 50 2500 memory auto 59.1 MiB 3.82 16229 1176113 568893 419592 187628 381.3 MiB 3.45 0.04 6.96637 -2056.03 -6.96637 6.96637 26.67 0.0110014 0.0102196 1.51912 1.39252 38 25725 17 1.47946e+08 4.91733e+07 7.51727e+06 3006.91 17.42 4.40965 4.1239 284136 1605944 -1 24262 17 4753 6161 4413542 1107869 7.71942 7.71942 -2574.22 -7.71942 -2.60855 -0.216197 9.46795e+06 3787.18 3.63 1.26 1.04 -1 -1 3.63 0.594962 0.567469 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkPktMerge.v common 25.63 vpr 72.07 MiB -1 -1 0.91 29184 2 0.11 -1 -1 37840 -1 -1 27 311 15 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 73804 311 156 1015 1158 1 965 509 28 28 784 memory auto 34.2 MiB 0.53 8982 197516 69517 118417 9582 72.1 MiB 0.65 0.01 4.2275 -4143.2 -4.2275 4.2275 2.35 0.00294516 0.00258436 0.247937 0.21669 36 16496 41 4.25198e+07 9.67514e+06 2.12999e+06 2716.82 15.87 1.26374 1.13519 83724 436647 -1 14920 15 3351 3803 2953163 794022 4.6504 4.6504 -4999.11 -4.6504 -9.7666 -0.29768 2.61523e+06 3335.75 0.93 0.60 0.28 -1 -1 0.93 0.120155 0.111439 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 30.85 vpr 83.23 MiB -1 -1 4.12 55588 5 1.66 -1 -1 42932 -1 -1 149 193 5 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 85224 193 205 2718 2652 1 1332 552 20 20 400 memory auto 45.8 MiB 1.29 10421 235904 85730 126610 23564 83.2 MiB 1.30 0.02 4.51877 -2546.56 -4.51877 4.51877 1.07 0.00365451 0.00316066 0.355002 0.307152 70 21547 47 2.07112e+07 1.07702e+07 1.91061e+06 4776.53 16.53 1.28063 1.14003 50878 398303 -1 18601 27 5203 13861 2099937 567638 5.29174 5.29174 -3059.47 -5.29174 -15.9103 -0.360359 2.38830e+06 5970.76 0.71 0.66 0.29 -1 -1 0.71 0.266539 0.248322 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml or1200.v common 154.07 vpr 112.54 MiB -1 -1 3.35 66424 8 3.17 -1 -1 44760 -1 -1 197 385 2 1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 115244 385 362 4415 4299 1 2339 947 26 26 676 io auto 60.2 MiB 2.89 28988 521523 209405 290824 21294 97.3 MiB 3.58 0.04 8.42241 -8911.15 -8.42241 8.42241 1.90 0.00690316 0.00633374 0.750406 0.678248 112 56033 38 3.69863e+07 1.21091e+07 5.00714e+06 7407.01 130.40 4.44196 4.09271 106992 1111850 -1 49084 16 11578 40007 5288009 1017433 9.38843 9.38843 -10181.8 -9.38843 0 0 6.33320e+06 9368.63 1.92 1.30 0.83 -1 -1 1.92 0.479412 0.461578 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml raygentop.v common 30.67 vpr 85.10 MiB -1 -1 2.53 45276 3 0.64 -1 -1 40988 -1 -1 111 236 1 6 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 87144 236 305 3199 3011 1 1531 659 19 19 361 io auto 47.6 MiB 1.39 12224 264331 92913 159695 11723 85.1 MiB 1.28 0.02 4.40121 -2674.93 -4.40121 4.40121 0.96 0.00318628 0.00288552 0.34631 0.311379 80 25950 44 1.72706e+07 8.90623e+06 1.90610e+06 5280.05 19.35 2.13825 1.95394 48118 405907 -1 22961 18 6262 17295 2780033 621184 4.77789 4.77789 -3156.13 -4.77789 -1.83131 -0.196402 2.39503e+06 6634.44 0.68 0.62 0.30 -1 -1 0.68 0.24386 0.231537 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml sha.v common 17.48 vpr 82.26 MiB -1 -1 1.70 47948 3 1.20 -1 -1 43316 -1 -1 115 38 0 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 84236 38 36 2739 2488 1 1004 189 15 15 225 clb auto 45.2 MiB 1.14 8356 37045 9649 24758 2638 82.3 MiB 0.55 0.01 9.28401 -2528.93 -9.28401 9.28401 0.56 0.00175184 0.00144902 0.173924 0.145902 70 18776 49 1.03862e+07 6.19781e+06 1.04071e+06 4625.39 9.14 1.24178 1.07119 28212 215244 -1 15278 27 5167 14875 913664 202424 11.1228 11.1228 -3189.18 -11.1228 0 0 1.29999e+06 5777.74 0.38 0.35 0.14 -1 -1 0.38 0.209582 0.192104 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml spree.v common 11.99 vpr 73.34 MiB -1 -1 2.03 35788 16 0.48 -1 -1 38724 -1 -1 46 45 3 1 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 75100 45 32 1192 1151 1 782 127 14 14 196 memory auto 35.7 MiB 0.77 6226 27880 8859 15719 3302 73.3 MiB 0.36 0.00 9.20301 -5956.36 -9.20301 9.20301 0.47 0.00111759 0.000917258 0.129181 0.108048 88 13652 32 9.20055e+06 4.51912e+06 1.07466e+06 5482.98 5.14 0.554105 0.478437 26584 224627 -1 12292 13 3673 10311 2455365 598014 10.5235 10.5235 -7231.16 -10.5235 -14.7391 -0.317384 1.34088e+06 6841.21 0.42 0.47 0.17 -1 -1 0.42 0.10313 0.0972234 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision0.v common 72.70 vpr 233.89 MiB -1 -1 6.73 102884 5 4.96 -1 -1 70160 -1 -1 682 169 0 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 239500 169 197 23225 21365 1 6678 1048 33 33 1089 clb auto 176.4 MiB 7.14 40853 549111 176435 350699 21977 210.6 MiB 4.93 0.05 3.08163 -12979.4 -3.08163 3.08163 3.21 0.0144561 0.0118314 1.51886 1.26053 66 68359 29 6.0475e+07 3.67558e+07 5.30978e+06 4875.83 29.88 7.52762 6.45734 139966 1102889 -1 63046 18 17522 29961 1904789 430843 4.01414 4.01414 -15376.1 -4.01414 0 0 6.51388e+06 5981.53 2.19 1.26 0.69 -1 -1 2.19 1.14384 1.06893 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision1.v common 106.32 vpr 277.75 MiB -1 -1 5.67 125212 3 7.51 -1 -1 77896 -1 -1 650 115 0 40 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 284416 115 145 22864 19301 1 9740 950 40 40 1600 mult_36 auto 173.1 MiB 6.83 79459 505094 163866 316078 25150 211.3 MiB 6.13 0.07 5.4916 -21966.6 -5.4916 5.4916 5.36 0.0120955 0.0100814 1.64412 1.38057 98 135498 25 9.16046e+07 5.08717e+07 1.08598e+07 6787.37 50.76 6.70421 5.8006 240780 2401780 -1 120755 16 32923 51866 21019041 4322088 5.75602 5.75602 -25929.5 -5.75602 0 0 1.36941e+07 8558.84 4.59 4.18 1.69 -1 -1 4.59 1.13229 1.0594 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision2.v common 435.72 vpr 1.07 GiB -1 -1 8.47 198472 3 4.65 -1 -1 155908 -1 -1 1500 149 0 179 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 1120368 149 182 55415 37074 1 28661 2010 80 80 6400 mult_36 auto 356.6 MiB 18.61 288964 1701130 572744 1062078 66308 1094.1 MiB 30.13 0.23 12.2118 -49442.5 -12.2118 12.2118 77.26 0.032975 0.0275799 5.18024 4.47614 94 427916 46 3.90281e+08 1.51724e+08 4.36043e+07 6813.17 210.91 22.444 19.7811 963340 9615902 -1 403969 19 110729 132979 60616727 12419672 13.8032 13.8032 -59813.2 -13.8032 0 0 5.46467e+07 8538.54 21.96 13.00 6.92 -1 -1 21.96 2.69993 2.50975 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision3.v common 2.16 vpr 65.91 MiB -1 -1 0.49 26296 4 0.14 -1 -1 36304 -1 -1 13 11 0 0 success v8.0.0-10476-g8192a19e5-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.5.0-41-generic x86_64 2024-06-20T15:31:36 amir-virtual-machine /home/amir/Projects/vtr-yosys42/vtr-verilog-to-routing/vtr_flow/scripts 67496 11 2 303 283 2 70 26 7 7 49 clb auto 27.5 MiB 0.13 214 1964 572 1226 166 65.9 MiB 0.03 0.00 1.86682 -151.278 -1.86682 1.77432 0.06 0.00023271 0.000187802 0.0145243 0.0116567 28 568 19 1.07788e+06 700622 79600.7 1624.51 0.31 0.0757083 0.0623371 3864 14328 -1 429 11 257 502 15631 6445 2.07763 1.88327 -173.551 -2.07763 0 0 95067.4 1940.15 0.02 0.02 0.01 -1 -1 0.02 0.0157527 0.0148059 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml arm_core.v common 272.13 vpr 397.96 MiB -1 -1 32.96 123064 20 36.18 -1 -1 67296 -1 -1 706 133 25 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 407508 133 179 14228 14085 1 6865 1043 36 36 1296 memory auto 119.9 MiB 41.49 113115 540317 171570 344396 24351 183.9 MiB 14.47 0.13 22.314 -198927 -22.314 22.314 1.70 0.0418948 0.0367627 4.79854 4.0844 -1 -1 -1 -1 156 203272 35 7.21828e+07 5.17498e+07 1.30096e+07 10038.2 120.40 26.3806 21.865 241286 2974498 -1 185425 15 32846 137173 15074739 2868425 23.7277 23.7277 -210812 -23.7277 -1.25989 -0.217304 1.63916e+07 12647.9 0.80 5.83 2.96 -1 -1 0.80 2.23013 1.95328 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml bgm.v common 510.94 vpr 743.40 MiB -1 -1 66.42 634728 14 65.61 -1 -1 121944 -1 -1 2335 257 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 761240 257 32 36080 33722 1 18539 2635 58 58 3364 clb auto 289.8 MiB 50.49 234991 1785451 618317 1139016 28118 743.4 MiB 74.16 0.76 19.2037 -25734.3 -19.2037 19.2037 18.14 0.141953 0.128448 12.8262 10.7681 -1 -1 -1 -1 112 484046 49 2.00088e+08 1.30202e+08 2.63593e+07 7835.69 164.72 54.186 44.8107 544662 5943029 -1 446350 21 110873 534047 37554703 7053603 18.9079 18.9079 -26296.6 -18.9079 0 0 3.33056e+07 9900.58 1.48 16.35 5.00 -1 -1 1.48 6.35831 5.49865 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml blob_merge.v common 117.77 parmys 255.57 MiB -1 -1 15.51 261700 5 3.85 -1 -1 55028 -1 -1 461 36 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 155548 36 100 10178 7632 1 3011 597 27 27 729 clb auto 87.0 MiB 22.34 41118 211605 60833 135826 14946 137.0 MiB 4.79 0.05 15.5647 -2395.22 -15.5647 15.5647 0.91 0.0205292 0.0185604 1.96678 1.71665 -1 -1 -1 -1 96 87695 36 3.93038e+07 2.48451e+07 4.75720e+06 6525.65 56.47 10.8263 9.08412 107434 1029513 -1 78368 19 14803 74288 5498074 1052219 15.3076 15.3076 -2653.17 -15.3076 0 0 5.91879e+06 8119.05 0.22 2.34 0.89 -1 -1 0.22 1.14084 0.998752 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml boundtop.v common 24.59 vpr 70.31 MiB -1 -1 18.44 46240 3 0.71 -1 -1 35472 -1 -1 50 196 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71996 196 193 1201 1346 1 599 440 15 15 225 io auto 30.7 MiB 0.62 3255 144232 37673 93407 13152 70.3 MiB 0.73 0.01 2.24571 -1083.95 -2.24571 2.24571 0.25 0.00365381 0.0033777 0.330748 0.307101 -1 -1 -1 -1 38 7357 20 1.03862e+07 3.2427e+06 592984. 2635.49 1.48 0.927568 0.852479 23508 123188 -1 6186 14 1952 3264 265240 74646 2.80665 2.80665 -1267.23 -2.80665 -0.195514 -0.148869 749023. 3328.99 0.03 0.20 0.11 -1 -1 0.03 0.131992 0.122911 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml ch_intrinsics.v common 3.60 vpr 65.55 MiB -1 -1 0.46 18684 3 0.09 -1 -1 33124 -1 -1 65 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67128 99 130 344 474 1 221 295 12 12 144 clb auto 26.4 MiB 0.18 671 67003 22040 31572 13391 65.6 MiB 0.23 0.00 1.70679 -118.58 -1.70679 1.70679 0.15 0.00128798 0.00122157 0.0920986 0.0872414 -1 -1 -1 -1 40 1687 13 5.66058e+06 4.05111e+06 360333. 2502.31 1.33 0.524723 0.479875 13996 72372 -1 1404 9 456 761 43122 14178 1.96886 1.96886 -142.175 -1.96886 -1.01909 -0.298787 451838. 3137.76 0.02 0.05 0.07 -1 -1 0.02 0.0320212 0.0297956 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml diffeq1.v common 7.88 vpr 68.27 MiB -1 -1 0.57 22396 5 0.17 -1 -1 34396 -1 -1 30 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69904 162 96 1075 892 1 662 293 16 16 256 mult_36 auto 29.3 MiB 0.43 5319 96521 36377 53199 6945 68.3 MiB 0.73 0.01 16.0148 -1253.06 -16.0148 16.0148 0.28 0.00323485 0.00304708 0.33505 0.315694 -1 -1 -1 -1 62 11080 41 1.21132e+07 3.59682e+06 1.04918e+06 4098.38 3.36 1.17074 1.08213 30184 211102 -1 9505 35 3532 6315 1245199 441748 17.2731 17.2731 -1428.9 -17.2731 0 0 1.29183e+06 5046.22 0.04 0.56 0.18 -1 -1 0.04 0.231206 0.21376 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml diffeq2.v common 11.08 vpr 67.59 MiB -1 -1 0.43 21344 5 0.12 -1 -1 33512 -1 -1 19 66 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69208 66 96 778 595 1 451 186 16 16 256 mult_36 auto 28.1 MiB 0.46 3609 40996 12941 22935 5120 67.6 MiB 0.38 0.01 12.0202 -752.738 -12.0202 12.0202 0.28 0.00224041 0.00211061 0.188217 0.17801 -1 -1 -1 -1 58 9078 30 1.21132e+07 3.00399e+06 979172. 3824.89 7.34 1.17562 1.07726 29672 201403 -1 7633 22 3590 7498 1383275 411378 12.9507 12.9507 -860.364 -12.9507 0 0 1.24033e+06 4845.06 0.04 0.38 0.17 -1 -1 0.04 0.116963 0.108377 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml LU8PEEng.v common 519.16 vpr 710.95 MiB -1 -1 77.57 453104 97 74.79 -1 -1 112748 -1 -1 1850 114 45 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 728008 114 102 35834 31925 1 16573 2119 52 52 2704 clb auto 268.9 MiB 47.41 218958 1525879 545450 950906 29523 675.9 MiB 56.29 0.50 70.9049 -52523.1 -70.9049 70.9049 14.14 0.105743 0.0866134 12.4613 10.4235 -1 -1 -1 -1 128 391010 33 1.58905e+08 1.27535e+08 2.35157e+07 8696.64 186.95 62.1075 50.8394 461416 5324585 -1 368373 23 73423 308995 25011074 5030906 72.3913 72.3913 -62521.8 -72.3913 -37.209 -0.298787 2.96277e+07 10957.0 1.64 13.61 5.12 -1 -1 1.64 6.69617 5.73694 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml LU32PEEng.v common 3375.05 vpr 2.62 GiB -1 -1 237.05 1496028 97 836.59 -1 -1 354864 -1 -1 6358 114 168 32 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2742744 114 102 120350 108159 1 56977 6774 95 95 9025 clb auto 865.3 MiB 171.87 1025494 7951082 3201300 4698157 51625 2078.1 MiB 457.26 3.06 69.9009 -332248 -69.9009 69.9009 52.63 0.375006 0.3004 49.2299 40.1468 -1 -1 -1 -1 172 1602369 38 5.4965e+08 4.47361e+08 1.05397e+08 11678.3 1403.89 262.327 211.503 1823388 24694008 -1 1530934 22 235900 1073299 95904890 17973049 71.2602 71.2602 -428691 -71.2602 -59.4289 -0.172573 1.32942e+08 14730.4 6.35 46.75 26.71 -1 -1 6.35 21.4842 18.1907 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mcml.v common 6466.90 vpr 2.24 GiB -1 -1 291.01 1243820 25 2913.92 -1 -1 369216 -1 -1 6488 36 159 27 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2349588 36 356 185159 159806 1 62553 7066 95 95 9025 clb auto 1054.1 MiB 212.60 778797 9698891 3794504 5724893 179494 2237.4 MiB 686.98 3.97 50.9491 -293575 -50.9491 50.9491 56.14 0.369745 0.304858 56.5814 47.2923 -1 -1 -1 -1 134 1139616 41 5.4965e+08 4.47458e+08 8.37216e+07 9276.63 2099.36 226.849 184.285 1597788 19308376 -1 1064725 21 260046 657706 58554865 11500154 50.9947 50.9947 -343805 -50.9947 0 0 1.06594e+08 11810.9 5.26 35.17 19.89 -1 -1 5.26 19.5295 16.6802 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkDelayWorker32B.v common 71.82 vpr 433.22 MiB -1 -1 17.44 118920 5 3.29 -1 -1 44652 -1 -1 474 506 44 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 443620 506 553 3236 3734 1 2863 1577 50 50 2500 memory auto 51.2 MiB 6.32 15318 1203502 603066 406826 193610 433.2 MiB 5.89 0.07 8.39342 -2002.59 -8.39342 8.39342 13.26 0.0232264 0.0209999 3.14992 2.82984 -1 -1 -1 -1 38 23622 16 1.47946e+08 4.96584e+07 7.51727e+06 3006.91 12.92 8.63991 7.84596 284136 1605944 -1 22689 16 4344 5669 1146961 299735 8.55694 8.55694 -2372.25 -8.55694 -6.70546 -0.293253 9.46795e+06 3787.18 0.46 1.13 1.34 -1 -1 0.46 0.918185 0.849189 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkPktMerge.v common 10.47 vpr 74.80 MiB -1 -1 1.61 25512 2 0.13 -1 -1 34088 -1 -1 32 311 15 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76592 311 156 1015 1158 1 965 514 28 28 784 memory auto 31.2 MiB 0.57 8792 202198 74159 117550 10489 74.8 MiB 0.63 0.01 4.54346 -4331.36 -4.54346 4.54346 0.77 0.00255268 0.00228099 0.264459 0.235412 -1 -1 -1 -1 36 14907 18 4.25198e+07 9.94461e+06 2.12999e+06 2716.82 3.53 1.4823 1.31618 83724 436647 -1 13881 12 2918 3362 736176 217025 4.54012 4.54012 -5056.42 -4.54012 -19.79 -0.360359 2.61523e+06 3335.75 0.12 0.37 0.37 -1 -1 0.12 0.193652 0.175921 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 27.67 vpr 81.39 MiB -1 -1 8.40 52340 5 1.67 -1 -1 39184 -1 -1 153 193 5 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83348 193 205 2718 2652 1 1312 556 20 20 400 memory auto 40.5 MiB 1.63 10418 229056 84448 119534 25074 81.4 MiB 1.94 0.02 4.83454 -2775.8 -4.83454 4.83454 0.46 0.00747105 0.00675587 0.803493 0.724427 -1 -1 -1 -1 70 21360 50 2.07112e+07 1.09858e+07 1.91061e+06 4776.53 9.06 2.90045 2.57962 50878 398303 -1 18326 19 5117 13726 1090691 249377 5.12414 5.12414 -2964.88 -5.12414 -9.06217 -0.29768 2.38830e+06 5970.76 0.08 0.60 0.34 -1 -1 0.08 0.364174 0.332881 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml or1200.v common 59.32 vpr 146.60 MiB -1 -1 6.80 62912 8 3.09 -1 -1 40812 -1 -1 204 385 2 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 150116 385 362 4415 4299 1 2326 954 26 26 676 io auto 51.4 MiB 3.83 30125 531376 214642 295474 21260 95.4 MiB 5.55 0.06 8.97942 -9890.27 -8.97942 8.97942 0.83 0.0158337 0.0147615 1.8938 1.73964 -1 -1 -1 -1 114 54501 40 3.69863e+07 1.24864e+07 5.08094e+06 7516.19 31.17 8.59193 7.86741 107668 1126034 -1 49619 16 11645 40890 3693110 712663 9.15851 9.15851 -10214.8 -9.15851 0 0 6.39786e+06 9464.29 0.24 1.46 1.02 -1 -1 0.24 0.68108 0.635331 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml raygentop.v common 25.96 vpr 82.90 MiB -1 -1 4.81 42388 3 0.69 -1 -1 37636 -1 -1 119 236 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84888 236 305 3199 3011 1 1524 667 19 19 361 io auto 42.1 MiB 2.05 12644 286141 98277 171517 16347 82.9 MiB 2.21 0.03 4.5478 -2822.52 -4.5478 4.5478 0.42 0.00865554 0.00800574 0.905484 0.832826 -1 -1 -1 -1 80 25223 29 1.72706e+07 9.33739e+06 1.90610e+06 5280.05 11.52 3.79468 3.45284 48118 405907 -1 22830 19 6383 17788 1956842 481346 4.7575 4.7575 -3031.05 -4.7575 -1.54347 -0.0248686 2.39503e+06 6634.44 0.08 0.78 0.34 -1 -1 0.08 0.409568 0.378879 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml sha.v common 18.13 vpr 80.55 MiB -1 -1 3.45 44440 3 1.25 -1 -1 39768 -1 -1 121 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82488 38 36 2739 2488 1 984 195 15 15 225 clb auto 39.8 MiB 1.29 8617 34660 8559 23743 2358 80.6 MiB 0.86 0.01 10.0462 -2585.64 -10.0462 10.0462 0.25 0.00542947 0.00480964 0.376876 0.332966 -1 -1 -1 -1 74 16935 26 1.03862e+07 6.52117e+06 1.08075e+06 4803.35 7.46 2.89069 2.46786 28660 223850 -1 14828 21 4483 11946 702111 162195 10.9549 10.9549 -2995.04 -10.9549 0 0 1.34921e+06 5996.48 0.04 0.49 0.19 -1 -1 0.04 0.304457 0.269973 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml spree.v common 13.39 vpr 72.00 MiB -1 -1 3.85 32544 16 0.46 -1 -1 34772 -1 -1 51 45 3 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73724 45 32 1192 1151 1 754 132 14 14 196 memory auto 32.3 MiB 1.29 6107 27267 7807 16036 3424 72.0 MiB 0.61 0.01 9.97871 -6783.28 -9.97871 9.97871 0.21 0.00357032 0.00306719 0.312783 0.276386 -1 -1 -1 -1 82 13592 37 9.20055e+06 4.78859e+06 1.00127e+06 5108.54 4.38 1.29789 1.12627 25804 210321 -1 11862 13 3451 10414 931367 225522 10.3557 10.3557 -7116.46 -10.3557 -31.3027 -0.291039 1.25485e+06 6402.29 0.04 0.32 0.18 -1 -1 0.04 0.147208 0.133753 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision0.v common 71.25 vpr 232.06 MiB -1 -1 13.84 99732 5 5.91 -1 -1 66224 -1 -1 683 169 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 237628 169 197 23225 21365 1 6228 1049 33 33 1089 clb auto 145.1 MiB 8.78 39077 555161 184104 348094 22963 204.6 MiB 7.06 0.07 3.40795 -14164.9 -3.40795 3.40795 1.21 0.0300798 0.025615 3.1673 2.69001 -1 -1 -1 -1 62 66511 37 6.0475e+07 3.68097e+07 4.96186e+06 4556.35 18.00 12.1219 10.1215 136702 1027405 -1 58701 14 16735 29853 1708107 404784 3.86533 3.86533 -15733.8 -3.86533 0 0 6.10319e+06 5604.39 0.24 1.75 0.82 -1 -1 0.24 1.48602 1.32181 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision1.v common 161.44 vpr 284.95 MiB -1 -1 11.10 122472 3 10.03 -1 -1 73980 -1 -1 735 115 0 40 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 291788 115 145 22864 19301 1 9598 1035 40 40 1600 mult_36 auto 143.0 MiB 8.97 82595 545267 173911 346139 25217 218.4 MiB 7.65 0.07 5.87645 -23504 -5.87645 5.87645 2.13 0.0172846 0.0150048 2.60308 2.21352 -1 -1 -1 -1 90 140847 35 9.16046e+07 5.54529e+07 1.01064e+07 6316.51 99.93 17.3403 14.5121 231184 2180983 -1 126035 16 32563 55258 9268189 2118021 5.97657 5.97657 -26242 -5.97657 0 0 1.25697e+07 7856.08 0.53 3.38 1.90 -1 -1 0.53 1.62332 1.44597 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision2.v common 399.39 vpr 1.18 GiB -1 -1 14.77 195404 3 4.42 -1 -1 152116 -1 -1 1699 149 0 179 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1238432 149 182 55415 37074 1 28457 2209 80 80 6400 mult_36 auto 291.4 MiB 30.42 282130 2015409 700203 1241042 74164 1209.4 MiB 50.25 0.38 13.0924 -51849.3 -13.0924 13.0924 35.54 0.0801169 0.0709152 12.035 10.4119 -1 -1 -1 -1 98 402851 42 3.90281e+08 1.62448e+08 4.51112e+07 7048.62 201.61 57.5437 48.7486 982540 10083220 -1 377967 20 98609 121118 18647821 4175431 13.5095 13.5095 -57446.5 -13.5095 0 0 5.68725e+07 8886.33 2.78 8.88 9.38 -1 -1 2.78 4.65647 4.05835 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision3.v common 2.77 vpr 65.82 MiB -1 -1 0.95 22856 4 0.13 -1 -1 32576 -1 -1 13 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67400 11 2 303 283 2 70 26 7 7 49 clb auto 26.2 MiB 0.11 226 786 179 539 68 65.8 MiB 0.04 0.00 2.04209 -156.405 -2.04209 1.90335 0.04 0.000811437 0.000734582 0.0207311 0.0191518 -1 -1 -1 -1 26 591 13 1.07788e+06 700622 75813.7 1547.22 0.37 0.188328 0.159692 3816 13734 -1 488 13 265 523 16601 6739 2.12916 1.93187 -166.884 -2.12916 0 0 91376.6 1864.83 0.00 0.04 0.01 -1 -1 0.00 0.0283251 0.0252471 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_predictor_off/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_predictor_off/config/golden_results.txt index 7fc0b70f933..cf218b6f42d 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_predictor_off/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3/vtr_reg_qor_chain_predictor_off/config/golden_results.txt @@ -1,20 +1,20 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_frac_chain_mem32K_40nm.xml arm_core.v common 324.81 vpr 256.13 MiB -1 -1 25.08 126476 20 45.16 -1 -1 70516 -1 -1 852 133 25 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 262276 133 179 14228 14085 1 7083 1189 37 37 1369 clb auto 125.4 MiB 43.09 117836 599309 196739 380552 22018 183.8 MiB 12.23 0.12 23.1215 -208573 -23.1215 23.1215 4.06 0.0229932 0.0189934 2.55961 2.1197 -1 -1 -1 -1 106 179556 26 7.54166e+07 5.96187e+07 9.14078e+06 6676.98 171.07 11.7681 9.84887 197548 1947000 -1 164690 15 31392 123620 10307277 1812580 24.0339 24.0339 -215080 -24.0339 0 0 1.15824e+07 8460.47 3.80 3.36 1.97 -1 -1 3.80 1.57347 1.43291 -k6_frac_N10_frac_chain_mem32K_40nm.xml bgm.v common 509.69 vpr 746.12 MiB -1 -1 51.37 650124 14 72.14 -1 -1 123724 -1 -1 2715 257 0 11 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 764024 257 32 36080 33722 1 19553 3015 63 63 3969 clb auto 301.6 MiB 92.59 246763 2158443 772925 1359244 26274 746.1 MiB 59.23 0.53 19.4596 -25520.8 -19.4596 19.4596 43.69 0.0991431 0.0880274 11.4343 9.85355 -1 -1 -1 -1 74 397392 33 2.36641e+08 1.5068e+08 2.02178e+07 5093.92 116.44 33.3094 28.6876 502298 4195434 -1 378911 20 104646 475755 22175267 3259151 19.4991 19.4991 -26097.4 -19.4991 0 0 2.53694e+07 6391.88 8.94 10.08 4.11 -1 -1 8.94 4.89274 4.39544 -k6_frac_N10_frac_chain_mem32K_40nm.xml blob_merge.v common 88.72 parmys 272.51 MiB -1 -1 13.84 279048 5 4.67 -1 -1 58908 -1 -1 487 36 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 142748 36 100 10178 7632 1 2732 623 28 28 784 clb auto 91.0 MiB 21.95 41184 242592 73081 153746 15765 138.0 MiB 4.80 0.05 14.9875 -2383.56 -14.9875 14.9875 2.21 0.0129487 0.0110609 1.43682 1.24098 -1 -1 -1 -1 72 69983 32 4.25198e+07 2.62464e+07 3.68518e+06 4700.49 26.77 5.08409 4.38321 95106 749155 -1 63097 16 12536 64454 2770164 398593 15.2548 15.2548 -2604.77 -15.2548 0 0 4.61751e+06 5889.69 1.59 1.74 0.73 -1 -1 1.59 0.990711 0.917468 -k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 21.87 vpr 71.30 MiB -1 -1 14.91 49412 3 0.81 -1 -1 39432 -1 -1 44 196 1 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 73016 196 193 1201 1346 1 607 434 15 15 225 io auto 32.4 MiB 0.82 2881 141646 37557 91040 13049 71.3 MiB 0.48 0.01 2.23678 -1115.4 -2.23678 2.23678 0.53 0.00158296 0.00138302 0.152199 0.134459 -1 -1 -1 -1 54 5510 24 1.03862e+07 2.91934e+06 739051. 3284.67 1.73 0.5956 0.537331 23798 149426 -1 4937 10 1403 2096 114005 28759 2.55976 2.55976 -1206.25 -2.55976 0 0 960420. 4268.53 0.28 0.09 0.14 -1 -1 0.28 0.0735321 0.0698142 -k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 3.55 vpr 66.57 MiB -1 -1 0.43 22092 3 0.09 -1 -1 37168 -1 -1 68 99 1 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 68164 99 130 344 474 1 227 298 12 12 144 clb auto 27.7 MiB 0.27 552 74923 20922 40083 13918 66.6 MiB 0.18 0.00 1.839 -120.424 -1.839 1.839 0.34 0.000489282 0.000433246 0.0407589 0.0362824 -1 -1 -1 -1 48 1164 17 5.66058e+06 4.21279e+06 394078. 2736.65 0.80 0.164534 0.149196 13662 75965 -1 1145 11 414 679 32589 9455 1.91109 1.91109 -137.23 -1.91109 0 0 503203. 3494.47 0.15 0.03 0.08 -1 -1 0.15 0.0208013 0.0195976 -k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 8.83 vpr 69.90 MiB -1 -1 0.64 25432 5 0.19 -1 -1 37896 -1 -1 32 162 0 5 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 71576 162 96 1075 892 1 666 295 16 16 256 mult_36 auto 30.9 MiB 0.46 5055 92509 33442 52071 6996 69.9 MiB 0.51 0.01 15.9193 -1240.37 -15.9193 15.9193 0.68 0.0015859 0.00140686 0.149834 0.132668 -1 -1 -1 -1 58 9876 32 1.21132e+07 3.70461e+06 904541. 3533.36 3.72 0.589142 0.532931 27572 180683 -1 8878 22 3192 5533 1083737 291539 17.1532 17.1532 -1343.36 -17.1532 0 0 1.15318e+06 4504.63 0.36 0.28 0.18 -1 -1 0.36 0.0947421 0.0880003 -k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 9.57 vpr 68.30 MiB -1 -1 0.32 24620 5 0.13 -1 -1 37276 -1 -1 22 66 0 5 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 69936 66 96 778 595 1 467 189 16 16 256 mult_36 auto 29.6 MiB 0.55 3573 45175 14938 25152 5085 68.3 MiB 0.29 0.00 12.2285 -757.263 -12.2285 12.2285 0.65 0.000995461 0.000883256 0.0922782 0.0822799 -1 -1 -1 -1 50 8523 27 1.21132e+07 3.16567e+06 780532. 3048.95 5.11 0.409745 0.372422 26044 153858 -1 7163 20 2809 5509 1198298 310403 12.8568 12.8568 -832.718 -12.8568 0 0 1.00276e+06 3917.05 0.32 0.30 0.16 -1 -1 0.32 0.0718146 0.0672527 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 496.51 vpr 606.34 MiB -1 -1 72.22 468060 97 78.25 -1 -1 116276 -1 -1 2136 114 45 8 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 620892 114 102 35834 31925 1 16958 2405 56 56 3136 clb auto 281.9 MiB 77.70 224009 1838489 687206 1122174 29109 592.9 MiB 49.97 0.44 73.2586 -54434.5 -73.2586 73.2586 35.07 0.106846 0.0950681 11.2949 9.47645 -1 -1 -1 -1 98 329588 26 1.8697e+08 1.42948e+08 2.01848e+07 6436.49 118.64 35.1763 29.5592 445422 4317135 -1 307706 23 65057 254480 13440564 2273392 73.6114 73.6114 -66011.6 -73.6114 0 0 2.55970e+07 8162.30 9.89 7.73 4.55 -1 -1 9.89 4.73122 4.20062 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 89.21 vpr 350.07 MiB -1 -1 14.46 122872 5 3.66 -1 -1 48824 -1 -1 476 506 44 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 358468 506 553 3236 3734 1 2872 1579 50 50 2500 memory auto 52.4 MiB 5.65 14901 1187218 580691 417492 189035 350.1 MiB 4.09 0.06 8.03883 -2150.62 -8.03883 8.03883 24.86 0.0144994 0.0130744 1.72128 1.53557 -1 -1 -1 -1 38 23357 13 1.47946e+08 4.97661e+07 6.86579e+06 2746.32 16.68 5.09572 4.66972 258216 1426232 -1 22374 13 4016 5175 1160600 269604 8.64853 8.64853 -2567.37 -8.64853 0 0 8.69102e+06 3476.41 3.61 0.88 1.28 -1 -1 3.61 0.659839 0.627228 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 16.51 vpr 73.62 MiB -1 -1 1.34 28964 2 0.14 -1 -1 38148 -1 -1 30 311 15 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 75384 311 156 1015 1158 1 965 512 28 28 784 memory auto 33.0 MiB 0.81 8349 207259 73816 122605 10838 73.5 MiB 0.91 0.01 4.5269 -4365.4 -4.5269 4.5269 2.44 0.0031902 0.00270961 0.342872 0.291936 -1 -1 -1 -1 36 15431 16 4.25198e+07 9.83682e+06 1.94918e+06 2486.20 5.12 1.17136 1.03587 76314 389223 -1 13730 14 2745 3128 742950 198312 4.95855 4.95855 -4919.44 -4.95855 0 0 2.40571e+06 3068.51 0.98 0.26 0.42 -1 -1 0.98 0.144488 0.133882 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 28.83 vpr 82.96 MiB -1 -1 6.82 56356 5 2.11 -1 -1 43248 -1 -1 168 193 5 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 84948 193 205 2718 2652 1 1369 571 20 20 400 memory auto 42.3 MiB 3.00 10575 249211 90019 133068 26124 83.0 MiB 1.86 0.03 5.53026 -2784.54 -5.53026 5.53026 1.17 0.00784051 0.00692952 0.538147 0.466394 -1 -1 -1 -1 50 19323 46 2.07112e+07 1.17942e+07 1.26946e+06 3173.65 9.03 1.8473 1.6227 41784 253636 -1 16442 15 4721 11413 624128 127185 5.79232 5.79232 -3051.63 -5.79232 0 0 1.63222e+06 4080.54 0.49 0.30 0.22 -1 -1 0.49 0.205107 0.189662 -k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 53.04 vpr 104.67 MiB -1 -1 5.55 66140 8 4.06 -1 -1 44600 -1 -1 244 385 2 1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 107184 385 362 4415 4299 1 2362 994 26 26 676 io auto 54.5 MiB 8.84 29183 556290 212335 319704 24251 97.6 MiB 4.88 0.06 9.18054 -9446.5 -9.18054 9.18054 1.98 0.0101161 0.00915198 1.06062 0.942321 -1 -1 -1 -1 92 44179 23 3.69863e+07 1.46421e+07 3.92083e+06 5800.04 18.24 4.38791 3.98119 91740 820014 -1 41506 20 9902 33047 1818146 309187 9.29877 9.29877 -10144.5 -9.29877 0 0 4.98093e+06 7368.25 1.69 1.20 0.81 -1 -1 1.69 0.656737 0.615698 -k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 22.71 vpr 84.69 MiB -1 -1 4.15 46188 3 0.77 -1 -1 41180 -1 -1 123 236 1 6 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 86724 236 305 3199 3011 1 1513 671 19 19 361 io auto 44.2 MiB 3.02 12095 282527 93676 174328 14523 84.7 MiB 1.80 0.02 4.7904 -2847.33 -4.7904 4.7904 1.01 0.00475692 0.00419108 0.487869 0.427259 -1 -1 -1 -1 64 23617 30 1.72706e+07 9.55296e+06 1.47376e+06 4082.44 7.08 1.72231 1.53573 41203 295207 -1 21366 18 6086 15313 1635446 361729 5.15058 5.15058 -3067.02 -5.15058 0 0 1.84179e+06 5101.91 0.54 0.52 0.28 -1 -1 0.54 0.260433 0.242527 -k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 16.88 vpr 82.59 MiB -1 -1 2.61 47840 3 1.63 -1 -1 43276 -1 -1 139 38 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 84572 38 36 2739 2488 1 1037 213 16 16 256 clb auto 41.7 MiB 2.01 9128 40218 10132 27089 2997 82.6 MiB 0.75 0.01 10.3799 -2787.19 -10.3799 10.3799 0.67 0.0031974 0.00263526 0.226806 0.186202 -1 -1 -1 -1 56 16068 50 1.21132e+07 7.49127e+06 870502. 3400.40 4.79 1.22753 1.02924 27064 172478 -1 13809 24 5138 11418 504076 89163 11.2466 11.2466 -3173.01 -11.2466 0 0 1.11200e+06 4343.75 0.37 0.56 0.18 -1 -1 0.37 0.351289 0.320843 -k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 16.29 vpr 73.24 MiB -1 -1 3.23 35696 16 0.58 -1 -1 39152 -1 -1 61 45 3 1 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 74996 45 32 1192 1151 1 777 142 14 14 196 memory auto 34.4 MiB 2.71 6770 27892 7763 16391 3738 73.2 MiB 0.45 0.01 10.6266 -7111.67 -10.6266 10.6266 0.50 0.00151583 0.00118772 0.156468 0.127234 -1 -1 -1 -1 66 13438 26 9.20055e+06 5.32753e+06 787562. 4018.17 5.61 0.667494 0.564625 22236 154735 -1 11272 15 3555 9222 855714 196445 11.011 11.011 -7492.44 -11.011 0 0 978561. 4992.66 0.32 0.38 0.18 -1 -1 0.32 0.17151 0.160227 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision0.v common 89.41 vpr 227.05 MiB -1 -1 12.23 103792 5 8.15 -1 -1 69768 -1 -1 705 169 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 232500 169 197 23225 21365 1 6465 1071 33 33 1089 clb auto 147.2 MiB 10.55 38502 581633 189279 364211 28143 205.0 MiB 7.73 0.08 3.48434 -13716.4 -3.48434 3.48434 3.44 0.029148 0.0255229 3.14458 2.71195 -1 -1 -1 -1 50 61758 38 6.0475e+07 3.79954e+07 3.66263e+06 3363.29 27.35 11.2456 9.67324 117303 744553 -1 54195 15 15816 25265 1003144 195297 4.08695 4.08695 -15188 -4.08695 0 0 4.71657e+06 4331.10 1.64 1.90 0.63 -1 -1 1.64 1.71176 1.5725 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision1.v common 135.04 vpr 266.34 MiB -1 -1 10.10 125444 3 13.31 -1 -1 77788 -1 -1 676 115 0 40 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 272732 115 145 22864 19301 1 9618 976 40 40 1600 mult_36 auto 144.7 MiB 9.48 78318 518749 168154 327137 23458 213.1 MiB 8.43 0.09 5.07351 -23104.7 -5.07351 5.07351 5.17 0.0207948 0.0174979 2.67051 2.26501 -1 -1 -1 -1 84 131724 41 9.16046e+07 5.2273e+07 8.77086e+06 5481.79 63.02 12.7516 10.9727 210428 1853892 -1 115201 16 33583 50208 8812164 1814028 5.17295 5.17295 -26324.8 -5.17295 0 0 1.11533e+07 6970.83 3.53 3.20 1.89 -1 -1 3.53 1.54081 1.4174 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision2.v common 398.05 vpr 1.00 GiB -1 -1 17.06 198672 3 6.49 -1 -1 156000 -1 -1 1500 149 0 179 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 1053036 149 182 55415 37074 1 28634 2010 80 80 6400 mult_36 auto 292.4 MiB 23.58 289611 1739170 612856 1060789 65525 1028.4 MiB 36.81 0.26 14.0186 -51623.9 -14.0186 14.0186 70.20 0.052047 0.0437678 8.407 7.16781 -1 -1 -1 -1 96 414554 40 3.90281e+08 1.51724e+08 4.11781e+07 6434.07 151.16 30.9254 26.7588 901880 8701757 -1 380833 19 98955 116799 16016094 3153201 14.607 14.607 -56017.4 -14.607 0 0 5.14892e+07 8045.19 21.03 10.13 10.35 -1 -1 21.03 5.43046 4.98055 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.48 vpr 65.96 MiB -1 -1 0.71 26472 4 0.15 -1 -1 36516 -1 -1 15 11 0 0 success v8.0.0-11451-g5181cb646 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.4.0-190-generic x86_64 2024-10-03T13:06:42 qlsof04.quicklogic.om /home/smahmoudi/Desktop/openfpga/OpenFPGA/vtr-verilog-to-routing/vtr_flow/tasks 67548 11 2 303 283 2 80 28 7 7 49 clb auto 27.5 MiB 0.23 257 1330 280 924 126 66.0 MiB 0.03 0.00 2.03512 -164.27 -2.03512 1.89824 0.07 0.000259772 0.000192209 0.0122172 0.009962 -1 -1 -1 -1 38 303 13 1.07788e+06 808410 91552.7 1868.42 0.22 0.0790984 0.0648563 3900 17126 -1 305 12 158 279 4674 1521 2.08112 1.97387 -171.184 -2.08112 0 0 117020. 2388.16 0.02 0.02 0.02 -1 -1 0.02 0.0175899 0.0160956 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_frac_chain_mem32K_40nm.xml arm_core.v common 220.60 vpr 258.80 MiB -1 -1 32.77 121676 20 41.63 -1 -1 67228 -1 -1 857 133 25 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 265012 133 179 14228 14085 1 7013 1194 37 37 1369 clb auto 123.2 MiB 52.98 117241 583646 194344 373759 15543 184.7 MiB 13.08 0.13 22.6552 -207062 -22.6552 22.6552 1.62 0.0407574 0.0356824 4.19626 3.54119 -1 -1 -1 -1 102 177496 34 7.54166e+07 5.98881e+07 8.84326e+06 6459.65 56.40 16.9397 14.0699 193444 1864326 -1 162723 14 31022 120994 9555365 1733447 24.3598 24.3598 -215921 -24.3598 0 0 1.10984e+07 8106.95 0.39 4.72 1.75 -1 -1 0.39 2.18738 1.88506 + k6_frac_N10_frac_chain_mem32K_40nm.xml bgm.v common 459.06 vpr 747.54 MiB -1 -1 66.92 634636 14 66.21 -1 -1 121812 -1 -1 2741 257 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 765484 257 32 36080 33722 1 19295 3041 63 63 3969 clb auto 299.9 MiB 91.07 246576 2183219 779788 1375542 27889 747.5 MiB 63.52 0.58 18.719 -25586.3 -18.719 18.719 16.30 0.0997124 0.0890543 11.1519 9.38394 -1 -1 -1 -1 70 390691 45 2.36641e+08 1.52081e+08 1.93981e+07 4887.41 92.61 39.2846 32.3608 494362 4028736 -1 366303 20 98427 446372 17944375 2776232 18.5784 18.5784 -26041.2 -18.5784 0 0 2.43753e+07 6141.41 1.21 11.43 3.66 -1 -1 1.21 5.85046 5.10781 + k6_frac_N10_frac_chain_mem32K_40nm.xml blob_merge.v common 78.97 parmys 261.77 MiB -1 -1 15.49 268052 5 3.27 -1 -1 55068 -1 -1 499 36 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 151748 36 100 10178 7632 1 2726 635 29 29 841 clb auto 89.3 MiB 20.97 41290 240699 69942 155074 15683 136.3 MiB 4.72 0.05 15.0315 -2426.67 -15.0315 15.0315 0.96 0.0200853 0.0181387 1.92947 1.71159 -1 -1 -1 -1 68 72348 36 4.4999e+07 2.68931e+07 3.78783e+06 4503.96 21.04 7.0819 6.06747 99460 760244 -1 61879 16 12452 65489 2491571 367156 14.9612 14.9612 -2605.63 -14.9612 0 0 4.70015e+06 5588.76 0.17 1.60 0.65 -1 -1 0.17 0.96287 0.854503 + k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 26.86 vpr 70.02 MiB -1 -1 18.23 46068 3 0.72 -1 -1 35452 -1 -1 48 196 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 71704 196 193 1201 1346 1 606 438 15 15 225 io auto 30.9 MiB 0.83 3085 145032 37661 93382 13989 70.0 MiB 0.74 0.01 2.25666 -1099.55 -2.25666 2.25666 0.23 0.00365063 0.00340349 0.337081 0.313383 -1 -1 -1 -1 40 5631 16 1.03862e+07 3.13491e+06 568276. 2525.67 3.82 1.44063 1.3189 21782 113316 -1 4895 13 1543 2324 133236 38778 2.51692 2.51692 -1174.27 -2.51692 0 0 712852. 3168.23 0.02 0.16 0.10 -1 -1 0.02 0.124338 0.115851 + k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 3.54 vpr 65.74 MiB -1 -1 0.46 18940 3 0.09 -1 -1 33148 -1 -1 68 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67316 99 130 344 474 1 227 298 12 12 144 clb auto 26.6 MiB 0.27 728 65968 18859 34313 12796 65.7 MiB 0.23 0.00 1.84343 -119.549 -1.84343 1.84343 0.14 0.00129356 0.00122501 0.0899037 0.0850148 -1 -1 -1 -1 38 1521 13 5.66058e+06 4.21279e+06 319126. 2216.15 1.20 0.526166 0.481346 12802 62767 -1 1190 8 347 541 19340 5772 2.02505 2.02505 -132.661 -2.02505 0 0 406307. 2821.58 0.01 0.04 0.06 -1 -1 0.01 0.0292785 0.0272629 + k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 17.74 vpr 68.76 MiB -1 -1 0.55 22212 5 0.17 -1 -1 34256 -1 -1 32 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70408 162 96 1075 892 1 665 295 16 16 256 mult_36 auto 29.5 MiB 0.46 5192 86623 30832 48552 7239 68.8 MiB 0.65 0.01 15.9204 -1248.52 -15.9204 15.9204 0.26 0.00323801 0.00305102 0.296153 0.278827 -1 -1 -1 -1 52 10572 36 1.21132e+07 3.70461e+06 805949. 3148.24 13.61 1.81362 1.666 26552 162987 -1 8855 19 3315 5650 841386 247320 17.1128 17.1128 -1334.38 -17.1128 0 0 1.06067e+06 4143.25 0.03 0.31 0.14 -1 -1 0.03 0.139605 0.129607 + k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 8.37 vpr 67.73 MiB -1 -1 0.43 21352 5 0.12 -1 -1 33516 -1 -1 21 66 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69356 66 96 778 595 1 467 188 16 16 256 mult_36 auto 28.1 MiB 0.60 3665 46994 16162 26226 4606 67.7 MiB 0.42 0.01 12.0063 -749.927 -12.0063 12.0063 0.26 0.00230616 0.00217938 0.211958 0.200407 -1 -1 -1 -1 66 8504 40 1.21132e+07 3.11177e+06 1035765. 4045.96 4.62 0.810993 0.747228 26044 153858 -1 7152 21 3778 7722 1367723 423082 13.0897 13.0897 -855.954 -13.0897 0 0 1.00276e+06 3917.05 0.03 0.37 0.14 -1 -1 0.03 0.111581 0.103372 + k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 1424.18 vpr 619.46 MiB -1 -1 76.20 454548 97 74.10 -1 -1 112760 -1 -1 2151 114 45 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 634332 114 102 35834 31925 1 16897 2420 56 56 3136 clb auto 278.6 MiB 75.33 232887 1837540 680686 1129201 27653 608.1 MiB 55.72 0.49 72.8941 -53639.2 -72.8941 72.8941 12.76 0.100317 0.0831972 12.1805 10.1888 -1 -1 -1 -1 90 347302 35 1.8697e+08 1.43756e+08 1.87445e+07 5977.21 1073.10 61.9545 50.6787 426610 3924124 -1 318054 23 68377 268167 14385228 2561497 73.8231 73.8231 -64614.2 -73.8231 0 0 2.34582e+07 7480.28 1.30 10.84 3.69 -1 -1 1.30 6.47983 5.55553 + k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 67.14 vpr 349.72 MiB -1 -1 17.52 118684 5 3.19 -1 -1 44700 -1 -1 482 506 44 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 358112 506 553 3236 3734 1 2871 1585 50 50 2500 memory auto 52.0 MiB 6.68 15936 1193158 577376 425225 190557 349.7 MiB 5.83 0.07 7.10685 -2128.82 -7.10685 7.10685 10.04 0.0231676 0.0209658 3.091 2.77392 -1 -1 -1 -1 38 22888 13 1.47946e+08 5.00895e+07 6.86579e+06 2746.32 11.67 8.40888 7.63323 258216 1426232 -1 22075 13 4031 5658 1086587 273830 7.72559 7.72559 -2477.01 -7.72559 0 0 8.69102e+06 3476.41 0.39 1.00 1.29 -1 -1 0.39 0.799737 0.740311 + k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 15.42 vpr 80.04 MiB -1 -1 1.42 26020 2 0.13 -1 -1 34232 -1 -1 32 311 15 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81964 311 156 1015 1158 1 965 514 28 28 784 memory auto 31.4 MiB 0.84 8982 206314 76497 119197 10620 72.3 MiB 1.22 0.02 4.5269 -4391.67 -4.5269 4.5269 0.93 0.00579142 0.00513528 0.604532 0.536129 -1 -1 -1 -1 40 14593 15 4.25198e+07 9.94461e+06 2.13295e+06 2720.61 7.26 2.55624 2.26242 78662 432578 -1 13692 13 2679 3112 717136 216657 4.28969 4.28969 -5035.02 -4.28969 0 0 2.67004e+06 3405.67 0.10 0.36 0.37 -1 -1 0.10 0.216825 0.197387 + k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 39.85 vpr 81.62 MiB -1 -1 8.43 52680 5 1.64 -1 -1 39440 -1 -1 170 193 5 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 83584 193 205 2718 2652 1 1367 573 20 20 400 memory auto 40.8 MiB 3.01 10921 238473 79955 132805 25713 81.6 MiB 1.97 0.03 4.92269 -2810.7 -4.92269 4.92269 0.43 0.00749299 0.00680289 0.815358 0.734533 -1 -1 -1 -1 46 19734 42 2.07112e+07 1.1902e+07 1.18195e+06 2954.87 20.16 3.52581 3.11318 40984 239309 -1 16952 15 5126 12547 615330 132325 5.17533 5.17533 -3082.01 -5.17533 0 0 1.52036e+06 3800.91 0.05 0.45 0.20 -1 -1 0.05 0.304205 0.277623 + k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 52.28 vpr 133.93 MiB -1 -1 6.89 62664 8 3.09 -1 -1 40824 -1 -1 250 385 2 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 137148 385 362 4415 4299 1 2365 1000 26 26 676 io auto 53.1 MiB 8.07 30144 550780 207283 320078 23419 96.1 MiB 5.72 0.07 9.01641 -9994.92 -9.01641 9.01641 0.76 0.0175005 0.0163837 2.00438 1.84436 -1 -1 -1 -1 106 42932 15 3.69863e+07 1.49655e+07 4.42570e+06 6546.89 20.29 8.10528 7.4405 97812 938682 -1 40817 16 8863 29554 1529165 270024 9.38639 9.38639 -10275 -9.38639 0 0 5.60562e+06 8292.34 0.21 1.07 0.90 -1 -1 0.21 0.671683 0.625212 + k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 20.40 vpr 83.15 MiB -1 -1 4.95 42652 3 0.69 -1 -1 37712 -1 -1 129 236 1 6 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85144 236 305 3199 3011 1 1520 677 19 19 361 io auto 42.3 MiB 2.96 12613 265096 95493 155775 13828 83.1 MiB 2.04 0.03 4.87079 -2867.74 -4.87079 4.87079 0.39 0.00874694 0.00809124 0.837199 0.769496 -1 -1 -1 -1 60 22507 29 1.72706e+07 9.87633e+06 1.37250e+06 3801.94 5.31 2.82995 2.58049 40123 275431 -1 20290 17 5897 15357 1368544 349388 4.89215 4.89215 -3057.94 -4.89215 0 0 1.72840e+06 4787.81 0.06 0.66 0.24 -1 -1 0.06 0.37961 0.351635 + k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 18.68 vpr 81.04 MiB -1 -1 3.48 45112 3 1.23 -1 -1 39672 -1 -1 141 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82988 38 36 2739 2488 1 1022 215 17 17 289 clb auto 40.1 MiB 1.97 8958 42653 11395 28389 2869 81.0 MiB 0.93 0.01 10.0306 -2595.46 -10.0306 10.0306 0.30 0.00563668 0.00499161 0.411349 0.362575 -1 -1 -1 -1 58 15080 45 1.34605e+07 7.59905e+06 1.03370e+06 3576.80 7.09 3.0793 2.62682 31195 207102 -1 12819 22 4248 9862 350375 63434 10.8941 10.8941 -2909.82 -10.8941 0 0 1.31783e+06 4559.95 0.04 0.45 0.18 -1 -1 0.04 0.322361 0.28551 + k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 14.62 vpr 72.09 MiB -1 -1 3.90 32592 16 0.46 -1 -1 34784 -1 -1 60 45 3 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73824 45 32 1192 1151 1 782 141 14 14 196 memory auto 32.6 MiB 2.64 6823 26859 7335 15944 3580 72.1 MiB 0.56 0.01 10.958 -7233.76 -10.958 10.958 0.20 0.00348886 0.00309362 0.282542 0.250806 -1 -1 -1 -1 66 13449 49 9.20055e+06 5.27364e+06 787562. 4018.17 4.34 1.29546 1.12707 22236 154735 -1 10952 14 3335 8795 693161 168301 11.3764 11.3764 -7707.18 -11.3764 0 0 978561. 4992.66 0.03 0.31 0.14 -1 -1 0.03 0.156586 0.142149 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision0.v common 70.08 vpr 230.16 MiB -1 -1 13.83 100176 5 5.66 -1 -1 66176 -1 -1 721 169 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 235688 169 197 23225 21365 1 6061 1087 34 34 1156 clb auto 145.2 MiB 11.37 38474 604375 204189 371506 28680 203.8 MiB 8.13 0.08 3.46077 -13892.2 -3.46077 3.46077 1.39 0.03105 0.0265371 3.68938 3.11547 -1 -1 -1 -1 48 59150 27 6.50233e+07 3.88578e+07 3.79520e+06 3283.05 12.78 10.4783 8.76719 124420 775892 -1 52898 13 15534 24900 864227 176456 3.4636 3.4636 -15245.2 -3.4636 0 0 4.86353e+06 4207.21 0.20 1.57 0.65 -1 -1 0.20 1.46742 1.31137 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision1.v common 290.27 vpr 265.57 MiB -1 -1 11.65 122540 3 9.69 -1 -1 74020 -1 -1 768 115 0 40 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 271948 115 145 22864 19301 1 9609 1068 40 40 1600 mult_36 auto 142.9 MiB 11.46 77552 595881 196750 373726 25405 212.8 MiB 10.18 0.11 5.13349 -22954.5 -5.13349 5.13349 1.96 0.0315004 0.027208 3.80196 3.23508 -1 -1 -1 -1 76 129415 35 9.16046e+07 5.72315e+07 8.06023e+06 5037.64 224.38 20.2923 16.9388 200832 1659634 -1 114258 16 31257 49053 7202028 1662365 5.39064 5.39064 -25594 -5.39064 0 0 1.00808e+07 6300.50 0.41 3.41 1.46 -1 -1 0.41 1.81066 1.60735 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision2.v common 283.85 vpr 1.02 GiB -1 -1 16.34 195452 3 5.92 -1 -1 152084 -1 -1 1699 149 0 179 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1072748 149 182 55415 37074 1 28414 2209 80 80 6400 mult_36 auto 290.2 MiB 30.79 283700 2029789 714222 1242257 73310 1047.6 MiB 50.81 0.36 13.4478 -51665.2 -13.4478 13.4478 27.71 0.0798307 0.0706002 12.5716 10.7877 -1 -1 -1 -1 90 390411 49 3.90281e+08 1.62448e+08 3.88106e+07 6064.16 94.93 41.9844 35.7412 876284 8162653 -1 372587 18 96713 115717 14180687 3026082 14.5611 14.5611 -57854.4 -14.5611 0 0 4.85641e+07 7588.14 2.99 7.91 7.71 -1 -1 2.99 4.41036 3.90021 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.78 vpr 65.62 MiB -1 -1 0.94 23248 4 0.13 -1 -1 32564 -1 -1 15 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67192 11 2 303 283 2 78 28 7 7 49 clb auto 26.0 MiB 0.21 280 994 173 731 90 65.6 MiB 0.04 0.00 2.0401 -164.361 -2.0401 1.90163 0.03 0.000802622 0.000736286 0.0231253 0.0212941 -1 -1 -1 -1 22 397 12 1.07788e+06 808410 57331.5 1170.03 0.32 0.150968 0.128977 3372 10412 -1 355 11 172 254 4023 1475 2.14906 1.91429 -169.055 -2.14906 0 0 72669.7 1483.05 0.00 0.02 0.01 -1 -1 0.00 0.0172996 0.0159743 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/complex_switch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/complex_switch/config/golden_results.txt index 26f35c0cb09..0f7c6adf9a1 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/complex_switch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/complex_switch/config/golden_results.txt @@ -1,15 +1,15 @@ -arch circuit script_params vtr_flow_elapsed_time error odin_synth_time max_odin_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_computation_time -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml bgm.v common 1007.56 61.80 380120 33 527.79 -1 -1 155856 -1 -1 5518 257 0 11 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 740824 257 32 44336 43851 1 42630 5818 80 80 6400 clb auto 12.21 380622 220.45 1.55 11.7092 -17497.4 -11.7092 11.7092 2.55 0.144862 0.12537 17.4904 15.2114 629302 264104 928789 157555407 31822408 3.53436e+07 2.2827e+07 7.29424e+07 11397.2 22 13.5217 13.5217 -20134.9 -13.5217 0 0 45.19 25.7888 22.6024 92.57 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml blob_merge.v common 173.12 1.10 63176 29 108.95 -1 -1 71316 -1 -1 1320 36 0 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 191492 36 100 10431 10531 1 9865 1456 41 41 1681 clb auto 1.99 86784 22.95 0.16 8.5404 -2112.82 -8.5404 8.5404 0.47 0.0301432 0.0276364 4.04612 3.56933 133541 32265 117599 16941686 3415266 8.95136e+06 5.148e+06 1.84779e+07 10992.2 17 9.80674 9.80674 -2510.99 -9.80674 0 0 5.59 5.6366 5.01098 20.62 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml boundtop.v common 5.68 1.71 47500 3 0.48 -1 -1 41592 -1 -1 95 114 0 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 48688 114 193 467 660 1 374 402 13 13 169 clb auto 0.06 924 0.58 0.01 0.986465 -136.23 -0.986465 0.986465 0.02 0.00103145 0.000965443 0.15685 0.14477 1391 652 1684 191231 53291 666600 370500 1.59550e+06 9440.85 10 1.24204 1.24204 -162.021 -1.24204 0 0 0.07 0.185245 0.171535 0.90 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml LU8PEEng.v common 754.34 53.97 218988 206 316.61 -1 -1 103528 -1 -1 4460 114 83 8 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 796396 114 102 38946 38672 1 36247 4767 86 86 7396 memory auto 11.71 361378 161.21 1.05 64.8355 -42040.9 -64.8355 64.8355 2.82 0.114274 0.102112 16.3456 14.0063 512938 127210 434360 149093030 54728319 4.18276e+07 2.52125e+07 8.44414e+07 11417.2 22 72.5688 72.5688 -74126.1 -72.5688 -91.783 -0.36083 62.77 23.6657 20.4208 103.26 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml mkDelayWorker32B.v common 132.24 2.33 70980 6 8.96 -1 -1 56172 -1 -1 556 506 80 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 568592 506 553 3331 3884 1 3325 1695 82 82 6724 memory auto 1.85 19665 8.00 0.05 5.41315 -1403.25 -5.41315 5.41315 2.41 0.0174197 0.0158973 3.90301 3.54119 22244 5019 6192 6593679 2064896 3.85878e+07 8.78824e+06 7.66484e+07 11399.2 22 5.80412 5.80412 -1685.69 -5.80412 -22.0148 -0.295672 2.56 4.86192 4.43996 84.17 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml mkSMAdapter4B.v common 29.64 0.65 30420 11 3.49 -1 -1 41004 -1 -1 290 193 10 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 127912 193 205 2764 2969 1 2139 698 37 37 1369 memory auto 0.51 15446 4.42 0.03 3.89872 -2235.27 -3.89872 3.89872 0.32 0.00590474 0.00534424 1.20788 1.0808 23806 7872 25612 4281475 1054152 7.45627e+06 1.95848e+06 1.49196e+07 10898.2 17 4.18699 4.18699 -2538.95 -4.18699 -21.4015 -0.375057 1.25 1.52081 1.37113 14.09 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml or1200.v common 32.90 1.07 41300 45 6.40 -1 -1 45596 -1 -1 537 385 4 1 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 92804 385 394 5238 5569 1 4569 1321 27 27 729 io clb auto 1.11 44444 9.30 0.08 12.7514 -9719.77 -12.7514 12.7514 0.15 0.0159747 0.0147929 2.05202 1.88151 64764 15911 59477 9238454 2259188 4.06709e+06 2.54409e+06 7.75339e+06 10635.7 18 13.7756 13.7756 -11602 -13.7756 0 0 3.06 2.89076 2.66235 7.23 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml raygentop.v common 17.99 0.91 33104 12 1.88 -1 -1 44080 -1 -1 238 214 0 9 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 77060 214 305 2927 3043 1 2181 766 26 26 676 mult_36 auto 0.54 16418 3.03 0.03 3.92422 -1914.18 -3.92422 3.92422 0.14 0.00656181 0.00608415 0.846401 0.77718 24730 7679 24738 7723180 2175690 3.88769e+06 1.9974e+06 7.17610e+06 10615.5 17 4.06116 4.06116 -2255.58 -4.06116 0 0 1.92 1.16466 1.07723 6.24 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml sha.v common 1056.11 1.67 39192 31 1031.42 -1 -1 100716 -1 -1 415 38 0 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 75364 38 36 4183 4219 1 3300 489 24 24 576 clb auto 0.77 25354 4.42 0.04 9.82213 -3175.08 -9.82213 9.82213 0.11 0.00968855 0.00863616 1.1447 1.02024 42061 13032 51882 6455399 1522523 2.72374e+06 1.6185e+06 6.03346e+06 10474.8 21 11.2064 11.2064 -3705.85 -11.2064 0 0 2.08 1.71248 1.5389 5.33 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml stereovision0.v common 184.76 4.38 126752 7 107.05 -1 -1 68844 -1 -1 1804 157 0 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 271352 157 197 22240 22437 1 13748 2158 47 47 2209 clb auto 2.96 84220 26.17 0.21 2.22026 -8656.91 -2.22026 2.22026 0.59 0.037802 0.0340611 4.73764 4.08936 117937 41975 124776 15267155 3638031 1.16296e+07 7.0356e+06 2.45588e+07 11117.6 20 2.72481 2.72481 -10955.7 -2.72481 0 0 5.44 6.87096 6.02615 24.11 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml stereovision1.v common 175.37 5.77 113240 10 58.42 -1 -1 82300 -1 -1 1727 113 0 44 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 414756 113 145 23279 21144 1 16131 2029 62 62 3844 mult_36 auto 3.45 135603 29.79 0.23 4.15694 -16481.2 -4.15694 4.15694 1.23 0.0416329 0.0377454 5.68248 5.00686 178625 53804 143259 49277285 13572124 2.17057e+07 1.19625e+07 4.33614e+07 11280.3 14 4.46736 4.46736 -20025.7 -4.46736 0 0 12.50 7.51511 6.69767 44.30 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml stereovision2.v common 1241.54 5.79 173160 26 378.79 -1 -1 192276 -1 -1 5847 149 0 324 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 2498204 149 182 65520 55100 1 55662 6502 166 166 27556 mult_36 auto 15.45 721467 233.85 1.48 13.2018 -50280.1 -13.2018 13.2018 15.46 0.193755 0.179179 26.8642 23.8392 869347 249438 647829 149956053 38940076 1.58074e+08 6.12945e+07 3.19849e+08 11607.2 22 14.654 14.654 -65353.5 -14.654 0 0 49.45 38.371 34.2708 431.65 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml LU32PEEng.v common 3706.42 386.10 734200 205 1515.98 -1 -1 302652 -1 -1 15736 114 299 32 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 2969444 114 102 136917 135515 1 128781 16283 169 169 28561 memory auto 43.66 1655811 967.80 5.86 64.0741 -218355 -64.0741 64.0741 14.97 0.492406 0.419883 67.1686 57.7487 2154289 413940 1453578 564216810 202871066 1.64515e+08 8.9937e+07 3.31299e+08 11599.7 23 72.8832 72.8832 -433622 -72.8832 -167.502 -0.303936 215.96 94.8038 81.9137 404.70 -k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml mcml.v common 10344.00 161.82 928172 119 8767.92 -1 -1 451996 -1 -1 12886 36 318 27 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 2934052 36 356 149309 148090 1 102868 13623 169 169 28561 memory auto 47.64 1306112 660.75 4.13 56.2791 -228686 -56.2791 56.2791 15.61 0.339155 0.289446 51.8499 44.2375 1254088 403135 1597976 260478491 64570437 1.64515e+08 7.97899e+07 3.31299e+08 11599.7 22 60.6003 60.6003 -331298 -60.6003 -2.30303 -0.226637 91.90 72.7285 62.7953 420.32 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml bgm.v common 820.31 vpr 818.98 MiB 22.94 379780 -1 -1 32 493.71 -1 -1 153968 -1 -1 5640 257 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 838636 257 32 45172 44687 1 43466 5940 82 82 6724 clb auto 274.6 MiB 11.17 384723 5705772 2258487 3417250 30035 819.0 MiB 186.67 1.36 13.3718 -18525.1 -13.3718 13.3718 0.03 0.110171 0.0987148 13.7144 11.3248 -1 -1 -1 -1 628874 14.4698 281218 6.47058 231943 834736 117799524 24198893 3.85878e+07 2.33028e+07 7.66484e+07 11399.2 22 1605176 15314284 -1 14.2738 14.2738 -20331.9 -14.2738 0 0 26.63 -1 -1 819.0 MiB 33.05 20.0089 16.6262 819.0 MiB -1 12.97 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml blob_merge.v common 117.17 vpr 229.33 MiB 0.80 62680 -1 -1 29 80.45 -1 -1 65976 -1 -1 1320 36 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 234836 36 100 10431 10531 1 9865 1456 41 41 1681 clb auto 79.6 MiB 1.19 88362 785111 276498 487029 21584 229.3 MiB 16.45 0.15 9.43813 -2197.84 -9.43813 9.43813 0.01 0.0259222 0.0233317 2.9831 2.58521 -1 -1 -1 -1 133197 13.5542 61268 6.23466 32071 117257 15958817 3230932 8.95136e+06 5.148e+06 1.84779e+07 10992.2 21 392750 3677203 -1 10.0034 10.0034 -2460.5 -10.0034 0 0 3.43 -1 -1 229.3 MiB 5.22 4.39364 3.77499 229.3 MiB -1 2.19 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml boundtop.v common 5.40 vpr 61.29 MiB 1.00 47020 -1 -1 3 0.73 -1 -1 36304 -1 -1 95 114 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 62760 114 193 467 660 1 374 402 13 13 169 clb auto 21.9 MiB 0.06 1193 108661 27266 63342 18053 61.3 MiB 0.37 0.01 1.10401 -151.69 -1.10401 1.10401 0.00 0.00182454 0.00174338 0.138612 0.132129 -1 -1 -1 -1 1521 5.00329 884 2.90789 657 1683 162740 47312 666600 370500 1.59550e+06 9440.85 9 36068 312958 -1 1.24724 1.24724 -173.659 -1.24724 0 0 0.55 -1 -1 61.3 MiB 0.08 0.179464 0.170174 61.3 MiB -1 0.11 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml LU8PEEng.v common 506.61 vpr 842.76 MiB 20.07 218248 -1 -1 205 253.10 -1 -1 98392 -1 -1 4474 114 83 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 862984 114 102 39084 38810 1 36384 4781 86 86 7396 memory auto 238.4 MiB 9.88 361049 4634566 1890525 2716324 27717 842.8 MiB 146.76 1.04 68.2431 -40357.4 -68.2431 68.2431 0.03 0.107175 0.0950378 13.9315 11.6831 -1 -1 -1 -1 507367 13.9574 234918 6.46249 124132 430850 54994339 12399711 4.18276e+07 2.52671e+07 8.44414e+07 11417.2 24 1767072 16882712 -1 72.8506 72.8506 -60971.9 -72.8506 -128.295 -0.36083 19.21 -1 -1 842.8 MiB 20.24 20.2675 16.9267 842.8 MiB -1 12.09 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml mkDelayWorker32B.v common 63.03 vpr 622.28 MiB 1.36 70544 -1 -1 6 7.85 -1 -1 52436 -1 -1 556 506 80 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 637216 506 553 3331 3884 1 3325 1695 82 82 6724 memory auto 44.5 MiB 2.16 21038 1335159 697047 420040 218072 622.3 MiB 6.19 0.06 7.21468 -1523.13 -7.21468 7.21468 0.02 0.0240347 0.0208875 3.17176 2.83345 -1 -1 -1 -1 23138 16.4449 8741 6.21251 3977 4892 1045292 266794 3.85878e+07 8.78824e+06 7.66484e+07 11399.2 21 1605176 15314284 -1 7.4117 7.4117 -1741.67 -7.4117 -40.5746 -0.296368 25.93 -1 -1 622.3 MiB 1.50 4.29663 3.86471 622.3 MiB -1 11.40 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml mkSMAdapter4B.v common 17.25 vpr 148.68 MiB 0.51 30012 -1 -1 11 3.13 -1 -1 39504 -1 -1 290 193 10 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 152248 193 205 2764 2969 1 2139 698 37 37 1369 memory auto 34.6 MiB 0.50 15971 331863 115527 180745 35591 148.7 MiB 2.73 0.03 4.03751 -2348.35 -4.03751 4.03751 0.00 0.00763557 0.00693648 0.847623 0.762113 -1 -1 -1 -1 23965 11.5885 10867 5.25484 7943 26568 3428435 790391 7.45627e+06 1.95848e+06 1.49196e+07 10898.2 14 318394 2964149 -1 4.33523 4.33523 -2575.16 -4.33523 -18.9116 -0.364256 4.81 -1 -1 148.7 MiB 0.95 1.12309 1.01198 148.7 MiB -1 1.74 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml or1200.v common 24.43 vpr 106.82 MiB 0.86 40616 -1 -1 45 4.76 -1 -1 42292 -1 -1 537 385 4 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 109388 385 394 5238 5569 1 4569 1321 27 27 729 io clb auto 48.6 MiB 0.91 45009 696841 241008 425459 30374 106.8 MiB 8.14 0.09 12.8842 -10031.2 -12.8842 12.8842 0.00 0.0192634 0.0180166 2.03516 1.85444 -1 -1 -1 -1 64228 14.1005 30000 6.58617 15625 58921 7349182 1719236 4.06709e+06 2.54409e+06 7.75339e+06 10635.7 17 167232 1538736 -1 13.6732 13.6732 -11372.5 -13.6732 0 0 2.47 -1 -1 106.8 MiB 2.57 2.83365 2.58077 106.8 MiB -1 0.95 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml raygentop.v common 12.11 vpr 90.12 MiB 0.55 32668 -1 -1 12 1.79 -1 -1 41032 -1 -1 238 214 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 92288 214 305 2927 3043 1 2181 766 26 26 676 mult_36 auto 34.0 MiB 0.55 17879 291515 96968 176018 18529 90.1 MiB 2.35 0.03 4.04097 -2026.17 -4.04097 4.04097 0.00 0.00818863 0.00758309 0.716958 0.660563 -1 -1 -1 -1 25655 11.8335 11525 5.31596 7010 22783 3120920 787237 3.88769e+06 1.9974e+06 7.17610e+06 10615.5 17 154908 1423382 -1 4.23901 4.23901 -2367.29 -4.23901 0 0 2.27 -1 -1 90.1 MiB 0.98 1.04854 0.966038 90.1 MiB -1 0.80 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml sha.v common 507.60 abc 94.12 MiB 2.17 38620 -1 -1 31 490.25 -1 -1 96384 -1 -1 415 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 90192 38 36 4183 4219 1 3300 489 24 24 576 clb auto 42.1 MiB 0.67 25112 129464 32825 91043 5596 88.1 MiB 2.54 0.04 10.8338 -3285.1 -10.8338 10.8338 0.00 0.00962023 0.00868908 0.721575 0.626299 -1 -1 -1 -1 40919 12.4035 19826 6.00970 12854 51901 6170104 1459775 2.72374e+06 1.6185e+06 6.03346e+06 10474.8 21 130770 1196795 -1 11.7994 11.7994 -3712.17 -11.7994 0 0 1.95 -1 -1 88.1 MiB 1.86 1.19984 1.04552 88.1 MiB -1 0.61 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml stereovision0.v common 158.20 vpr 299.48 MiB 2.59 126408 -1 -1 7 101.64 -1 -1 75348 -1 -1 1804 157 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 306664 157 197 22240 22437 1 13748 2158 47 47 2209 clb auto 123.6 MiB 2.83 85033 1423936 534560 861955 27421 299.5 MiB 24.06 0.22 2.42227 -9143.4 -2.42227 2.42227 0.01 0.0354646 0.0308361 3.9558 3.33512 -1 -1 -1 -1 116294 8.47068 53828 3.92075 39890 119023 13976507 3285722 1.16296e+07 7.0356e+06 2.45588e+07 11117.6 17 519358 4899383 -1 2.78227 2.78227 -10971.6 -2.78227 0 0 8.05 -1 -1 299.5 MiB 4.74 5.585 4.74193 299.5 MiB -1 3.06 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml stereovision1.v common 133.27 vpr 456.01 MiB 2.84 112712 -1 -1 10 59.54 -1 -1 78852 -1 -1 1727 113 0 44 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 466952 113 145 23279 21144 1 16131 2029 62 62 3844 mult_36 auto 128.9 MiB 3.17 140319 1388749 540237 823922 24590 456.0 MiB 27.34 0.30 4.23653 -17527.9 -4.23653 4.23653 0.01 0.0402543 0.0340353 4.47252 3.79948 -1 -1 -1 -1 184868 11.4640 78781 4.88534 55253 150418 23440921 5522616 2.17057e+07 1.19625e+07 4.33614e+07 11280.3 18 911886 8653859 -1 4.41908 4.41908 -20082.8 -4.41908 0 0 14.29 -1 -1 456.0 MiB 6.84 6.33271 5.40445 456.0 MiB -1 5.77 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml stereovision2.v common 808.42 vpr 2.63 GiB 3.26 172780 -1 -1 26 338.13 -1 -1 189188 -1 -1 5847 149 0 324 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2762584 149 182 65520 55100 1 55662 6502 166 166 27556 mult_36 auto 353.2 MiB 17.38 690367 7649662 3283891 4297120 68651 2697.8 MiB 214.92 1.57 14.0433 -51691.7 -14.0433 14.0433 0.10 0.15044 0.136116 20.1514 17.4461 -1 -1 -1 -1 839705 15.1089 333349 5.99797 277588 736246 101448374 22960069 1.58074e+08 6.12945e+07 3.19849e+08 11607.2 22 6643938 64005109 -1 14.985 14.985 -61410.2 -14.985 0 0 112.26 -1 -1 2697.8 MiB 31.29 28.5677 24.7182 2697.8 MiB -1 50.66 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml LU32PEEng.v common 3164.08 vpr 3.08 GiB 168.94 733420 -1 -1 205 1473.20 -1 -1 298808 -1 -1 15714 114 299 32 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3232424 114 102 136826 135424 1 128691 16261 169 169 28561 memory auto 800.1 MiB 40.90 1648550 26579554 11638314 14888034 53206 3156.7 MiB 1171.83 7.03 68.7296 -221934 -68.7296 68.7296 0.11 0.405372 0.35607 60.6857 50.9192 -1 -1 -1 -1 2147445 16.6973 966035 7.51135 404100 1427772 190149727 42382132 1.64515e+08 8.98512e+07 3.31299e+08 11599.7 24 6883162 66233393 -1 72.585 72.585 -429947 -72.585 -155.254 -0.302614 84.55 -1 -1 3156.7 MiB 77.49 84.8887 70.8614 3156.7 MiB -1 53.53 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml mcml.v common 8788.85 vpr 3.04 GiB 79.87 925408 -1 -1 126 7881.76 -1 -1 448912 -1 -1 12851 36 318 27 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3192572 36 356 149244 148025 1 102799 13588 169 169 28561 memory auto 753.1 MiB 34.19 1266788 22541457 10109273 12375850 56334 3117.7 MiB 496.30 3.25 57.3765 -244260 -57.3765 57.3765 0.10 0.277208 0.238866 42.0788 34.9139 -1 -1 -1 -1 1214744 11.8214 565313 5.50140 378455 1542517 186457172 43247061 1.64515e+08 7.96532e+07 3.31299e+08 11599.7 22 6883162 66233393 -1 60.677 60.677 -381776 -60.677 -0.394532 -0.113558 111.64 -1 -1 3117.7 MiB 58.29 57.4924 47.84 3117.7 MiB -1 41.52 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor/config/golden_results.txt index 75973eec4cc..1ee7575e46d 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor/config/golden_results.txt @@ -1,21 +1,21 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length -k6_frac_N10_mem32K_40nm.xml arm_core.v common 331.03 vpr 352.79 MiB 3.43 127948 -1 -1 25 78.58 -1 -1 67016 -1 -1 988 133 24 0 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 361256 133 179 17481 17660 1 8826 1324 39 39 1521 clb auto 160.6 MiB 14.98 140724 706223 235986 453985 16252 217.7 MiB 15.98 0.16 18.2294 -157339 -18.2294 18.2294 4.31 0.0518175 0.0450103 5.48347 4.5904 110 202350 37 8.65315e+07 6.64005e+07 1.06449e+07 6998.62 154.54 29.2861 24.3174 222560 2289922 -1 184414 17 36765 135743 9204794 1475613 18.3923 18.3923 -165829 -18.3923 0 0 1.35876e+07 8933.31 4.13 5.35 2.21 -1 -1 4.13 2.69475 2.35321 9278 12238 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml bgm.v common 834.05 vpr 642.73 MiB 23.14 379732 -1 -1 22 502.09 -1 -1 153948 -1 -1 2620 257 0 11 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 658156 257 32 32041 31556 1 18456 2920 62 62 3844 clb auto 318.0 MiB 41.01 237680 2068258 732418 1306840 29000 642.7 MiB 52.35 0.51 16.8851 -24027.9 -16.8851 16.8851 30.42 0.115204 0.102624 10.9878 9.29095 76 374916 33 2.30929e+08 1.45561e+08 1.99380e+07 5186.80 105.35 34.5012 28.95 479924 4133688 -1 358354 22 104865 494561 21718506 3219817 17.2566 17.2566 -24604.3 -17.2566 0 0 2.49294e+07 6485.27 7.37 12.59 3.80 -1 -1 7.37 5.77228 5.01962 23873 26169 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml blob_merge.v common 149.69 vpr 160.30 MiB 0.45 62840 -1 -1 18 81.57 -1 -1 65724 -1 -1 572 36 0 0 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 164148 36 100 6600 6700 1 2804 708 30 30 900 clb auto 85.7 MiB 5.72 45721 268798 80554 171964 16280 124.6 MiB 4.99 0.05 10.4727 -2786.41 -10.4727 10.4727 2.75 0.0200331 0.0180885 1.99302 1.75483 70 68828 17 4.8774e+07 3.08274e+07 4.18297e+06 4647.75 38.18 9.47628 8.14735 106732 854442 -1 63223 13 11344 57272 2422333 307988 10.6677 10.6677 -2866.66 -10.6677 0 0 5.26086e+06 5845.40 1.45 1.65 0.78 -1 -1 1.45 0.939592 0.842842 4998 5998 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml boundtop.v common 8.26 vpr 65.03 MiB 0.87 47140 -1 -1 2 0.48 -1 -1 36316 -1 -1 82 114 0 0 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66588 114 193 455 648 1 312 389 13 13 169 clb auto 26.7 MiB 0.17 802 108233 33568 56261 18404 65.0 MiB 0.37 0.01 1.88794 -246.251 -1.88794 1.88794 0.33 0.00186092 0.00175937 0.151895 0.144031 38 2149 23 6.63067e+06 4.41931e+06 384612. 2275.81 2.35 0.759072 0.705262 14836 75665 -1 1790 9 702 874 53242 16399 2.21419 2.21419 -266.429 -2.21419 0 0 489150. 2894.38 0.11 0.07 0.05 -1 -1 0.11 0.0449638 0.0422049 181 244 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 3.24 vpr 64.18 MiB 0.08 9412 -1 -1 3 0.26 -1 -1 34484 -1 -1 65 99 1 0 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65720 99 130 363 493 1 251 295 12 12 144 clb auto 25.7 MiB 0.14 615 70927 24796 34921 11210 64.2 MiB 0.27 0.00 2.43858 -230.023 -2.43858 2.43858 0.28 0.00133288 0.00126041 0.10648 0.100689 38 1593 12 5.66058e+06 4.05111e+06 319130. 2216.18 0.75 0.28798 0.266074 12522 62564 -1 1357 10 588 758 45873 15482 2.77005 2.77005 -247.51 -2.77005 0 0 406292. 2821.48 0.09 0.06 0.07 -1 -1 0.09 0.0352687 0.0327216 132 185 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml diffeq1.v common 12.61 vpr 67.94 MiB 0.04 9420 -1 -1 15 0.36 -1 -1 34508 -1 -1 36 162 0 5 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69568 162 96 999 932 1 693 299 16 16 256 mult_36 auto 29.7 MiB 0.32 5381 78221 22147 49025 7049 67.9 MiB 0.60 0.01 21.4844 -2025.35 -21.4844 21.4844 0.53 0.00353138 0.0033285 0.301629 0.284379 44 12717 48 1.21132e+07 3.92018e+06 694168. 2711.59 8.17 1.49032 1.3807 24716 140770 -1 9997 17 3154 6105 1107735 286105 23.0758 23.0758 -2167.57 -23.0758 0 0 904549. 3533.39 0.21 0.42 0.15 -1 -1 0.21 0.161785 0.151298 342 476 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml diffeq2.v common 10.98 vpr 66.47 MiB 0.05 8452 -1 -1 14 0.26 -1 -1 34060 -1 -1 29 66 0 7 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 68068 66 96 719 590 1 525 198 18 18 324 mult_36 auto 28.1 MiB 0.29 5003 39366 11098 23162 5106 66.5 MiB 0.41 0.01 16.8905 -942.556 -16.8905 16.8905 0.73 0.00301361 0.00286023 0.22651 0.214985 38 12494 26 1.57076e+07 4.33493e+06 803540. 2480.06 6.42 0.981565 0.914309 30672 162320 -1 10651 21 3296 7276 1937647 456823 18.2599 18.2599 -1031.83 -18.2599 0 0 1.01953e+06 3146.70 0.24 0.52 0.14 -1 -1 0.24 0.144996 0.1356 246 325 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml mkDelayWorker32B.v common 80.02 vpr 338.64 MiB 1.61 71288 -1 -1 5 7.54 -1 -1 52624 -1 -1 456 506 47 0 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 346764 506 553 3285 3838 1 3022 1562 50 50 2500 memory auto 54.8 MiB 4.49 16772 1188291 579108 420547 188636 338.6 MiB 5.69 0.06 7.52336 -2007.99 -7.52336 7.52336 23.49 0.0247799 0.021684 3.03556 2.72709 38 24770 15 1.47946e+08 5.03323e+07 6.86584e+06 2746.33 17.69 8.44692 7.67987 251304 1421084 -1 23797 13 3936 5034 1179459 257068 7.60219 7.60219 -2349.3 -7.60219 -1.49842 -0.293253 8.69095e+06 3476.38 2.81 1.06 1.31 -1 -1 2.81 0.802173 0.741757 1053 1437 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml mkPktMerge.v common 14.00 vpr 70.31 MiB 0.20 16576 -1 -1 2 0.14 -1 -1 33656 -1 -1 27 311 15 0 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71996 311 156 972 1128 1 953 509 28 28 784 memory auto 31.5 MiB 0.54 8998 209702 77254 122184 10264 70.0 MiB 1.20 0.01 4.23256 -4357.58 -4.23256 4.23256 1.74 0.00578529 0.00514134 0.613363 0.542316 34 15374 23 4.25198e+07 9.67514e+06 1.87146e+06 2387.06 5.14 1.67421 1.48375 73554 370855 -1 14843 14 2937 3374 932174 243500 4.54085 4.54085 -4981.61 -4.54085 -15.5516 -0.360359 2.30233e+06 2936.64 0.67 0.41 0.33 -1 -1 0.67 0.199913 0.180477 109 186 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml mkSMAdapter4B.v common 18.14 vpr 77.63 MiB 0.50 30084 -1 -1 7 2.85 -1 -1 37852 -1 -1 170 193 5 0 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 79492 193 205 2232 2437 1 1192 573 20 20 400 memory auto 39.9 MiB 1.49 8958 236094 85720 123986 26388 77.6 MiB 1.67 0.02 5.12011 -2815.76 -5.12011 5.12011 0.89 0.00726935 0.00659979 0.763734 0.688995 50 16307 23 2.07112e+07 1.1902e+07 1.26944e+06 3173.59 5.90 2.5021 2.24176 40848 252947 -1 14634 15 4267 10491 604217 130042 5.25995 5.25995 -2915.81 -5.25995 -12.1755 -0.298787 1.63222e+06 4080.54 0.46 0.53 0.25 -1 -1 0.46 0.337866 0.309025 992 1253 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml or1200.v common 55.31 vpr 101.43 MiB 1.03 40752 -1 -1 27 5.19 -1 -1 44020 -1 -1 254 385 2 1 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 103864 385 394 3979 4310 1 2380 1036 27 27 729 io auto 56.5 MiB 3.53 31438 582676 229985 327813 24878 93.6 MiB 5.87 0.06 14.464 -12679.1 -14.464 14.464 1.81 0.0168583 0.0157323 2.41927 2.20794 76 50667 30 3.93038e+07 1.51811e+07 3.58343e+06 4915.54 27.31 7.08075 6.47591 88945 732515 -1 45674 16 10220 36828 2287037 394282 15.3034 15.3034 -13648.9 -15.3034 0 0 4.48127e+06 6147.14 1.24 1.53 0.70 -1 -1 1.24 0.858044 0.791872 2290 2795 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml raygentop.v common 26.16 vpr 79.11 MiB 0.41 32740 -1 -1 8 1.64 -1 -1 40644 -1 -1 106 214 0 9 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 81004 214 305 2625 2741 1 1441 634 22 22 484 mult_36 auto 41.6 MiB 2.15 11423 248336 87263 147895 13178 79.1 MiB 1.73 0.02 4.73175 -2658.82 -4.73175 4.73175 1.10 0.00794985 0.00736927 0.772393 0.712671 50 25512 37 2.50602e+07 9.27676e+06 1.56759e+06 3238.82 12.94 2.89412 2.64456 49974 314245 -1 20927 16 6119 13113 1697035 381019 5.14527 5.14527 -2949.57 -5.14527 0 0 2.01671e+06 4166.75 0.48 0.74 0.29 -1 -1 0.48 0.346163 0.322829 907 1165 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml sha.v common 503.69 abc 94.04 MiB 2.12 38616 -1 -1 20 475.54 -1 -1 96296 -1 -1 208 38 0 0 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 88068 38 36 3404 3440 1 1290 282 19 19 361 clb auto 49.4 MiB 2.07 14108 53874 12313 38848 2713 86.0 MiB 1.09 0.02 13.6658 -4518.29 -13.6658 13.6658 0.78 0.00784088 0.00699127 0.534724 0.472223 54 26186 50 1.72706e+07 1.121e+07 1.22727e+06 3399.63 11.93 3.64687 3.17142 37799 249493 -1 20591 17 5434 21477 772432 128368 13.843 13.843 -4808.41 -13.843 0 0 1.59430e+06 4416.33 0.35 0.62 0.22 -1 -1 0.35 0.390672 0.351272 2026 2480 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml stereovision0.v common 167.05 vpr 212.49 MiB 2.58 126412 -1 -1 5 96.83 -1 -1 75356 -1 -1 745 157 0 0 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 217592 157 197 21024 21221 1 6988 1099 34 34 1156 clb auto 152.1 MiB 8.79 44807 573668 187887 362143 23638 185.6 MiB 8.73 0.08 3.23016 -13484 -3.23016 3.23016 3.21 0.0340955 0.0289256 3.89227 3.2895 52 68288 43 6.50233e+07 4.01513e+07 4.04437e+06 3498.59 24.26 13.3846 11.3252 124812 842136 -1 62712 12 17963 29385 1158999 226567 3.50178 3.50178 -14418.4 -3.50178 0 0 5.32397e+06 4605.51 1.49 1.74 0.73 -1 -1 1.49 1.4827 1.34125 6997 9547 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml stereovision1.v common 210.63 vpr 317.78 MiB 2.93 112856 -1 -1 6 62.33 -1 -1 78984 -1 -1 761 113 0 44 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 325404 113 145 21818 19683 1 9787 1063 40 40 1600 mult_36 auto 161.4 MiB 10.10 88063 554209 177104 351669 25436 210.4 MiB 10.02 0.10 5.43112 -23845.9 -5.43112 5.43112 5.06 0.0361759 0.03004 3.96947 3.37221 82 138977 49 9.16046e+07 5.84383e+07 8.58300e+06 5364.38 89.59 21.9537 18.6322 202972 1784604 -1 122592 14 30969 51692 7983992 1662976 5.75048 5.75048 -25861.9 -5.75048 0 0 1.07704e+07 6731.50 2.85 3.19 1.54 -1 -1 2.85 1.56655 1.40595 7407 9174 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml stereovision2.v common 907.49 vpr 1.54 GiB 3.51 172816 -1 -1 17 351.09 -1 -1 189172 -1 -1 2313 149 0 324 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1613372 149 182 57159 46739 1 35593 2968 104 104 10816 mult_36 auto 417.4 MiB 35.97 429468 2454768 901752 1499417 53599 1575.6 MiB 59.06 0.47 18.4982 -66644.4 -18.4982 18.4982 102.94 0.138497 0.125166 17.3486 15.198 66 594816 32 6.67561e+08 2.52948e+08 5.11340e+07 4727.63 224.31 57.7495 50.3396 1292806 10479811 -1 558221 15 131684 222571 24603768 4821645 18.6952 18.6952 -73343.6 -18.6952 0 0 6.34291e+07 5864.38 22.13 13.17 9.60 -1 -1 22.13 6.61541 5.94981 21954 28576 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml stereovision3.v common 2.21 vpr 63.22 MiB 0.10 9832 -1 -1 4 0.18 -1 -1 33236 -1 -1 13 11 0 0 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 64740 11 30 262 292 2 110 54 7 7 49 clb auto 24.6 MiB 0.11 415 1686 329 1303 54 63.2 MiB 0.03 0.00 2.61498 -172.487 -2.61498 2.34958 0.06 0.000815855 0.000737685 0.017643 0.0161388 36 796 16 1.07788e+06 700622 87745.0 1790.71 0.49 0.189632 0.159803 3724 16032 -1 661 10 255 405 14233 4546 2.6932 2.39824 -186.99 -2.6932 0 0 109473. 2234.15 0.02 0.05 0.02 -1 -1 0.02 0.0340739 0.0310888 100 151 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml LU8PEEng.v common 652.58 vpr 611.60 MiB 19.67 218920 -1 -1 127 257.00 -1 -1 94148 -1 -1 2021 114 44 8 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 626276 114 102 29627 29353 1 15280 2289 54 54 2916 clb auto 286.1 MiB 36.81 219911 1645900 603513 1020506 21881 549.8 MiB 42.36 0.33 79.3023 -53583.5 -79.3023 79.3023 24.27 0.0930451 0.0822899 11.3695 9.58971 94 330134 40 1.70873e+08 1.36203e+08 1.81141e+07 6211.98 208.99 52.6328 43.6476 396616 3818630 -1 300574 22 57657 233002 14871100 2514239 79.0561 79.0561 -64418.9 -79.0561 -22.9917 -0.198467 2.28201e+07 7825.81 6.73 9.62 3.89 -1 -1 6.73 5.44106 4.69858 18928 21550 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml LU32PEEng.v common 4766.16 vpr 2.03 GiB 168.74 735880 -1 -1 127 1188.15 -1 -1 344076 -1 -1 7136 114 167 32 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2124148 114 102 102417 101015 1 54033 7551 100 100 10000 clb auto 959.4 MiB 136.75 1051249 9115455 3831817 5243233 40405 1844.7 MiB 256.46 1.66 79.8219 -338294 -79.8219 79.8219 83.37 0.314738 0.27168 42.4324 35.1761 124 1436425 49 6.13558e+08 4.88737e+08 8.09642e+07 8096.42 2691.86 145.792 120.522 1572434 17705466 -1 1328979 19 203193 875531 61282535 9700369 81.6665 81.6665 -468839 -81.6665 -18.163 -0.29436 1.02582e+08 10258.2 33.34 35.28 17.51 -1 -1 33.34 17.9159 15.4581 67393 75912 -1 -1 -1 -1 -k6_frac_N10_mem32K_40nm.xml mcml.v common 7696.51 vpr 1.65 GiB 86.67 923648 -1 -1 75 6858.10 -1 -1 447884 -1 -1 5521 36 159 27 success v8.0.0-10974-gd2d425477-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-08-16T10:36:49 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1730316 36 356 135102 133883 1 40236 6099 92 92 8464 memory auto 954.5 MiB 72.43 477761 6968599 2734837 4155159 78603 1680.8 MiB 141.80 1.03 66.039 -311122 -66.039 66.039 71.33 0.264088 0.227664 36.9566 30.8752 82 693411 36 5.19428e+08 3.95345e+08 4.72968e+07 5588.00 277.89 116.952 97.4142 1093962 9944682 -1 639996 18 157403 482541 31373602 5767095 67.4547 67.4547 -366508 -67.4547 -0.130468 -0.0326169 5.93075e+07 7007.03 18.95 21.58 8.77 -1 -1 18.95 14.3408 12.5047 53404 83427 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length + k6_frac_N10_mem32K_40nm.xml arm_core.v common 277.05 vpr 289.52 MiB 3.42 127924 -1 -1 25 68.67 -1 -1 66788 -1 -1 999 133 24 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 296472 133 179 17481 17660 1 8837 1335 39 39 1521 clb auto 130.4 MiB 17.36 141136 721439 243797 461605 16037 201.4 MiB 17.31 0.18 18.1621 -164472 -18.1621 18.1621 1.85 0.0485303 0.0426864 5.44951 4.5105 -1 -1 -1 -1 110 200191 45 8.65315e+07 6.69933e+07 1.06449e+07 6998.62 116.65 22.8406 18.7512 222560 2289922 -1 184057 17 35159 127549 8258549 1417557 18.5096 18.5096 -170526 -18.5096 0 0 1.35876e+07 8933.31 0.58 4.84 2.21 -1 -1 0.58 2.60799 2.25986 9287 12238 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml bgm.v common 851.16 vpr 695.86 MiB 25.99 379800 -1 -1 22 532.58 -1 -1 153948 -1 -1 2620 257 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 712560 257 32 32041 31556 1 18290 2920 62 62 3844 clb auto 252.0 MiB 43.09 240372 2068258 737588 1303314 27356 695.9 MiB 70.04 0.62 16.8004 -24720.5 -16.8004 16.8004 15.60 0.0988646 0.0887415 11.222 9.52656 -1 -1 -1 -1 72 376463 39 2.30929e+08 1.45561e+08 1.92321e+07 5003.15 99.97 41.3825 34.4655 472240 3972742 -1 354886 21 102541 481111 19063367 2985900 17.7263 17.7263 -25198.5 -17.7263 0 0 2.40773e+07 6263.60 1.14 12.42 3.77 -1 -1 1.14 6.01345 5.13841 23892 26169 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml blob_merge.v common 145.02 vpr 137.04 MiB 0.82 62932 -1 -1 18 74.59 -1 -1 65592 -1 -1 576 36 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 140332 36 100 6600 6700 1 2811 712 30 30 900 clb auto 72.3 MiB 6.27 45522 264486 78878 170593 15015 120.2 MiB 4.95 0.05 10.3886 -2746.98 -10.3886 10.3886 1.01 0.0183252 0.0164404 1.7712 1.55532 -1 -1 -1 -1 64 70469 46 4.8774e+07 3.10429e+07 3.87092e+06 4301.02 44.78 9.41359 8.00665 103136 784142 -1 62327 13 11874 60673 2421339 320076 10.0204 10.0204 -2890.91 -10.0204 0 0 4.83441e+06 5371.56 0.18 1.50 0.66 -1 -1 0.18 0.846277 0.761146 5012 5998 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml boundtop.v common 7.31 vpr 65.59 MiB 0.95 47188 -1 -1 2 0.49 -1 -1 36380 -1 -1 84 114 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67164 114 193 455 648 1 312 391 13 13 169 clb auto 26.1 MiB 0.16 1023 106137 30261 58360 17516 65.6 MiB 0.35 0.01 1.89039 -243.806 -1.89039 1.89039 0.16 0.0018521 0.00175658 0.142495 0.135259 -1 -1 -1 -1 34 2321 16 6.63067e+06 4.5271e+06 352978. 2088.63 2.10 0.756415 0.702082 14332 67287 -1 1965 12 733 1000 74788 21830 2.50646 2.50646 -271.457 -2.50646 0 0 434699. 2572.18 0.02 0.08 0.06 -1 -1 0.02 0.0519873 0.0484897 181 244 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 2.99 vpr 64.50 MiB 0.09 9496 -1 -1 3 0.27 -1 -1 34544 -1 -1 68 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 66044 99 130 363 493 1 251 298 12 12 144 clb auto 25.2 MiB 0.14 795 66963 25009 31598 10356 64.5 MiB 0.14 0.00 2.31523 -218.763 -2.31523 2.31523 0.15 0.000552607 0.000519225 0.0442954 0.041603 -1 -1 -1 -1 34 1858 23 5.66058e+06 4.21279e+06 293002. 2034.74 0.97 0.365901 0.333141 12094 55633 -1 1522 10 639 834 51021 17183 2.64516 2.64516 -233.596 -2.64516 0 0 360780. 2505.42 0.01 0.06 0.05 -1 -1 0.01 0.032933 0.0304601 132 185 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml diffeq1.v common 9.35 vpr 67.75 MiB 0.04 9528 -1 -1 15 0.30 -1 -1 34480 -1 -1 38 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 69372 162 96 999 932 1 689 301 16 16 256 mult_36 auto 28.5 MiB 0.37 5459 89005 27235 54134 7636 67.7 MiB 0.69 0.01 21.2302 -1811.56 -21.2302 21.2302 0.26 0.00364539 0.0034394 0.337622 0.318399 -1 -1 -1 -1 48 11497 25 1.21132e+07 4.02797e+06 756778. 2956.16 5.64 1.73123 1.59993 25228 149258 -1 9497 17 3014 5954 867692 241588 22.6522 22.6522 -1913.02 -22.6522 0 0 968034. 3781.38 0.03 0.33 0.13 -1 -1 0.03 0.149956 0.140018 344 476 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml diffeq2.v common 9.34 vpr 67.02 MiB 0.05 8444 -1 -1 14 0.27 -1 -1 34048 -1 -1 28 66 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 68632 66 96 719 590 1 522 197 18 18 324 mult_36 auto 27.4 MiB 0.29 5457 39161 11120 24672 3369 67.0 MiB 0.41 0.01 17.2517 -967.663 -17.2517 17.2517 0.34 0.00297041 0.0028188 0.21889 0.207779 -1 -1 -1 -1 38 13774 42 1.57076e+07 4.28103e+06 803540. 2480.06 5.85 0.899769 0.838077 30672 162320 -1 10455 20 3192 7071 1524340 420287 18.2008 18.2008 -1050.59 -18.2008 0 0 1.01953e+06 3146.70 0.04 0.43 0.14 -1 -1 0.04 0.141496 0.13233 248 325 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml mkDelayWorker32B.v common 53.22 vpr 338.62 MiB 1.48 71276 -1 -1 5 7.74 -1 -1 52592 -1 -1 472 506 47 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 346748 506 553 3285 3838 1 3021 1578 50 50 2500 memory auto 48.6 MiB 5.16 14975 1131087 537386 412299 181402 338.6 MiB 5.46 0.07 7.31798 -1716.46 -7.31798 7.31798 9.69 0.0234419 0.020366 2.88376 2.58559 -1 -1 -1 -1 38 21896 14 1.47946e+08 5.11946e+07 6.86584e+06 2746.33 11.62 8.12039 7.36255 251304 1421084 -1 21141 14 3844 4875 927973 251419 7.73772 7.73772 -2023.95 -7.73772 -4.36698 -0.295467 8.69095e+06 3476.38 0.40 0.98 1.23 -1 -1 0.40 0.812288 0.750077 1020 1437 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml mkPktMerge.v common 13.24 vpr 71.83 MiB 0.23 16548 -1 -1 2 0.14 -1 -1 33804 -1 -1 29 311 15 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 73556 311 156 972 1128 1 953 511 28 28 784 memory auto 29.9 MiB 0.49 8823 204711 76613 118214 9884 71.8 MiB 1.21 0.02 4.08264 -4288.44 -4.08264 4.08264 0.90 0.00534183 0.00470565 0.593761 0.523201 -1 -1 -1 -1 40 14606 16 4.25198e+07 9.78293e+06 2.13295e+06 2720.61 6.76 2.32452 2.05335 76686 431115 -1 13501 14 2622 2892 631316 186201 4.24028 4.24028 -4760.12 -4.24028 -25.691 -0.360359 2.67004e+06 3405.67 0.10 0.33 0.37 -1 -1 0.10 0.197967 0.178657 104 186 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml mkSMAdapter4B.v common 15.41 vpr 77.09 MiB 0.47 30020 -1 -1 7 2.84 -1 -1 37856 -1 -1 162 193 5 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 78940 193 205 2232 2437 1 1191 565 20 20 400 memory auto 36.7 MiB 1.16 9512 233965 81121 126188 26656 77.1 MiB 1.06 0.01 5.09454 -2832.82 -5.09454 5.09454 0.33 0.00338253 0.00305106 0.382832 0.343336 -1 -1 -1 -1 50 17202 28 2.07112e+07 1.14708e+07 1.26944e+06 3173.59 5.86 1.89124 1.67588 40848 252947 -1 14866 16 4537 11564 624915 142977 4.97916 4.97916 -2992.68 -4.97916 -6.40605 -0.360359 1.63222e+06 4080.54 0.06 0.45 0.22 -1 -1 0.06 0.295482 0.269148 991 1253 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml or1200.v common 35.34 vpr 102.81 MiB 0.93 40884 -1 -1 27 5.12 -1 -1 43852 -1 -1 251 385 2 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 105276 385 394 3979 4310 1 2366 1033 27 27 729 io auto 49.4 MiB 4.15 31252 575123 218185 332773 24165 92.6 MiB 5.15 0.06 13.8758 -11681.1 -13.8758 13.8758 0.84 0.0161987 0.0151122 1.90274 1.75052 -1 -1 -1 -1 72 48575 23 3.93038e+07 1.50194e+07 3.45588e+06 4740.58 11.50 5.53232 5.08255 87489 703591 -1 43746 16 10112 36321 2011282 365676 13.9135 13.9135 -12530.1 -13.9135 0 0 4.32867e+06 5937.82 0.16 1.19 0.64 -1 -1 0.16 0.691252 0.644472 2283 2795 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml raygentop.v common 21.99 vpr 79.36 MiB 0.52 32960 -1 -1 8 1.62 -1 -1 40784 -1 -1 117 214 0 9 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81260 214 305 2625 2741 1 1438 645 22 22 484 mult_36 auto 38.3 MiB 1.79 11596 273575 87199 166017 20359 79.4 MiB 1.59 0.02 4.70145 -2626.16 -4.70145 4.70145 0.43 0.00769774 0.00712156 0.647875 0.598866 -1 -1 -1 -1 46 25515 44 2.50602e+07 9.8696e+06 1.45917e+06 3014.82 11.22 3.33114 3.03905 49006 296365 -1 20389 19 7037 15878 2099468 530112 5.03078 5.03078 -2951.39 -5.03078 0 0 1.87793e+06 3880.02 0.07 0.77 0.25 -1 -1 0.07 0.363826 0.337184 922 1165 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml sha.v common 529.57 abc 94.02 MiB 2.11 38684 -1 -1 20 504.96 -1 -1 96272 -1 -1 207 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87612 38 36 3404 3440 1 1294 281 19 19 361 clb auto 44.2 MiB 2.30 14143 61001 15957 42196 2848 85.6 MiB 1.41 0.02 13.4994 -4466.52 -13.4994 13.4994 0.39 0.00865028 0.00779973 0.671123 0.580374 -1 -1 -1 -1 56 23402 34 1.72706e+07 1.11561e+07 1.27879e+06 3542.35 9.36 3.77806 3.24452 38159 255829 -1 20295 15 5342 20570 653250 114260 14.0534 14.0534 -4751.27 -14.0534 0 0 1.63234e+06 4521.70 0.05 0.57 0.22 -1 -1 0.05 0.37151 0.333204 2019 2480 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml stereovision0.v common 158.30 vpr 211.48 MiB 2.38 126472 -1 -1 5 96.19 -1 -1 75356 -1 -1 760 157 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 216552 157 197 21024 21221 1 6626 1114 34 34 1156 clb auto 129.4 MiB 9.81 46363 595630 194049 375969 25612 184.9 MiB 8.82 0.08 3.19647 -13987.6 -3.19647 3.19647 1.41 0.0326438 0.0279286 3.73421 3.1554 -1 -1 -1 -1 52 69111 41 6.50233e+07 4.09597e+07 4.04437e+06 3498.59 20.22 13.3786 11.1153 124812 842136 -1 62292 14 17528 31069 1094698 218837 3.35961 3.35961 -15228 -3.35961 0 0 5.32397e+06 4605.51 0.22 1.98 0.72 -1 -1 0.22 1.68499 1.4859 7061 9547 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml stereovision1.v common 154.30 vpr 264.70 MiB 2.95 112852 -1 -1 6 60.73 -1 -1 78828 -1 -1 800 113 0 44 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 271052 113 145 21818 19683 1 9704 1102 40 40 1600 mult_36 auto 136.9 MiB 12.41 83953 581380 188698 368742 23940 211.0 MiB 11.23 0.12 5.40564 -23373.7 -5.40564 5.40564 1.99 0.0362797 0.03039 3.99744 3.38173 -1 -1 -1 -1 76 137393 47 9.16046e+07 6.05402e+07 8.06023e+06 5037.64 40.56 15.5655 13.1325 196576 1656470 -1 121584 14 30337 53000 8112375 1878787 5.71506 5.71506 -25065.3 -5.71506 0 0 1.00809e+07 6300.53 0.43 3.17 1.48 -1 -1 0.43 1.61557 1.43871 7475 9174 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml stereovision2.v common 779.86 vpr 1.51 GiB 3.22 172908 -1 -1 17 403.39 -1 -1 189132 -1 -1 2480 149 0 324 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1580628 149 182 57159 46739 1 35074 3135 104 104 10816 mult_36 auto 346.2 MiB 42.29 404264 2686530 994284 1637632 54614 1543.6 MiB 69.15 0.44 17.2137 -63633.8 -17.2137 17.2137 48.50 0.0876595 0.0796379 16.6145 14.5074 -1 -1 -1 -1 62 563513 30 6.67561e+08 2.61947e+08 4.78734e+07 4426.17 123.53 48.2828 41.615 1260362 9781273 -1 527089 17 130816 225275 19675834 4287704 17.6203 17.6203 -69476.6 -17.6203 0 0 5.94869e+07 5499.90 3.00 12.15 9.14 -1 -1 3.00 6.94207 6.18388 22280 28576 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml stereovision3.v common 2.23 vpr 64.40 MiB 0.09 9932 -1 -1 4 0.16 -1 -1 33172 -1 -1 13 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 65944 11 30 262 292 2 110 54 7 7 49 clb auto 24.6 MiB 0.12 413 2094 338 1684 72 64.4 MiB 0.04 0.00 2.59182 -174.041 -2.59182 2.34136 0.03 0.000810172 0.000738014 0.020578 0.018849 -1 -1 -1 -1 28 958 28 1.07788e+06 700622 72669.7 1483.05 0.53 0.235618 0.198033 3484 12752 -1 777 14 532 843 33609 12076 2.61864 2.316 -201.744 -2.61864 0 0 87745.0 1790.71 0.00 0.05 0.01 -1 -1 0.00 0.029042 0.0255779 101 151 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml LU8PEEng.v common 560.78 vpr 555.62 MiB 21.27 218960 -1 -1 127 249.62 -1 -1 94220 -1 -1 2034 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 568960 114 102 29627 29353 1 15217 2302 55 55 3025 clb auto 228.4 MiB 38.97 219538 1643146 592582 1026108 24456 554.9 MiB 47.25 0.37 78.755 -55636.3 -78.755 78.755 11.80 0.0922623 0.0817194 11.0958 9.25456 -1 -1 -1 -1 92 323547 43 1.75831e+08 1.36904e+08 1.84315e+07 6093.07 141.62 44.6916 36.8674 408072 3905944 -1 298019 20 59719 239684 12900305 2315385 78.6914 78.6914 -67650.3 -78.6914 -20.8736 -0.199722 2.34314e+07 7745.91 1.02 8.67 3.66 -1 -1 1.02 5.04989 4.31276 18988 21550 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml LU32PEEng.v common 3327.80 vpr 2.22 GiB 172.38 735768 -1 -1 127 1471.14 -1 -1 344300 -1 -1 7149 114 167 32 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2327928 114 102 102417 101015 1 53516 7564 100 100 10000 clb auto 747.3 MiB 134.19 1044958 9062160 3777738 5247511 36911 1880.8 MiB 475.39 2.76 80.8415 -364356 -80.8415 80.8415 41.28 0.351958 0.307762 49.4286 41.7834 -1 -1 -1 -1 126 1382169 25 6.13558e+08 4.89438e+08 8.21249e+07 8212.49 836.60 196.429 161.616 1582434 17917483 -1 1301829 21 195784 844508 54342391 9098204 81.1067 81.1067 -500806 -81.1067 -29.062 -0.216786 1.03838e+08 10383.8 5.61 35.75 18.66 -1 -1 5.61 20.0651 17.0197 67519 75912 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml mcml.v common 8507.43 vpr 1.83 GiB 80.61 923652 -1 -1 75 7711.23 -1 -1 447940 -1 -1 5607 36 159 27 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1919664 36 356 135102 133883 1 39247 6185 92 92 8464 memory auto 758.2 MiB 80.77 490639 7213308 2852374 4273552 87382 1666.5 MiB 144.61 1.08 65.2517 -325281 -65.2517 65.2517 33.22 0.25414 0.218148 35.8468 29.6967 -1 -1 -1 -1 84 676950 25 5.19428e+08 3.9998e+08 4.83041e+07 5707.00 304.20 141.193 115.684 1110890 10309732 -1 640816 22 144638 458498 26075629 5055895 65.533 65.533 -375190 -65.533 -0.442561 -0.200829 6.13894e+07 7253.00 2.94 22.83 9.40 -1 -1 2.94 16.5586 14.3201 54006 83427 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain/config/golden_results.txt index 5ac538a2499..f01850ef9aa 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain/config/golden_results.txt @@ -1,22 +1,22 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_frac_chain_mem32K_40nm.xml arm_core.v common 308.61 vpr 305.05 MiB 3.27 126928 -1 -1 18 66.85 -1 -1 66760 -1 -1 1012 133 24 0 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 312376 133 179 18379 18161 1 8999 1348 39 39 1521 clb auto 184.7 MiB 30.30 140998 723319 235675 462733 24911 215.0 MiB 15.26 0.14 17.0782 -132722 -17.0782 17.0782 5.03 0.0453322 0.0392189 5.0346 4.24305 104 208099 43 8.65315e+07 6.7694e+07 1.01293e+07 6659.65 126.34 19.4459 16.157 220624 2170082 -1 187226 14 35665 129698 31841840 7802241 18.5572 18.5572 -148171 -18.5572 0 0 1.28536e+07 8450.78 3.91 10.25 2.09 -1 -1 3.91 2.25317 1.99347 -k6_frac_N10_frac_chain_mem32K_40nm.xml bgm.v common 780.92 vpr 752.12 MiB 8.34 372832 -1 -1 14 273.49 -1 -1 148592 -1 -1 2738 257 0 11 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 770172 257 32 36518 33906 1 19790 3038 63 63 3969 clb auto 379.8 MiB 73.87 254008 2224432 798575 1395230 30627 719.3 MiB 62.50 0.52 17.5002 -23325.4 -17.5002 17.5002 38.48 0.0985508 0.0877404 11.5328 9.65444 76 395960 46 2.36641e+08 1.5192e+08 2.05973e+07 5189.55 237.89 61.7743 51.1636 506266 4280222 -1 376021 20 102196 468743 26616151 4250345 19.9455 19.9455 -25919.5 -19.9455 0 0 2.57532e+07 6488.59 8.24 13.75 3.99 -1 -1 8.24 5.86979 5.1427 -k6_frac_N10_frac_chain_mem32K_40nm.xml blob_merge.v common 126.10 vpr 187.57 MiB 0.78 57404 -1 -1 5 39.47 -1 -1 60568 -1 -1 616 36 0 0 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 192072 36 100 14036 11283 1 3221 752 31 31 961 clb auto 121.0 MiB 18.57 47240 315208 96675 202347 16186 154.0 MiB 5.63 0.05 13.5395 -2698.18 -13.5395 13.5395 3.03 0.0236752 0.0209904 2.45235 2.13469 66 73918 21 5.14688e+07 3.31987e+07 4.27576e+06 4449.28 40.77 11.3956 9.60352 113554 863722 -1 68232 19 12903 59203 2536456 327592 15.7136 15.7136 -3041.4 -15.7136 0 0 5.30541e+06 5520.72 1.49 2.07 0.76 -1 -1 1.49 1.32672 1.16395 -k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 9.83 vpr 70.61 MiB 0.78 45096 -1 -1 3 0.57 -1 -1 37852 -1 -1 92 142 0 0 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 72304 142 193 1069 1140 1 565 427 14 14 196 clb auto 32.6 MiB 0.80 1818 153092 57142 75216 20734 70.6 MiB 0.62 0.01 2.94229 -453.47 -2.94229 2.94229 0.44 0.00272405 0.00251166 0.275175 0.256617 36 4119 16 9.20055e+06 4.95825e+06 447080. 2281.02 2.64 1.06006 0.974555 18336 87587 -1 3545 13 1258 1911 94099 25244 3.69367 3.69367 -542.415 -3.69367 0 0 553089. 2821.88 0.13 0.13 0.09 -1 -1 0.13 0.0988352 0.0924831 -k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 4.53 vpr 65.50 MiB 0.05 9484 -1 -1 3 0.26 -1 -1 34496 -1 -1 65 99 1 0 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 67072 99 130 363 493 1 251 295 12 12 144 clb auto 27.2 MiB 0.20 638 73870 25767 36592 11511 65.5 MiB 0.24 0.00 2.16091 -202.101 -2.16091 2.16091 0.29 0.00118083 0.00111179 0.093124 0.0877216 50 1437 12 5.66058e+06 4.05111e+06 406307. 2821.58 1.89 0.405518 0.373885 13806 78043 -1 1327 8 569 730 52502 16881 2.61821 2.61821 -233.044 -2.61821 0 0 520805. 3616.70 0.11 0.05 0.08 -1 -1 0.11 0.0284497 0.026703 -k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 13.99 vpr 69.25 MiB 0.06 9280 -1 -1 6 0.19 -1 -1 33940 -1 -1 32 162 0 5 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70916 162 96 1075 884 1 667 295 16 16 256 mult_36 auto 31.2 MiB 0.46 4874 89566 32886 48812 7868 69.3 MiB 0.63 0.01 15.5719 -1192.19 -15.5719 15.5719 0.58 0.00327511 0.00307934 0.313023 0.294075 56 10920 33 1.21132e+07 3.70461e+06 870502. 3400.40 9.22 1.43467 1.33244 27064 172478 -1 8779 20 3096 5045 1985336 525030 17.2923 17.2923 -1368.07 -17.2923 0 0 1.11200e+06 4343.75 0.25 0.56 0.17 -1 -1 0.25 0.152842 0.143207 -k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 14.41 vpr 68.06 MiB 0.03 8460 -1 -1 6 0.15 -1 -1 34036 -1 -1 20 66 0 7 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69696 66 96 866 607 1 547 189 18 18 324 mult_36 auto 30.0 MiB 0.52 4724 52221 19081 29168 3972 68.1 MiB 0.51 0.01 12.2609 -733.741 -12.2609 12.2609 0.81 0.00272171 0.00257763 0.273527 0.259203 56 9880 19 1.57076e+07 3.84988e+06 1.13764e+06 3511.25 9.37 1.08023 1.01222 34996 227580 -1 9332 18 2524 4965 2261378 561627 13.3921 13.3921 -853.374 -13.3921 0 0 1.45243e+06 4482.80 0.33 0.57 0.21 -1 -1 0.33 0.121498 0.114731 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 107.53 vpr 361.92 MiB 1.42 69584 -1 -1 5 7.33 -1 -1 52920 -1 -1 456 506 45 0 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 370608 506 553 3519 4017 1 3099 1560 50 50 2500 memory auto 59.9 MiB 6.56 16861 1186324 579266 419415 187643 361.9 MiB 5.63 0.06 6.76827 -1937.28 -6.76827 6.76827 23.26 0.0224339 0.020226 3.05307 2.73026 40 24703 14 1.47946e+08 4.92362e+07 7.18436e+06 2873.75 43.14 9.49117 8.61044 260716 1475984 -1 23814 15 3905 5017 3925951 988139 7.57899 7.57899 -2448.16 -7.57899 0 0 8.97548e+06 3590.19 2.90 1.66 1.29 -1 -1 2.90 0.845545 0.785123 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 24.15 vpr 80.12 MiB 0.21 16748 -1 -1 2 0.14 -1 -1 33692 -1 -1 29 311 15 0 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 82048 311 156 1019 1160 1 965 511 28 28 784 memory auto 33.3 MiB 0.68 8151 208795 74211 123852 10732 71.9 MiB 1.19 0.02 3.77691 -4038.98 -3.77691 3.77691 2.27 0.00554843 0.00487833 0.592245 0.51467 38 14576 26 4.25198e+07 9.78293e+06 2.03941e+06 2601.29 13.75 2.26322 1.99752 77878 418209 -1 13284 16 2916 3289 2664079 748370 4.13763 4.13763 -4801.24 -4.13763 0 0 2.58563e+06 3298.00 0.75 0.81 0.40 -1 -1 0.75 0.21968 0.198761 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 24.53 vpr 84.20 MiB 0.47 29304 -1 -1 4 1.88 -1 -1 37936 -1 -1 188 193 5 0 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86216 193 205 2863 2789 1 1455 591 20 20 400 memory auto 47.0 MiB 3.22 11478 258407 87714 143045 27648 84.2 MiB 1.97 0.02 4.57371 -2508.98 -4.57371 4.57371 1.04 0.00749779 0.0067406 0.85769 0.766693 56 20077 20 2.07112e+07 1.28721e+07 1.41661e+06 3541.53 10.45 3.10742 2.77127 43380 284034 -1 18046 16 5181 12425 986328 207359 4.99636 4.99636 -2921.82 -4.99636 0 0 1.80858e+06 4521.44 0.43 0.58 0.26 -1 -1 0.43 0.344018 0.316306 -k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 53.67 vpr 114.27 MiB 0.89 39788 -1 -1 8 4.31 -1 -1 41912 -1 -1 258 385 2 1 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 117016 385 394 4673 4537 1 2422 1040 27 27 729 io auto 62.8 MiB 9.22 31099 601478 234916 341577 24985 99.9 MiB 5.48 0.06 7.91028 -9390.87 -7.91028 7.91028 2.23 0.0167783 0.0155898 2.01423 1.8284 98 45582 18 3.93038e+07 1.53967e+07 4.48119e+06 6147.03 20.28 7.38229 6.76061 101765 947141 -1 43516 17 9970 36172 3767326 768291 8.49882 8.49882 -10225.8 -8.49882 0 0 5.68448e+06 7797.64 1.61 1.70 0.91 -1 -1 1.61 0.747178 0.695278 -k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 25.13 vpr 84.74 MiB 0.49 31608 -1 -1 3 1.09 -1 -1 40356 -1 -1 112 214 0 8 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 86776 214 305 2963 2869 1 1445 639 19 19 361 io auto 47.0 MiB 2.86 10827 256482 85370 157603 13509 84.7 MiB 1.72 0.02 4.36739 -2477.41 -4.36739 4.36739 0.90 0.00759208 0.00697644 0.752817 0.692107 56 24300 32 1.72706e+07 9.20413e+06 1.27879e+06 3542.35 11.69 3.11191 2.83858 39043 256479 -1 19887 20 6510 14395 4285781 1013890 4.87643 4.87643 -2933.55 -4.87643 0 0 1.63234e+06 4521.70 0.38 1.24 0.24 -1 -1 0.38 0.388512 0.360812 -k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 256.85 abc 92.51 MiB 2.09 38272 -1 -1 3 232.89 -1 -1 94728 -1 -1 156 38 0 0 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85824 38 36 2995 2744 1 1210 230 17 17 289 clb auto 47.2 MiB 2.42 11187 48102 12239 32898 2965 83.8 MiB 0.97 0.01 8.50665 -2425.54 -8.50665 8.50665 0.67 0.00570774 0.00499034 0.454841 0.394038 86 15535 20 1.34605e+07 8.40746e+06 1.47169e+06 5092.36 8.49 2.53112 2.17062 36379 299185 -1 14997 15 3639 10555 369332 60267 10.0216 10.0216 -2922.92 -10.0216 0 0 1.86203e+06 6443.01 0.42 0.39 0.32 -1 -1 0.42 0.285356 0.258031 -k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 15.68 vpr 73.31 MiB 0.29 20588 -1 -1 15 0.71 -1 -1 35832 -1 -1 65 45 3 1 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 75072 45 32 1275 1232 1 831 146 14 14 196 memory auto 35.8 MiB 2.91 6905 35090 9312 22322 3456 73.3 MiB 0.66 0.01 9.87353 -6328.56 -9.87353 9.87353 0.44 0.0035409 0.00309637 0.349028 0.305655 62 13306 20 9.20055e+06 5.54311e+06 735792. 3754.04 7.39 1.50603 1.30314 21652 143691 -1 11588 15 3644 9689 1580392 399793 11.4186 11.4186 -7440.31 -11.4186 0 0 913676. 4661.61 0.19 0.50 0.14 -1 -1 0.19 0.164712 0.14948 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision0.v common 82.36 vpr 240.59 MiB 2.21 122652 -1 -1 5 8.76 -1 -1 70740 -1 -1 706 157 0 0 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 246360 157 197 23846 21799 1 6628 1060 33 33 1089 clb auto 182.0 MiB 9.65 39958 557466 186041 347775 23650 216.1 MiB 7.68 0.07 2.9577 -13467.7 -2.9577 2.9577 3.45 0.0317184 0.0271447 3.69404 3.10332 52 59598 28 6.0475e+07 3.80493e+07 3.78249e+06 3473.36 29.03 13.7593 11.5763 119479 787594 -1 55863 13 15747 25778 966456 191883 3.6255 3.6255 -15972.3 -3.6255 0 0 4.97914e+06 4572.21 1.39 1.67 0.51 -1 -1 1.39 1.52942 1.36817 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision1.v common 213.16 vpr 276.36 MiB 2.06 108004 -1 -1 3 45.43 -1 -1 84956 -1 -1 680 115 0 40 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 282996 115 145 23133 19546 1 9699 980 40 40 1600 mult_36 auto 181.6 MiB 9.88 80149 536130 175288 336854 23988 226.3 MiB 9.16 0.08 5.34112 -21585.1 -5.34112 5.34112 5.31 0.0338334 0.0280918 3.92038 3.31475 80 130617 43 9.16046e+07 5.24886e+07 8.41679e+06 5260.49 107.70 15.1537 12.7715 205632 1754580 -1 116139 16 32141 50452 21014265 4300037 5.73056 5.73056 -24922.9 -5.73056 0 0 1.06125e+07 6632.80 3.14 6.14 1.60 -1 -1 3.14 1.67783 1.49305 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision2.v common 563.24 vpr 1.55 GiB 2.68 156068 -1 -1 3 9.29 -1 -1 202456 -1 -1 1652 149 0 324 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1628404 149 182 65737 42630 1 35969 2307 104 104 10816 mult_36 auto 447.5 MiB 35.83 321188 1967880 691444 1208126 68310 1590.2 MiB 55.84 0.41 13.7995 -59273.2 -13.7995 13.7995 122.14 0.114525 0.103078 16.0587 14.0401 74 459628 32 6.67561e+08 2.17331e+08 5.58853e+07 5166.91 202.08 44.8932 39.0988 1377890 11643401 -1 453308 20 146160 167201 48559639 9626407 15.4475 15.4475 -69464 -15.4475 0 0 7.01856e+07 6489.05 25.35 16.77 10.87 -1 -1 25.35 6.15887 5.49099 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.57 vpr 64.65 MiB 0.09 10124 -1 -1 5 0.15 -1 -1 33172 -1 -1 14 11 0 0 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66204 11 30 313 321 2 118 55 7 7 49 clb auto 26.5 MiB 0.27 408 2031 349 1621 61 64.7 MiB 0.04 0.00 2.2748 -156.631 -2.2748 2.04046 0.06 0.000797899 0.000704989 0.0201216 0.0182772 34 908 14 1.07788e+06 754516 84249.8 1719.38 0.60 0.241151 0.204735 3756 15224 -1 774 17 497 931 29897 9910 2.5847 2.34376 -186.236 -2.5847 0 0 103542. 2113.11 0.02 0.05 0.01 -1 -1 0.02 0.0341641 0.0304179 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 658.68 vpr 707.00 MiB 5.37 207032 -1 -1 101 90.45 -1 -1 104508 -1 -1 2196 114 44 8 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 723968 114 102 38224 33865 1 18116 2464 57 57 3249 clb auto 364.4 MiB 82.16 234084 1932124 727701 1174610 29813 662.3 MiB 57.72 0.45 67.1056 -52599.9 -67.1056 67.1056 30.95 0.103221 0.0910882 13.6842 11.3689 96 357583 42 1.92089e+08 1.45633e+08 2.06182e+07 6346.02 311.31 68.4624 56.3254 454615 4338889 -1 324772 24 71205 270537 43419636 10211546 77.6089 77.6089 -65683.9 -77.6089 0 0 2.57864e+07 7936.73 8.38 19.39 4.25 -1 -1 8.38 6.73036 5.73719 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 3893.55 vpr 2.51 GiB 27.56 701928 -1 -1 101 827.08 -1 -1 313948 -1 -1 7514 114 167 32 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2636636 114 102 124851 111146 1 59132 7929 103 103 10609 clb auto 1157.0 MiB 277.89 1074333 10044866 4171166 5824107 49593 2057.7 MiB 443.79 2.87 65.3554 -321938 -65.3554 65.3554 118.05 0.385601 0.308275 51.3705 42.264 130 1406891 44 6.46441e+08 5.09111e+08 8.95632e+07 8442.19 1873.31 231.215 188.081 1731652 19555380 -1 1348348 22 216577 907600 244071238 59431822 74.4655 74.4655 -470987 -74.4655 0 0 1.13461e+08 10694.8 42.98 92.39 20.65 -1 -1 42.98 21.5498 18.2643 -k6_frac_N10_frac_chain_mem32K_40nm.xml mcml.v common 4823.04 vpr 2.24 GiB 67.38 890684 -1 -1 26 3109.37 -1 -1 375784 -1 -1 7038 36 159 27 success v8.0.0-10540-ge7ec2194c release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-07-02T21:29:38 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2353164 36 356 190343 166383 1 61580 7616 99 99 9801 clb auto 1353.4 MiB 295.36 716004 11317365 4434968 6413028 469369 2129.2 MiB 417.08 2.56 40.9681 -275140 -40.9681 40.9681 97.30 0.325512 0.281472 54.6701 45.4929 140 951854 23 6.00857e+08 4.77096e+08 8.84831e+07 9027.97 558.02 180.02 149.389 1671239 19536383 -1 914101 19 221368 559060 102293380 24370380 44.5358 44.5358 -354528 -44.5358 0 0 1.12593e+08 11487.9 39.14 45.57 20.71 -1 -1 39.14 18.4026 16.025 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_frac_chain_mem32K_40nm.xml arm_core.v common 270.44 vpr 295.77 MiB 3.28 127004 -1 -1 18 73.10 -1 -1 66820 -1 -1 1023 133 24 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 302868 133 179 18379 18161 1 8963 1359 39 39 1521 clb auto 152.4 MiB 32.99 141706 753759 252095 474422 27242 214.3 MiB 19.07 0.17 18.1898 -147327 -18.1898 18.1898 2.05 0.0506606 0.0444152 5.82716 4.9392 -1 -1 -1 -1 104 199256 31 8.65315e+07 6.82868e+07 1.01293e+07 6659.65 89.13 21.5933 17.9401 220624 2170082 -1 184148 14 34926 128188 8036165 1360846 18.6216 18.6216 -152033 -18.6216 0 0 1.28536e+07 8450.78 0.54 4.22 2.04 -1 -1 0.54 2.27112 2.00108 + k6_frac_N10_frac_chain_mem32K_40nm.xml bgm.v common 730.87 vpr 711.96 MiB 8.41 372936 -1 -1 14 286.17 -1 -1 148512 -1 -1 2786 257 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 729044 257 32 36518 33906 1 19519 3086 63 63 3969 clb auto 302.6 MiB 79.67 250115 2203970 780366 1393856 29748 712.0 MiB 72.66 0.62 20.6448 -26455 -20.6448 20.6448 16.54 0.108709 0.0978753 11.6638 9.88273 -1 -1 -1 -1 70 392047 38 2.36641e+08 1.54506e+08 1.93981e+07 4887.41 202.08 49.4118 40.9083 494362 4028736 -1 366218 20 94510 425732 17723168 2767618 20.4561 20.4561 -26843.6 -20.4561 0 0 2.43753e+07 6141.41 1.28 12.01 3.72 -1 -1 1.28 6.37136 5.54288 + k6_frac_N10_frac_chain_mem32K_40nm.xml blob_merge.v common 129.12 vpr 161.81 MiB 0.45 57540 -1 -1 5 43.61 -1 -1 60552 -1 -1 619 36 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 165696 36 100 14036 11283 1 3184 755 31 31 961 clb auto 102.1 MiB 22.68 45944 289379 89208 185470 14701 151.2 MiB 5.93 0.06 15.2449 -2613.35 -15.2449 15.2449 1.14 0.0253944 0.0228016 2.46281 2.1098 -1 -1 -1 -1 58 75959 47 5.14688e+07 3.33604e+07 3.73083e+06 3882.24 40.73 10.2745 8.51752 108754 767270 -1 66256 18 12831 60913 2382396 325988 15.2323 15.2323 -2862.14 -15.2323 0 0 4.75904e+06 4952.17 0.19 2.09 0.65 -1 -1 0.19 1.41701 1.23623 + k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 9.02 vpr 70.35 MiB 0.77 45232 -1 -1 3 0.55 -1 -1 37632 -1 -1 97 142 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72040 142 193 1069 1140 1 544 432 14 14 196 clb auto 31.0 MiB 1.31 1935 140784 46814 73686 20284 70.4 MiB 0.64 0.01 3.36481 -502.007 -3.36481 3.36481 0.20 0.00310956 0.00291945 0.282714 0.265381 -1 -1 -1 -1 42 3322 13 9.20055e+06 5.22772e+06 506340. 2583.37 1.99 1.12783 1.03536 19116 100245 -1 3124 11 1007 1567 69332 19451 3.55486 3.55486 -535.708 -3.55486 0 0 635007. 3239.83 0.02 0.13 0.09 -1 -1 0.02 0.0991046 0.092581 + k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 3.34 vpr 65.94 MiB 0.04 9436 -1 -1 3 0.26 -1 -1 34480 -1 -1 68 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67520 99 130 363 493 1 251 298 12 12 144 clb auto 26.6 MiB 0.23 825 66963 24629 31658 10676 65.9 MiB 0.20 0.00 2.18081 -216.806 -2.18081 2.18081 0.20 0.000871051 0.00081429 0.0651041 0.0608764 -1 -1 -1 -1 42 1542 18 5.66058e+06 4.21279e+06 345696. 2400.67 1.13 0.294528 0.268041 13090 66981 -1 1416 8 543 745 35361 11414 2.49575 2.49575 -234.092 -2.49575 0 0 434636. 3018.30 0.01 0.05 0.06 -1 -1 0.01 0.030307 0.0282093 + k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 9.96 vpr 69.24 MiB 0.07 9392 -1 -1 6 0.19 -1 -1 33920 -1 -1 32 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70900 162 96 1075 884 1 670 295 16 16 256 mult_36 auto 30.1 MiB 0.49 5160 94471 35415 51856 7200 69.2 MiB 0.74 0.01 15.9904 -1286.75 -15.9904 15.9904 0.27 0.00345699 0.00326031 0.349015 0.32891 -1 -1 -1 -1 60 10994 36 1.21132e+07 3.70461e+06 934704. 3651.19 6.11 1.82097 1.68595 27828 185084 -1 8635 20 2907 4748 715747 224038 17.0822 17.0822 -1375.28 -17.0822 0 0 1.17753e+06 4599.72 0.04 0.31 0.16 -1 -1 0.04 0.158086 0.147227 + k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 7.70 vpr 68.74 MiB 0.05 8560 -1 -1 6 0.13 -1 -1 33992 -1 -1 21 66 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70388 66 96 866 607 1 543 190 18 18 324 mult_36 auto 28.8 MiB 0.57 5097 44416 14273 26232 3911 68.7 MiB 0.47 0.01 12.3169 -787.934 -12.3169 12.3169 0.35 0.00288474 0.0027401 0.250323 0.238091 -1 -1 -1 -1 52 10373 23 1.57076e+07 3.90377e+06 1.05274e+06 3249.19 3.92 0.908001 0.848053 34348 215132 -1 9477 20 3248 7250 1757062 476866 13.0064 13.0064 -847.84 -13.0064 0 0 1.38553e+06 4276.33 0.05 0.48 0.19 -1 -1 0.05 0.138242 0.129665 + k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 55.39 vpr 347.92 MiB 0.81 69624 -1 -1 5 8.09 -1 -1 53072 -1 -1 473 506 45 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 356268 506 553 3519 4017 1 3097 1577 50 50 2500 memory auto 53.5 MiB 7.47 15924 1157627 563985 409271 184371 347.9 MiB 5.44 0.07 8.181 -2063.28 -8.181 8.181 10.26 0.0231631 0.0209404 2.86596 2.57695 -1 -1 -1 -1 38 23223 21 1.47946e+08 5.01525e+07 6.86579e+06 2746.32 10.75 7.15713 6.49923 258216 1426232 -1 22576 15 4060 5342 1035429 272368 8.43017 8.43017 -2370.82 -8.43017 0 0 8.69102e+06 3476.41 0.40 1.08 1.25 -1 -1 0.40 0.88555 0.817547 + k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 13.98 vpr 80.89 MiB 0.19 16816 -1 -1 2 0.14 -1 -1 33608 -1 -1 31 311 15 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 82836 311 156 1019 1160 1 965 513 28 28 784 memory auto 31.7 MiB 0.76 9125 203661 76388 118038 9235 71.9 MiB 1.26 0.02 4.09928 -4401.93 -4.09928 4.09928 0.97 0.00590528 0.00525195 0.622553 0.550893 -1 -1 -1 -1 46 14292 17 4.25198e+07 9.89071e+06 2.40571e+06 3068.51 6.96 2.45632 2.17367 81794 492802 -1 13566 15 2624 2941 619680 175177 4.26319 4.26319 -5021.5 -4.26319 0 0 3.09729e+06 3950.62 0.12 0.35 0.42 -1 -1 0.12 0.218802 0.197684 + k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 24.32 vpr 83.96 MiB 0.46 29244 -1 -1 4 1.88 -1 -1 38016 -1 -1 189 193 5 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85980 193 205 2863 2789 1 1439 592 20 20 400 memory auto 43.1 MiB 3.54 11771 253960 89651 136809 27500 84.0 MiB 2.17 0.03 4.67723 -2654.47 -4.67723 4.67723 0.44 0.00900099 0.00819441 0.905746 0.815259 -1 -1 -1 -1 50 22433 45 2.07112e+07 1.2926e+07 1.26946e+06 3173.65 11.33 3.18157 2.82732 41784 253636 -1 17892 17 5570 13484 700920 150319 4.82225 4.82225 -2828.91 -4.82225 0 0 1.63222e+06 4080.54 0.06 0.58 0.22 -1 -1 0.06 0.40312 0.369448 + k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 43.34 vpr 117.84 MiB 0.88 39776 -1 -1 8 4.32 -1 -1 41988 -1 -1 258 385 2 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 120668 385 394 4673 4537 1 2402 1040 27 27 729 io auto 55.1 MiB 10.97 31226 590944 229570 335529 25845 98.8 MiB 6.26 0.07 8.49305 -10507.1 -8.49305 8.49305 0.85 0.0168296 0.0156443 2.21857 2.03696 -1 -1 -1 -1 92 45492 18 3.93038e+07 1.53967e+07 4.24291e+06 5820.18 11.56 5.90103 5.40777 98853 888777 -1 43336 19 10072 35436 1903495 335341 8.64458 8.64458 -10958.4 -8.64458 0 0 5.38988e+06 7393.52 0.25 1.42 0.81 -1 -1 0.25 0.868994 0.807211 + k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 18.99 vpr 83.97 MiB 0.47 31632 -1 -1 3 1.30 -1 -1 40388 -1 -1 123 214 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85988 214 305 2963 2869 1 1444 650 19 19 361 io auto 42.9 MiB 2.34 11237 214514 71103 131344 12067 84.0 MiB 1.68 0.03 4.53 -2651.41 -4.53 4.53 0.30 0.0081428 0.00752702 0.697544 0.644697 -1 -1 -1 -1 58 21099 31 1.72706e+07 9.79696e+06 1.32779e+06 3678.09 8.10 3.22447 2.94147 39763 268823 -1 18983 16 5722 13316 1766310 456164 4.74311 4.74311 -2886.77 -4.74311 0 0 1.69263e+06 4688.74 0.06 0.69 0.28 -1 -1 0.06 0.345386 0.321329 + k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 272.73 abc 92.77 MiB 2.09 38268 -1 -1 3 250.47 -1 -1 94996 -1 -1 155 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85432 38 36 2995 2744 1 1203 229 17 17 289 clb auto 42.4 MiB 2.62 11670 42229 10578 28453 3198 83.4 MiB 1.05 0.02 9.56668 -2644.49 -9.56668 9.56668 0.30 0.00656702 0.00586724 0.468925 0.409101 -1 -1 -1 -1 68 16961 39 1.34605e+07 8.35357e+06 1.21205e+06 4193.96 7.72 3.3871 2.92303 32923 238265 -1 15449 21 4262 11796 386501 67599 10.0346 10.0346 -2927.77 -10.0346 0 0 1.50552e+06 5209.41 0.05 0.51 0.21 -1 -1 0.05 0.381624 0.341924 + k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 10.21 vpr 73.09 MiB 0.28 20756 -1 -1 15 0.70 -1 -1 35888 -1 -1 64 45 3 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74840 45 32 1275 1232 1 833 145 14 14 196 memory auto 33.5 MiB 3.02 7318 32445 8399 21096 2950 73.1 MiB 0.73 0.01 10.858 -7202.1 -10.858 10.858 0.20 0.00401825 0.00358124 0.372462 0.331214 -1 -1 -1 -1 62 14199 22 9.20055e+06 5.48922e+06 735792. 3754.04 2.60 1.09318 0.957143 21652 143691 -1 12039 14 3799 10270 769415 195252 11.2813 11.2813 -7721.94 -11.2813 0 0 913676. 4661.61 0.03 0.33 0.13 -1 -1 0.03 0.167019 0.15166 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision0.v common 77.15 vpr 237.29 MiB 2.19 122604 -1 -1 5 8.30 -1 -1 70708 -1 -1 725 157 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 242980 157 197 23846 21799 1 6113 1079 34 34 1156 clb auto 153.0 MiB 11.42 40349 554279 179120 354733 20426 212.2 MiB 8.17 0.10 3.44133 -14164.6 -3.44133 3.44133 1.41 0.0419871 0.0368812 3.82221 3.26092 -1 -1 -1 -1 48 64709 42 6.50233e+07 3.90734e+07 3.79520e+06 3283.05 25.00 13.2504 11.1208 124420 775892 -1 55531 14 16382 26779 951067 191033 3.60577 3.60577 -14927 -3.60577 0 0 4.86353e+06 4207.21 0.21 1.74 0.66 -1 -1 0.21 1.638 1.46031 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision1.v common 156.27 vpr 277.42 MiB 2.09 108040 -1 -1 3 48.42 -1 -1 84944 -1 -1 770 115 0 40 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 284076 115 145 23133 19546 1 9694 1070 40 40 1600 mult_36 auto 154.6 MiB 12.62 79581 586360 191379 370364 24617 221.6 MiB 10.93 0.12 5.13017 -22530.1 -5.13017 5.13017 2.15 0.0351572 0.0292193 4.14895 3.53646 -1 -1 -1 -1 80 127150 44 9.16046e+07 5.73393e+07 8.41679e+06 5260.49 54.58 16.4025 13.7039 205632 1754580 -1 114217 13 29778 46242 7115265 1603973 5.57953 5.57953 -24422.4 -5.57953 0 0 1.06125e+07 6632.80 0.42 3.05 1.43 -1 -1 0.42 1.6584 1.47502 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision2.v common 651.40 vpr 1.60 GiB 2.63 156012 -1 -1 3 8.81 -1 -1 202312 -1 -1 1977 149 0 324 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1678240 149 182 65737 42630 1 35715 2632 104 104 10816 mult_36 auto 374.2 MiB 40.04 311596 2454907 884079 1495837 74991 1638.9 MiB 70.37 0.48 14.6044 -61020 -14.6044 14.6044 49.60 0.117008 0.105376 17.0093 14.9395 -1 -1 -1 -1 68 450703 48 6.67561e+08 2.34845e+08 5.23589e+07 4840.87 395.44 72.2092 62.3025 1334630 10731989 -1 422434 19 118711 141570 15462485 3411624 15.8253 15.8253 -68233.2 -15.8253 0 0 6.49608e+07 6005.99 3.14 9.68 9.88 -1 -1 3.14 6.01093 5.31531 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.51 vpr 66.34 MiB 0.09 10100 -1 -1 5 0.14 -1 -1 33148 -1 -1 14 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67928 11 30 313 321 2 115 55 7 7 49 clb auto 26.7 MiB 0.30 456 2239 413 1740 86 66.3 MiB 0.05 0.00 2.65898 -171.948 -2.65898 2.30209 0.04 0.000886162 0.000807618 0.0241056 0.022178 -1 -1 -1 -1 30 934 29 1.07788e+06 754516 77114.5 1573.76 0.50 0.245302 0.207397 3660 13876 -1 783 19 469 889 29198 10184 2.65817 2.36697 -188.291 -2.65817 0 0 95414.1 1947.23 0.00 0.06 0.01 -1 -1 0.00 0.0401555 0.0354168 + k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 424.13 vpr 654.30 MiB 5.41 206884 -1 -1 101 90.71 -1 -1 104380 -1 -1 2232 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 670004 114 102 38224 33865 1 17936 2500 57 57 3249 clb auto 296.0 MiB 88.56 238874 1969860 742285 1191850 35725 654.3 MiB 73.26 0.61 75.9908 -52848.4 -75.9908 75.9908 13.94 0.119995 0.0990419 13.9371 11.5851 -1 -1 -1 -1 92 346294 24 1.92089e+08 1.47573e+08 1.98119e+07 6097.84 92.04 46.6101 38.3968 448119 4205394 -1 325298 22 78986 295129 14718681 2597490 76.1097 76.1097 -61489.2 -76.1097 0 0 2.51903e+07 7753.25 1.12 11.41 4.01 -1 -1 1.12 6.75644 5.7346 + k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 2794.92 vpr 2.15 GiB 27.20 702236 -1 -1 101 880.69 -1 -1 313852 -1 -1 7600 114 167 32 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2255188 114 102 124851 111146 1 58871 8015 103 103 10609 clb auto 934.7 MiB 293.36 1043923 10110191 4211863 5843486 54842 2044.4 MiB 496.28 3.13 76.166 -325620 -76.166 76.166 46.17 0.380796 0.319544 52.0019 43.437 -1 -1 -1 -1 124 1367703 42 6.46441e+08 5.13746e+08 8.61045e+07 8116.18 832.38 166.433 135.976 1699828 18865638 -1 1310007 22 217059 913739 52502988 8651301 76.2861 76.2861 -462427 -76.2861 0 0 1.09063e+08 10280.2 5.97 42.07 19.66 -1 -1 5.97 24.2946 20.4139 + k6_frac_N10_frac_chain_mem32K_40nm.xml mcml.v common 5521.11 vpr 2.21 GiB 66.02 890848 -1 -1 26 3208.51 -1 -1 375960 -1 -1 7302 36 159 27 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2318968 36 356 190343 166383 1 60659 7880 101 101 10201 clb auto 1094.9 MiB 354.20 718639 11686500 4557070 6682417 447013 2151.0 MiB 494.38 3.08 47.0709 -322999 -47.0709 47.0709 43.14 0.339115 0.29521 56.3357 46.8416 -1 -1 -1 -1 124 957569 42 6.22828e+08 4.91323e+08 8.26363e+07 8100.80 1146.80 253.769 207.967 1632490 18090185 -1 921573 21 221603 569140 33110457 5760400 46.3566 46.3566 -361674 -46.3566 0 0 1.04691e+08 10262.8 4.87 27.77 18.47 -1 -1 4.87 19.8348 17.1642 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_depop/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_depop/config/golden_results.txt index 1a2d7cfe27e..26cbed08b92 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_depop/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_depop/config/golden_results.txt @@ -1,22 +1,22 @@ -arch circuit script_params vtr_flow_elapsed_time error odin_synth_time max_odin_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_time placed_wirelength_est place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml arm_core.v common 366.18 3.53 128232 18 85.76 -1 -1 72148 -1 -1 844 133 24 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 279720 133 179 18339 18121 1 8939 1180 36 36 1296 clb memory auto 17.97 133843 22.52 0.15 18.4184 -141279 -18.4184 18.4184 4.69 0.0517384 0.045552 7.30796 6.28578 146 224959 37 7.21828e+07 5.86395e+07 1.23606e+07 9537.51 162.12 27.1627 23.7865 207535 16 38497 147575 36095601 8273636 20.0278 20.0278 -158267 -20.0278 0 0 1.55729e+07 12016.1 4.98 11.95 3.08151 2.82269 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml bgm.v common 991.52 11.78 375836 14 288.16 -1 -1 150616 -1 -1 2305 257 0 11 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 740404 257 32 36518 33906 1 19046 2605 58 58 3364 clb auto 54.16 247747 99.40 0.70 17.1218 -23175.7 -17.1218 17.1218 47.00 0.12732 0.115715 16.2724 14.0282 116 491009 49 2.00088e+08 1.28585e+08 2.71672e+07 8075.87 394.04 94.3203 82.2886 462659 23 111035 519425 47964581 8402128 19.6696 19.6696 -26147.4 -19.6696 0 0 3.40353e+07 10117.5 13.44 20.98 8.74929 7.88966 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml blob_merge.v common 193.24 1.09 58604 5 57.92 -1 -1 68924 -1 -1 539 36 0 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 152832 36 100 14036 11283 1 3780 675 29 29 841 clb auto 14.61 47553 10.67 0.07 12.9252 -2650.36 -12.9252 12.9252 2.93 0.0311144 0.0273874 4.25977 3.76907 98 99647 41 4.4999e+07 2.90489e+07 5.54665e+06 6595.30 86.90 15.1417 13.4298 87659 18 16525 73018 5640392 977319 14.2116 14.2116 -3076.05 -14.2116 0 0 6.99642e+06 8319.17 2.27 2.98 1.70896 1.57933 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml boundtop.v common 10.24 1.31 45200 3 0.54 -1 -1 41320 -1 -1 89 142 0 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 59272 142 193 1069 1140 1 530 424 14 14 196 clb auto 0.64 1531 0.95 0.01 2.94005 -452.908 -2.94005 2.94005 0.52 0.00225564 0.0021036 0.393919 0.363586 40 3930 14 9.20055e+06 4.79657e+06 529800. 2703.06 3.23 1.15439 1.07156 3532 10 1272 2291 141758 41077 3.56317 3.56317 -566.913 -3.56317 0 0 662635. 3380.79 0.20 0.12 0.0875529 0.0837756 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml ch_intrinsics.v common 3.25 0.09 9780 3 0.31 -1 -1 39504 -1 -1 64 99 1 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 39260 99 130 363 493 1 261 294 12 12 144 clb auto 0.16 697 0.37 0.00 2.11495 -207.011 -2.11495 2.11495 0.33 0.000674017 0.00061544 0.111707 0.101902 42 1903 12 5.66058e+06 3.99722e+06 373597. 2594.42 0.79 0.266683 0.245564 1800 12 675 938 87522 29405 2.95628 2.95628 -261.888 -2.95628 0 0 468675. 3254.69 0.14 0.05 0.0280509 0.0265793 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml diffeq1.v common 11.56 0.07 9564 6 0.20 -1 -1 38684 -1 -1 26 162 0 5 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 56408 162 96 1075 884 1 667 289 16 16 256 mult_36 auto 0.50 5078 0.88 0.01 15.3726 -1203.18 -15.3726 15.3726 0.69 0.00332607 0.00315459 0.443145 0.418579 60 11156 33 1.21132e+07 3.38124e+06 1.01260e+06 3955.47 6.53 1.39261 1.32314 9613 24 3616 6215 1828440 447202 17.5186 17.5186 -1462.9 -17.5186 0 0 1.26536e+06 4942.82 0.37 0.56 0.203639 0.19536 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml diffeq2.v common 14.18 0.05 8820 6 0.12 -1 -1 37868 -1 -1 16 66 0 7 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 50700 66 96 866 607 1 533 185 18 18 324 mult_36 auto 0.34 4735 0.79 0.01 12.0481 -714.751 -12.0481 12.0481 0.97 0.00333463 0.00317376 0.459311 0.436907 52 11667 29 1.57076e+07 3.6343e+06 1.14165e+06 3523.62 8.28 1.3168 1.26106 10315 22 4558 9816 5259633 1258198 13.5233 13.5233 -870.647 -13.5233 0 0 1.50318e+06 4639.44 0.46 1.24 0.172405 0.166447 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml LU8PEEng.v common 766.66 14.64 210096 101 114.35 -1 -1 107972 -1 -1 1851 114 44 8 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 600612 114 102 38224 33865 1 17424 2119 53 53 2809 clb auto 71.39 224050 83.22 0.59 66.8715 -51660.4 -66.8715 66.8715 38.17 0.129414 0.108857 17.3431 14.5804 124 411569 38 1.63647e+08 1.27041e+08 2.38080e+07 8475.60 354.88 74.7883 64.1041 376538 25 80780 317832 56593788 12162753 74.6606 74.6606 -65414.8 -74.6606 -28.8437 -0.20415 3.00291e+07 10690.3 11.73 25.38 8.85759 7.88032 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml LU32PEEng.v common 4509.90 62.45 709160 101 1034.68 -1 -1 317808 -1 -1 6269 114 167 32 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 2426076 114 102 124851 111146 1 58394 6684 94 94 8836 clb auto 233.08 1032133 522.71 2.89 66.4852 -332544 -66.4852 66.4852 133.48 0.425542 0.37957 64.5818 55.4437 172 1599600 42 5.40921e+08 4.4202e+08 1.03144e+08 11673.2 2170.22 308.629 265.121 1517775 23 238646 1029395 273863959 63708210 77.2438 77.2438 -489390 -77.2438 -29.2742 -0.172573 1.30092e+08 14722.9 50.71 112.15 28.4487 25.2671 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mcml.v common 6426.13 123.41 897628 26 3730.31 -1 -1 376940 -1 -1 6697 36 159 27 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 2551024 36 356 190343 166383 1 60345 7275 97 97 9409 clb auto 271.63 729788 715.92 3.10 40.1533 -303194 -40.1533 40.1533 130.72 0.369181 0.328539 77.2606 65.5418 160 1078522 36 5.71422e+08 4.58721e+08 1.01844e+08 10824.1 1168.73 286.245 247.747 1023525 21 252001 682213 135772294 30665549 42.8992 42.8992 -363536 -42.8992 0 0 1.29602e+08 13774.3 41.27 52.44 22.4858 20.3437 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkDelayWorker32B.v common 101.95 2.12 71084 5 8.50 -1 -1 56628 -1 -1 453 506 45 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 367804 506 553 3519 4017 1 3086 1557 50 50 2500 memory auto 6.04 15906 7.85 0.06 6.63464 -1807.67 -6.63464 6.63464 33.19 0.0190454 0.0175723 4.04596 3.69702 40 24763 18 1.47946e+08 4.90746e+07 7.85310e+06 3141.24 22.40 9.11031 8.44651 23665 16 4699 6227 4255095 1086941 7.29496 7.29496 -2223.41 -7.29496 -5.03631 -0.193229 9.77405e+06 3909.62 4.02 1.79 0.908857 0.861995 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkPktMerge.v common 18.64 0.27 17032 2 0.10 -1 -1 39696 -1 -1 25 311 15 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 58432 311 156 1019 1160 1 954 507 28 28 784 memory auto 0.70 7932 1.32 0.02 3.65703 -4338.92 -3.65703 3.65703 2.60 0.00445569 0.00397936 0.641896 0.568983 40 14723 37 4.25198e+07 9.56735e+06 2.32339e+06 2963.51 7.86 1.97475 1.78872 13500 17 3399 3683 2547833 716874 4.00095 4.00095 -5037.99 -4.00095 -15.6433 -0.322548 2.89875e+06 3697.39 1.03 0.76 0.215687 0.201099 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 31.99 0.62 30404 4 2.26 -1 -1 42960 -1 -1 165 193 5 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 75044 193 205 2863 2789 1 1379 568 20 20 400 memory auto 1.85 11348 3.19 0.02 4.02531 -2513.1 -4.02531 4.02531 1.17 0.00644756 0.00579169 1.25044 1.11965 78 22965 36 2.07112e+07 1.16325e+07 2.06176e+06 5154.39 17.43 4.00817 3.64129 20086 16 5564 15748 1823107 397997 4.53843 4.53843 -2890.18 -4.53843 -7.37934 -0.298787 2.60035e+06 6500.87 0.74 0.74 0.372287 0.350206 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml or1200.v common 94.88 1.04 40356 8 6.19 -1 -1 45260 -1 -1 205 385 2 1 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 125560 385 394 4673 4537 1 2360 987 27 27 729 io auto 5.43 30254 7.09 0.08 8.05283 -9285.19 -8.05283 8.05283 2.53 0.01604 0.0150071 2.35096 2.17604 114 55965 25 3.93038e+07 1.25403e+07 5.50397e+06 7550.03 60.09 10.3708 9.63043 50867 20 11261 40543 5771240 1124941 8.79936 8.79936 -10123.8 -8.79936 0 0 6.92971e+06 9505.78 2.34 2.27 0.939816 0.886385 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml raygentop.v common 28.13 0.86 32028 3 1.28 -1 -1 44032 -1 -1 100 214 0 8 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 75072 214 305 2963 2869 1 1464 627 19 19 361 io auto 2.03 10704 2.27 0.03 4.29962 -2466.29 -4.29962 4.29962 1.13 0.00731701 0.00680645 0.95926 0.888097 64 26676 46 1.72706e+07 8.5574e+06 1.60702e+06 4451.57 14.42 3.71517 3.46917 21800 23 7166 16966 4782812 1073669 5.04074 5.04074 -3013.22 -5.04074 0 0 1.98721e+06 5504.73 0.60 1.47 0.489947 0.465483 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml sha.v common 336.02 1.83 39076 3 311.80 -1 -1 95840 -1 -1 138 38 0 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 63968 38 36 2995 2744 1 1208 212 16 16 256 clb auto 2.24 11256 1.96 0.02 8.87371 -2382.95 -8.87371 8.87371 0.63 0.00574619 0.00517374 0.816572 0.721565 80 21797 26 1.21132e+07 7.43737e+06 1.29183e+06 5046.22 8.75 2.7517 2.45615 19351 22 5540 18113 1095161 241229 10.3694 10.3694 -2917.57 -10.3694 0 0 1.62458e+06 6346.00 0.42 0.73 0.488978 0.452337 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml spree.v common 16.08 0.43 21076 15 0.90 -1 -1 39404 -1 -1 49 45 3 1 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 61968 45 32 1275 1232 1 822 130 14 14 196 memory auto 1.25 6630 1.02 0.01 9.55177 -6480.8 -9.55177 9.55177 0.53 0.003215 0.00286306 0.531553 0.469804 100 14267 33 9.20055e+06 4.68081e+06 1.19340e+06 6088.79 8.66 1.84126 1.64944 12397 14 3745 10984 1853298 463403 10.4092 10.4092 -7370.27 -10.4092 -17.8589 -0.318417 1.49920e+06 7648.97 0.40 0.58 0.193835 0.181911 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision0.v common 82.00 3.90 122720 5 9.54 -1 -1 74484 -1 -1 684 157 0 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 215576 157 197 23846 21799 1 6801 1038 33 33 1089 clb auto 9.15 40314 10.39 0.08 2.95855 -13303.1 -2.95855 2.95855 3.75 0.0355087 0.0318712 4.99483 4.32205 64 68056 41 6.0475e+07 3.68636e+07 5.14656e+06 4725.95 23.14 16.0714 14.2441 64167 14 19652 32945 2075106 479443 3.72591 3.72591 -16028.1 -3.72591 0 0 6.36035e+06 5840.54 2.14 2.15 1.91861 1.77768 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision1.v common 219.37 3.83 108224 3 44.46 -1 -1 88564 -1 -1 642 115 0 40 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 250728 115 145 23133 19546 1 9748 942 40 40 1600 mult_36 auto 8.25 76270 12.86 0.10 4.99955 -21585.1 -4.99955 4.99955 5.73 0.0345488 0.0302806 5.44775 4.79445 88 140299 45 9.16046e+07 5.04406e+07 9.92704e+06 6204.40 110.77 19.7099 17.5419 123303 21 39408 63999 27118412 5502186 5.37438 5.37438 -25122.8 -5.37438 0 0 1.23763e+07 7735.20 4.04 7.66 2.4484 2.25387 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision2.v common 724.91 4.83 155612 3 8.43 -1 -1 206404 -1 -1 1650 149 0 324 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 1739948 149 182 65737 42630 1 35997 2305 104 104 10816 mult_36 auto 37.71 335815 72.99 0.46 14.4526 -61711 -14.4526 14.4526 150.35 0.147959 0.138663 24.3248 22.1024 74 514709 36 6.67561e+08 2.17223e+08 6.06274e+07 5605.34 305.65 72.9675 66.743 498077 20 143781 170712 57264364 11639493 16.5372 16.5372 -74959.5 -16.5372 0 0 7.58441e+07 7012.22 31.42 20.46 8.60695 7.98987 -k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision3.v common 1.84 0.11 10352 5 0.14 -1 -1 37956 -1 -1 15 11 0 0 success v8.0.0-4442-g4cb3b2f11e Release VTR_ASSERT_LEVEL=2 GNU 8.4.1 on Linux-4.18.0-193.el8.x86_64 x86_64 2021-08-19T16:17:54 node3 /root/mntssd/sdamghan 39304 11 30 313 321 2 108 56 7 7 49 clb auto 0.22 395 0.11 0.00 2.36605 -152.494 -2.36605 1.9999 0.07 0.000476264 0.000407281 0.0613289 0.0518885 30 1169 31 1.07788e+06 808410 84241.2 1719.21 0.29 0.152431 0.131534 944 17 532 1516 56407 21898 3.07845 2.37233 -183.444 -3.07845 0 0 103128. 2104.65 0.02 0.04 0.0304834 0.0280591 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml arm_core.v common 291.79 vpr 347.77 MiB 3.33 127036 -1 -1 18 74.78 -1 -1 67044 -1 -1 872 133 24 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 356120 133 179 18379 18161 1 8747 1208 37 37 1369 clb auto 145.3 MiB 15.93 137022 644308 210792 408526 24990 210.7 MiB 18.69 0.17 18.7 -143058 -18.7 18.7 1.99 0.0513907 0.0451283 5.97214 5.04592 -1 -1 -1 -1 144 231078 40 7.54166e+07 6.01486e+07 1.29396e+07 9451.85 123.77 29.648 24.5435 245398 2948354 -1 212508 17 38157 152893 14612869 2727745 18.7504 18.7504 -151687 -18.7504 0 0 1.63545e+07 11946.3 0.70 6.32 2.90 -1 -1 0.70 2.70475 2.36868 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml bgm.v common 702.65 vpr 776.92 MiB 8.38 372860 -1 -1 14 292.94 -1 -1 148272 -1 -1 2367 257 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 795564 257 32 36518 33906 1 18590 2667 59 59 3481 clb auto 295.1 MiB 48.10 232761 1851367 648559 1173107 29701 776.9 MiB 80.06 0.71 19.6105 -25503 -19.6105 19.6105 19.00 0.119316 0.0995551 12.6353 10.6839 -1 -1 -1 -1 116 474814 37 2.05423e+08 1.31927e+08 2.81418e+07 8084.40 174.27 58.363 48.4476 570456 6327992 -1 447334 22 105897 511864 38703318 7252307 20.1588 20.1588 -26078.4 -20.1588 0 0 3.52566e+07 10128.3 1.65 19.56 5.93 -1 -1 1.65 7.38155 6.28162 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml blob_merge.v common 111.67 vpr 184.46 MiB 0.80 57480 -1 -1 5 43.53 -1 -1 60652 -1 -1 558 36 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 188888 36 100 14036 11283 1 3586 694 30 30 900 clb auto 100.3 MiB 14.56 46175 277084 82401 176673 18010 152.1 MiB 6.40 0.06 14.3691 -2708.37 -14.3691 14.3691 1.17 0.0260658 0.0234536 2.6352 2.32035 -1 -1 -1 -1 92 95778 37 4.8774e+07 3.00729e+07 5.67807e+06 6308.97 28.80 10.4782 8.88702 130492 1236071 -1 84493 16 14969 68796 4747746 871349 14.349 14.349 -2981.09 -14.349 0 0 7.17307e+06 7970.08 0.29 2.57 1.08 -1 -1 0.29 1.40469 1.23146 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml boundtop.v common 9.88 vpr 70.44 MiB 0.79 45044 -1 -1 3 0.59 -1 -1 37612 -1 -1 93 142 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72128 142 193 1069 1140 1 511 428 14 14 196 clb auto 30.9 MiB 1.14 1872 142284 47005 74798 20481 70.4 MiB 0.64 0.01 3.3532 -525.945 -3.3532 3.3532 0.22 0.00311301 0.0029201 0.28724 0.269521 -1 -1 -1 -1 36 4473 15 9.20055e+06 5.01214e+06 486621. 2482.76 2.62 1.27159 1.1655 19956 97955 -1 3839 12 1270 2188 128706 37873 3.95564 3.95564 -585.131 -3.95564 0 0 598914. 3055.69 0.02 0.14 0.08 -1 -1 0.02 0.100802 0.0941456 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml ch_intrinsics.v common 3.71 vpr 65.91 MiB 0.09 9464 -1 -1 3 0.27 -1 -1 34404 -1 -1 67 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67492 99 130 363 493 1 262 297 12 12 144 clb auto 26.8 MiB 0.18 849 70587 26440 33913 10234 65.9 MiB 0.26 0.00 2.60602 -231.198 -2.60602 2.60602 0.15 0.00130279 0.00123358 0.0976818 0.092536 -1 -1 -1 -1 46 1848 21 5.66058e+06 4.1589e+06 408669. 2837.98 1.37 0.486388 0.445754 14568 82464 -1 1624 14 603 889 60226 21062 2.87913 2.87913 -253.701 -2.87913 0 0 525203. 3647.24 0.02 0.07 0.07 -1 -1 0.02 0.0430549 0.0397935 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml diffeq1.v common 13.00 vpr 68.70 MiB 0.06 9256 -1 -1 6 0.19 -1 -1 33944 -1 -1 29 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70344 162 96 1075 884 1 667 292 16 16 256 mult_36 auto 29.7 MiB 0.49 5395 97092 36678 53963 6451 68.7 MiB 0.78 0.01 15.9336 -1296.27 -15.9336 15.9336 0.29 0.00351869 0.00331893 0.370182 0.348976 -1 -1 -1 -1 52 12196 28 1.21132e+07 3.54293e+06 870783. 3401.49 8.66 1.72324 1.59548 28652 182587 -1 9914 38 3841 6673 1490081 530405 17.4875 17.4875 -1424.14 -17.4875 0 0 1.14646e+06 4478.35 0.04 0.68 0.15 -1 -1 0.04 0.274669 0.254915 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml diffeq2.v common 11.04 vpr 68.52 MiB 0.05 8452 -1 -1 6 0.13 -1 -1 34012 -1 -1 17 66 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70164 66 96 866 607 1 529 186 18 18 324 mult_36 auto 28.7 MiB 0.40 5109 48416 17839 25588 4989 68.5 MiB 0.52 0.01 12.1143 -760.353 -12.1143 12.1143 0.37 0.00289223 0.00275162 0.278229 0.264663 -1 -1 -1 -1 54 11159 29 1.57076e+07 3.6882e+06 1.18515e+06 3657.87 7.28 1.4026 1.30648 37552 249620 -1 9668 20 3495 7291 1571833 418808 13.1012 13.1012 -858.344 -13.1012 0 0 1.53701e+06 4743.85 0.05 0.44 0.21 -1 -1 0.05 0.137199 0.128494 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml LU8PEEng.v common 542.35 vpr 705.36 MiB 4.37 206988 -1 -1 101 94.81 -1 -1 104684 -1 -1 1887 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 722284 114 102 38224 33865 1 17365 2155 53 53 2809 clb auto 289.3 MiB 61.02 224162 1602265 582982 989299 29984 705.4 MiB 67.00 0.51 73.7166 -53336.7 -73.7166 73.7166 15.00 0.106716 0.0948651 13.7776 11.715 -1 -1 -1 -1 122 417507 38 1.63647e+08 1.28981e+08 2.35289e+07 8376.24 236.34 63.7758 52.1868 468068 5278866 -1 376028 25 80920 323899 26371427 5208705 74.9223 74.9223 -64466 -74.9223 -21.5735 -0.292146 2.93425e+07 10445.9 1.38 15.34 5.04 -1 -1 1.38 7.85054 6.46559 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml LU32PEEng.v common 3099.91 vpr 2.29 GiB 27.61 702068 -1 -1 101 905.00 -1 -1 313816 -1 -1 6402 114 167 32 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2396244 114 102 124851 111146 1 58084 6817 95 95 9025 clb auto 894.8 MiB 183.39 1015601 8212287 3364828 4800026 47433 2166.8 MiB 504.22 3.02 75.1569 -311301 -75.1569 75.1569 54.81 0.37213 0.325085 54.3234 45.2652 -1 -1 -1 -1 168 1600087 39 5.4965e+08 4.49188e+08 1.03044e+08 11417.6 1195.85 203.339 165.746 1796316 24042714 -1 1518432 22 236311 1025994 91495859 17413505 75.727 75.727 -443631 -75.727 -37.7389 -0.292146 1.29867e+08 14389.7 6.57 47.54 25.63 -1 -1 6.57 23.0568 19.4628 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mcml.v common 6611.05 vpr 2.39 GiB 60.67 890792 -1 -1 26 3442.10 -1 -1 375844 -1 -1 7027 36 159 27 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2501500 36 356 190343 166383 1 59511 7605 99 99 9801 clb auto 1091.6 MiB 226.14 746046 11519721 4544226 6531297 444198 2368.2 MiB 518.79 3.51 45.334 -311762 -45.334 45.334 54.31 0.358183 0.293137 58.2295 48.1153 -1 -1 -1 -1 144 1085436 50 6.00857e+08 4.76504e+08 9.77703e+07 9975.54 2089.24 238.751 194.204 1806854 22675019 -1 1031574 20 245220 673745 57967312 11451649 43.622 43.622 -380770 -43.622 -1.03063 -0.17036 1.23455e+08 12596.2 5.67 31.81 22.62 -1 -1 5.67 19.2529 16.7274 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkDelayWorker32B.v common 58.74 vpr 431.58 MiB 0.77 69588 -1 -1 5 7.68 -1 -1 52892 -1 -1 475 506 45 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 441940 506 553 3519 4017 1 3087 1579 50 50 2500 memory auto 53.6 MiB 6.50 17221 1178027 584862 404619 188546 431.6 MiB 5.80 0.06 7.33208 -2101.81 -7.33208 7.33208 13.37 0.0231086 0.0208306 3.07564 2.75318 -1 -1 -1 -1 38 25596 17 1.47946e+08 5.02603e+07 7.51727e+06 3006.91 11.26 7.06235 6.39723 284136 1605944 -1 24514 15 4632 6036 1313395 335831 7.82682 7.82682 -2597.04 -7.82682 -2.55941 -0.292146 9.46795e+06 3787.18 0.48 1.13 1.35 -1 -1 0.48 0.885819 0.818484 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkPktMerge.v common 16.55 vpr 74.85 MiB 0.21 16796 -1 -1 2 0.14 -1 -1 33584 -1 -1 30 311 15 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 76648 311 156 1019 1160 1 954 512 28 28 784 memory auto 31.2 MiB 0.80 8248 199071 70861 118230 9980 74.8 MiB 1.20 0.02 3.5372 -4358.35 -3.5372 3.5372 0.98 0.00586066 0.00520734 0.594519 0.525947 -1 -1 -1 -1 36 14962 25 4.25198e+07 9.83682e+06 2.12999e+06 2716.82 9.40 2.735 2.41577 83724 436647 -1 13668 14 3195 3600 821259 252431 4.1553 4.1553 -5066.12 -4.1553 -10.4363 -0.298787 2.61523e+06 3335.75 0.12 0.39 0.36 -1 -1 0.12 0.207604 0.187941 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 24.34 vpr 85.81 MiB 0.47 29452 -1 -1 4 1.88 -1 -1 37912 -1 -1 169 193 5 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 87868 193 205 2863 2789 1 1374 572 20 20 400 memory auto 42.5 MiB 1.62 11128 244991 86580 131169 27242 83.6 MiB 2.16 0.03 4.42479 -2677.43 -4.42479 4.42479 0.47 0.00806529 0.00729189 0.898996 0.808444 -1 -1 -1 -1 82 21037 25 2.07112e+07 1.18481e+07 2.14661e+06 5366.52 12.85 4.7475 4.2264 53670 456392 -1 18764 15 4996 13730 998743 220865 4.74032 4.74032 -2860.85 -4.74032 -11.3801 -0.360359 2.68822e+06 6720.56 0.10 0.56 0.39 -1 -1 0.10 0.348202 0.320479 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml or1200.v common 55.97 vpr 124.26 MiB 0.89 39836 -1 -1 8 4.32 -1 -1 41852 -1 -1 208 385 2 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 127244 385 394 4673 4537 1 2333 990 27 27 729 io auto 53.5 MiB 4.93 30166 553374 224335 304910 24129 97.9 MiB 5.78 0.07 8.45877 -9993.73 -8.45877 8.45877 0.92 0.016983 0.0158712 2.05714 1.88425 -1 -1 -1 -1 114 54202 35 3.93038e+07 1.2702e+07 5.50397e+06 7550.03 30.10 8.0511 7.36095 116170 1222353 -1 49742 17 11074 41282 3665057 704058 8.69759 8.69759 -10295.8 -8.69759 0 0 6.92971e+06 9505.78 0.29 1.61 1.13 -1 -1 0.29 0.789173 0.736563 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml raygentop.v common 22.50 vpr 84.34 MiB 0.52 31588 -1 -1 3 1.10 -1 -1 40284 -1 -1 119 214 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86360 214 305 2963 2869 1 1465 646 19 19 361 io auto 42.9 MiB 2.40 11596 260209 91884 152519 15806 84.3 MiB 1.93 0.03 4.36422 -2591.76 -4.36422 4.36422 0.42 0.00802533 0.00742581 0.797747 0.736639 -1 -1 -1 -1 66 25996 35 1.72706e+07 9.58139e+06 1.65962e+06 4597.28 10.77 3.78774 3.45597 44878 339991 -1 21428 18 6169 15297 1991313 502228 4.8091 4.8091 -2906.23 -4.8091 0 0 2.03732e+06 5643.53 0.08 0.76 0.28 -1 -1 0.08 0.380166 0.353771 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml sha.v common 265.04 abc 92.85 MiB 2.12 38120 -1 -1 3 243.22 -1 -1 95080 -1 -1 141 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 84924 38 36 2995 2744 1 1190 215 17 17 289 clb auto 41.6 MiB 1.93 11273 37509 9087 25806 2616 82.9 MiB 1.03 0.02 9.75721 -2596.64 -9.75721 9.75721 0.33 0.00666068 0.00594562 0.448616 0.395674 -1 -1 -1 -1 74 23623 45 1.34605e+07 7.59905e+06 1.39518e+06 4827.62 7.08 2.62266 2.25599 36550 288601 -1 19587 37 5578 17992 1480149 462134 11.0728 11.0728 -2996.05 -11.0728 0 0 1.74328e+06 6032.13 0.06 1.06 0.25 -1 -1 0.06 0.557696 0.490517 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml spree.v common 14.24 vpr 72.82 MiB 0.29 20620 -1 -1 15 0.70 -1 -1 35736 -1 -1 58 45 3 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74564 45 32 1275 1232 1 788 139 14 14 196 memory auto 33.4 MiB 1.61 6571 23115 5739 15128 2248 72.8 MiB 0.56 0.01 10.259 -7013.25 -10.259 10.259 0.21 0.00396812 0.00354377 0.28703 0.256968 -1 -1 -1 -1 88 13806 27 9.20055e+06 5.16585e+06 1.07466e+06 5482.98 8.02 2.00601 1.74881 26584 224627 -1 12596 14 3423 10233 971364 237095 10.8745 10.8745 -7590.95 -10.8745 -39.1886 -0.340786 1.34088e+06 6841.21 0.04 0.36 0.20 -1 -1 0.04 0.170593 0.15487 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision0.v common 76.94 vpr 258.15 MiB 2.26 122620 -1 -1 5 9.11 -1 -1 70688 -1 -1 701 157 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 264348 157 197 23846 21799 1 6303 1055 33 33 1089 clb auto 153.4 MiB 8.96 39556 553959 179852 351185 22922 213.7 MiB 8.32 0.08 3.53802 -14303.1 -3.53802 3.53802 1.43 0.0326027 0.028009 3.71369 3.14433 -1 -1 -1 -1 66 64132 32 6.0475e+07 3.77798e+07 5.30978e+06 4875.83 25.95 14.741 12.3555 139966 1102889 -1 59799 14 15733 29007 1656672 396434 3.88137 3.88137 -16155.3 -3.88137 0 0 6.51388e+06 5981.53 0.28 1.90 0.93 -1 -1 0.28 1.61051 1.43163 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision1.v common 159.72 vpr 287.71 MiB 2.08 107956 -1 -1 3 48.27 -1 -1 84880 -1 -1 732 115 0 40 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 294612 115 145 23133 19546 1 9672 1032 40 40 1600 mult_36 auto 154.7 MiB 9.38 78757 548397 178202 346592 23603 231.4 MiB 11.01 0.13 5.31441 -23252.1 -5.31441 5.31441 2.14 0.0411157 0.0340305 4.24117 3.61272 -1 -1 -1 -1 86 141892 49 9.16046e+07 5.52912e+07 9.69960e+06 6062.25 59.19 17.4075 14.6183 227988 2111044 -1 123040 16 33960 57677 9519358 2200633 5.62049 5.62049 -26197.2 -5.62049 0 0 1.21963e+07 7622.72 0.52 3.89 1.83 -1 -1 0.52 1.85248 1.63845 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision2.v common 529.56 vpr 1.82 GiB 2.55 155876 -1 -1 3 9.67 -1 -1 202368 -1 -1 1972 149 0 324 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1912272 149 182 65737 42630 1 35735 2627 104 104 10816 mult_36 auto 371.7 MiB 47.23 325256 2448692 880713 1493816 74163 1867.5 MiB 71.31 0.50 15.1363 -63522.9 -15.1363 15.1363 62.88 0.125792 0.108985 17.8135 15.593 -1 -1 -1 -1 76 486816 22 6.67561e+08 2.34575e+08 6.17210e+07 5706.45 246.05 68.2447 59.1984 1504982 13363923 -1 455621 18 118627 145053 20006359 4664059 16.4499 16.4499 -72203.7 -16.4499 0 0 7.69106e+07 7110.82 4.02 10.89 12.00 -1 -1 4.02 6.30766 5.62965 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml stereovision3.v common 2.62 vpr 65.88 MiB 0.10 10156 -1 -1 5 0.15 -1 -1 33136 -1 -1 15 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67464 11 30 313 321 2 106 56 7 7 49 clb auto 26.2 MiB 0.18 426 1768 333 1349 86 65.9 MiB 0.04 0.00 2.72385 -167.542 -2.72385 2.20383 0.04 0.000894851 0.000809685 0.0198125 0.0182716 -1 -1 -1 -1 32 1274 43 1.07788e+06 808410 87844.5 1792.75 0.65 0.264326 0.222711 4008 16150 -1 1015 14 579 1289 58474 22158 3.14227 2.46588 -190.307 -3.14227 0 0 106806. 2179.72 0.00 0.06 0.01 -1 -1 0.00 0.0336665 0.0299623 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt index 824194a6ab7..157e870f862 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test3_odin/vtr_reg_qor_chain_predictor_off/config/golden_results.txt @@ -1,21 +1,21 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_frac_chain_mem32K_40nm.xml arm_core.v common 251.29 vpr 303.75 MiB 3.28 127012 -1 -1 18 65.68 -1 -1 66884 -1 -1 1007 133 24 0 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 311040 133 179 18379 18161 1 9013 1343 39 39 1521 clb auto 152.8 MiB 31.39 140193 682695 221032 446886 14777 210.8 MiB 14.72 0.14 18.176 -144006 -18.176 18.176 4.29 0.0467304 0.0408974 5.01063 4.16549 106 200122 36 8.65315e+07 6.74245e+07 1.02794e+07 6758.33 76.41 24.1645 19.9956 222144 2202216 -1 184785 15 34752 126432 8486145 1348247 18.6662 18.6662 -149802 -18.6662 0 0 1.30215e+07 8561.12 3.97 4.51 2.13 -1 -1 3.97 2.36837 2.09021 -k6_frac_N10_frac_chain_mem32K_40nm.xml bgm.v common 711.33 vpr 742.11 MiB 8.37 372936 -1 -1 14 252.96 -1 -1 148516 -1 -1 2733 257 0 11 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 759924 257 32 36518 33906 1 19753 3033 63 63 3969 clb auto 305.2 MiB 77.43 251640 2197533 785535 1383157 28841 711.8 MiB 56.39 0.46 22.0986 -25607.7 -22.0986 22.0986 35.61 0.097897 0.0873488 10.8107 9.09204 76 386174 24 2.36641e+08 1.5165e+08 2.05973e+07 5189.55 198.88 48.3847 40.1382 506266 4280222 -1 369691 17 89404 400289 17805551 2620128 21.9711 21.9711 -26032.3 -21.9711 0 0 2.57532e+07 6488.59 8.27 10.52 3.93 -1 -1 8.27 5.3122 4.6658 -k6_frac_N10_frac_chain_mem32K_40nm.xml blob_merge.v common 148.52 vpr 160.05 MiB 0.82 57516 -1 -1 5 38.40 -1 -1 60452 -1 -1 609 36 0 0 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 163892 36 100 14036 11283 1 3163 745 31 31 961 clb auto 102.2 MiB 20.95 46654 280953 83651 183127 14175 151.0 MiB 5.41 0.06 15.0401 -2810.04 -15.0401 15.0401 2.68 0.0248194 0.0222841 2.3908 2.09588 64 75521 30 5.14688e+07 3.28214e+07 4.14665e+06 4314.93 62.14 8.74606 7.4094 112594 842736 -1 69312 18 13194 61399 2737755 355281 15.4757 15.4757 -3153.97 -15.4757 0 0 5.17798e+06 5388.12 1.46 2.04 0.73 -1 -1 1.46 1.28924 1.13534 -k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 8.62 vpr 70.13 MiB 0.79 45160 -1 -1 3 0.52 -1 -1 37624 -1 -1 93 142 0 0 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 71812 142 193 1069 1140 1 564 428 14 14 196 clb auto 31.1 MiB 0.86 1785 155180 53402 81741 20037 70.1 MiB 0.64 0.01 3.40722 -519.076 -3.40722 3.40722 0.40 0.00295831 0.00277456 0.29625 0.277905 38 3853 13 9.20055e+06 5.01214e+06 467348. 2384.43 1.47 0.899196 0.827427 18724 93853 -1 3532 13 1201 1810 86141 22375 3.56925 3.56925 -566.287 -3.56925 0 0 593372. 3027.41 0.14 0.14 0.09 -1 -1 0.14 0.102861 0.0959338 -k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 4.34 vpr 65.18 MiB 0.09 9376 -1 -1 3 0.27 -1 -1 34460 -1 -1 65 99 1 0 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 66748 99 130 363 493 1 251 295 12 12 144 clb auto 26.5 MiB 0.21 684 70927 26093 33715 11119 65.2 MiB 0.24 0.00 2.16753 -221.96 -2.16753 2.16753 0.27 0.00128722 0.00121798 0.0980525 0.0928592 48 1590 12 5.66058e+06 4.05111e+06 394078. 2736.65 1.65 0.318924 0.293792 13662 75965 -1 1464 10 579 744 48078 14435 2.53703 2.53703 -236.896 -2.53703 0 0 503203. 3494.47 0.11 0.06 0.08 -1 -1 0.11 0.0346272 0.0321752 -k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 9.14 vpr 68.76 MiB 0.06 9328 -1 -1 6 0.19 -1 -1 33860 -1 -1 32 162 0 5 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 70412 162 96 1075 884 1 667 295 16 16 256 mult_36 auto 29.7 MiB 0.46 5073 90547 34495 49420 6632 68.8 MiB 0.66 0.01 15.7804 -1282.87 -15.7804 15.7804 0.54 0.00344845 0.00325196 0.335594 0.31644 50 10999 25 1.21132e+07 3.70461e+06 780532. 3048.95 4.56 1.13443 1.05201 26044 153858 -1 9441 23 3578 6220 1122545 304860 17.3814 17.3814 -1445.82 -17.3814 0 0 1.00276e+06 3917.05 0.22 0.40 0.14 -1 -1 0.22 0.16867 0.156716 -k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 8.75 vpr 67.72 MiB 0.05 8412 -1 -1 6 0.11 -1 -1 34004 -1 -1 20 66 0 7 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 69344 66 96 866 607 1 547 189 18 18 324 mult_36 auto 28.7 MiB 0.53 4838 50053 18112 27527 4414 67.7 MiB 0.49 0.01 12.8146 -774.958 -12.8146 12.8146 0.70 0.00286604 0.00272622 0.274795 0.261333 50 10773 26 1.57076e+07 3.84988e+06 1.01955e+06 3146.77 3.98 0.818492 0.765277 33704 203160 -1 9373 17 2969 6034 1588307 392502 13.3571 13.3571 -857.233 -13.3571 0 0 1.31112e+06 4046.65 0.32 0.45 0.19 -1 -1 0.32 0.12041 0.113131 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 486.28 vpr 647.63 MiB 4.97 206932 -1 -1 101 83.52 -1 -1 104732 -1 -1 2199 114 44 8 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 663176 114 102 38224 33865 1 17989 2467 57 57 3249 clb auto 297.2 MiB 84.76 227277 1885273 706303 1153591 25379 647.6 MiB 53.07 0.44 77.0036 -54799.5 -77.0036 77.0036 29.03 0.101882 0.0902043 12.9534 10.9689 90 342868 50 1.92089e+08 1.45795e+08 1.94366e+07 5982.34 159.71 49.9328 41.2187 441623 4070163 -1 316684 22 71362 268219 14425695 2440308 75.9872 75.9872 -66532.1 -75.9872 0 0 2.43249e+07 7486.90 7.96 10.67 3.93 -1 -1 7.96 6.34937 5.40817 -k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 3371.54 vpr 2.18 GiB 25.62 702172 -1 -1 101 753.58 -1 -1 313596 -1 -1 7552 114 167 32 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2285976 114 102 124851 111146 1 58906 7967 103 103 10609 clb auto 932.5 MiB 276.34 1006475 9870431 4090428 5732521 47482 2124.1 MiB 291.99 2.06 76.3766 -329212 -76.3766 76.3766 95.41 0.331227 0.286267 46.2628 38.3296 122 1362422 39 6.46441e+08 5.11157e+08 8.50538e+07 8017.14 1667.77 174.578 141.534 1678612 18422568 -1 1285265 23 216810 910698 54424616 8669592 76.9472 76.9472 -462152 -76.9472 0 0 1.06559e+08 10044.2 36.54 37.26 19.11 -1 -1 36.54 21.793 18.3927 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 102.09 vpr 346.82 MiB 1.39 69476 -1 -1 5 7.46 -1 -1 52940 -1 -1 455 506 45 0 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 355148 506 553 3519 4017 1 3098 1559 50 50 2500 memory auto 52.9 MiB 6.91 15738 1167203 573865 408695 184643 346.8 MiB 5.62 0.06 8.15634 -2061.78 -8.15634 8.15634 22.07 0.0230057 0.0207868 3.09735 2.77898 40 23618 12 1.47946e+08 4.91823e+07 7.18436e+06 2873.75 38.79 9.28894 8.42624 260716 1475984 -1 22655 13 3528 4688 1066656 244291 8.5462 8.5462 -2299.68 -8.5462 0 0 8.97548e+06 3590.19 2.87 1.07 1.31 -1 -1 2.87 0.851835 0.787338 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 20.06 vpr 71.95 MiB 0.20 16756 -1 -1 2 0.14 -1 -1 33788 -1 -1 29 311 15 0 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73676 311 156 1019 1160 1 965 511 28 28 784 memory auto 31.2 MiB 0.68 8035 202669 69067 122737 10865 71.6 MiB 1.10 0.01 4.10149 -4326.24 -4.10149 4.10149 1.84 0.00557566 0.0049467 0.576345 0.510587 36 14965 37 4.25198e+07 9.78293e+06 1.94918e+06 2486.20 11.50 2.77766 2.44983 76314 389223 -1 13484 16 3019 3398 733958 195651 4.26998 4.26998 -4913.56 -4.26998 0 0 2.40571e+06 3068.51 0.59 0.21 0.24 -1 -1 0.59 0.116413 0.107369 -k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 27.04 vpr 83.75 MiB 0.44 29296 -1 -1 4 1.86 -1 -1 37936 -1 -1 188 193 5 0 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85756 193 205 2863 2789 1 1453 591 20 20 400 memory auto 43.1 MiB 3.32 11779 260886 94839 139163 26884 83.7 MiB 2.04 0.02 4.8458 -2825.08 -4.8458 4.8458 0.89 0.0087501 0.00794583 0.897826 0.808388 56 21068 24 2.07112e+07 1.28721e+07 1.41661e+06 3541.53 13.13 3.63749 3.22428 43380 284034 -1 18265 16 5239 12681 720235 141227 5.24613 5.24613 -2968.77 -5.24613 0 0 1.80858e+06 4521.44 0.41 0.49 0.26 -1 -1 0.41 0.332556 0.303997 -k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 67.72 vpr 131.64 MiB 0.86 39800 -1 -1 8 4.23 -1 -1 41976 -1 -1 259 385 2 1 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 134804 385 394 4673 4537 1 2433 1041 27 27 729 io auto 55.2 MiB 10.57 30554 596890 229640 341672 25578 99.3 MiB 5.51 0.06 8.56969 -10033.6 -8.56969 8.56969 1.91 0.017043 0.0159102 2.03907 1.87536 86 47938 49 3.93038e+07 1.54505e+07 3.99564e+06 5480.99 34.06 10.2417 9.40982 95941 833781 -1 44029 16 10606 36784 2224832 374938 9.06418 9.06418 -10476.9 -9.06418 0 0 5.05392e+06 6932.68 1.32 1.30 0.76 -1 -1 1.32 0.725604 0.677829 -k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 21.80 vpr 83.66 MiB 0.46 31556 -1 -1 3 1.08 -1 -1 40384 -1 -1 111 214 0 8 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85672 214 305 2963 2869 1 1447 638 19 19 361 io auto 42.6 MiB 1.92 10418 247688 84171 150756 12761 83.7 MiB 1.67 0.02 4.42375 -2655.65 -4.42375 4.42375 0.80 0.00798008 0.00737723 0.762737 0.704597 56 23860 44 1.72706e+07 9.15023e+06 1.27879e+06 3542.35 9.76 3.27062 2.98117 39043 256479 -1 19879 21 6749 14800 2385939 557556 5.10564 5.10564 -2970.78 -5.10564 0 0 1.63234e+06 4521.70 0.38 0.93 0.23 -1 -1 0.38 0.424617 0.392906 -k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 251.55 abc 92.76 MiB 2.17 38236 -1 -1 3 225.30 -1 -1 94988 -1 -1 156 38 0 0 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 85160 38 36 2995 2744 1 1210 230 17 17 289 clb auto 42.3 MiB 2.48 11201 48102 12472 32994 2636 83.2 MiB 0.97 0.02 9.47702 -2554.42 -9.47702 9.47702 0.61 0.0063397 0.00562943 0.447857 0.393122 76 15652 22 1.34605e+07 8.40746e+06 1.31783e+06 4559.95 10.18 3.3249 2.84916 34363 263318 -1 15129 21 4392 11758 429882 72221 10.5367 10.5367 -2771.23 -10.5367 0 0 1.64970e+06 5708.31 0.38 0.50 0.26 -1 -1 0.38 0.360128 0.321557 -k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 12.68 vpr 72.22 MiB 0.27 20592 -1 -1 15 0.71 -1 -1 36136 -1 -1 66 45 3 1 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 73956 45 32 1275 1232 1 824 147 14 14 196 memory auto 32.7 MiB 2.97 6859 19497 5084 12799 1614 72.2 MiB 0.40 0.01 10.7449 -6919.42 -10.7449 10.7449 0.40 0.00363787 0.0032179 0.210155 0.186402 64 13021 35 9.20055e+06 5.597e+06 762053. 3888.03 4.72 1.24802 1.07955 22040 150681 -1 11531 14 3498 9199 786846 179709 11.0209 11.0209 -7295.76 -11.0209 0 0 953435. 4864.47 0.20 0.35 0.15 -1 -1 0.20 0.166356 0.151148 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision0.v common 68.09 vpr 235.00 MiB 1.97 122528 -1 -1 5 8.59 -1 -1 71140 -1 -1 701 157 0 0 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 240644 157 197 23846 21799 1 6591 1055 33 33 1089 clb auto 153.8 MiB 9.11 40599 543223 169768 349021 24434 213.1 MiB 7.47 0.07 3.48713 -14462.9 -3.48713 3.48713 3.01 0.0324863 0.0279911 3.62621 3.0704 50 62237 26 6.0475e+07 3.77798e+07 3.66263e+06 3363.29 14.34 10.0747 8.4739 117303 744553 -1 56271 14 16412 26393 945104 187158 3.84705 3.84705 -15556 -3.84705 0 0 4.71657e+06 4331.10 1.27 1.74 0.63 -1 -1 1.27 1.6084 1.43722 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision1.v common 510.49 vpr 283.80 MiB 2.13 108044 -1 -1 3 38.46 -1 -1 85052 -1 -1 678 115 0 40 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 290612 115 145 23133 19546 1 9709 978 40 40 1600 mult_36 auto 154.1 MiB 9.54 77055 505586 160836 322573 22177 219.6 MiB 8.75 0.09 5.14456 -22824.9 -5.14456 5.14456 4.14 0.0328707 0.0285435 3.72216 3.17758 82 126675 47 9.16046e+07 5.23808e+07 8.58295e+06 5364.35 417.83 20.4189 16.9525 207228 1787768 -1 113536 16 33307 52542 8887375 1849878 5.45065 5.45065 -24933.1 -5.45065 0 0 1.07702e+07 6731.38 2.57 3.31 1.58 -1 -1 2.57 1.56913 1.39099 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision2.v common 2861.32 vpr 1.55 GiB 2.81 155972 -1 -1 3 8.15 -1 -1 202304 -1 -1 1651 149 0 324 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1625920 149 182 65737 42630 1 35970 2306 104 104 10816 mult_36 auto 374.7 MiB 32.90 320687 1997174 714232 1216412 66530 1587.8 MiB 50.11 0.38 14.4622 -62904 -14.4622 14.4622 111.56 0.113865 0.102953 16.0513 14.0532 64 463836 43 6.67561e+08 2.17277e+08 4.96626e+07 4591.59 2537.09 79.1902 68.3292 1312998 10258904 -1 447510 20 141292 164320 20895206 4215304 15.4819 15.4819 -70017.2 -15.4819 0 0 6.19628e+07 5728.81 19.64 10.49 9.31 -1 -1 19.64 5.8056 5.18234 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 3.13 vpr 63.80 MiB 0.09 10152 -1 -1 5 0.38 -1 -1 32988 -1 -1 14 11 0 0 success v8.0.0-11339-g6580b06f9 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-21T11:43:07 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 65332 11 30 313 321 2 119 55 7 7 49 clb auto 25.2 MiB 0.29 428 2135 373 1684 78 63.8 MiB 0.05 0.00 2.66698 -174.258 -2.66698 2.30324 0.06 0.00137831 0.00125182 0.0242412 0.0222716 30 1160 43 1.07788e+06 754516 77114.5 1573.76 0.47 0.184588 0.155701 3660 13876 -1 791 15 501 979 39878 13287 2.72762 2.40175 -186.788 -2.72762 0 0 95414.1 1947.23 0.02 0.05 0.01 -1 -1 0.02 0.0338385 0.0299745 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_frac_chain_mem32K_40nm.xml arm_core.v common 311.76 vpr 367.50 MiB 3.30 127064 -1 -1 18 75.23 -1 -1 66792 -1 -1 1023 133 24 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 376324 133 179 18379 18161 1 8963 1359 39 39 1521 clb auto 153.0 MiB 33.28 146187 731187 244789 465532 20866 214.4 MiB 18.08 0.16 18.1405 -155574 -18.1405 18.1405 1.89 0.049549 0.0434624 5.48955 4.6286 -1 -1 -1 -1 112 206292 47 8.65315e+07 6.82868e+07 1.08482e+07 7132.26 127.86 29.947 24.7312 228224 2324812 -1 191732 16 36932 135615 8936530 1498284 18.9805 18.9805 -167295 -18.9805 0 0 1.37577e+07 9045.20 0.60 5.04 2.23 -1 -1 0.60 2.76447 2.4108 + k6_frac_N10_frac_chain_mem32K_40nm.xml bgm.v common 636.40 vpr 712.73 MiB 8.46 372792 -1 -1 14 290.64 -1 -1 148600 -1 -1 2786 257 0 11 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 729832 257 32 36518 33906 1 19519 3086 63 63 3969 clb auto 303.4 MiB 80.36 243339 2203970 775524 1399507 28939 712.7 MiB 75.59 0.67 19.6348 -25864 -19.6348 19.6348 16.90 0.106404 0.0951084 11.8529 10.0356 -1 -1 -1 -1 72 381656 26 2.36641e+08 1.54506e+08 1.98694e+07 5006.15 95.30 40.5471 33.8021 498330 4113940 -1 362152 22 94675 430279 17494603 2736613 19.5545 19.5545 -26311.1 -19.5545 0 0 2.48734e+07 6266.93 1.33 12.65 3.79 -1 -1 1.33 6.8578 5.96774 + k6_frac_N10_frac_chain_mem32K_40nm.xml blob_merge.v common 109.94 vpr 161.07 MiB 0.78 57548 -1 -1 5 45.16 -1 -1 60400 -1 -1 619 36 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 164940 36 100 14036 11283 1 3184 755 31 31 961 clb auto 101.7 MiB 22.70 45202 296251 91947 188521 15783 150.9 MiB 5.98 0.06 15.184 -2560.81 -15.184 15.184 1.14 0.0251278 0.0224964 2.45347 2.13889 -1 -1 -1 -1 60 74674 23 5.14688e+07 3.33604e+07 3.85800e+06 4014.56 18.87 8.09791 6.85794 109714 786516 -1 65755 17 12322 58684 2297945 313908 16.01 16.01 -2744.85 -16.01 0 0 4.86014e+06 5057.38 0.19 1.96 0.67 -1 -1 0.19 1.2977 1.13311 + k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 8.73 vpr 70.47 MiB 0.47 45204 -1 -1 3 0.55 -1 -1 37900 -1 -1 97 142 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 72164 142 193 1069 1140 1 544 432 14 14 196 clb auto 31.3 MiB 1.33 1909 144048 47265 76344 20439 70.5 MiB 0.62 0.01 3.42192 -507.883 -3.42192 3.42192 0.20 0.0029458 0.00275682 0.274067 0.256795 -1 -1 -1 -1 40 3338 20 9.20055e+06 5.22772e+06 488146. 2490.54 1.89 0.990903 0.909115 18920 96961 -1 3119 11 1099 1675 70341 19924 3.55265 3.55265 -546.432 -3.55265 0 0 612638. 3125.70 0.02 0.12 0.09 -1 -1 0.02 0.0947374 0.0884696 + k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 3.46 vpr 65.83 MiB 0.08 9436 -1 -1 3 0.26 -1 -1 34460 -1 -1 68 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67412 99 130 363 493 1 251 298 12 12 144 clb auto 26.5 MiB 0.23 823 75918 25486 37461 12971 65.8 MiB 0.26 0.00 2.31634 -225.447 -2.31634 2.31634 0.14 0.00124919 0.00117905 0.103026 0.0975524 -1 -1 -1 -1 40 1502 10 5.66058e+06 4.21279e+06 333335. 2314.82 1.18 0.507326 0.465166 12946 64812 -1 1424 9 466 591 40632 14193 2.68119 2.68119 -237.007 -2.68119 0 0 419432. 2912.72 0.01 0.05 0.06 -1 -1 0.01 0.0317815 0.0295856 + k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq1.v common 9.99 vpr 69.09 MiB 0.06 9276 -1 -1 6 0.19 -1 -1 33836 -1 -1 32 162 0 5 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70744 162 96 1075 884 1 670 295 16 16 256 mult_36 auto 29.9 MiB 0.48 5408 85642 29282 49520 6840 69.1 MiB 0.65 0.01 15.8993 -1275.04 -15.8993 15.8993 0.26 0.00337592 0.0031863 0.308341 0.291016 -1 -1 -1 -1 54 11561 39 1.21132e+07 3.70461e+06 835786. 3264.79 6.17 1.7482 1.61275 26808 168260 -1 8925 22 3813 6243 969462 332881 17.2289 17.2289 -1372.74 -17.2289 0 0 1.08607e+06 4242.47 0.04 0.38 0.15 -1 -1 0.04 0.169208 0.157418 + k6_frac_N10_frac_chain_mem32K_40nm.xml diffeq2.v common 9.67 vpr 68.87 MiB 0.05 8516 -1 -1 6 0.13 -1 -1 33996 -1 -1 21 66 0 7 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 70520 66 96 866 607 1 543 190 18 18 324 mult_36 auto 28.9 MiB 0.56 5178 42778 15124 24599 3055 68.9 MiB 0.46 0.01 12.231 -784.463 -12.231 12.231 0.34 0.00288547 0.0027486 0.237265 0.225867 -1 -1 -1 -1 52 10099 23 1.57076e+07 3.90377e+06 1.05274e+06 3249.19 5.88 1.16979 1.0895 34348 215132 -1 9483 18 3819 8459 1940484 534996 12.9172 12.9172 -854.729 -12.9172 0 0 1.38553e+06 4276.33 0.05 0.47 0.19 -1 -1 0.05 0.124395 0.116694 + k6_frac_N10_frac_chain_mem32K_40nm.xml LU8PEEng.v common 496.75 vpr 696.07 MiB 5.52 207040 -1 -1 101 98.20 -1 -1 104468 -1 -1 2232 114 44 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 712776 114 102 38224 33865 1 17936 2500 57 57 3249 clb auto 296.4 MiB 88.87 234700 1952900 727986 1194691 30223 654.4 MiB 77.86 0.67 74.378 -55186.6 -74.378 74.378 13.63 0.131578 0.118585 15.2437 12.8258 -1 -1 -1 -1 98 330950 22 1.92089e+08 1.47573e+08 2.09305e+07 6442.12 151.14 66.9933 54.9926 461111 4477766 -1 316472 23 66918 256421 12441457 2178079 74.1008 74.1008 -65775.9 -74.1008 0 0 2.65396e+07 8168.55 1.27 12.19 4.31 -1 -1 1.27 7.94037 6.68901 + k6_frac_N10_frac_chain_mem32K_40nm.xml LU32PEEng.v common 3076.21 vpr 2.45 GiB 27.31 702028 -1 -1 101 868.51 -1 -1 313764 -1 -1 7600 114 167 32 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2571916 114 102 124851 111146 1 58871 8015 103 103 10609 clb auto 929.2 MiB 292.51 1054832 10110191 4241295 5821939 46957 2038.6 MiB 598.50 4.45 74.4263 -336098 -74.4263 74.4263 47.30 0.555352 0.450034 61.1108 50.2584 -1 -1 -1 -1 126 1390823 32 6.46441e+08 5.13746e+08 8.73307e+07 8231.76 1023.77 251.739 204.66 1710436 19091112 -1 1323709 23 211849 895739 52712736 8703756 74.956 74.956 -463831 -74.956 0 0 1.10400e+08 10406.3 6.06 39.50 19.91 -1 -1 6.06 23.7193 20.0666 + k6_frac_N10_frac_chain_mem32K_40nm.xml mkDelayWorker32B.v common 55.53 vpr 347.25 MiB 1.45 69488 -1 -1 5 7.14 -1 -1 52912 -1 -1 473 506 45 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 355588 506 553 3519 4017 1 3097 1577 50 50 2500 memory auto 53.0 MiB 7.29 16024 1166802 578097 403351 185354 347.3 MiB 5.82 0.07 7.75449 -1968.75 -7.75449 7.75449 10.76 0.0233456 0.0211091 3.08885 2.77436 -1 -1 -1 -1 38 23376 14 1.47946e+08 5.01525e+07 6.86579e+06 2746.32 10.35 6.94116 6.29526 258216 1426232 -1 22517 13 3934 5053 956389 251080 7.98926 7.98926 -2371.76 -7.98926 0 0 8.69102e+06 3476.41 0.38 0.95 1.23 -1 -1 0.38 0.790897 0.731961 + k6_frac_N10_frac_chain_mem32K_40nm.xml mkPktMerge.v common 13.08 vpr 80.00 MiB 0.20 16828 -1 -1 2 0.14 -1 -1 33688 -1 -1 31 311 15 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 81920 311 156 1019 1160 1 965 513 28 28 784 memory auto 31.7 MiB 0.75 8675 207765 76353 120959 10453 71.9 MiB 1.21 0.02 4.24256 -4261.47 -4.24256 4.24256 0.82 0.00567583 0.0050352 0.599434 0.531087 -1 -1 -1 -1 46 13547 15 4.25198e+07 9.89071e+06 2.40571e+06 3068.51 6.37 2.57047 2.27844 81794 492802 -1 13010 12 2389 2730 613862 175788 4.56635 4.56635 -4856.41 -4.56635 -0.000474482 -0.000474482 3.09729e+06 3950.62 0.11 0.30 0.42 -1 -1 0.11 0.182188 0.165433 + k6_frac_N10_frac_chain_mem32K_40nm.xml mkSMAdapter4B.v common 19.26 vpr 83.96 MiB 0.46 29396 -1 -1 4 1.87 -1 -1 38012 -1 -1 189 193 5 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85976 193 205 2863 2789 1 1439 592 20 20 400 memory auto 42.9 MiB 3.48 11575 256444 86271 142927 27246 84.0 MiB 2.19 0.03 4.79282 -2655.16 -4.79282 4.79282 0.44 0.00817578 0.0074249 0.909021 0.813971 -1 -1 -1 -1 52 21296 38 2.07112e+07 1.2926e+07 1.31074e+06 3276.84 6.14 3.0579 2.71342 42580 268535 -1 17722 15 5351 13476 656731 143191 4.9349 4.9349 -2809.18 -4.9349 -0.00135869 -0.00135869 1.72518e+06 4312.96 0.06 0.52 0.23 -1 -1 0.06 0.350956 0.32138 + k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 54.46 vpr 136.82 MiB 0.88 39980 -1 -1 8 4.28 -1 -1 41832 -1 -1 258 385 2 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 140108 385 394 4673 4537 1 2402 1040 27 27 729 io auto 55.2 MiB 10.86 32276 585677 225381 334280 26016 98.8 MiB 5.79 0.06 8.75827 -9872.94 -8.75827 8.75827 0.82 0.0166522 0.0155389 1.96931 1.81348 -1 -1 -1 -1 92 46395 43 3.93038e+07 1.53967e+07 4.24291e+06 5820.18 23.57 9.90208 9.03696 98853 888777 -1 44281 21 10024 35768 1878606 323612 8.7455 8.7455 -10340.5 -8.7455 0 0 5.38988e+06 7393.52 0.19 1.36 0.72 -1 -1 0.19 0.858746 0.797855 + k6_frac_N10_frac_chain_mem32K_40nm.xml raygentop.v common 19.75 vpr 84.20 MiB 0.50 31700 -1 -1 3 1.08 -1 -1 40364 -1 -1 123 214 0 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 86220 214 305 2963 2869 1 1444 650 19 19 361 io auto 43.2 MiB 3.53 11458 242654 83043 146089 13522 84.2 MiB 1.72 0.03 4.43947 -2666.2 -4.43947 4.43947 0.39 0.00780263 0.00721545 0.71888 0.663471 -1 -1 -1 -1 56 22678 41 1.72706e+07 9.79696e+06 1.27879e+06 3542.35 7.60 2.99502 2.73638 39043 256479 -1 19243 14 5373 12485 1457937 402165 4.87532 4.87532 -2899.73 -4.87532 0 0 1.63234e+06 4521.70 0.05 0.60 0.22 -1 -1 0.05 0.308581 0.287656 + k6_frac_N10_frac_chain_mem32K_40nm.xml sha.v common 273.31 abc 92.84 MiB 2.11 38364 -1 -1 3 251.99 -1 -1 95072 -1 -1 155 38 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 85724 38 36 2995 2744 1 1203 229 17 17 289 clb auto 42.7 MiB 2.57 11167 52729 13839 35434 3456 83.7 MiB 1.24 0.02 9.59018 -2500.97 -9.59018 9.59018 0.30 0.00667277 0.00596243 0.5591 0.492955 -1 -1 -1 -1 82 15259 20 1.34605e+07 8.35357e+06 1.40210e+06 4851.55 6.49 2.96999 2.56897 35515 283594 -1 14972 18 3872 10498 343968 59662 9.98784 9.98784 -2667.34 -9.98784 0 0 1.76468e+06 6106.15 0.06 0.47 0.26 -1 -1 0.06 0.362081 0.327087 + k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 13.05 vpr 72.99 MiB 0.29 20668 -1 -1 15 0.70 -1 -1 35812 -1 -1 64 45 3 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 74744 45 32 1275 1232 1 833 145 14 14 196 memory auto 33.7 MiB 3.01 7652 27505 7618 16255 3632 73.0 MiB 0.62 0.01 10.691 -7011.57 -10.691 10.691 0.20 0.00407573 0.00364622 0.321464 0.28675 -1 -1 -1 -1 62 15473 48 9.20055e+06 5.48922e+06 735792. 3754.04 5.46 1.62663 1.41102 21652 143691 -1 12219 13 3871 10505 808512 198580 11.0765 11.0765 -7405.88 -11.0765 0 0 913676. 4661.61 0.03 0.32 0.13 -1 -1 0.03 0.157056 0.142388 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision0.v common 66.34 vpr 236.52 MiB 2.39 122680 -1 -1 5 8.93 -1 -1 70664 -1 -1 725 157 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 242196 157 197 23846 21799 1 6113 1079 34 34 1156 clb auto 153.2 MiB 11.54 37343 548747 178166 350972 19609 212.3 MiB 8.04 0.08 3.49124 -14535.8 -3.49124 3.49124 1.42 0.0327851 0.0281188 3.66298 3.11758 -1 -1 -1 -1 48 57477 42 6.50233e+07 3.90734e+07 3.79520e+06 3283.05 13.55 11.3359 9.50466 124420 775892 -1 51658 15 14989 25110 795733 164333 3.60577 3.60577 -15598.6 -3.60577 0 0 4.86353e+06 4207.21 0.19 1.69 0.65 -1 -1 0.19 1.62548 1.44591 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision1.v common 155.80 vpr 280.15 MiB 2.07 108084 -1 -1 3 41.43 -1 -1 85040 -1 -1 770 115 0 40 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 286876 115 145 23133 19546 1 9694 1070 40 40 1600 mult_36 auto 155.7 MiB 12.68 85346 569950 187665 359942 22343 223.0 MiB 10.74 0.11 5.55558 -22870.2 -5.55558 5.55558 2.00 0.0333171 0.0289197 4.0955 3.47462 -1 -1 -1 -1 80 136599 42 9.16046e+07 5.73393e+07 8.41679e+06 5260.49 60.65 16.774 14.0251 205632 1754580 -1 121367 14 31850 50930 8085714 1789124 5.72554 5.72554 -24797.3 -5.72554 0 0 1.06125e+07 6632.80 0.42 3.47 1.56 -1 -1 0.42 1.74483 1.54499 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision2.v common 445.99 vpr 1.59 GiB 2.63 156000 -1 -1 3 9.33 -1 -1 202412 -1 -1 1977 149 0 324 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1671480 149 182 65737 42630 1 35715 2632 104 104 10816 mult_36 auto 374.6 MiB 47.00 310719 2454907 886319 1494591 73997 1632.3 MiB 60.07 0.43 14.7463 -61160.2 -14.7463 14.7463 47.78 0.118274 0.101341 16.6524 14.322 -1 -1 -1 -1 82 428353 18 6.67561e+08 2.34845e+08 6.06625e+07 5608.59 189.46 61.0549 52.5839 1431962 12793479 -1 415735 19 110977 130643 13538006 2940585 15.6742 15.6742 -67719.7 -15.6742 0 0 7.60166e+07 7028.16 5.73 9.74 11.93 -1 -1 5.73 6.36463 5.6674 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.45 vpr 66.30 MiB 0.10 10100 -1 -1 5 0.14 -1 -1 33352 -1 -1 14 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 67888 11 30 313 321 2 115 55 7 7 49 clb auto 26.6 MiB 0.29 442 2239 416 1756 67 66.3 MiB 0.05 0.00 2.68099 -175.84 -2.68099 2.3116 0.03 0.000896631 0.000814667 0.0241545 0.0222358 -1 -1 -1 -1 34 820 13 1.07788e+06 754516 84249.8 1719.38 0.50 0.250037 0.211786 3756 15224 -1 668 12 330 657 15383 5482 2.64229 2.29364 -186.64 -2.64229 0 0 103542. 2113.11 0.00 0.04 0.01 -1 -1 0.00 0.0303299 0.0271649 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/golden_results.txt index 35fdb3b3809..1618cfa66c0 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium/config/golden_results.txt @@ -1,13 +1,13 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.os.v common 626.87 vpr 2.66 GiB -1 -1 24.67 191020 5 89.67 -1 -1 105608 -1 -1 495 355 32 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2792576 355 289 25456 18471 2 12407 1436 136 136 18496 dsp_top auto 180.7 MiB 13.83 368135 1183744 493906 488436 201402 2727.1 MiB 35.16 0.42 5.73066 -86703.7 -5.73066 2.25058 0.11 0.0983282 0.0890725 15.1492 13.7417 -1 399829 14 5.92627e+08 8.54694e+07 4.08527e+08 22087.3 7.31 19.1592 17.4802 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.ws.v common 799.06 vpr 2.68 GiB -1 -1 30.89 236424 5 69.76 -1 -1 112992 -1 -1 691 357 58 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2813040 357 289 25618 20285 2 12722 1661 136 136 18496 dsp_top auto 197.5 MiB 55.89 213644 1506110 545980 704875 255255 2747.1 MiB 49.90 0.35 8.83586 -77757.5 -8.83586 2.86849 0.11 0.105103 0.090362 16.4628 14.294 -1 283893 19 5.92627e+08 9.47716e+07 4.08527e+08 22087.3 8.40 21.5402 18.8962 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dla_like.small.v common 3237.76 vpr 1.75 GiB -1 -1 126.10 731412 6 929.01 -1 -1 385924 -1 -1 3877 206 132 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1833128 206 13 164958 139473 1 69399 4340 88 88 7744 dsp_top auto 871.7 MiB 1763.83 586613 4179888 1553321 2546374 80193 1784.5 MiB 159.68 1.39 6.29198 -174132 -6.29198 6.29198 0.04 0.263075 0.225128 34.3779 28.6399 -1 859908 16 2.4541e+08 1.54779e+08 1.69370e+08 21871.2 23.49 48.5031 40.9766 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bnn.v common 918.71 vpr 2.01 GiB -1 -1 112.54 721200 3 53.45 -1 -1 407272 -1 -1 6192 260 0 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2104752 260 122 206293 154384 1 87490 6637 87 87 7569 clb auto 1055.8 MiB 200.86 911610 7737417 3028323 4214852 494242 1900.1 MiB 277.91 1.72 7.9787 -159676 -7.9787 7.9787 0.06 0.341965 0.297905 48.7798 40.5529 -1 1218227 15 2.37162e+08 1.8877e+08 1.65965e+08 21927.0 30.12 65.5345 55.1217 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml attention_layer.v common 1191.18 vpr 3.18 GiB -1 -1 47.78 306884 5 13.75 -1 -1 130992 -1 -1 1017 1052 689 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 3339012 1052 32 45949 36457 1 23546 2888 148 148 21904 memory auto 263.0 MiB 454.46 385837 3230494 1321380 1766067 143047 3260.8 MiB 52.88 0.34 5.87433 -113350 -5.87433 5.87433 0.24 0.111118 0.0973142 18.7476 15.9101 -1 553277 14 7.00618e+08 1.48101e+08 4.83549e+08 22075.8 11.90 23.3539 19.9146 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer_hls.v common 438.38 vpr 1.58 GiB -1 -1 34.58 250276 3 10.72 -1 -1 57100 -1 -1 1736 1016 21 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1661604 1016 2244 12839 14381 1 7080 5029 104 104 10816 io auto 118.5 MiB 54.63 69414 5776141 2967220 2032347 776574 1622.7 MiB 33.15 0.30 5.80519 -19744.2 -5.80519 5.80519 0.06 0.114 0.109937 15.6713 15.1362 -1 95582 12 3.44415e+08 5.43781e+07 2.37404e+08 21949.3 4.19 19.3247 18.6816 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 224.40 vpr 636.46 MiB -1 -1 19.66 145672 4 78.57 -1 -1 78688 -1 -1 822 91 56 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 651736 91 65 33180 28067 2 12875 1076 56 56 3136 dsp_top auto 204.4 MiB 13.58 151571 552176 183815 346994 21367 636.5 MiB 17.47 0.16 4.40509 -58703.1 -4.40509 1.89924 0.02 0.0783544 0.0686597 8.59202 7.37464 -1 224439 15 9.76016e+07 4.13053e+07 6.79229e+07 21659.1 6.09 12.1898 10.5564 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml eltwise_layer.v common 116.04 vpr 569.43 MiB -1 -1 8.18 81248 4 6.69 -1 -1 53088 -1 -1 347 152 72 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 583100 152 97 14409 12199 2 6807 715 56 56 3136 dsp_top auto 117.4 MiB 7.36 124818 317119 107522 190476 19121 569.4 MiB 8.89 0.08 4.4815 -25326.7 -4.4815 1.84186 0.02 0.0480688 0.0422026 5.14069 4.43436 -1 186870 15 9.76016e+07 3.15225e+07 6.79229e+07 21659.1 4.38 7.18579 6.25872 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml robot_rl.v common 144.03 vpr 557.80 MiB -1 -1 24.27 234696 5 8.45 -1 -1 73520 -1 -1 875 3 84 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 571184 3 384 24647 23015 1 12382 1364 52 52 2704 memory auto 180.9 MiB 14.80 101640 787708 255759 461652 70297 557.8 MiB 17.70 0.16 6.07065 -41068.7 -6.07065 6.07065 0.01 0.0524505 0.0453127 6.0375 5.125 -1 169539 15 8.30642e+07 4.05482e+07 5.85728e+07 21661.5 4.81 8.69486 7.49074 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml reduction_layer.v common 102.12 vpr 350.38 MiB -1 -1 24.98 291216 6 7.63 -1 -1 70276 -1 -1 712 37 52 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 358788 37 17 16391 14146 1 8915 818 38 38 1444 memory auto 137.3 MiB 14.11 105295 279970 72756 197091 10123 350.4 MiB 10.45 0.13 7.06442 -39775.7 -7.06442 7.06442 0.01 0.0494477 0.0400803 3.91592 3.25677 -1 170768 15 4.31434e+07 2.70267e+07 3.09543e+07 21436.5 5.08 6.15937 5.24586 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml spmv.v common 246.16 vpr 1.09 GiB -1 -1 14.70 182348 6 12.93 -1 -1 65636 -1 -1 640 82 232 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1146036 82 17 16311 14407 1 9001 1003 84 84 7056 memory auto 131.9 MiB 18.91 164110 517857 170628 329215 18014 1119.2 MiB 10.31 0.09 5.96682 -42713.4 -5.96682 5.96682 0.04 0.0429465 0.0371059 4.93488 4.13355 -1 221993 13 2.2198e+08 5.79185e+07 1.54484e+08 21894.0 3.85 6.78436 5.76686 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml softmax.v common 126.95 vpr 532.81 MiB -1 -1 18.55 274480 10 7.84 -1 -1 54340 -1 -1 509 402 0 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 545596 402 150 12958 11781 1 7797 1098 54 54 2916 dsp_top auto 111.8 MiB 12.34 77765 759806 267210 447457 45139 532.8 MiB 11.70 0.09 9.87808 -14325.3 -9.87808 9.87808 0.02 0.0314036 0.0282571 4.5226 3.98994 -1 127390 16 8.95105e+07 2.35932e+07 6.32721e+07 21698.2 3.35 6.16259 5.47084 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.os.v common 403.97 vpr 2.65 GiB -1 -1 27.04 195044 5 106.86 -1 -1 105652 -1 -1 495 355 32 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2775544 355 289 25456 18471 2 12407 1436 136 136 18496 dsp_top auto 181.9 MiB 14.33 368181 1183744 474183 500499 209062 2710.5 MiB 50.60 0.36 6.27285 -87227.7 -6.27285 2.41691 0.16 0.0944157 0.083473 15.1293 13.4111 -1 -1 -1 -1 -1 397143 14 5.92627e+08 8.54694e+07 4.06343e+08 21969.2 7.49 19.5196 17.4915 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.ws.v common 485.95 vpr 2.66 GiB -1 -1 32.96 239408 5 93.21 -1 -1 112976 -1 -1 692 357 58 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2794012 357 289 25618 20285 2 12707 1662 136 136 18496 dsp_top auto 196.6 MiB 74.03 204219 1477662 530714 687623 259325 2728.5 MiB 65.29 0.50 8.71579 -77765.6 -8.71579 2.84893 0.14 0.106621 0.0894359 17.147 14.7028 -1 -1 -1 -1 -1 272598 15 5.92627e+08 9.47995e+07 4.06343e+08 21969.2 8.67 22.1078 19.1507 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dla_like.small.v common 3187.00 vpr 1.76 GiB -1 -1 135.92 741364 6 1100.21 -1 -1 386172 -1 -1 3883 206 132 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1843948 206 13 164958 139473 1 69077 4346 88 88 7744 dsp_top auto 864.0 MiB 1603.34 598826 4152113 1544840 2523381 83892 1782.2 MiB 192.92 1.61 6.17742 -176710 -6.17742 6.17742 0.07 0.280575 0.239482 35.9089 29.7338 -1 -1 -1 -1 -1 874166 15 2.4541e+08 1.54946e+08 1.68961e+08 21818.3 23.70 50.4751 42.3862 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bnn.v common 980.53 vpr 2.01 GiB -1 -1 121.38 724396 3 65.16 -1 -1 413088 -1 -1 6188 260 0 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2111292 260 122 206293 154384 1 87503 6633 87 87 7569 clb auto 1048.9 MiB 248.33 933232 7793508 3012748 4270571 510189 1893.4 MiB 363.87 2.02 8.4354 -165730 -8.4354 8.4354 0.18 0.380851 0.332948 58.9838 49.134 -1 -1 -1 -1 -1 1241876 17 2.37162e+08 1.88658e+08 1.65555e+08 21872.8 35.08 80.5452 67.6492 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml attention_layer.v common 1019.17 vpr 3.17 GiB -1 -1 50.11 309328 5 18.79 -1 -1 130796 -1 -1 1014 1052 689 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3321220 1052 32 45949 36457 1 23546 2885 148 148 21904 memory auto 265.1 MiB 621.52 376641 3225938 1321836 1766785 137317 3243.4 MiB 76.56 0.45 5.99366 -116290 -5.99366 5.99366 0.19 0.11632 0.101899 21.137 17.8618 -1 -1 -1 -1 -1 544069 15 7.00618e+08 1.48017e+08 4.81367e+08 21976.2 12.72 26.4051 22.4726 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer_hls.v common 271.02 vpr 1.57 GiB -1 -1 34.97 254660 3 15.43 -1 -1 57052 -1 -1 1736 1016 21 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1650312 1016 2244 12839 14381 1 7081 5029 104 104 10816 io auto 117.8 MiB 63.44 68346 5733073 2929241 2032735 771097 1611.6 MiB 32.98 0.35 5.38086 -19733 -5.38086 5.38086 0.10 0.0995445 0.0938666 11.2455 10.5313 -1 -1 -1 -1 -1 92607 12 3.44415e+08 5.43781e+07 2.36688e+08 21883.2 3.62 14.072 13.2228 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 213.84 vpr 632.73 MiB -1 -1 20.39 146052 4 104.38 -1 -1 78744 -1 -1 822 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 647920 91 65 33180 28067 2 12875 1076 56 56 3136 dsp_top auto 201.5 MiB 15.44 158826 574220 192944 356720 24556 632.7 MiB 22.31 0.22 4.75154 -59481.6 -4.75154 1.95632 0.02 0.0860187 0.071022 9.6672 8.16677 -1 -1 -1 -1 -1 232357 14 9.76016e+07 4.13053e+07 6.76749e+07 21580.0 7.21 14.0547 12.1109 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml eltwise_layer.v common 73.95 vpr 566.66 MiB -1 -1 8.53 82624 4 9.77 -1 -1 52912 -1 -1 347 152 72 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 580264 152 97 14409 12199 2 6807 715 56 56 3136 dsp_top auto 116.7 MiB 7.82 130332 301139 98285 185179 17675 566.7 MiB 10.85 0.09 4.58313 -25716.3 -4.58313 1.83211 0.02 0.0509694 0.0448212 4.90516 4.21666 -1 -1 -1 -1 -1 192276 17 9.76016e+07 3.15225e+07 6.76749e+07 21580.0 4.60 7.25998 6.31837 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml robot_rl.v common 141.86 vpr 554.43 MiB -1 -1 27.53 239804 5 12.19 -1 -1 73308 -1 -1 879 3 84 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 567732 3 384 24647 23015 1 12378 1368 52 52 2704 memory auto 178.3 MiB 32.27 104695 783241 253492 461340 68409 554.4 MiB 22.37 0.20 6.19687 -42215.9 -6.19687 6.19687 0.02 0.0595205 0.0515804 6.95887 5.87936 -1 -1 -1 -1 -1 173357 15 8.30642e+07 4.06598e+07 5.83674e+07 21585.6 5.81 10.5413 8.96615 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml reduction_layer.v common 93.71 vpr 350.35 MiB -1 -1 25.19 304144 6 10.96 -1 -1 71232 -1 -1 712 37 52 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 358756 37 17 16391 14146 1 8915 818 38 38 1444 memory auto 138.1 MiB 16.31 103074 283794 73510 200452 9832 350.3 MiB 13.72 0.18 7.80678 -46783.6 -7.80678 7.80678 0.01 0.0569771 0.046255 4.57139 3.84797 -1 -1 -1 -1 -1 168838 15 4.31434e+07 2.70267e+07 3.08517e+07 21365.5 5.31 7.24145 6.19502 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml spmv.v common 150.73 vpr 1.09 GiB -1 -1 15.53 192572 6 17.60 -1 -1 65652 -1 -1 640 82 232 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1139224 82 17 16311 14407 1 9001 1003 84 84 7056 memory auto 131.2 MiB 24.12 161654 512839 166422 330721 15696 1112.5 MiB 14.74 0.14 5.92411 -42285.5 -5.92411 5.92411 0.04 0.0545381 0.0484051 5.9995 4.95943 -1 -1 -1 -1 -1 221106 13 2.2198e+08 5.79185e+07 1.54074e+08 21835.9 5.32 8.85254 7.45605 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml softmax.v common 97.02 vpr 529.11 MiB -1 -1 18.64 282652 10 10.36 -1 -1 54676 -1 -1 509 402 0 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 541812 402 150 12958 11781 1 7797 1098 54 54 2916 dsp_top auto 110.3 MiB 14.87 77639 782454 270167 471812 40475 529.1 MiB 16.11 0.11 9.76271 -14362.7 -9.76271 9.76271 0.02 0.0338217 0.030505 5.28917 4.58948 -1 -1 -1 -1 -1 127260 18 8.95105e+07 2.35932e+07 6.30449e+07 21620.3 3.66 7.21419 6.31064 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium_multi_arch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium_multi_arch/config/golden_results.txt index 4ea32240074..151af3ae337 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium_multi_arch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium_multi_arch/config/golden_results.txt @@ -1,12 +1,12 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 201.27 vpr 538.53 MiB -1 -1 14.22 148148 4 99.16 -1 -1 82672 -1 -1 819 91 56 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 551452 91 65 33165 28052 2 12600 1073 56 56 3136 dsp_top auto 244.3 MiB 9.12 161313 538.5 MiB 10.25 0.09 4.50414 -54857.1 -4.50414 1.86763 0.63 0.0333838 0.0282316 4.09029 3.44363 -1 232302 15 9.76016e+07 4.12216e+07 6.79229e+07 21659.1 4.09 6.05253 5.21178 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.mem_heavy.xml conv_layer.v common 145.29 vpr 432.02 MiB -1 -1 14.29 148156 4 71.59 -1 -1 82796 -1 -1 819 91 56 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 442384 91 65 33165 28052 2 12600 1073 43 43 1849 clb auto 243.1 MiB 9.11 141700 374.5 MiB 9.13 0.07 5.05127 -54802.7 -5.05127 1.99938 0.52 0.0334928 0.0286294 3.82869 3.23515 -1 215950 13 7.83573e+07 4.12216e+07 3.65050e+07 19743.1 3.80 5.6478 4.90047 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.dsp_heavy.xml conv_layer.v common 175.29 vpr 425.96 MiB -1 -1 14.26 148180 4 104.45 -1 -1 82784 -1 -1 819 91 56 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 436188 91 65 33165 28052 2 12600 1073 41 41 1681 clb auto 243.0 MiB 9.14 135366 360.1 MiB 9.58 0.08 4.81704 -54070.3 -4.81704 1.94407 0.52 0.0355404 0.0290583 4.00237 3.37018 -1 205971 13 6.86832e+07 4.12216e+07 3.32309e+07 19768.5 3.68 5.83621 5.04962 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.densest.xml conv_layer.v common 215.08 vpr 435.70 MiB -1 -1 14.07 148580 4 104.84 -1 -1 82748 -1 -1 819 91 56 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 446152 91 65 33165 28052 2 12600 1073 43 43 1849 clb auto 244.4 MiB 14.51 126010 378.6 MiB 17.73 0.14 4.60704 -53858.4 -4.60704 1.89311 0.99 0.0685799 0.0604241 7.88606 6.71765 -1 195885 13 7.74951e+07 4.12216e+07 3.64482e+07 19712.4 6.00 11.2599 9.77571 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.denser.xml conv_layer.v common 218.67 vpr 423.79 MiB -1 -1 14.11 148508 4 111.37 -1 -1 82700 -1 -1 819 91 56 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 433964 91 65 33165 28052 2 12600 1073 40 40 1600 dsp_top auto 244.3 MiB 14.50 127133 355.4 MiB 20.64 0.16 4.71204 -54567.2 -4.71204 1.91876 0.65 0.0660653 0.0576505 8.12915 6.95627 -1 197695 16 5.44678e+07 4.12216e+07 3.33594e+07 20849.6 6.51 11.6791 10.1644 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.xml conv_layer.v common 257.52 vpr 512.15 MiB -1 -1 14.06 148284 4 108.02 -1 -1 82780 -1 -1 819 91 56 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 524444 91 65 33165 28052 2 12600 1073 54 54 2916 dsp_top auto 244.3 MiB 14.39 165043 512.2 MiB 19.60 0.16 4.39914 -54861.3 -4.39914 1.84126 0.93 0.0635112 0.0550858 7.86487 6.68671 -1 235087 12 9.13586e+07 4.12216e+07 6.28961e+07 21569.3 6.53 10.879 9.43508 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.densest.xml conv_layer.v common 214.78 vpr 435.76 MiB -1 -1 14.42 148560 4 104.84 -1 -1 82804 -1 -1 819 91 56 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 446216 91 65 33165 28052 2 12600 1073 43 43 1849 clb auto 244.4 MiB 14.65 132661 377.4 MiB 17.65 0.17 4.84337 -57232 -4.84337 1.95037 0.91 0.0658165 0.0552913 6.93384 5.85998 -1 204199 14 7.74951e+07 4.12216e+07 3.63440e+07 19656.1 6.58 10.3395 8.94833 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.denser.xml conv_layer.v common 203.03 vpr 414.21 MiB -1 -1 14.15 148332 4 100.89 -1 -1 82752 -1 -1 819 91 56 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 424152 91 65 33165 28052 2 12600 1073 38 38 1444 dsp_top auto 244.3 MiB 14.63 131741 336.6 MiB 19.00 0.15 4.50414 -52753 -4.50414 1.86763 0.48 0.0615869 0.0512102 7.35096 6.22334 -1 201138 16 4.99286e+07 4.12216e+07 2.98368e+07 20662.6 6.51 10.7131 9.26785 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.xml conv_layer.v common 229.69 vpr 457.61 MiB -1 -1 14.36 148192 4 105.38 -1 -1 82732 -1 -1 819 91 56 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 468592 91 65 33165 28052 2 12600 1073 46 46 2116 dsp_top auto 244.2 MiB 14.35 163742 418.6 MiB 23.01 0.17 4.50414 -52223.7 -4.50414 1.86763 0.62 0.0692745 0.060974 9.21163 7.80464 -1 237659 14 6.74812e+07 4.12216e+07 4.51462e+07 21335.7 7.66 12.7137 10.978 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.densest.xml conv_layer.v common 223.46 vpr 434.53 MiB -1 -1 14.27 147984 4 110.55 -1 -1 82748 -1 -1 819 91 56 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 444960 91 65 33165 28052 2 12600 1073 43 43 1849 clb auto 244.4 MiB 14.55 140324 375.6 MiB 19.88 0.18 4.39914 -53821.5 -4.39914 1.89924 0.92 0.0777626 0.0643673 8.28879 7.01624 -1 212267 13 7.79261e+07 4.12216e+07 3.65205e+07 19751.5 6.55 11.6902 10.082 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.denser.xml conv_layer.v common 224.49 vpr 454.15 MiB -1 -1 14.17 148448 4 103.67 -1 -1 82740 -1 -1 819 91 56 -1 success 327aa1d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-02-09T16:01:10 gh-actions-runner-vtr-auto-spawned87 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 465052 91 65 33165 28052 2 12600 1073 46 46 2116 dsp_top auto 244.1 MiB 14.78 144560 414.5 MiB 19.11 0.15 4.42547 -52421.3 -4.42547 1.84791 0.83 0.0617199 0.0514832 7.58483 6.38322 -1 216440 14 7.46848e+07 4.12216e+07 4.41698e+07 20874.2 6.64 10.6987 9.21712 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 209.39 vpr 631.56 MiB -1 -1 21.81 147220 4 105.31 -1 -1 78736 -1 -1 824 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 646716 91 65 33168 28055 2 12932 1078 56 56 3136 dsp_top auto 201.1 MiB 14.31 161575 559103 183940 351790 23373 631.6 MiB 18.59 0.17 5.33784 -59139.7 -5.33784 2.07067 0.02 0.0802153 0.0702089 8.81529 7.5847 -1 -1 -1 -1 -1 237007 12 9.76016e+07 4.13612e+07 6.76749e+07 21580.0 5.30 11.9957 10.4294 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.mem_heavy.xml conv_layer.v common 210.23 vpr 434.48 MiB -1 -1 21.00 147272 4 120.27 -1 -1 78800 -1 -1 824 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 444908 91 65 33168 28055 2 12932 1078 43 43 1849 clb auto 201.3 MiB 13.95 131693 498328 148173 256931 93224 422.0 MiB 17.93 0.15 5.12994 -60661.1 -5.12994 2.02943 0.01 0.081656 0.0717278 8.66324 7.42728 -1 -1 -1 -1 -1 203979 14 7.83573e+07 4.13612e+07 3.63938e+07 19683.0 5.78 12.5079 10.8632 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.dsp_heavy.xml conv_layer.v common 200.01 vpr 438.69 MiB -1 -1 19.66 147244 4 108.43 -1 -1 78680 -1 -1 824 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 449220 91 65 33168 28055 2 12932 1078 43 43 1849 clb auto 201.7 MiB 13.91 130722 492803 145153 258559 89091 425.8 MiB 19.17 0.16 5.22466 -59384.8 -5.22466 2.0229 0.01 0.0853547 0.0727941 7.86128 6.69514 -1 -1 -1 -1 -1 202633 12 7.68484e+07 4.13612e+07 3.62938e+07 19628.9 5.23 11.2863 9.7608 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.densest.xml conv_layer.v common 209.94 vpr 436.29 MiB -1 -1 21.67 147380 4 118.22 -1 -1 78768 -1 -1 824 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 446760 91 65 33168 28055 2 12932 1078 43 43 1849 clb auto 200.6 MiB 16.67 133050 503853 153561 261748 88544 423.5 MiB 19.09 0.19 4.83171 -65580 -4.83171 2.0229 0.01 0.0872102 0.0718769 8.47576 7.19572 -1 -1 -1 -1 -1 204037 13 7.74951e+07 4.13612e+07 3.63365e+07 19652.0 6.61 12.53 10.8378 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.denser.xml conv_layer.v common 216.08 vpr 424.71 MiB -1 -1 21.82 147452 4 123.10 -1 -1 78820 -1 -1 824 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 434900 91 65 33168 28055 2 12932 1078 40 40 1600 dsp_top auto 200.7 MiB 14.04 127736 509378 152596 327280 29502 400.8 MiB 23.84 0.25 5.06525 -61364.6 -5.06525 2.00263 0.01 0.0876528 0.0722766 9.94011 8.43019 -1 -1 -1 -1 -1 198670 13 5.44678e+07 4.13612e+07 3.32516e+07 20782.2 6.69 13.8135 11.9182 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.xml conv_layer.v common 226.86 vpr 600.96 MiB -1 -1 22.15 147472 4 111.28 -1 -1 78832 -1 -1 824 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 615380 91 65 33168 28055 2 12932 1078 54 54 2916 dsp_top auto 200.8 MiB 15.79 163528 553578 180391 355481 17706 601.0 MiB 26.41 0.25 5.02494 -57585.7 -5.02494 1.99324 0.02 0.0961703 0.084176 9.91747 8.40538 -1 -1 -1 -1 -1 234187 13 9.13586e+07 4.13612e+07 6.26714e+07 21492.2 7.55 14.5116 12.5104 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.densest.xml conv_layer.v common 210.02 vpr 436.44 MiB -1 -1 22.14 147456 4 115.07 -1 -1 78656 -1 -1 824 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 446912 91 65 33168 28055 2 12932 1078 43 43 1849 clb auto 201.0 MiB 14.38 128673 525953 163254 311082 51617 423.9 MiB 21.60 0.23 4.91861 -57626.8 -4.91861 2.05887 0.01 0.0905403 0.0740438 8.94751 7.68095 -1 -1 -1 -1 -1 198326 14 7.74951e+07 4.13612e+07 3.62324e+07 19595.7 6.79 13.2038 11.4954 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.denser.xml conv_layer.v common 185.16 vpr 415.97 MiB -1 -1 21.59 147428 4 98.65 -1 -1 78744 -1 -1 824 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 425952 91 65 33168 28055 2 12932 1078 38 38 1444 dsp_top auto 201.0 MiB 13.65 129013 525953 159091 346130 20732 380.0 MiB 19.51 0.18 5.12994 -64110.3 -5.12994 2.07067 0.01 0.0828529 0.0726892 8.51762 7.35043 -1 -1 -1 -1 -1 198621 12 4.99286e+07 4.13612e+07 2.97410e+07 20596.3 5.33 11.7712 10.2716 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.xml conv_layer.v common 225.22 vpr 490.96 MiB -1 -1 21.80 147432 4 115.64 -1 -1 78912 -1 -1 824 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 502744 91 65 33168 28055 2 12932 1078 46 46 2116 dsp_top auto 201.2 MiB 17.81 162148 564628 179853 365105 19670 491.0 MiB 29.12 0.24 4.74738 -55411.9 -4.74738 1.94955 0.02 0.082836 0.0734304 10.6462 8.98053 -1 -1 -1 -1 -1 236584 13 6.74812e+07 4.13612e+07 4.49964e+07 21264.8 7.17 14.3438 12.3068 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.densest.xml conv_layer.v common 211.57 vpr 436.31 MiB -1 -1 21.32 147164 4 112.58 -1 -1 78640 -1 -1 824 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 446784 91 65 33168 28055 2 12932 1078 43 43 1849 clb auto 201.3 MiB 13.94 139418 531478 168663 322209 40606 423.4 MiB 23.75 0.20 4.8408 -58901.8 -4.8408 2.09368 0.02 0.0868457 0.0736702 9.1076 7.7586 -1 -1 -1 -1 -1 212208 14 7.79261e+07 4.13612e+07 3.64091e+07 19691.3 7.18 13.2356 11.4653 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.denser.xml conv_layer.v common 225.91 vpr 469.19 MiB -1 -1 21.45 147472 4 119.88 -1 -1 78956 -1 -1 824 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 480448 91 65 33168 28055 2 12932 1078 46 46 2116 dsp_top auto 201.3 MiB 15.84 142797 525953 163424 346380 16149 469.2 MiB 24.56 0.24 4.81704 -59254.1 -4.81704 2.0052 0.02 0.0994928 0.0874458 9.51869 8.05165 -1 -1 -1 -1 -1 215462 14 7.46848e+07 4.13612e+07 4.40267e+07 20806.6 7.57 14.1277 12.1525 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium_no_hb/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium_no_hb/config/golden_results.txt index 3415ce7747b..75076555a7a 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium_no_hb/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/koios_medium_no_hb/config/golden_results.txt @@ -1,13 +1,13 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.os.v common 1163.23 vpr 2.73 GiB -1 -1 54.60 238712 5 135.74 -1 -1 136304 -1 -1 1086 355 32 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2859304 355 289 47773 39460 2 23092 2027 136 136 18496 dsp_top auto 259.8 MiB 421.52 425875 2028061 758608 1141225 128228 2792.3 MiB 37.94 0.25 7.49309 -111546 -7.49309 2.64155 0.10 0.0843162 0.070416 13.7807 11.5012 -1 535248 15 5.92627e+08 1.01961e+08 4.08527e+08 22087.3 8.61 17.6417 14.9058 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.ws.v common 1027.32 vpr 2.77 GiB -1 -1 46.58 296972 5 239.34 -1 -1 136156 -1 -1 1474 357 58 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2904916 357 289 56200 49059 2 22147 2444 136 136 18496 dsp_top auto 320.6 MiB 116.79 449929 2454388 935803 1390028 128557 2836.8 MiB 54.75 0.34 8.9901 -151330 -8.9901 2.89342 0.11 0.11259 0.0932 17.975 14.9021 -1 579981 15 5.92627e+08 1.1662e+08 4.08527e+08 22087.3 11.65 23.2598 19.5424 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dla_like.small.v common 3380.80 vpr 1.81 GiB -1 -1 134.19 755496 6 1044.59 -1 -1 408828 -1 -1 4111 206 132 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1893036 206 13 177069 148272 1 75161 4574 88 88 7744 dsp_top auto 920.0 MiB 1716.82 637559 4520862 1715211 2702842 102809 1817.0 MiB 208.06 1.55 7.4122 -179472 -7.4122 7.4122 0.04 0.268528 0.228366 35.5001 29.343 -1 931299 19 2.4541e+08 1.61309e+08 1.69370e+08 21871.2 27.50 51.4586 43.1105 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bnn.v common 899.31 vpr 2.01 GiB -1 -1 106.07 714856 3 51.34 -1 -1 407136 -1 -1 6192 260 0 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2104736 260 122 206293 154384 1 87490 6637 87 87 7569 clb auto 1055.9 MiB 203.84 911610 7737417 3028323 4214852 494242 1900.2 MiB 254.43 1.57 7.9787 -159676 -7.9787 7.9787 0.07 0.317118 0.27396 46.0589 38.1983 -1 1218227 15 2.37162e+08 1.8877e+08 1.65965e+08 21927.0 29.18 62.4246 52.4257 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml attention_layer.v common 1284.17 vpr 3.19 GiB -1 -1 55.27 306820 5 18.14 -1 -1 131152 -1 -1 1004 1052 690 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 3343432 1052 32 45960 36468 1 23568 2876 148 148 21904 memory auto 267.1 MiB 447.97 383624 3233028 1326615 1765569 140844 3265.1 MiB 65.58 0.38 6.08031 -114959 -6.08031 6.08031 0.13 0.115786 0.101385 19.525 16.6782 -1 554284 14 7.00618e+08 1.47876e+08 4.83549e+08 22075.8 12.39 24.3074 20.8343 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer_hls.v common 452.01 vpr 1.58 GiB -1 -1 40.18 282024 9 15.52 -1 -1 60868 -1 -1 1832 1016 21 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1653008 1016 2244 14923 16171 1 8187 5115 104 104 10816 io auto 131.4 MiB 34.49 72943 6040239 3129409 2113691 797139 1614.3 MiB 37.92 0.36 15.91 -24641.1 -15.91 15.91 0.06 0.12061 0.116774 17.017 16.3537 -1 103163 16 3.44415e+08 5.45192e+07 2.37404e+08 21949.3 5.59 21.8959 21.0536 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 353.12 vpr 951.08 MiB -1 -1 23.12 171452 4 125.20 -1 -1 97796 -1 -1 1095 91 56 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 973904 91 65 43891 37290 2 18676 1391 72 72 5184 dsp_top auto 249.1 MiB 44.10 212545 870623 301336 536640 32647 951.1 MiB 24.24 0.20 6.44528 -98882.8 -6.44528 2.22372 0.03 0.0899344 0.0751866 10.5375 8.84854 -1 297684 16 1.63139e+08 5.95819e+07 1.13044e+08 21806.4 7.23 14.8852 12.705 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml eltwise_layer.v common 244.93 vpr 534.97 MiB -1 -1 39.93 190940 5 23.78 -1 -1 70836 -1 -1 666 151 72 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 547812 151 97 23552 20376 2 11485 992 50 50 2500 memory auto 167.0 MiB 95.85 132973 525162 172354 326675 26133 535.0 MiB 14.60 0.15 4.78754 -25459.2 -4.78754 2.11147 0.01 0.0614346 0.0518776 6.9681 5.89089 -1 202188 14 7.5303e+07 3.00192e+07 5.42358e+07 21694.3 5.07 9.64823 8.26979 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml robot_rl.v common 145.43 vpr 556.78 MiB -1 -1 24.72 234660 5 7.94 -1 -1 73196 -1 -1 873 3 84 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 570144 3 384 24672 23040 1 12367 1362 52 52 2704 memory auto 180.3 MiB 16.79 107156 771054 245311 455922 69821 556.8 MiB 19.27 0.16 6.07065 -42231.5 -6.07065 6.07065 0.02 0.056264 0.0478382 6.36102 5.35137 -1 174187 17 8.30642e+07 4.04924e+07 5.85728e+07 21661.5 5.28 9.30432 7.95656 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml reduction_layer.v common 107.13 vpr 351.39 MiB -1 -1 24.54 301764 6 7.81 -1 -1 70444 -1 -1 685 37 52 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 359824 37 17 16480 14235 1 8948 791 38 38 1444 memory auto 137.2 MiB 16.34 99313 271335 68101 193947 9287 351.4 MiB 10.82 0.13 6.55728 -42171.5 -6.55728 6.55728 0.01 0.0475515 0.041839 4.04663 3.44216 -1 162861 15 4.31434e+07 2.62733e+07 3.09543e+07 21436.5 5.13 6.43177 5.54111 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml spmv.v common 241.53 vpr 1.09 GiB -1 -1 15.16 184108 6 12.35 -1 -1 64108 -1 -1 644 82 232 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1144196 82 17 16166 14262 1 8933 1007 84 84 7056 memory auto 130.1 MiB 17.36 163629 520642 168550 336267 15825 1117.4 MiB 10.40 0.09 6.14502 -42576.1 -6.14502 6.14502 0.04 0.0428761 0.0372263 4.90675 4.12616 -1 220423 14 2.2198e+08 5.80301e+07 1.54484e+08 21894.0 3.82 6.86146 5.84708 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml softmax.v common 459.25 parmys 476.39 MiB -1 -1 62.80 487828 10 78.33 -1 -1 98584 -1 -1 1387 402 0 -1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 481464 402 150 35614 32642 1 17791 1947 43 43 1849 clb auto 243.7 MiB 211.58 124459 1192843 403919 739552 49372 469.4 MiB 33.95 0.29 10.2966 -28481.7 -10.2966 10.2966 0.01 0.0767148 0.0684923 8.56138 7.35741 -1 202624 16 5.60835e+07 4.07336e+07 3.97519e+07 21499.1 6.47 12.7366 11.0245 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.os.v common 1054.93 vpr 2.71 GiB -1 -1 57.53 244556 5 226.50 -1 -1 136040 -1 -1 1101 355 32 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2840816 355 289 47773 39460 2 22990 2042 136 136 18496 dsp_top auto 257.5 MiB 487.49 420073 2035035 746159 1155273 133603 2774.2 MiB 56.08 0.41 7.18019 -112288 -7.18019 2.58581 0.23 0.0968782 0.0770702 15.2519 12.5326 -1 -1 -1 -1 -1 529125 14 5.92627e+08 1.02379e+08 4.06343e+08 21969.2 9.66 19.9792 16.6612 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.ws.v common 758.27 vpr 2.75 GiB -1 -1 47.97 300588 5 272.35 -1 -1 136376 -1 -1 1477 357 58 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2886104 357 289 56200 49059 2 22144 2447 136 136 18496 dsp_top auto 318.4 MiB 156.14 442774 2062697 814637 1158288 89772 2818.5 MiB 61.95 0.43 9.04586 -151551 -9.04586 2.90237 0.14 0.123085 0.101014 17.9828 14.5834 -1 -1 -1 -1 -1 575595 17 5.92627e+08 1.16704e+08 4.06343e+08 21969.2 14.51 25.7745 21.3252 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dla_like.small.v common 3480.94 vpr 1.82 GiB -1 -1 145.78 762228 6 1309.15 -1 -1 408372 -1 -1 4123 206 132 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1903296 206 13 177069 148272 1 75133 4586 88 88 7744 dsp_top auto 910.9 MiB 1669.17 649291 4536701 1720383 2710476 105842 1813.6 MiB 211.95 1.58 7.6201 -183275 -7.6201 7.6201 0.04 0.298511 0.234816 37.0846 30.1828 -1 -1 -1 -1 -1 947442 20 2.4541e+08 1.61644e+08 1.68961e+08 21818.3 27.10 54.3496 44.9019 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bnn.v common 962.03 vpr 2.01 GiB -1 -1 118.75 724756 3 63.44 -1 -1 413156 -1 -1 6188 260 0 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2111280 260 122 206293 154384 1 87503 6633 87 87 7569 clb auto 1048.9 MiB 238.11 933232 7793508 3012748 4270571 510189 1893.4 MiB 369.55 2.45 8.4354 -165730 -8.4354 8.4354 0.06 0.394886 0.344622 58.3026 48.1256 -1 -1 -1 -1 -1 1241876 17 2.37162e+08 1.88658e+08 1.65555e+08 21872.8 34.14 78.9286 65.7866 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml attention_layer.v common 1030.11 vpr 3.17 GiB -1 -1 58.26 310044 5 21.71 -1 -1 131232 -1 -1 1016 1052 690 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3321328 1052 32 45960 36468 1 23568 2888 148 148 21904 memory auto 265.2 MiB 604.40 378653 3251052 1326672 1776451 147929 3243.5 MiB 68.82 0.40 6.47858 -117978 -6.47858 6.47858 0.14 0.119103 0.103795 21.435 17.9713 -1 -1 -1 -1 -1 543130 13 7.00618e+08 1.4821e+08 4.81367e+08 21976.2 10.86 26.2671 22.1993 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer_hls.v common 260.45 vpr 1.57 GiB -1 -1 40.37 292240 9 16.88 -1 -1 60948 -1 -1 1832 1016 21 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1642408 1016 2244 14923 16171 1 8187 5115 104 104 10816 io auto 130.5 MiB 36.67 74972 6084291 3148806 2132048 803437 1603.9 MiB 35.54 0.40 15.7062 -24359.5 -15.7062 15.7062 0.06 0.0762952 0.0727841 12.4511 11.7033 -1 -1 -1 -1 -1 104359 16 3.44415e+08 5.45192e+07 2.36688e+08 21883.2 5.50 17.1279 16.1839 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 354.59 vpr 949.34 MiB -1 -1 23.70 172528 4 170.58 -1 -1 97864 -1 -1 1095 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 972128 91 65 43891 37290 2 18676 1391 72 72 5184 dsp_top auto 249.5 MiB 50.76 210903 847340 292921 518399 36020 949.3 MiB 33.82 0.29 6.10082 -94844.2 -6.10082 2.38354 0.04 0.101657 0.0813228 11.4485 9.36257 -1 -1 -1 -1 -1 293479 14 1.63139e+08 5.95819e+07 1.12634e+08 21727.3 8.05 16.3014 13.6913 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml eltwise_layer.v common 218.66 vpr 534.18 MiB -1 -1 39.33 193864 5 26.01 -1 -1 71068 -1 -1 670 151 72 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 546996 151 97 23552 20376 2 11490 996 50 50 2500 memory auto 166.5 MiB 95.86 137542 483280 146749 311024 25507 534.2 MiB 17.27 0.16 5.2391 -26663.5 -5.2391 2.14807 0.01 0.069011 0.0583812 7.44188 6.27216 -1 -1 -1 -1 -1 204520 15 7.5303e+07 3.01308e+07 5.40494e+07 21619.8 6.14 10.8727 9.31303 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml robot_rl.v common 139.28 vpr 554.78 MiB -1 -1 25.77 240392 5 11.12 -1 -1 73368 -1 -1 875 3 84 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 568096 3 384 24672 23040 1 12346 1364 52 52 2704 memory auto 179.0 MiB 28.06 107208 772586 242571 459548 70467 554.8 MiB 26.77 0.28 6.07065 -42747 -6.07065 6.07065 0.02 0.0863944 0.077239 8.31467 7.08243 -1 -1 -1 -1 -1 175727 18 8.30642e+07 4.05482e+07 5.83674e+07 21585.6 7.16 12.6419 10.7843 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml reduction_layer.v common 93.34 vpr 350.54 MiB -1 -1 23.88 304752 6 9.72 -1 -1 71308 -1 -1 685 37 52 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 358952 37 17 16480 14235 1 8948 791 38 38 1444 memory auto 137.0 MiB 17.40 100874 256711 62728 186225 7758 350.5 MiB 12.72 0.21 6.57003 -44153.4 -6.57003 6.57003 0.01 0.0610412 0.0464585 4.38336 3.61146 -1 -1 -1 -1 -1 166443 15 4.31434e+07 2.62733e+07 3.08517e+07 21365.5 5.43 7.18845 6.07439 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml spmv.v common 141.03 vpr 1.08 GiB -1 -1 15.56 193400 6 16.43 -1 -1 63944 -1 -1 644 82 232 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1137084 82 17 16166 14262 1 8933 1007 84 84 7056 memory auto 129.1 MiB 21.08 158517 505507 158454 330893 16160 1110.4 MiB 11.50 0.11 5.73532 -41995.3 -5.73532 5.73532 0.04 0.046066 0.0398278 5.13211 4.26948 -1 -1 -1 -1 -1 218866 13 2.2198e+08 5.80301e+07 1.54074e+08 21835.9 4.75 7.83606 6.63315 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml softmax.v common 490.58 parmys 494.60 MiB -1 -1 65.94 506472 10 93.34 -1 -1 98564 -1 -1 1387 402 0 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 482536 402 150 35614 32642 1 17785 1947 43 43 1849 clb auto 242.3 MiB 257.80 130074 1217147 415758 751584 49805 467.6 MiB 32.25 0.31 10.827 -28928.1 -10.827 10.827 0.01 0.0811184 0.0723576 8.76544 7.51492 -1 -1 -1 -1 -1 210517 16 5.60835e+07 4.07336e+07 3.96192e+07 21427.4 6.82 13.2832 11.4498 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/titan_s10_qor/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/titan_s10_qor/config/golden_results.txt index 9b489cb8f38..ad3d51601b9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/titan_s10_qor/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4/titan_s10_qor/config/golden_results.txt @@ -1,15 +1,15 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_MLAB num_DSP num_M20K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time -stratix10_arch.timing.xml gsm_switch_stratix10_arch_timing.blif common 3348.22 vpr 5.40 GiB 136 9090 0 0 2240 1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 5665956 100 36 285842 271283 4 258743 11467 180 133 23940 M20K auto 2537.0 MiB 635.05 3438864 16426344 6351589 9654498 420257 4682.3 MiB 836.17 5.64 5.57216 -640841 -4.57216 4.33502 0.15 1.33213 1.04738 180.166 143.354 4193740 16.2086 887855 3.43152 848838 1626270 3464380030 716819176 0 0 4.25346e+08 17767.2 41 7099044 74943478 -1 5.713 4.31521 -1.12447e+06 -4.713 0 0 166.62 -1 -1 5231.1 MiB 957.21 290.023 234.525 4682.3 MiB -1 620.98 -stratix10_arch.timing.xml mes_noc_stratix10_arch_timing.blif common 7039.56 vpr 9.03 GiB 5 26001 0 64 736 8 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 9466652 3 2 594103 567321 9 550449 26814 206 153 31518 LAB auto 4791.3 MiB 1557.81 4892389 47362160 17896376 28168353 1297431 7205.1 MiB 3476.21 33.65 9.295 -2.17146e+06 -8.295 7.77804 0.25 2.24529 1.76453 287.641 225.909 5339983 9.70812 1390606 2.52813 1692147 4326988 2852689497 279229853 0 0 5.61777e+08 17824.0 42 9457572 99318840 -1 9.584 7.4812 -2.78078e+06 -8.584 0 0 221.68 -1 -1 8546.8 MiB 671.41 495.872 394.641 7205.1 MiB -1 861.31 -stratix10_arch.timing.xml dart_stratix10_arch_timing.blif common 1804.05 vpr 3.30 GiB 69 7615 0 0 530 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 3457440 23 46 226521 213853 1 193313 8214 113 84 9492 LAB auto 1879.5 MiB 481.25 1799367 9203565 3510986 5359975 332604 2582.2 MiB 669.38 5.30 10.492 -1.09535e+06 -9.492 10.492 0.07 0.824852 0.662847 98.7252 78.5539 1991673 10.3030 480626 2.48630 628668 1443941 845663456 96806518 0 0 1.68166e+08 17716.6 53 2787740 29334285 -1 10.812 10.812 -1.30009e+06 -9.812 0 0 64.82 -1 -1 3192.2 MiB 237.17 191.701 153.848 2582.2 MiB -1 263.35 -stratix10_arch.timing.xml cholesky_bdti_stratix10_arch_timing.blif common 2541.43 vpr 4.30 GiB 162 9726 48 270 342 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 4507236 94 68 340057 306706 1 299087 10548 128 95 12160 LAB auto 2506.7 MiB 810.77 2241564 13654534 5367665 7691641 595228 3355.0 MiB 830.58 5.84 4.258 -225582 -3.258 4.258 0.09 0.905927 0.758207 117.128 97.7573 2301404 7.69487 532493 1.78042 856314 1385835 1310386626 226106625 0 0 2.16263e+08 17784.8 46 3596840 37788563 -1 5.7696 5.7696 -524545 -4.7696 0 0 84.51 -1 -1 4034.1 MiB 380.06 201.611 168.776 3355.0 MiB -1 325.81 -stratix10_arch.timing.xml minres_stratix10_arch_timing.blif common 2502.22 vpr 4.64 GiB 229 11102 0 156 581 1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 4861744 129 100 369949 333068 2 320728 12069 136 101 13736 io_cell LAB auto 2742.4 MiB 877.44 1697816 15510181 5588098 9392563 529520 3616.6 MiB 853.85 5.92 3.82271 -125313 -2.82271 3.00423 0.09 0.840904 0.727981 103.42 86.7811 1558728 4.86004 439751 1.37112 811829 1400905 680404590 87918111 0 0 2.44209e+08 17778.8 35 4039804 42650932 -1 4.675 3.06694 -189435 -3.675 0 0 93.83 -1 -1 4255.2 MiB 177.88 169.43 142.757 3616.6 MiB -1 382.59 -stratix10_arch.timing.xml openCV_stratix10_arch_timing.blif common 2328.40 vpr 4.20 GiB 208 8331 0 594 933 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 4408380 106 102 302246 252591 1 239989 10066 138 102 14076 DSP auto 2230.4 MiB 424.06 1884074 12829232 4909232 7387318 532682 3400.3 MiB 969.47 6.69 11.6414 -567816 -10.6414 11.6414 0.11 1.13749 0.9335 136.74 112.415 2374362 9.89433 598656 2.49469 744206 1565447 1073679317 169537451 0 0 2.50467e+08 17793.9 49 4149580 43753096 -1 10.263 10.263 -865750 -9.263 0 0 97.53 -1 -1 4111.4 MiB 299.68 243.264 202.105 3400.3 MiB -1 425.53 -stratix10_arch.timing.xml bitonic_mesh_stratix10_arch_timing.blif common 3045.84 vpr 4.51 GiB 119 9483 0 507 1495 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 4724716 87 32 260931 228346 1 233328 11604 147 109 16023 M20K auto 2275.9 MiB 715.07 2325363 14587458 5505233 8588360 493865 3544.0 MiB 1259.08 8.83 8.82314 -923934 -7.82314 8.82314 0.14 1.20896 0.999444 141.842 117.071 3312594 14.1973 829786 3.55635 866612 2488240 1651737343 253572757 0 0 2.85989e+08 17848.7 26 4788948 50134278 -1 8.68 8.68 -1.11542e+06 -7.68 0 0 111.13 -1 -1 4390.2 MiB 348.88 215.85 181.014 3544.0 MiB -1 490.93 -stratix10_arch.timing.xml segmentation_stratix10_arch_timing.blif common 3336.63 vpr 6.23 GiB 441 7316 0 21 194 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 6536408 72 369 179830 142047 1 137223 7972 247 183 45201 io_cell auto 1564.8 MiB 434.67 1093975 10197156 4088519 5695844 412793 6383.2 MiB 839.91 6.62 1017.23 -325669 -1016.23 1017.23 0.25 0.641316 0.527924 86.351 71.6722 1323892 9.65781 371834 2.71253 513541 1575168 935476477 90946113 0 0 8.14209e+08 18013.1 47 13628436 143011381 -1 900.68 900.68 -421913 -899.68 0 0 318.16 -1 -1 6383.2 MiB 201.78 143.533 119.495 6383.2 MiB -1 1453.05 -stratix10_arch.timing.xml des90_stratix10_arch_timing.blif common 1560.62 vpr 2.63 GiB 117 5463 0 264 772 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2757452 85 32 151105 128345 1 131386 6616 108 80 8640 M20K auto 1413.4 MiB 375.08 1222107 6649390 2337165 4030849 281376 2113.7 MiB 582.47 4.33 8.65853 -506175 -7.65853 8.65853 0.07 0.684493 0.552478 77.4857 63.835 1728673 13.1575 441383 3.35951 462990 1235980 810637736 124522776 0 0 1.53228e+08 17734.7 52 2557548 26746022 -1 8.348 8.348 -585413 -7.348 0 0 59.17 -1 -1 2570.4 MiB 208.79 149.08 124.889 2113.7 MiB -1 266.45 -stratix10_arch.timing.xml neuron_stratix10_arch_timing.blif common 828.72 vpr 1.98 GiB 133 4925 0 243 108 1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2073624 40 93 140673 125393 2 126477 5410 92 68 6256 LAB auto 1215.4 MiB 299.93 623845 5844466 2147413 3412677 284376 1682.8 MiB 214.03 1.69 5.22832 -66938.5 -4.22832 3.17658 0.05 0.314418 0.254907 40.5879 33.107 510174 4.03532 136296 1.07806 310744 435121 201349064 32759845 0 0 1.10094e+08 17598.1 61 1823504 19161680 -1 5.5413 3.13709 -123885 -4.5413 0 0 43.25 -1 -1 1865.9 MiB 77.62 81.433 67.9256 1682.8 MiB -1 146.05 -stratix10_arch.timing.xml sparcT1_core_stratix10_arch_timing.blif common 1869.31 vpr 3.03 GiB 309 4802 0 6 154 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 3179148 172 137 98039 97359 1 92241 5271 163 121 19723 io_cell auto 1058.5 MiB 397.90 1194647 5232513 2006567 3037956 187990 3104.6 MiB 369.70 3.06 7.09243 -387420 -6.09243 7.09243 0.11 0.38107 0.305727 47.2157 38.087 1571633 17.0391 355029 3.84910 348543 1016790 721192171 78559893 0 0 3.51754e+08 17834.7 48 5914532 61913289 -1 7.126 7.126 -499143 -6.126 0 0 138.52 -1 -1 3104.6 MiB 159.78 86.5686 70.9681 3104.6 MiB -1 750.53 -stratix10_arch.timing.xml stereo_vision_stratix10_arch_timing.blif common 3118.91 vpr 7.73 GiB 506 4877 0 76 79 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 8107048 172 334 150507 118033 3 113258 5538 287 213 61131 io_cell auto 1119.1 MiB 164.48 548166 6078438 2313115 3518700 246623 7917.0 MiB 222.51 1.67 7.79567 -39956.2 -6.79567 7.79567 0.39 0.283181 0.232963 38.8908 31.7527 431512 3.81026 122339 1.08026 265065 363657 158604454 17800028 0 0 1.10789e+09 18123.3 34 18464012 193849543 -1 7.055 7.055 -53401.5 -6.055 0 0 430.30 -1 -1 7917.0 MiB 47.32 61.2796 50.6698 7917.0 MiB -1 2184.93 -stratix10_arch.timing.xml cholesky_mc_stratix10_arch_timing.blif common 1364.18 vpr 2.82 GiB 262 4231 115 131 382 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2956720 111 151 145547 131782 1 128429 5121 147 109 16023 io_cell auto 1308.3 MiB 345.83 865200 4946673 1818266 2858055 270352 2887.4 MiB 269.60 1.89 4.737 -88694.7 -3.737 4.673 0.09 0.422712 0.363628 54.4772 45.0741 890679 6.93540 223382 1.73940 333084 540462 305061906 46443606 0 0 2.85989e+08 17848.7 28 4788948 50134278 -1 4.994 4.994 -232050 -3.994 0 0 111.40 -1 -1 2887.4 MiB 82.08 82.8686 69.4708 2887.4 MiB -1 497.51 -stratix10_arch.timing.xml LU_Network_stratix10_arch_timing.blif common 10558.53 vpr 17.79 GiB 748 25974 826 224 1026 1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 18654924 325 423 817452 760174 3 701695 28799 406 301 122206 io_cell auto 5642.6 MiB 1762.36 4677077 60476213 25143654 32544179 2788380 18217.7 MiB 3138.47 19.02 4.74371 -328506 -3.74371 4.74315 0.70 2.48001 1.99875 358.804 296.357 4445306 6.34037 1138868 1.62438 1784757 2922645 1448415964 195919701 0 0 2.23450e+09 18284.7 52 36989044 388958294 -1 5.274 5.04085 -477612 -4.274 0 0 887.32 -1 -1 18217.7 MiB 510.43 609.979 507.014 18217.7 MiB -1 3944.68 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_MLAB num_DSP num_M20K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + stratix10_arch.timing.xml gsm_switch_stratix10_arch_timing.blif common 3108.59 vpr 5.41 GiB 136 10294 0 0 2240 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 5671508 100 36 285842 271283 4 255265 12671 180 133 23940 M20K auto 2527.2 MiB 730.92 3011592 18469421 7247443 10757173 464805 4664.2 MiB 1331.31 10.77 5.27243 -601108 -4.27243 3.68089 0.13 1.5431 1.20541 210.174 164.161 3656898 14.3263 784921 3.07502 725776 1362456 1582612799 377371570 0 0 4.25346e+08 17767.2 14 7099044 74943382 -1 4.923 3.72425 -872030 -3.923 0 0 153.01 -1 -1 5237.5 MiB 609.00 270.869 216.374 4664.2 MiB -1 146.90 + stratix10_arch.timing.xml mes_noc_stratix10_arch_timing.blif common 7581.46 vpr 9.17 GiB 5 27504 0 64 736 8 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9610372 3 2 594103 567321 9 545215 28317 213 158 33654 LAB auto 4750.4 MiB 1792.09 5102295 50502585 19163643 29892479 1446463 7420.9 MiB 4616.34 38.19 8.47 -2.08793e+06 -7.47 7.51349 0.30 2.44374 1.92151 322.054 253.2 5377112 9.86954 1358583 2.49364 1549998 3909661 1368166802 196574136 0 0 6.00417e+08 17840.9 17 10062936 105944661 -1 8.401 7.074 -2.4865e+06 -7.401 0 0 256.84 -1 -1 8672.7 MiB 457.18 439.184 351.634 7420.9 MiB -1 194.78 + stratix10_arch.timing.xml dart_stratix10_arch_timing.blif common 2031.82 vpr 3.34 GiB 69 8237 0 0 530 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3499228 23 46 226521 213853 1 187960 8836 118 87 10266 LAB auto 1861.9 MiB 551.12 1813042 10235332 3948422 5950168 336742 2652.3 MiB 1087.71 9.53 9.74467 -1.10278e+06 -8.74467 9.74467 0.09 1.27187 0.957962 136.171 105.082 1927267 10.2538 463994 2.46862 539735 1287436 350240304 67144518 0 0 1.81869e+08 17715.7 17 3006772 31715945 -1 9.533 9.533 -1.26754e+06 -8.533 0 0 68.61 -1 -1 3230.2 MiB 162.19 188.558 148.13 2652.3 MiB -1 58.34 + stratix10_arch.timing.xml cholesky_bdti_stratix10_arch_timing.blif common 2712.59 vpr 4.37 GiB 162 11225 48 270 342 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 4586804 94 68 340057 306706 1 294310 12047 138 102 14076 LAB auto 2459.4 MiB 769.07 2213966 16714887 6770669 9330396 613822 3519.2 MiB 1472.96 9.23 4.276 -250765 -3.276 4.276 0.11 1.06954 0.905842 148.459 122.999 2149198 7.30260 496460 1.68688 718134 1201145 444490036 92294439 0 0 2.50467e+08 17793.9 17 4149580 43753024 -1 5.075 5.075 -495851 -4.075 0 0 84.80 -1 -1 4095.6 MiB 189.04 198.894 165.629 3519.2 MiB -1 71.05 + stratix10_arch.timing.xml minres_stratix10_arch_timing.blif common 2825.20 vpr 4.72 GiB 229 12835 0 156 581 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 4948536 129 100 369949 333068 2 310446 13802 146 108 15768 LAB auto 2697.2 MiB 943.53 1801913 18713381 6993205 11119963 600213 3796.1 MiB 1425.32 10.94 3.82271 -123649 -2.82271 3.02326 0.14 1.12759 0.871274 138.705 115.252 1668600 5.37493 452112 1.45635 729015 1280545 299925516 64013199 0 0 2.81209e+08 17834.2 12 4696032 49263790 -1 4.261 2.93816 -174031 -3.261 0 0 112.45 -1 -1 4321.8 MiB 132.49 182.213 152.795 3796.1 MiB -1 75.63 + stratix10_arch.timing.xml openCV_stratix10_arch_timing.blif common 2520.60 vpr 4.19 GiB 208 9225 0 594 933 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 4393324 106 102 302246 252591 1 228391 10960 138 102 14076 DSP auto 2219.0 MiB 610.27 1807295 14491832 5656370 8244054 591408 3342.3 MiB 1440.89 9.59 11.7662 -531654 -10.7662 11.7662 0.12 1.29494 1.07573 175.7 140.736 2210926 9.68116 558557 2.44580 615090 1267660 475621933 109949248 0 0 2.50467e+08 17793.9 14 4149580 43753024 -1 10.035 10.035 -760034 -9.035 0 0 89.20 -1 -1 4091.9 MiB 191.38 229.282 186.578 3342.3 MiB -1 65.76 + stratix10_arch.timing.xml bitonic_mesh_stratix10_arch_timing.blif common 3258.62 vpr 4.54 GiB 119 9630 0 507 1495 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 4760344 87 32 260931 228346 1 227559 11751 147 109 16023 M20K auto 2269.6 MiB 801.56 2336369 14967783 5666344 8757412 544027 3562.7 MiB 1818.46 13.11 9.0885 -925997 -8.0885 9.0885 0.14 1.77298 1.38415 182.161 147.849 3256919 14.3126 814862 3.58093 774784 2221694 822171733 192870107 0 0 2.85989e+08 17848.6 18 4788948 50134206 -1 8.735 8.735 -1.0894e+06 -7.735 0 0 104.47 -1 -1 4417.3 MiB 306.09 258.611 214.16 3562.7 MiB -1 92.78 + stratix10_arch.timing.xml segmentation_stratix10_arch_timing.blif common 2512.33 vpr 6.24 GiB 441 7353 0 21 194 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 6540916 72 369 179830 142047 1 137027 8009 247 183 45201 io_cell auto 1552.7 MiB 474.75 1125790 10260297 4060063 5767979 432255 6387.6 MiB 1218.19 9.51 1017.13 -331092 -1016.13 1017.13 0.26 0.90892 0.811756 108.545 88.2479 1322267 9.65976 369634 2.70034 497135 1510446 351593875 65715267 0 0 8.14208e+08 18013.1 25 13628436 143011261 -1 898.752 898.752 -431075 -897.752 0 0 294.59 -1 -1 6387.6 MiB 159.09 155.534 127.218 6387.6 MiB -1 260.31 + stratix10_arch.timing.xml des90_stratix10_arch_timing.blif common 1622.71 vpr 2.64 GiB 117 5579 0 264 772 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2767312 85 32 151105 128345 1 128276 6732 108 80 8640 M20K auto 1408.1 MiB 458.76 1174387 6678222 2328428 4069644 280150 2114.0 MiB 821.45 6.13 9.0401 -513720 -8.0401 9.0401 0.08 0.779906 0.636591 95.1564 76.1752 1663756 12.9704 429777 3.35049 426587 1181849 413692570 98891608 0 0 1.53228e+08 17734.7 15 2557548 26745974 -1 8.24 8.24 -587849 -7.24 0 0 61.61 -1 -1 2580.0 MiB 155.35 134.524 110.058 2114.0 MiB -1 44.75 + stratix10_arch.timing.xml neuron_stratix10_arch_timing.blif common 833.04 vpr 2.00 GiB 133 5234 0 243 108 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2093464 40 93 140673 125393 2 123625 5719 94 70 6580 LAB auto 1201.5 MiB 289.44 634340 6191360 2301274 3623602 266484 1729.3 MiB 370.55 2.45 5.84744 -64925.2 -4.84744 3.3402 0.04 0.387587 0.340417 48.3195 39.815 517953 4.19141 138793 1.12315 268394 383043 84540966 19817319 0 0 1.15552e+08 17561.0 18 1898072 20093317 -1 5.799 3.20378 -122888 -4.799 0 0 43.46 -1 -1 1880.4 MiB 41.18 67.4246 56.3964 1729.3 MiB -1 33.19 + stratix10_arch.timing.xml sparcT1_core_stratix10_arch_timing.blif common 1320.68 vpr 3.03 GiB 309 4982 0 6 154 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3176516 172 137 98039 97359 1 91845 5451 163 121 19723 io_cell auto 1048.7 MiB 416.44 1174053 5471979 2094519 3176216 201244 3102.1 MiB 483.40 4.56 7.15 -378395 -6.15 7.15 0.24 0.484867 0.374331 56.8899 45.3278 1526584 16.6220 347043 3.77874 324019 943132 297819952 53503048 0 0 3.51754e+08 17834.7 17 5914532 61913217 -1 6.938 6.938 -458652 -5.938 0 0 133.69 -1 -1 3102.1 MiB 111.14 80.1902 65.1665 3102.1 MiB -1 117.12 + stratix10_arch.timing.xml stereo_vision_stratix10_arch_timing.blif common 1336.40 vpr 7.73 GiB 506 5363 0 76 83 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 8102372 172 334 150507 118033 3 110735 6028 287 213 61131 io_cell auto 1114.7 MiB 159.83 546089 6696142 2566220 3885626 244296 7912.5 MiB 322.65 2.23 7.71363 -39076.7 -6.71363 7.71363 0.65 0.328993 0.270482 46.9904 37.5447 427507 3.86091 120235 1.08587 235293 320005 60687000 12277011 0 0 1.10789e+09 18123.3 15 18464012 193849399 -1 6.984 6.984 -51229.8 -5.984 0 0 404.36 -1 -1 7912.5 MiB 33.81 63.8841 51.9403 7912.5 MiB -1 343.62 + stratix10_arch.timing.xml cholesky_mc_stratix10_arch_timing.blif common 998.97 vpr 2.81 GiB 262 4835 115 131 382 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2944676 111 151 145547 131782 1 127404 5725 147 109 16023 io_cell auto 1301.4 MiB 282.50 801498 5790534 2145233 3342854 302447 2875.7 MiB 400.69 3.54 5.008 -92090.6 -4.008 4.559 0.09 0.537432 0.455738 62.8576 51.5026 805259 6.32071 212196 1.66559 300273 509257 150845866 34700709 0 0 2.85989e+08 17848.6 13 4788948 50134206 -1 4.488 4.083 -213947 -3.488 0 0 101.11 -1 -1 2875.7 MiB 61.86 84.7937 70.3971 2875.7 MiB -1 81.78 + stratix10_arch.timing.xml LU_Network_stratix10_arch_timing.blif common 8279.37 vpr 17.68 GiB 748 30526 826 224 1026 1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 18535564 325 423 817452 760174 3 681111 33351 406 301 122206 io_cell auto 5546.8 MiB 1827.39 4732164 74080701 31510919 39435236 3134546 18101.1 MiB 4227.97 28.65 4.76071 -319191 -3.76071 4.69287 0.96 2.78373 2.33996 398.847 330.305 4439564 6.52395 1123896 1.65157 1562719 2598341 607571135 128284629 0 0 2.23450e+09 18284.7 12 36989044 388958102 -1 4.7 4.64063 -440709 -3.7 0 0 899.60 -1 -1 18101.1 MiB 247.43 493.191 412.334 18101.1 MiB -1 737.58 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4_odin/koios_medium/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4_odin/koios_medium/config/golden_results.txt index 5301c84b184..5351d6583d8 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4_odin/koios_medium/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4_odin/koios_medium/config/golden_results.txt @@ -1,13 +1,13 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.os.v common 1005.52 vpr 2.71 GiB 226.57 236200 -1 -1 5 152.30 -1 -1 116652 -1 -1 536 355 32 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2837056 355 289 26113 19088 2 12674 1488 136 136 18496 dsp_top auto 269.1 MiB 18.30 370957 1207210 471810 528572 206828 2770.6 MiB 52.32 0.57 5.98706 -87526.7 -5.98706 2.21181 0.11 0.161567 0.147397 23.7018 21.6403 -1 407074 13 5.92627e+08 8.94049e+07 4.08527e+08 22087.3 8.08 29.7625 27.3592 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.ws.v common 1195.09 vpr 2.73 GiB 342.13 302328 -1 -1 7 164.42 -1 -1 127540 -1 -1 1029 357 58 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2861412 357 289 30369 24839 2 17327 2011 136 136 18496 dsp_top auto 260.2 MiB 65.60 221282 1943275 763450 845285 334540 2794.3 MiB 60.29 0.43 9.44576 -79017.3 -9.44576 2.96583 0.11 0.145986 0.128353 23.0491 20.2846 -1 291408 15 5.92627e+08 1.07248e+08 4.08527e+08 22087.3 9.05 29.0776 25.7841 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dla_like.small.v common 3054.95 vpr 2.07 GiB 22.84 653108 -1 -1 5 1540.96 -1 -1 567504 -1 -1 4756 206 132 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2172736 206 13 208395 166387 1 84813 5235 90 90 8100 dsp_top auto 1096.5 MiB 1034.75 662081 5321247 1974867 3264938 81442 2013.0 MiB 170.41 1.37 4.84922 -185838 -4.84922 4.84922 0.06 0.316161 0.272947 41.3931 34.2165 -1 964636 16 2.56465e+08 1.83367e+08 1.77260e+08 21883.9 25.11 57.5569 48.2341 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bnn.v common 1083.89 vpr 2.10 GiB 27.69 498048 -1 -1 3 134.73 -1 -1 495220 -1 -1 5693 260 0 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2205660 260 122 231647 179602 1 86860 6138 83 83 6889 clb auto 1178.5 MiB 302.54 908164 6522438 2525997 3694260 302181 1905.2 MiB 256.57 1.79 7.71077 -154889 -7.71077 7.71077 0.06 0.394039 0.34347 50.9118 42.0537 -1 1204095 18 2.13666e+08 1.74846e+08 1.51189e+08 21946.4 35.45 71.703 59.874 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml attention_layer.v common 1348.58 vpr 3.25 GiB 8.87 584856 -1 -1 7 33.82 -1 -1 285544 -1 -1 1929 1057 690 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 3411020 1057 32 57517 46908 1 31258 3845 148 148 21904 memory auto 354.4 MiB 506.08 410126 4971995 2186012 2431068 354915 3331.1 MiB 93.36 0.54 8.74294 -119537 -8.74294 8.74294 0.13 0.137611 0.121189 24.2699 20.8366 -1 593896 15 7.00618e+08 1.83584e+08 4.83549e+08 22075.8 12.91 30.3164 26.1482 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer_hls.v common 834.72 odin 3.88 GiB 123.63 4072536 -1 -1 3 36.63 -1 -1 1632756 -1 -1 1718 1016 21 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1723848 1016 2283 12854 14312 1 7302 5050 106 106 11236 io auto 120.8 MiB 146.81 65290 5808322 2955329 2074158 778835 1683.4 MiB 30.16 0.28 6.04152 -21123.6 -6.04152 6.04152 0.06 0.111994 0.108941 15.4867 14.981 -1 91165 14 3.5748e+08 5.38758e+07 2.46822e+08 21967.1 4.61 19.5254 18.9111 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 351.87 vpr 656.73 MiB 6.91 203796 -1 -1 4 220.54 -1 -1 108452 -1 -1 940 91 56 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 672488 91 65 36754 30551 2 13677 1194 56 56 3136 dsp_top auto 229.0 MiB 17.73 168550 665949 228412 410610 26927 656.7 MiB 17.90 0.16 4.73837 -61660.6 -4.73837 2.04692 0.02 0.0838265 0.0708925 9.27571 7.93974 -1 242849 14 9.76016e+07 4.45981e+07 6.79229e+07 21659.1 5.79 12.8334 11.1116 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml eltwise_layer.v common 139.99 vpr 570.45 MiB 2.30 82748 -1 -1 4 42.87 -1 -1 68740 -1 -1 358 152 72 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 584136 152 97 14052 11986 2 6814 729 56 56 3136 dsp_top auto 118.8 MiB 6.82 128735 345024 117764 203974 23286 570.4 MiB 9.34 0.09 4.47241 -24858.2 -4.47241 1.84076 0.02 0.0495891 0.042571 5.39741 4.63942 -1 191960 19 9.76016e+07 3.25907e+07 6.79229e+07 21659.1 4.98 7.73343 6.71312 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml robot_rl.v common 279.44 vpr 594.62 MiB 101.07 368044 -1 -1 6 34.48 -1 -1 150452 -1 -1 1279 3 96 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 608892 3 384 30303 28383 1 14742 1780 52 52 2704 memory auto 226.7 MiB 25.40 135654 1133995 376682 677395 79918 594.6 MiB 24.95 0.23 6.41197 -44163.1 -6.41197 6.41197 0.01 0.0699692 0.0608779 8.037 6.84238 -1 223038 16 8.30642e+07 5.34735e+07 5.85728e+07 21661.5 6.19 11.6692 10.061 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml reduction_layer.v common 110.67 vpr 362.37 MiB 1.69 80180 -1 -1 6 24.58 -1 -1 68036 -1 -1 804 37 52 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 371068 37 17 18215 15970 1 9561 910 38 38 1444 memory auto 151.6 MiB 16.24 109644 344734 93069 242280 9385 362.4 MiB 16.22 0.17 6.86392 -40596.4 -6.86392 6.86392 0.01 0.0544984 0.0479428 5.76733 4.90569 -1 179767 16 4.31434e+07 2.95939e+07 3.09543e+07 21436.5 6.19 8.49981 7.32043 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml spmv.v common 295.41 vpr 1.10 GiB 23.59 694880 -1 -1 6 23.24 -1 -1 253836 -1 -1 506 82 232 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1150664 82 17 16284 14380 1 8446 869 84 84 7056 memory auto 137.0 MiB 16.59 155490 423659 134240 273173 16246 1123.7 MiB 10.22 0.09 6.1436 -40944.1 -6.1436 6.1436 0.04 0.0467929 0.0405177 5.46221 4.52238 -1 210725 14 2.2198e+08 5.41794e+07 1.54484e+08 21894.0 4.14 7.55015 6.35415 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml softmax.v common 131.32 vpr 595.07 MiB 1.96 102800 -1 -1 10 9.50 -1 -1 52060 -1 -1 513 402 0 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 609352 402 150 13003 11825 1 7723 1118 58 58 3364 dsp_top auto 113.2 MiB 12.34 74102 778318 278328 454520 45470 595.1 MiB 12.61 0.10 9.53955 -14550.9 -9.53955 9.53955 0.02 0.0332241 0.0286889 4.82541 4.17824 -1 122561 16 1.04637e+08 2.77652e+07 7.29687e+07 21691.1 3.40 6.5043 5.68128 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.os.v common 692.65 vpr 2.69 GiB 253.75 236192 -1 -1 5 163.92 -1 -1 116772 -1 -1 536 355 32 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2817412 355 289 26113 19088 2 12674 1488 136 136 18496 dsp_top auto 268.9 MiB 18.46 373733 1207210 470959 533881 202370 2751.4 MiB 54.18 0.41 5.63962 -87992.4 -5.63962 2.22893 0.14 0.162431 0.14815 23.7015 21.6304 -1 -1 -1 -1 -1 410101 23 5.92627e+08 8.94049e+07 4.06343e+08 21969.2 11.44 32.7832 30.0881 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.ws.v common 868.45 vpr 2.71 GiB 363.52 302460 -1 -1 7 166.79 -1 -1 127388 -1 -1 1028 357 58 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2842556 357 289 30369 24839 2 17293 2010 136 136 18496 dsp_top auto 259.0 MiB 63.89 206533 1992770 793524 849691 349555 2775.9 MiB 64.85 0.44 9.33819 -79888.8 -9.33819 2.9489 0.11 0.144277 0.126764 23.5329 20.7536 -1 -1 -1 -1 -1 274261 16 5.92627e+08 1.07221e+08 4.06343e+08 21969.2 8.82 29.7918 26.4548 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dla_like.small.v common 2908.94 vpr 2.08 GiB 23.99 653192 -1 -1 5 1487.18 -1 -1 567616 -1 -1 4802 206 132 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2179232 206 13 208395 166387 1 84723 5281 90 90 8100 dsp_top auto 1088.6 MiB 1026.84 670172 5383654 1972502 3321734 89418 2009.2 MiB 183.49 1.46 5.09279 -186857 -5.09279 5.09279 0.07 0.331199 0.267346 40.6905 33.6709 -1 -1 -1 -1 -1 975320 17 2.56465e+08 1.8465e+08 1.76850e+08 21833.4 24.84 57.404 48.0566 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bnn.v common 969.10 vpr 2.13 GiB 29.49 497904 -1 -1 3 129.80 -1 -1 495096 -1 -1 5696 260 0 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2233212 260 122 231647 179602 1 86845 6141 83 83 6889 clb auto 1187.2 MiB 311.78 912993 6526733 2520797 3695947 309989 1920.4 MiB 264.74 1.98 7.52843 -156634 -7.52843 7.52843 0.06 0.381962 0.331042 49.5594 41.2331 -1 -1 -1 -1 -1 1213286 17 2.13666e+08 1.7493e+08 1.50779e+08 21886.9 31.95 68.9806 57.9206 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml attention_layer.v common 958.31 vpr 3.23 GiB 8.31 584856 -1 -1 7 32.40 -1 -1 285492 -1 -1 1987 1057 690 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3390680 1057 32 57517 46908 1 31258 3903 148 148 21904 memory auto 353.9 MiB 553.10 415150 4980057 2183157 2443299 353601 3311.2 MiB 99.71 0.57 8.95077 -123418 -8.95077 8.95077 0.13 0.132008 0.11582 23.5273 20.1118 -1 -1 -1 -1 -1 594018 12 7.00618e+08 1.85202e+08 4.81367e+08 21976.2 10.61 28.7466 24.7025 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer_hls.v common 700.82 odin 3.88 GiB 126.72 4072668 -1 -1 3 38.49 -1 -1 1632804 -1 -1 1721 1016 21 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1712296 1016 2283 12854 14312 1 7296 5053 106 106 11236 io auto 120.4 MiB 143.29 67248 5682855 2864878 2056878 761099 1672.2 MiB 32.76 0.32 5.97788 -21267.9 -5.97788 5.97788 0.06 0.115591 0.112281 15.5651 15.0127 -1 -1 -1 -1 -1 92824 14 3.5748e+08 5.39595e+07 2.46019e+08 21895.6 4.75 19.7742 19.0977 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 308.08 vpr 654.09 MiB 6.94 203984 -1 -1 4 206.60 -1 -1 108348 -1 -1 940 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 669788 91 65 36754 30551 2 13677 1194 56 56 3136 dsp_top auto 229.2 MiB 17.98 172326 634294 204731 402807 26756 654.1 MiB 20.16 0.19 4.94627 -63703.3 -4.94627 2.10017 0.02 0.0898498 0.0764547 9.43408 8.04642 -1 -1 -1 -1 -1 248185 14 9.76016e+07 4.45981e+07 6.76749e+07 21580.0 5.79 13.1737 11.3769 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml eltwise_layer.v common 104.85 vpr 567.79 MiB 2.09 82644 -1 -1 4 41.54 -1 -1 68708 -1 -1 358 152 72 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 581412 152 97 14052 11986 2 6814 729 56 56 3136 dsp_top auto 118.3 MiB 8.56 130535 351582 117252 210256 24074 567.8 MiB 10.27 0.09 4.45844 -25523.6 -4.45844 1.83879 0.02 0.0485025 0.0417578 5.48425 4.75529 -1 -1 -1 -1 -1 193508 15 9.76016e+07 3.25907e+07 6.76749e+07 21580.0 4.07 7.51783 6.56482 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml robot_rl.v common 277.38 vpr 593.11 MiB 107.27 368036 -1 -1 6 31.38 -1 -1 150412 -1 -1 1283 3 96 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 607344 3 384 30303 28383 1 14716 1784 52 52 2704 memory auto 225.5 MiB 40.89 136318 1126544 375795 665520 85229 593.1 MiB 31.11 0.27 6.82777 -45217.3 -6.82777 6.82777 0.02 0.0785142 0.0654035 8.74396 7.42118 -1 -1 -1 -1 -1 224233 20 8.30642e+07 5.35851e+07 5.83674e+07 21585.6 7.14 13.233 11.3173 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml reduction_layer.v common 78.85 vpr 361.96 MiB 1.67 80272 -1 -1 6 20.55 -1 -1 68072 -1 -1 804 37 52 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 370644 37 17 18215 15970 1 9561 910 38 38 1444 memory auto 151.2 MiB 14.13 110676 331510 86677 232626 12207 362.0 MiB 12.77 0.15 6.68572 -41665.7 -6.68572 6.68572 0.01 0.046345 0.0403322 4.00773 3.36654 -1 -1 -1 -1 -1 185110 15 4.31434e+07 2.95939e+07 3.08517e+07 21365.5 4.82 6.23238 5.33371 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml spmv.v common 169.07 vpr 1.09 GiB 22.30 694892 -1 -1 6 22.40 -1 -1 253836 -1 -1 506 82 232 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1143196 82 17 16284 14380 1 8446 869 84 84 7056 memory auto 136.0 MiB 16.96 154702 407079 126171 268174 12734 1116.4 MiB 9.30 0.09 5.66212 -41728 -5.66212 5.66212 0.04 0.0408504 0.0349241 4.46319 3.68799 -1 -1 -1 -1 -1 213813 13 2.2198e+08 5.41794e+07 1.54074e+08 21835.9 3.29 6.26987 5.27694 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml softmax.v common 85.45 vpr 591.82 MiB 3.32 102836 -1 -1 10 10.53 -1 -1 51956 -1 -1 513 402 0 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 606028 402 150 13003 11825 1 7723 1118 58 58 3364 dsp_top auto 112.2 MiB 12.65 78213 784118 280792 458671 44655 591.8 MiB 13.64 0.10 9.87074 -14553.8 -9.87074 9.87074 0.02 0.0323667 0.0289675 4.75222 4.19081 -1 -1 -1 -1 -1 125779 16 1.04637e+08 2.77652e+07 7.26973e+07 21610.4 3.19 6.43415 5.71727 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4_odin/koios_medium_multi_arch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4_odin/koios_medium_multi_arch/config/golden_results.txt index 6dfb7ea9826..fbc506a606b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4_odin/koios_medium_multi_arch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4_odin/koios_medium_multi_arch/config/golden_results.txt @@ -1,12 +1,12 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 648.07 vpr 583.78 MiB 10.29 221548 -1 -1 4 462.90 -1 -1 115756 -1 -1 1083 91 56 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 597788 91 65 41142 34939 2 16599 1337 56 56 3136 dsp_top auto 303.8 MiB 37.55 188966 578.3 MiB 20.86 0.16 4.70931 -59153.1 -4.70931 2.9201 0.82 0.076806 0.0648064 9.57738 8.25623 -1 273605 14 9.76016e+07 4.85884e+07 6.79229e+07 21659.1 6.44 12.961 11.3295 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.mem_heavy.xml conv_layer.v common 624.88 vpr 528.07 MiB 9.90 221728 -1 -1 4 460.89 -1 -1 115728 -1 -1 1083 91 56 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 540748 91 65 41142 34939 2 16599 1337 49 49 2401 clb auto 305.3 MiB 36.42 166447 480.3 MiB 18.64 0.14 5.05127 -59062.6 -5.05127 2.47488 1.08 0.0749875 0.0672808 8.86595 7.6596 -1 252123 15 1.02711e+08 4.85884e+07 4.76098e+07 19829.1 6.26 12.4877 10.9287 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.dsp_heavy.xml conv_layer.v common 522.08 vpr 527.16 MiB 10.44 221492 -1 -1 4 355.05 -1 -1 115728 -1 -1 1083 91 56 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 539816 91 65 41142 34939 2 16599 1337 48 48 2304 clb auto 305.0 MiB 41.37 161543 475.0 MiB 21.19 0.16 4.60431 -57638 -4.60431 2.62606 1.25 0.0777844 0.0665453 9.67561 8.34103 -1 245594 16 9.75639e+07 4.85884e+07 4.53680e+07 19691.0 6.34 13.5223 11.7746 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.densest.xml conv_layer.v common 504.81 vpr 529.57 MiB 9.23 221540 -1 -1 4 354.48 -1 -1 115956 -1 -1 1083 91 56 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 542280 91 65 41142 34939 2 16599 1337 49 49 2401 clb auto 303.8 MiB 32.52 170439 482.2 MiB 18.47 0.14 5.05127 -58831.7 -5.05127 2.53565 1.15 0.0767524 0.0691067 8.64211 7.47541 -1 256067 14 1.01435e+08 4.85884e+07 4.75932e+07 19822.2 6.21 12.7236 11.2 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.denser.xml conv_layer.v common 611.16 vpr 490.46 MiB 9.39 221568 -1 -1 4 462.59 -1 -1 115868 -1 -1 1083 91 56 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 502228 91 65 41142 34939 2 16599 1337 41 41 1681 clb auto 303.8 MiB 35.31 157049 404.9 MiB 20.38 0.17 4.53047 -59565.1 -4.53047 2.44108 0.49 0.0768142 0.0654319 8.76227 7.57169 -1 240032 15 5.66164e+07 4.85884e+07 3.51965e+07 20937.8 6.39 12.2662 10.7601 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.xml conv_layer.v common 641.92 vpr 570.18 MiB 10.75 221492 -1 -1 4 450.98 -1 -1 115860 -1 -1 1083 91 56 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 583860 91 65 41142 34939 2 16599 1337 54 54 2916 dsp_top auto 304.0 MiB 41.76 196522 552.3 MiB 20.20 0.15 4.2439 -57726.8 -4.2439 2.47309 0.69 0.0742768 0.06325 9.38534 8.13111 -1 280500 14 9.13586e+07 4.85884e+07 6.28961e+07 21569.3 6.60 12.7409 11.1763 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.densest.xml conv_layer.v common 629.20 vpr 525.31 MiB 8.94 221644 -1 -1 4 465.96 -1 -1 115920 -1 -1 1083 91 56 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 537920 91 65 41142 34939 2 16599 1337 48 48 2304 clb auto 305.0 MiB 38.98 163179 471.4 MiB 18.53 0.16 4.81221 -66909.8 -4.81221 2.86497 1.13 0.0776173 0.0661688 8.38542 7.23676 -1 247004 14 9.6957e+07 4.85884e+07 4.55250e+07 19759.1 5.95 11.8313 10.3727 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.denser.xml conv_layer.v common 539.93 vpr 491.85 MiB 9.97 221724 -1 -1 4 349.08 -1 -1 115896 -1 -1 1083 91 56 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 503652 91 65 41142 34939 2 16599 1337 41 41 1681 clb auto 305.3 MiB 45.84 158804 405.7 MiB 26.80 0.17 4.53047 -58733.1 -4.53047 2.63958 0.42 0.0800797 0.0678513 11.0399 9.54718 -1 241163 14 5.66164e+07 4.85884e+07 3.51492e+07 20909.7 8.36 15.3368 13.416 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.xml conv_layer.v common 552.23 vpr 520.43 MiB 10.18 221740 -1 -1 4 358.01 -1 -1 115896 -1 -1 1083 91 56 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 532916 91 65 41142 34939 2 16599 1337 46 46 2116 dsp_top auto 305.1 MiB 34.17 184689 460.1 MiB 29.94 0.17 4.63337 -57913.5 -4.63337 2.41469 0.50 0.07569 0.067726 12.3739 10.6816 -1 272497 15 6.74812e+07 4.85884e+07 4.51462e+07 21335.7 8.61 17.2022 14.9931 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.densest.xml conv_layer.v common 550.75 vpr 519.12 MiB 10.54 221572 -1 -1 4 348.65 -1 -1 115800 -1 -1 1083 91 56 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 531584 91 65 41142 34939 2 16599 1337 47 47 2209 clb auto 304.8 MiB 48.64 178357 460.2 MiB 24.25 0.18 4.84337 -59160.5 -4.84337 2.55397 0.93 0.079042 0.066954 10.2961 8.81958 -1 265197 21 9.21907e+07 4.85884e+07 4.39475e+07 19894.7 9.90 16.6973 14.4877 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.denser.xml conv_layer.v common 622.19 vpr 516.96 MiB 8.22 221740 -1 -1 4 461.76 -1 -1 115856 -1 -1 1083 91 56 -1 success v8.0.0-6793-gb52911b9f release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-11-27T15:52:14 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/pack_refactor/vtr-verilog-to-routing 529372 91 65 41142 34939 2 16599 1337 46 46 2116 dsp_top auto 305.1 MiB 37.01 172015 455.7 MiB 21.46 0.17 4.49931 -59023.2 -4.49931 2.85425 0.66 0.0776131 0.0663591 9.31061 8.05832 -1 256997 15 7.46848e+07 4.85884e+07 4.41698e+07 20874.2 6.79 12.9038 11.3254 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 352.51 vpr 672.43 MiB 4.76 215088 -1 -1 4 212.03 -1 -1 115768 -1 -1 1082 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 688568 91 65 41142 34939 2 16392 1336 56 56 3136 dsp_top auto 252.0 MiB 41.39 185989 788321 264351 491679 32291 672.4 MiB 23.41 0.24 5.1805 -69731.6 -5.1805 2.99286 0.03 0.106537 0.0943177 10.5152 8.9603 -1 -1 -1 -1 -1 272192 13 9.76016e+07 4.85605e+07 6.76749e+07 21580.0 6.74 14.526 12.573 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.mem_heavy.xml conv_layer.v common 366.41 vpr 548.82 MiB 7.70 215196 -1 -1 4 234.35 -1 -1 115928 -1 -1 1082 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 561996 91 65 41142 34939 2 16392 1336 49 49 2401 clb auto 252.1 MiB 42.27 171761 714771 223295 366878 124598 548.8 MiB 19.99 0.17 5.36417 -65640.6 -5.36417 2.69709 0.01 0.0879771 0.0748201 9.14144 7.79307 -1 -1 -1 -1 -1 257693 13 1.02711e+08 4.85605e+07 4.74635e+07 19768.2 5.56 12.7444 11.0222 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.dsp_heavy.xml conv_layer.v common 372.54 vpr 527.79 MiB 7.64 215100 -1 -1 4 234.04 -1 -1 115956 -1 -1 1082 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 540460 91 65 41142 34939 2 16392 1336 48 48 2304 clb auto 251.1 MiB 41.76 163704 700061 221550 399888 78623 523.6 MiB 21.66 0.20 5.19863 -65223.3 -5.19863 2.97778 0.01 0.0917627 0.078705 9.46514 8.08169 -1 -1 -1 -1 -1 248086 14 9.75639e+07 4.85605e+07 4.52279e+07 19630.2 5.86 13.3985 11.6045 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.densest.xml conv_layer.v common 386.98 vpr 551.31 MiB 7.40 215116 -1 -1 4 245.01 -1 -1 116088 -1 -1 1082 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 564540 91 65 41142 34939 2 16392 1336 49 49 2401 clb auto 251.8 MiB 42.47 158778 707416 227026 364221 116169 551.3 MiB 23.19 0.19 5.23284 -67623.3 -5.23284 2.79884 0.01 0.0975675 0.0815719 10.0317 8.55379 -1 -1 -1 -1 -1 241926 14 1.01435e+08 4.85605e+07 4.74461e+07 19761.0 6.11 14.057 12.1489 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.denser.xml conv_layer.v common 378.47 vpr 492.81 MiB 7.75 215008 -1 -1 4 241.13 -1 -1 116104 -1 -1 1082 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 504636 91 65 41142 34939 2 16392 1336 41 41 1681 clb auto 251.7 MiB 42.42 158586 692706 216542 421626 54538 454.8 MiB 23.17 0.22 5.05127 -65897.9 -5.05127 2.86497 0.01 0.0972561 0.0822555 9.63524 8.23674 -1 -1 -1 -1 -1 242296 14 5.66164e+07 4.85605e+07 3.50822e+07 20869.8 6.45 13.683 11.8506 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.xml conv_layer.v common 386.53 vpr 642.25 MiB 4.90 215116 -1 -1 4 237.89 -1 -1 116236 -1 -1 1082 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 657668 91 65 41142 34939 2 16392 1336 54 54 2916 dsp_top auto 252.2 MiB 42.66 188111 751546 249178 479487 22881 642.3 MiB 24.68 0.23 5.17023 -68136 -5.17023 2.86259 0.02 0.0933895 0.0820538 10.3074 8.83088 -1 -1 -1 -1 -1 272363 14 9.13586e+07 4.85605e+07 6.26714e+07 21492.2 6.61 14.3579 12.4381 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.densest.xml conv_layer.v common 388.30 vpr 525.20 MiB 7.03 215020 -1 -1 4 244.58 -1 -1 115972 -1 -1 1082 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 537808 91 65 41142 34939 2 16392 1336 48 48 2304 clb auto 251.2 MiB 41.38 168856 729481 233033 429510 66938 522.9 MiB 26.06 0.23 5.57207 -68353.3 -5.57207 2.79807 0.01 0.0981234 0.0838068 10.5056 8.98586 -1 -1 -1 -1 -1 251682 13 9.6957e+07 4.85605e+07 4.53839e+07 19697.9 6.45 14.4956 12.5587 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.denser.xml conv_layer.v common 396.82 vpr 493.34 MiB 7.41 215104 -1 -1 4 257.13 -1 -1 115940 -1 -1 1082 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 505180 91 65 41142 34939 2 16392 1336 41 41 1681 clb auto 251.2 MiB 42.40 159936 729481 233674 452058 43749 454.7 MiB 25.78 0.22 5.12784 -65245 -5.12784 2.64326 0.01 0.0973349 0.0824972 10.3536 8.83427 -1 -1 -1 -1 -1 244692 15 5.66164e+07 4.85605e+07 3.50349e+07 20841.7 6.84 14.6337 12.6436 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.xml conv_layer.v common 373.28 vpr 531.82 MiB 7.65 215144 -1 -1 4 227.23 -1 -1 115832 -1 -1 1082 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 544584 91 65 41142 34939 2 16392 1336 46 46 2116 dsp_top auto 251.8 MiB 42.06 179779 788321 265913 498199 24209 531.8 MiB 27.49 0.24 4.91721 -63756.1 -4.91721 2.8055 0.01 0.0985794 0.0827707 11.0738 9.46404 -1 -1 -1 -1 -1 266992 15 6.74812e+07 4.85605e+07 4.49964e+07 21264.8 7.05 15.3643 13.283 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.densest.xml conv_layer.v common 363.98 vpr 519.44 MiB 7.51 215156 -1 -1 4 221.45 -1 -1 115824 -1 -1 1082 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 531904 91 65 41142 34939 2 16392 1336 47 47 2209 clb auto 251.7 MiB 42.06 177363 751546 238898 455950 56698 511.2 MiB 26.88 0.25 5.74439 -66669.2 -5.74439 2.74926 0.01 0.0976305 0.0834431 10.6585 9.11403 -1 -1 -1 -1 -1 261596 14 9.21907e+07 4.85605e+07 4.38118e+07 19833.3 6.58 14.768 12.7775 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.denser.xml conv_layer.v common 367.47 vpr 517.34 MiB 7.31 215140 -1 -1 4 226.59 -1 -1 115900 -1 -1 1082 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 529760 91 65 41142 34939 2 16392 1336 46 46 2116 dsp_top auto 251.9 MiB 42.40 164706 758901 240199 496773 21929 509.8 MiB 24.50 0.23 5.0259 -67286.9 -5.0259 3.01666 0.01 0.0942614 0.0802815 10.2047 8.7176 -1 -1 -1 -1 -1 250051 15 7.46848e+07 4.85605e+07 4.40267e+07 20806.6 6.53 14.2812 12.3585 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4_odin/koios_medium_no_hb/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4_odin/koios_medium_no_hb/config/golden_results.txt index 11c2ef07254..8578fd23aeb 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4_odin/koios_medium_no_hb/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test4_odin/koios_medium_no_hb/config/golden_results.txt @@ -1,13 +1,13 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.os.v common 1104.28 vpr 2.74 GiB 8.74 244108 -1 -1 5 297.77 -1 -1 157688 -1 -1 1178 355 32 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2878052 355 289 49464 41111 2 23907 2130 136 136 18496 dsp_top auto 281.5 MiB 311.65 435995 1714505 687626 949597 77282 2810.6 MiB 27.83 0.21 7.35242 -116388 -7.35242 2.95155 0.10 0.0834697 0.0680869 11.0641 9.1222 -1 549370 16 5.92627e+08 1.0732e+08 4.08527e+08 22087.3 8.77 15.1062 12.6676 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.ws.v common 946.59 vpr 2.73 GiB 45.54 310812 -1 -1 6 239.52 -1 -1 130016 -1 -1 1360 357 58 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2858436 357 289 42535 35250 2 20674 2341 136 136 18496 dsp_top auto 263.7 MiB 81.22 258365 2503798 912690 1363844 227264 2791.4 MiB 39.95 0.25 9.41317 -93875.2 -9.41317 3.62083 0.11 0.0885806 0.077004 15.1656 12.8314 -1 352592 15 5.92627e+08 1.16231e+08 4.08527e+08 22087.3 7.12 19.1834 16.3728 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dla_like.small.v common 3217.39 vpr 2.18 GiB 28.60 695084 -1 -1 5 1560.40 -1 -1 610904 -1 -1 5655 206 120 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2282904 206 13 227613 180877 1 96129 6122 90 90 8100 dsp_top auto 1187.9 MiB 1109.94 702117 7283522 2749583 4223771 310168 2056.0 MiB 220.80 1.60 8.302 -169079 -8.302 8.302 0.06 0.341171 0.278004 46.9752 39.1979 -1 1004001 20 2.56465e+08 2.06801e+08 1.77260e+08 21883.9 28.39 66.1255 55.7608 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bnn.v common 1047.76 vpr 2.10 GiB 28.88 498080 -1 -1 3 140.62 -1 -1 495040 -1 -1 5693 260 0 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2205640 260 122 231647 179602 1 86860 6138 83 83 6889 clb auto 1178.3 MiB 300.36 908164 6522438 2525997 3694260 302181 1905.0 MiB 230.15 1.64 7.71077 -154889 -7.71077 7.71077 0.06 0.377722 0.328092 49.8268 40.9656 -1 1204095 18 2.13666e+08 1.74846e+08 1.51189e+08 21946.4 34.10 69.5248 57.8328 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml attention_layer.v common 1979.21 vpr 5.52 GiB 9.31 622444 -1 -1 8 52.62 -1 -1 296696 -1 -1 2585 1048 1166 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 5787156 1048 32 64005 53342 1 37416 4967 196 196 38416 memory auto 399.5 MiB 726.19 576620 7121615 3271863 3293671 556081 5651.5 MiB 80.75 0.52 9.33408 -152227 -9.33408 9.33408 0.41 0.140445 0.113396 24.2142 20.0817 -1 762815 13 1.23531e+09 2.67163e+08 8.45266e+08 22003.0 13.94 29.7133 24.9109 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer_hls.v common 843.36 odin 3.93 GiB 126.10 4122888 -1 -1 7 45.84 -1 -1 1641428 -1 -1 1890 1016 21 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1776404 1016 2283 16425 17373 1 9249 5212 106 106 11236 io auto 146.6 MiB 83.53 74673 6193502 3147425 2242332 803745 1734.8 MiB 37.16 0.36 13.5303 -24849.4 -13.5303 13.5303 0.06 0.122239 0.118475 17.0221 16.3404 -1 107886 17 3.5748e+08 5.61376e+07 2.46822e+08 21967.1 6.15 22.279 21.3779 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 613.28 vpr 997.71 MiB 7.57 229260 -1 -1 4 300.66 -1 -1 135308 -1 -1 1377 91 56 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1021656 91 65 51897 44206 2 22450 1673 72 72 5184 dsp_top auto 308.4 MiB 72.85 233523 1103570 376406 686486 40678 997.7 MiB 37.99 0.31 5.75091 -95999.9 -5.75091 3.49463 0.03 0.116483 0.0978631 13.6034 11.5102 -1 328320 15 1.63139e+08 6.74509e+07 1.13044e+08 21806.4 8.55 18.6242 15.9639 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml eltwise_layer.v common 719.12 vpr 606.31 MiB 19.99 354312 -1 -1 5 168.14 -1 -1 123840 -1 -1 1413 152 72 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 620864 152 97 41796 36054 2 19766 1740 50 50 2500 memory auto 279.1 MiB 388.21 177823 1413975 463973 858189 91813 606.3 MiB 37.09 0.26 5.657 -34829.9 -5.657 3.0521 0.01 0.0907473 0.0792665 13.8393 11.6985 -1 266013 16 7.5303e+07 5.08635e+07 5.42358e+07 21694.3 7.83 18.3398 15.6629 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml robot_rl.v common 567.27 vpr 607.77 MiB 175.53 368912 -1 -1 6 52.01 -1 -1 159520 -1 -1 1317 3 96 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 622360 3 384 32137 30217 1 16402 1818 52 52 2704 memory auto 242.0 MiB 212.45 148363 1144191 372510 692675 79006 607.8 MiB 27.66 0.25 6.51487 -55563.8 -6.51487 6.51487 0.01 0.0761583 0.0664108 8.73148 7.46125 -1 239481 19 8.30642e+07 5.45339e+07 5.85728e+07 21661.5 7.63 13.2929 11.446 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml reduction_layer.v common 119.83 vpr 364.86 MiB 1.86 89348 -1 -1 6 32.78 -1 -1 76648 -1 -1 919 37 52 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 373620 37 17 22150 19905 1 11787 1025 38 38 1444 memory auto 172.0 MiB 17.32 120610 393641 104195 282596 6850 364.9 MiB 14.84 0.17 6.51763 -51469.8 -6.51763 6.51763 0.01 0.0574513 0.0504628 5.08309 4.2737 -1 190779 15 4.31434e+07 3.28029e+07 3.09543e+07 21436.5 5.44 7.96348 6.8241 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml spmv.v common 604.10 vpr 1.08 GiB 24.27 719340 -1 -1 6 34.78 -1 -1 262612 -1 -1 795 61 198 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1130640 61 17 22163 20259 1 12984 1103 82 82 6724 memory auto 171.1 MiB 342.05 164941 770063 247069 479249 43745 1104.1 MiB 14.47 0.10 5.93366 -38288.7 -5.93366 5.93366 0.04 0.0461013 0.039822 6.95092 5.71641 -1 219396 14 2.09174e+08 5.75629e+07 1.47429e+08 21925.8 4.08 9.24081 7.71683 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml softmax.v common 420.46 vpr 507.89 MiB 9.71 331692 -1 -1 10 97.61 -1 -1 108204 -1 -1 1452 402 0 -1 success v8.0.0-11333-g2a1703ace-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-19T16:40:57 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 520080 402 150 39533 35581 1 18244 2012 44 44 1936 clb auto 266.4 MiB 221.27 128967 1271612 435167 794322 42123 501.0 MiB 25.69 0.24 9.7645 -28088.4 -9.7645 9.7645 0.01 0.0759782 0.0621098 8.12017 6.77196 -1 211193 15 5.86452e+07 4.25474e+07 4.16874e+07 21532.7 5.88 11.9476 10.1852 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.os.v common 824.78 vpr 2.73 GiB 9.30 244232 -1 -1 5 260.22 -1 -1 157748 -1 -1 1192 355 32 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2859788 355 289 49464 41111 2 23764 2144 136 136 18496 dsp_top auto 279.7 MiB 295.81 418901 1701881 672511 951428 77942 2792.8 MiB 37.25 0.28 7.18019 -112184 -7.18019 3.27937 0.10 0.0928828 0.0762025 12.0598 9.97865 -1 -1 -1 -1 -1 532162 15 5.92627e+08 1.0771e+08 4.06343e+08 21969.2 8.48 16.2629 13.6588 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tpu_like.small.ws.v common 632.74 vpr 2.71 GiB 48.54 310768 -1 -1 6 235.21 -1 -1 130080 -1 -1 1374 357 58 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2838608 357 289 42535 35250 2 20638 2355 136 136 18496 dsp_top auto 261.0 MiB 81.27 267716 2492454 881311 1398974 212169 2772.1 MiB 44.68 0.30 9.17716 -94770 -9.17716 5.47822 0.11 0.0928639 0.0765192 15.1689 12.6593 -1 -1 -1 -1 -1 363798 14 5.92627e+08 1.16622e+08 4.06343e+08 21969.2 6.59 19.0515 16.0684 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dla_like.small.v common 3247.84 vpr 2.19 GiB 24.55 695212 -1 -1 5 1655.23 -1 -1 611052 -1 -1 5572 206 120 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2295784 206 13 227613 180877 1 95903 6039 90 90 8100 dsp_top auto 1178.9 MiB 1135.33 707909 6932259 2631426 4043688 257145 2054.8 MiB 235.02 1.79 8.73219 -171402 -8.73219 8.73219 0.04 0.336404 0.291294 45.174 37.5072 -1 -1 -1 -1 -1 1010097 18 2.56465e+08 2.04485e+08 1.76850e+08 21833.4 24.66 62.9974 52.9486 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bnn.v common 974.94 vpr 2.13 GiB 29.50 498204 -1 -1 3 141.60 -1 -1 495184 -1 -1 5696 260 0 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2233200 260 122 231647 179602 1 86845 6141 83 83 6889 clb auto 1187.1 MiB 314.67 912993 6526733 2520797 3695947 309989 1920.4 MiB 248.79 1.86 7.52843 -156634 -7.52843 7.52843 0.06 0.378471 0.329292 48.6527 40.5682 -1 -1 -1 -1 -1 1213286 17 2.13666e+08 1.7493e+08 1.50779e+08 21886.9 31.97 68.9911 57.9626 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml attention_layer.v common 1457.86 vpr 5.48 GiB 9.37 622492 -1 -1 8 53.38 -1 -1 296896 -1 -1 2610 1048 1166 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 5749392 1048 32 64005 53342 1 37249 4992 196 196 38416 memory auto 400.3 MiB 829.34 586865 7169520 3268277 3394331 506912 5614.6 MiB 125.78 0.75 9.39038 -154655 -9.39038 9.39038 0.22 0.14375 0.125397 26.449 22.1984 -1 -1 -1 -1 -1 761348 13 1.23531e+09 2.67861e+08 8.43084e+08 21946.2 12.71 32.4298 27.4366 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer_hls.v common 672.06 odin 3.93 GiB 129.42 4122772 -1 -1 7 42.43 -1 -1 1641328 -1 -1 1889 1016 21 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1764592 1016 2283 16425 17373 1 9246 5211 106 106 11236 io auto 145.9 MiB 84.88 74748 6237015 3200275 2226899 809841 1723.2 MiB 38.03 0.41 13.4488 -25176.8 -13.4488 13.4488 0.08 0.124806 0.120975 16.9313 16.2814 -1 -1 -1 -1 -1 105692 19 3.5748e+08 5.61097e+07 2.46019e+08 21895.6 6.63 22.7872 21.9276 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml conv_layer.v common 509.40 vpr 994.21 MiB 7.63 229352 -1 -1 4 291.50 -1 -1 135308 -1 -1 1384 91 56 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1018076 91 65 51897 44206 2 22455 1680 72 72 5184 dsp_top auto 306.6 MiB 73.16 228853 1089827 378190 671197 40440 994.2 MiB 34.83 0.26 5.70153 -99928.4 -5.70153 3.13057 0.03 0.106655 0.0885957 12.4295 10.5046 -1 -1 -1 -1 -1 326314 16 1.63139e+08 6.76462e+07 1.12634e+08 21727.3 7.66 17.2977 14.7855 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml eltwise_layer.v common 639.48 vpr 604.25 MiB 18.92 354340 -1 -1 5 163.25 -1 -1 123992 -1 -1 1341 152 72 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 618756 152 97 41796 36054 2 19741 1668 50 50 2500 memory auto 276.5 MiB 353.29 182641 1138788 375858 705982 56948 604.3 MiB 34.19 0.28 5.26502 -34149.4 -5.26502 3.08759 0.01 0.0964297 0.0809839 11.7416 9.89389 -1 -1 -1 -1 -1 272498 15 7.5303e+07 4.88544e+07 5.40494e+07 21619.8 6.94 15.9939 13.6389 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml robot_rl.v common 546.92 vpr 605.04 MiB 154.33 369052 -1 -1 6 48.81 -1 -1 159492 -1 -1 1317 3 96 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 619556 3 384 32137 30217 1 16367 1818 52 52 2704 memory auto 242.8 MiB 239.93 144112 1133100 365554 689391 78155 605.0 MiB 35.61 0.31 6.41197 -56602.2 -6.41197 6.41197 0.02 0.0848867 0.0696734 9.19789 7.83126 -1 -1 -1 -1 -1 236714 16 8.30642e+07 5.45339e+07 5.83674e+07 21585.6 6.70 13.3346 11.5003 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml reduction_layer.v common 98.78 vpr 363.29 MiB 1.84 89352 -1 -1 6 26.10 -1 -1 76656 -1 -1 919 37 52 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 372012 37 17 22150 19905 1 11787 1025 38 38 1444 memory auto 170.0 MiB 16.96 135894 398807 104287 286810 7710 363.3 MiB 19.99 0.23 7.06559 -49254.7 -7.06559 7.06559 0.01 0.0623857 0.0549943 5.52111 4.6572 -1 -1 -1 -1 -1 207543 14 4.31434e+07 3.28029e+07 3.08517e+07 21365.5 5.40 8.56273 7.34463 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml spmv.v common 494.03 vpr 1.07 GiB 22.80 719364 -1 -1 6 32.64 -1 -1 262680 -1 -1 803 61 198 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1124736 61 17 22163 20259 1 12877 1111 82 82 6724 memory auto 170.8 MiB 318.30 161978 720111 230341 449967 39803 1098.4 MiB 16.20 0.11 5.96789 -38891.9 -5.96789 5.96789 0.04 0.0460451 0.0397049 7.16927 6.05082 -1 -1 -1 -1 -1 215986 16 2.09174e+08 5.77861e+07 1.47019e+08 21864.8 4.01 9.6201 8.19901 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml softmax.v common 421.69 vpr 509.21 MiB 10.11 331792 -1 -1 10 107.99 -1 -1 107828 -1 -1 1454 402 0 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 521432 402 150 39533 35581 1 18242 2014 44 44 1936 clb auto 264.4 MiB 222.03 128276 1298740 437176 815390 46174 499.3 MiB 33.29 0.31 9.64776 -28385.1 -9.64776 9.64776 0.01 0.0772257 0.0685146 9.05102 7.67437 -1 -1 -1 -1 -1 211161 16 5.86452e+07 4.26032e+07 4.15480e+07 21460.7 6.45 13.3481 11.4668 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_ispd/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_ispd/config/golden_results.txt index f404891e152..83cca486bd7 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_ispd/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_ispd/config/golden_results.txt @@ -1,10 +1,10 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_IO num_CLB num_DSP num_BRAM vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem router_lookahead_computation_time -ultrascale_ispd.xml FPGA-example1.blif common 101.39 vpr 5.30 GiB 72 220 2 2 success v8.0.0-6565-g889ebebc8 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-24T12:43:52 betzgrp-wintermute.eecg.utoronto.ca /home/zhaisito/workspace/vtr_fix/vtr-verilog-to-routing/vtr_flow/tasks 5555300 51 20 3417 3407 1 3287 296 168 480 80640 -1 ultrascale_ispd 63.2 MiB 4.52 30370 5425.1 MiB 0.76 0.01 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 0 -1 -1 -ultrascale_ispd.xml clk_design1.blif common 108.35 vpr 5.32 GiB 109 592 2 2 success v8.0.0-6565-g889ebebc8 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-24T12:43:52 betzgrp-wintermute.eecg.utoronto.ca /home/zhaisito/workspace/vtr_fix/vtr-verilog-to-routing/vtr_flow/tasks 5579688 57 20 9969 9959 30 9711 705 168 480 80640 -1 ultrascale_ispd 103.1 MiB 8.18 68744 5448.9 MiB 3.20 0.02 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 0 -1 -1 -ultrascale_ispd.xml clk_design2.blif common 311.90 vpr 5.64 GiB 244 5837 10 10 success v8.0.0-6565-g889ebebc8 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-24T12:43:52 betzgrp-wintermute.eecg.utoronto.ca /home/zhaisito/workspace/vtr_fix/vtr-verilog-to-routing/vtr_flow/tasks 5911804 137 60 100115 100025 45 97978 6101 168 480 80640 -1 ultrascale_ispd 649.1 MiB 83.42 1215177 5773.2 MiB 119.64 0.75 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 0 -1 -1 -ultrascale_ispd.xml clk_design3.blif common 1513.69 vpr 6.60 GiB 374 22993 50 96 success v8.0.0-6565-g889ebebc8 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-24T12:43:52 betzgrp-wintermute.eecg.utoronto.ca /home/zhaisito/workspace/vtr_fix/vtr-verilog-to-routing/vtr_flow/tasks 6917624 217 120 400080 399454 35 390926 23513 168 480 80640 -1 ultrascale_ispd 2324.6 MiB 224.93 5853155 6755.5 MiB 1160.59 7.01 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 0 -1 -1 -ultrascale_ispd.xml FPGA-example2.blif common 3568.91 vpr 7.24 GiB 456 39262 200 400 success v8.0.0-6565-g889ebebc8 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-24T12:43:52 betzgrp-wintermute.eecg.utoronto.ca /home/zhaisito/workspace/vtr_fix/vtr-verilog-to-routing/vtr_flow/tasks 7590012 303 150 545542 542692 1 539559 40318 168 480 80640 -1 ultrascale_ispd 3455.9 MiB 691.18 13027684 7412.1 MiB 2732.16 15.13 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 0 -1 -1 -ultrascale_ispd.xml FPGA-example3.blif common 3109.38 vpr 6.85 GiB 606 30856 200 500 success v8.0.0-6565-g889ebebc8 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-24T12:43:52 betzgrp-wintermute.eecg.utoronto.ca /home/zhaisito/workspace/vtr_fix/vtr-verilog-to-routing/vtr_flow/tasks 7181808 403 200 431203 428403 1 429172 32162 168 480 80640 -1 ultrascale_ispd 2831.4 MiB 536.72 21109759 7013.5 MiB 2436.46 12.67 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 0 -1 -1 -ultrascale_ispd.xml FPGA-example4.blif common 5438.58 vpr 3.00 GiB -1 -1 -1 -1 exited with return code 1 v8.0.0-6565-g889ebebc8 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-24T12:43:52 betzgrp-wintermute.eecg.utoronto.ca /home/zhaisito/workspace/vtr_fix/vtr-verilog-to-routing/vtr_flow/tasks 3144676 403 200 850587 844787 1 -1 -1 168 480 -1 -1 -1 3070.7 MiB 5419.65 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -ultrascale_ispd.xml clk_design4.blif common 2858.50 vpr 7.52 GiB 484 39151 150 366 success v8.0.0-6565-g889ebebc8 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-24T12:43:52 betzgrp-wintermute.eecg.utoronto.ca /home/zhaisito/workspace/vtr_fix/vtr-verilog-to-routing/vtr_flow/tasks 7888896 292 150 685438 683387 40 669318 40151 168 480 80640 -1 ultrascale_ispd 3874.8 MiB 371.06 11151371 7704.0 MiB 2335.46 13.46 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 0 -1 -1 -ultrascale_ispd.xml clk_design5.blif common 5113.89 vpr 8.35 GiB 515 51693 420 885 success v8.0.0-6565-g889ebebc8 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-24T12:43:52 betzgrp-wintermute.eecg.utoronto.ca /home/zhaisito/workspace/vtr_fix/vtr-verilog-to-routing/vtr_flow/tasks 8758016 307 150 948147 942073 56 928185 53513 168 480 80640 -1 ultrascale_ispd 5337.3 MiB 574.46 14748050 8552.8 MiB 4362.83 21.01 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 0 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_IO num_CLB num_DSP num_BRAM vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + ultrascale_ispd.xml FPGA-example1.blif common 152.30 vpr 5.45 GiB 72 220 2 2 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 5717652 51 20 3417 3407 1 3313 296 168 480 80640 -1 ultrascale_ispd 62.6 MiB 6.39 29127 70302 16135 47299 6868 5583.6 MiB 1.04 0.01 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 20236814 146167635 -1 -1 -1 -1 -1 -1 -1 126.54 -1 -1 -1 -1 0 0 -1 -1 -1 + ultrascale_ispd.xml clk_design1.blif common 164.82 vpr 5.48 GiB 109 599 2 2 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 5741876 57 20 9969 9959 30 9749 712 168 480 80640 -1 ultrascale_ispd 92.1 MiB 16.35 65308 280376 87815 184229 8332 5607.3 MiB 4.96 0.05 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 20236814 146167635 -1 -1 -1 -1 -1 -1 -1 126.01 -1 -1 -1 -1 0 0 -1 -1 -1 + ultrascale_ispd.xml clk_design2.blif common 510.92 vpr 5.79 GiB 244 5810 10 10 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 6072432 137 60 100115 100025 45 98520 6074 168 480 80640 -1 ultrascale_ispd 518.0 MiB 177.20 1236606 6376499 2732445 3580012 64042 5930.1 MiB 178.65 1.52 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 20236814 146167635 -1 -1 -1 -1 -1 -1 -1 125.62 -1 -1 -1 -1 0 0 -1 -1 -1 + ultrascale_ispd.xml clk_design3.blif common 2252.28 vpr 6.74 GiB 374 22971 50 96 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 7062992 217 120 400080 399454 35 391886 23491 168 480 80640 -1 ultrascale_ispd 1851.9 MiB 456.68 6560103 43066435 19224310 23715269 126856 6897.5 MiB 1611.89 11.45 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 20236814 146167635 -1 -1 -1 -1 -1 -1 -1 125.36 -1 -1 -1 -1 0 0 -1 -1 -1 + ultrascale_ispd.xml FPGA-example2.blif common 5275.69 vpr 7.41 GiB 456 39004 200 400 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 7770016 303 150 545542 542692 1 540776 40060 168 480 80640 -1 ultrascale_ispd 2692.2 MiB 1740.97 12437987 87734908 35678297 51734577 322034 7587.9 MiB 3320.54 22.50 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 20236814 146167635 -1 -1 -1 -1 -1 -1 -1 124.18 -1 -1 -1 -1 0 0 -1 -1 -1 + ultrascale_ispd.xml FPGA-example3.blif common 4852.37 vpr 7.12 GiB 606 30951 200 500 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 7468696 403 200 431203 428403 1 429649 32257 168 480 80640 -1 ultrascale_ispd 2191.2 MiB 1101.87 21367578 74964859 33306309 41084396 574154 7293.6 MiB 3557.08 22.36 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 20236814 146167635 -1 -1 -1 -1 -1 -1 -1 117.25 -1 -1 -1 -1 0 0 -1 -1 -1 + ultrascale_ispd.xml FPGA-example4.blif common 14784.90 vpr 2.60 GiB -1 -1 -1 -1 exited with return code 1 v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2724036 403 200 850587 844787 1 -1 -1 168 480 -1 -1 -1 2660.2 MiB 14757.47 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + ultrascale_ispd.xml clk_design4.blif common 4467.28 vpr 8.02 GiB 484 39228 150 366 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 8408852 292 150 685438 683387 40 670732 40228 168 480 80640 -1 ultrascale_ispd 3075.9 MiB 761.10 12379630 88225828 36436209 51424919 364700 8211.8 MiB 3483.04 26.72 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 20236814 146167635 -1 -1 -1 -1 -1 -1 -1 122.67 -1 -1 -1 -1 0 0 -1 -1 -1 + ultrascale_ispd.xml clk_design5.blif common 6947.59 vpr 9.35 GiB 515 51695 420 885 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9801036 307 150 948147 942073 56 927080 53515 168 480 80640 -1 ultrascale_ispd 4230.4 MiB 1092.95 15551057 129073291 53626410 75051110 395771 9571.3 MiB 5605.86 36.60 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 20236814 146167635 -1 -1 -1 -1 -1 -1 -1 125.37 -1 -1 -1 -1 0 0 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_noc_clique_topology/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_noc_clique_topology/config/golden_results.txt index 120269160ff..25eafae243f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_noc_clique_topology/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_noc_clique_topology/config/golden_results.txt @@ -1,2 +1,2 @@ -arch circuit noc_flow script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time NoC_agg_bandwidth NoC_latency -stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_clique.blif complex_64_noc_clique.flows common 8722.02 vpr 7.77 GiB -1 2 -1 -1 success v8.0.0-6827-g874e0cb8d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2023-01-19T13:42:08 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/add_noc_testcases/vtr-verilog-to-routing/vtr_flow/tasks 8148772 2 64 249332 210540 1 129121 8146 220 162 35640 -1 EP4SE820 2824.5 MiB 402.18 1227222 7957.8 MiB 792.01 4.2 6.60816 -853447 -6.60816 6.60816 2267.92 0.667678 0.54378 90.027 73.7401 154 1426225 49 0 0 359543000 10088.2 4276.17 411.681 346.038 1425419 20 357462 849967 447693681 43661832 7.19548 7.19548 -1044830 -7.19548 0 0 457197000 12828.2 417.73 79.91 33.4499 29.4545 8462400000 8.0592E-05 + arch circuit noc_flow script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time NoC_agg_bandwidth NoC_latency NoC_n_met_latency_constraints NoC_latency_overrun NoC_congested_bw NoC_congestion_ratio NoC_n_congested_links SAT_agg_bandwidth SAT_latency SAT_n_met_latency_constraints SAT_latency_overrun SAT_congested_bw SAT_congestion_ratio SAT_n_congested_links + stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_clique.blif complex_64_noc_clique.flows common 6533.14 vpr 8.90 GiB -1 2 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9329352 2 64 249332 210540 1 128290 8215 220 162 35640 -1 EP4SE820 2512.4 MiB 465.27 1072519 9619279 3999578 5530016 89685 9110.7 MiB 2353.60 5.72 7.23069 -915062 -7.23069 7.23069 544.63 0.719936 0.567047 87.9168 69.331 156 1297420 33 0 0 3.63383e+08 10195.9 2597.61 423.092 343.789 8675854 77817419 -1 1299045 19 310556 747262 143100283 32926158 7.54339 7.54339 -1.11196e+06 -7.54339 0 0 4.60857e+08 12930.9 47.10 71.96 147.54 -1 -1 47.10 34.5748 29.7516 8.4448e+09 4.6256e-05 220 3.416e-05 8190.8 8190.8 254 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_noc_nearest_neighbor_topology/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_noc_nearest_neighbor_topology/config/golden_results.txt index 5f7100ff98f..f714849cf6f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_noc_nearest_neighbor_topology/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_noc_nearest_neighbor_topology/config/golden_results.txt @@ -1,2 +1,2 @@ -arch circuit noc_flow script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time NoC_agg_bandwidth NoC_latency -stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_nearest_neighbor.blif complex_64_noc_nearest_neighbor.flows common 8560.06 vpr 7.77 GiB -1 2 -1 -1 success v8.0.0-6827-g874e0cb8d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2023-01-19T13:42:08 betzgrp-wintermute.eecg.utoronto.ca /home/mahmo494/Desktop/add_noc_testcases/vtr-verilog-to-routing/vtr_flow/tasks 8144244 2 32 245317 207097 1 127846 7926 220 162 35640 -1 EP4SE820 2807.4 MiB 400.67 1238130 7953.4 MiB 769.07 5.03 6.71786 -823307 -6.71786 6.71786 2196.38 0.600359 0.532866 91.0284 76.9373 154 1432666 41 0 0 359543000 10088.2 4213.3 388.018 328.35 1435190 17 353532 839730 444668516 43599148 7.3303 7.3303 -1035530 -7.3303 0 0 457197000 12828.2 437.18 75.13 30.7833 27.281 74000000 6.28E-07 + arch circuit noc_flow script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time NoC_agg_bandwidth NoC_latency NoC_n_met_latency_constraints NoC_latency_overrun NoC_congested_bw NoC_congestion_ratio NoC_n_congested_links SAT_agg_bandwidth SAT_latency SAT_n_met_latency_constraints SAT_latency_overrun SAT_congested_bw SAT_congestion_ratio SAT_n_congested_links + stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_nearest_neighbor.blif complex_64_noc_nearest_neighbor.flows common 3587.02 vpr 8.89 GiB -1 2 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9318540 2 32 245317 207097 1 126094 8051 220 162 35640 -1 EP4SE820 2496.9 MiB 472.09 1113445 9686771 3913060 5693676 80035 9100.1 MiB 812.26 4.75 7.23069 -923307 -7.23069 7.23069 553.75 0.657025 0.559971 87.3962 70.1887 154 1342481 40 0 0 3.59543e+08 10088.2 1174.00 309.421 251.887 8640214 77173879 -1 1349276 15 299519 719789 139561804 32203257 7.43069 7.43069 -1.08369e+06 -7.43069 0 0 4.57197e+08 12828.2 58.07 63.01 143.33 -1 -1 58.07 30.7802 26.6489 4.48e+07 3.36e-07 112 4.63221e-23 0 0 0 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_noc_star_topology/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_noc_star_topology/config/golden_results.txt index 71c9b37465d..25bdaa253cc 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_noc_star_topology/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_noc_star_topology/config/golden_results.txt @@ -1,6 +1,6 @@ -arch circuit noc_flow script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time NoC_agg_bandwidth NoC_latency -stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_star.blif complex_64_noc_star_no_constraints.flows common 9220.99 vpr 7.73 GiB -1 2 -1 -1 success v8.0.0-6827-g874e0cb8d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2023-01-19T13:42:08 betzgrp-pchenry /home/mahmo494/Desktop/add_noc_testcases/vtr-verilog-to-routing/vtr_flow/tasks 8108432 2 32 239118 200960 1 125464 7868 220 162 35640 -1 EP4SE820 2747.6 MiB 451.61 1045127 7918.4 MiB 842.47 4.96 7.29224 -793365 -7.29224 7.29224 2452.84 0.655239 0.571814 107.218 87.7599 154 1195521 42 0 0 359543000 10088.2 4553.02 410.733 344.98 1194844 18 337553 761991 386559130 36912927 7.62869 7.62869 -982536 -7.62869 0 0 457197000 12828.2 372.54 65.96 28.4745 25.3252 96000000 5.43E-07 -stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_star.blif complex_64_noc_star_2_bandwidths.flows common 9063.36 vpr 7.73 GiB -1 2 -1 -1 success v8.0.0-6827-g874e0cb8d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2023-01-19T13:42:08 betzgrp-pchenry /home/mahmo494/Desktop/add_noc_testcases/vtr-verilog-to-routing/vtr_flow/tasks 8108084 2 32 239118 200960 1 125464 7868 220 162 35640 -1 EP4SE820 2747.4 MiB 442.55 1135803 7918.1 MiB 787.79 4.52 6.81554 -811789 -6.81554 6.81554 2376.12 0.650221 0.521368 100.126 80.0299 156 1282477 29 0 0 363383000 10195.9 4524 344.409 286.954 1284251 18 340249 763996 395948352 37975896 7.19262 7.19262 -1017660 -7.19262 0 0 460857000 12930.9 374.68 69.31 29.5326 26.1693 105600000 5.51E-07 -stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_star.blif complex_64_noc_star_6_bandwidths.flows common 8608.75 vpr 7.73 GiB -1 2 -1 -1 success v8.0.0-6827-g874e0cb8d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2023-01-19T13:42:08 betzgrp-pchenry /home/mahmo494/Desktop/add_noc_testcases/vtr-verilog-to-routing/vtr_flow/tasks 8108236 2 32 239118 200960 1 125464 7868 220 162 35640 -1 EP4SE820 2747.7 MiB 426.92 1113432 7918.2 MiB 824.4 4.65 7.74124 -815509 -7.74124 7.74124 2331.45 0.653023 0.521789 103.46 83.6904 156 1266529 23 0 0 363383000 10195.9 4077.6 341.899 286.116 1270380 18 332509 742781 383975630 36523096 8.09967 8.09967 -988125 -8.09967 0 0 460857000 12930.9 407.61 68.56 30.06 26.6489 263600000 5.51E-07 -stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_star.blif complex_64_noc_star_24_latency_constraints.flows common 9546.78 vpr 7.73 GiB -1 2 -1 -1 success v8.0.0-6827-g874e0cb8d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2023-01-19T13:42:08 betzgrp-pchenry /home/mahmo494/Desktop/add_noc_testcases/vtr-verilog-to-routing/vtr_flow/tasks 8108132 2 32 239118 200960 1 125464 7868 220 162 35640 -1 EP4SE820 2747.6 MiB 423.91 1068621 7918.1 MiB 811.89 4.04 7.72717 -803754 -7.72717 7.72717 2426.52 0.710002 0.571345 102.84 83.4583 154 1224191 48 0 0 359543000 10088.2 5008.21 405.36 340.486 1223376 17 334021 746747 382394575 36624473 7.87541 7.87541 -971559 -7.87541 0 0 457197000 12828.2 337.96 63.14 27.0181 24.0375 96000000 5.43E-07 -stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_star.blif complex_64_noc_star_63_latency_constraints.flows common 8773.66 vpr 7.73 GiB -1 2 -1 -1 success v8.0.0-6827-g874e0cb8d-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2023-01-19T13:42:08 betzgrp-pchenry /home/mahmo494/Desktop/add_noc_testcases/vtr-verilog-to-routing/vtr_flow/tasks 8108316 2 32 239118 200960 1 125464 7868 220 162 35640 -1 EP4SE820 2747.6 MiB 429.96 1059490 7918.3 MiB 784.75 4.56 7.39441 -807678 -7.39441 7.39441 2390.87 0.606083 0.527822 95.4872 77.8918 156 1207682 34 0 0 363383000 10195.9 4234.99 357.12 300.047 1211566 17 332447 741871 381156942 36122392 7.96259 7.96259 -1011780 -7.96259 0 0 460857000 12930.9 391.24 65.6 28.0846 24.9773 96000000 5.49E-07 \ No newline at end of file + arch circuit noc_flow script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time NoC_agg_bandwidth NoC_latency NoC_n_met_latency_constraints NoC_latency_overrun NoC_congested_bw NoC_congestion_ratio NoC_n_congested_links SAT_agg_bandwidth SAT_latency SAT_n_met_latency_constraints SAT_latency_overrun SAT_congested_bw SAT_congestion_ratio SAT_n_congested_links + stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_star.blif complex_64_noc_star_no_constraints.flows common 3640.32 vpr 8.86 GiB -1 2 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9287812 2 32 239118 200960 1 120794 7872 220 162 35640 -1 EP4SE820 2447.8 MiB 496.98 935318 9244204 3739459 5425044 79701 9070.1 MiB 723.22 4.11 7.25053 -847174 -7.25053 7.25053 541.90 0.584413 0.526328 86.2119 69.3516 154 1122905 42 0 0 3.59543e+08 10088.2 1337.24 333.111 271.579 8640214 77173879 -1 1130130 15 281926 654752 119322887 27628814 7.72979 7.72979 -977352 -7.72979 0 0 4.57197e+08 12828.2 56.43 61.68 120.78 -1 -1 56.43 29.7426 25.7592 9.64e+07 5.45e-07 63 0 47 47 31 -1 -1 -1 -1 -1 -1 -1 + stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_star.blif complex_64_noc_star_2_bandwidths.flows common 3490.34 vpr 8.86 GiB -1 2 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9287760 2 32 239118 200960 1 120794 7872 220 162 35640 -1 EP4SE820 2447.8 MiB 497.41 932527 9244204 3750706 5412944 80554 9070.1 MiB 752.15 4.54 7.25053 -876785 -7.25053 7.25053 541.27 0.617458 0.522798 83.1626 67.1777 156 1118820 36 0 0 3.63383e+08 10195.9 1146.45 293.833 239.47 8675854 77817419 -1 1124333 18 291439 674171 123588076 28491228 7.26463 7.26463 -1.03507e+06 -7.26463 0 0 4.60857e+08 12930.9 52.45 63.92 143.56 -1 -1 52.45 33.3056 28.4835 1.044e+08 5.45e-07 63 0 55 55 31 -1 -1 -1 -1 -1 -1 -1 + stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_star.blif complex_64_noc_star_6_bandwidths.flows common 3709.89 vpr 8.86 GiB -1 2 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9287780 2 32 239118 200960 1 120794 7872 220 162 35640 -1 EP4SE820 2447.8 MiB 488.97 952766 9322478 3766958 5480139 75381 9070.1 MiB 737.91 4.65 7.33661 -953349 -7.33661 7.33661 540.65 0.690479 0.534503 87.207 70.0253 154 1132487 44 0 0 3.59543e+08 10088.2 1383.98 347.748 283.963 8640214 77173879 -1 1138839 14 283064 656883 119841555 27746675 7.6573 7.6573 -1.12531e+06 -7.6573 0 0 4.57197e+08 12828.2 54.64 58.28 142.59 -1 -1 54.64 27.6077 23.9412 2.6e+08 5.43e-07 63 0 202.6 202.6 45 -1 -1 -1 -1 -1 -1 -1 + stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_star.blif complex_64_noc_star_24_latency_constraints.flows common 3458.53 vpr 8.86 GiB -1 2 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9287800 2 32 239118 200960 1 120794 7872 220 162 35640 -1 EP4SE820 2447.9 MiB 451.90 961316 9322478 3764375 5470496 87607 9070.1 MiB 757.45 5.19 7.23069 -855825 -7.23069 7.23069 551.06 0.629897 0.534167 82.4935 66.9304 156 1151895 26 0 0 3.63383e+08 10195.9 1120.45 282.565 230.471 8675854 77817419 -1 1153410 20 293867 681535 125532955 28871891 7.35255 7.35255 -996899 -7.35255 0 0 4.60857e+08 12930.9 53.38 69.31 150.93 -1 -1 53.38 35.4824 30.1217 9.64e+07 5.45e-07 63 1.15805e-23 47 47 31 -1 -1 -1 -1 -1 -1 -1 + stratixiv_arch.timing_with_a_embedded_10X10_mesh_noc_topology.xml complex_64_noc_star.blif complex_64_noc_star_63_latency_constraints.flows common 3472.77 vpr 8.86 GiB -1 2 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 9287976 2 32 239118 200960 1 120794 7872 220 162 35640 -1 EP4SE820 2448.1 MiB 468.20 978163 9087656 3721856 5286813 78987 9070.3 MiB 741.37 4.79 7.27106 -872324 -7.27106 7.27106 559.88 0.624354 0.529616 83.313 65.7244 156 1154394 23 0 0 3.63383e+08 10195.9 1124.03 279.056 226.133 8675854 77817419 -1 1158703 17 293445 680601 124608454 28649322 7.41757 7.41757 -972651 -7.41757 0 0 4.60857e+08 12930.9 53.23 64.72 150.44 -1 -1 53.23 31.9292 27.2724 9.6e+07 5.43e-07 62 2e-09 47.2 47.2 30 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan/config/golden_results.txt index 2228fe597c5..e381a9de6fe 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan/config/golden_results.txt @@ -1,4 +1,4 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time -stratixiv_arch_neuron.timing.xml neuron_stratixiv_arch_timing.blif common_-sdc_file_sdc/samples/neuron_stratixiv_arch_timing.sdc_-read_vpr_constraints_tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan/sixteenth.xml 670.37 vpr 2.73 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-6565-g889ebebc8 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-24T12:43:52 betzgrp-wintermute.eecg.utoronto.ca /home/zhaisito/workspace/vtr_fix/vtr-verilog-to-routing/vtr_flow/tasks 2864852 42 35 119888 86875 1 51827 3555 129 96 12384 -1 neuron 1830.2 MiB 172.36 594144 2717.0 MiB 138.71 0.74 7.46153 -74648.7 -6.46153 5.54155 51.28 0.274778 0.247975 53.2107 45.4545 -1 765239 22 0 0 2.28642e+08 18462.7 50.37 70.484 61.0725 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -stratixiv_arch_neuron.timing.xml neuron_stratixiv_arch_timing.blif common_-sdc_file_sdc/samples/neuron_stratixiv_arch_timing.sdc_-read_vpr_constraints_tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan/half_blocks_half.xml 622.22 vpr 2.73 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-6565-g889ebebc8 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-24T12:43:52 betzgrp-wintermute.eecg.utoronto.ca /home/zhaisito/workspace/vtr_fix/vtr-verilog-to-routing/vtr_flow/tasks 2860400 42 35 119888 86875 1 51282 3422 129 96 12384 -1 neuron 1826.3 MiB 90.78 596408 2713.4 MiB 167.88 0.80 8.25008 -74642.4 -7.25008 5.56231 58.54 0.306592 0.257358 58.1537 49.2936 -1 773864 29 0 0 2.28642e+08 18462.7 55.15 79.2702 68.3071 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -stratixiv_arch_neuron.timing.xml neuron_stratixiv_arch_timing.blif common_-sdc_file_sdc/samples/neuron_stratixiv_arch_timing.sdc_-read_vpr_constraints_tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan/one_big_partition.xml 651.75 vpr 2.73 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-6565-g889ebebc8 release IPO VTR_ASSERT_LEVEL=2 GNU 7.5.0 on Linux-4.15.0-167-generic x86_64 2022-10-24T12:43:52 betzgrp-wintermute.eecg.utoronto.ca /home/zhaisito/workspace/vtr_fix/vtr-verilog-to-routing/vtr_flow/tasks 2861964 42 35 119888 86875 1 51283 3425 129 96 12384 -1 neuron 1827.4 MiB 90.76 596968 2714.9 MiB 128.31 0.86 8.38198 -70951.7 -7.38198 5.24439 61.70 0.302423 0.255454 43.3562 36.7091 -1 770785 27 0 0 2.28642e+08 18462.7 56.26 63.006 54.3937 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + stratixiv_arch_neuron.timing.xml neuron_stratixiv_arch_timing.blif common_-sdc_file_sdc/samples/neuron_stratixiv_arch_timing.sdc_-read_vpr_constraints_tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan/sixteenth.xml 521.09 vpr 2.86 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2999364 42 35 119888 86875 1 51488 3609 129 96 12384 -1 neuron 1754.5 MiB 201.53 588950 3296458 1251917 1696829 347712 2929.1 MiB 120.52 0.99 7.81281 -83697.4 -6.81281 5.17837 0.07 0.394336 0.331354 48.5767 41.2186 -1 -1 -1 -1 -1 774816 15 0 0 2.28639e+08 18462.4 38.71 65.6047 56.4158 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + stratixiv_arch_neuron.timing.xml neuron_stratixiv_arch_timing.blif common_-sdc_file_sdc/samples/neuron_stratixiv_arch_timing.sdc_-read_vpr_constraints_tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan/half_blocks_half.xml 448.82 vpr 2.85 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2992528 42 35 119888 86875 1 50882 3437 129 96 12384 -1 neuron 1746.7 MiB 106.69 578743 3192458 1206112 1829273 157073 2922.4 MiB 136.43 1.09 8.30532 -83283.1 -7.30532 5.88288 0.07 0.398109 0.334174 50.9205 42.9915 -1 -1 -1 -1 -1 751914 17 0 0 2.28639e+08 18462.4 38.69 68.6074 58.8539 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + stratixiv_arch_neuron.timing.xml neuron_stratixiv_arch_timing.blif common_-sdc_file_sdc/samples/neuron_stratixiv_arch_timing.sdc_-read_vpr_constraints_tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan/one_big_partition.xml 453.84 vpr 2.86 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2994616 42 35 119888 86875 1 50816 3438 129 96 12384 -1 neuron 1748.6 MiB 106.43 587491 3064004 1147605 1894430 21969 2924.4 MiB 135.58 1.08 7.95276 -79743.8 -6.95276 5.25276 0.07 0.397692 0.334466 49.3461 41.6555 -1 -1 -1 -1 -1 765801 15 0 0 2.28639e+08 18462.4 38.72 65.6267 56.2745 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan_3d/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan_3d/config/golden_results.txt index 7b7954f2f05..42d46a4fbb9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan_3d/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan_3d/config/golden_results.txt @@ -1,4 +1,4 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common_-sdc_file_sdc/samples/neuron_stratixiv_arch_timing.sdc_-read_vpr_constraints_tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan_3d/one_big_partition.xml 835.38 vpr 2.86 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success 16adbfa-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-15T00:59:58 gh-actions-runner-vtr-auto-spawned49 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2998168 42 35 119888 86875 1 50931 3418 92 68 12512 -1 neuron3d 1871.5 MiB 125.92 471295 2911586 1070954 1811088 29544 2883.9 MiB 225.67 2.10 7.73071 -71900.2 -6.73071 5.03261 0.22 0.369023 0.308324 43.731 35.9598 -1 672628 24 0 0 2.71622e+08 21708.9 79.02 68.1319 57.7539 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common_-sdc_file_sdc/samples/neuron_stratixiv_arch_timing.sdc_-read_vpr_constraints_tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan_3d/half_blocks_right_left.xml 820.30 vpr 2.86 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success 16adbfa-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-15T00:59:58 gh-actions-runner-vtr-auto-spawned49 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2995656 42 35 119888 86875 1 50982 3427 92 68 12512 -1 neuron3d 1869.5 MiB 127.02 455014 2973417 1101001 1762977 109439 2881.6 MiB 223.03 1.76 7.42684 -70436.4 -6.42684 5.75387 0.23 0.367143 0.304401 44.7203 37.4852 -1 654498 28 0 0 2.71622e+08 21708.9 78.86 71.0006 61.0273 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common_-sdc_file_sdc/samples/neuron_stratixiv_arch_timing.sdc_-read_vpr_constraints_tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan_3d/half_blocks_up_down.xml 812.45 vpr 2.86 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success 16adbfa-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.5.0 on Linux-5.10.35-v8 x86_64 2024-07-15T00:59:58 gh-actions-runner-vtr-auto-spawned49 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 2995760 42 35 119888 86875 1 50982 3427 92 68 12512 -1 neuron3d 1869.6 MiB 125.77 465634 3050895 1119051 1501125 430719 2881.9 MiB 206.05 1.47 7.58328 -72552.7 -6.58328 5.5951 0.22 0.365879 0.300546 45.7365 37.5853 -1 663695 23 0 0 2.71622e+08 21708.9 79.71 69.6049 58.853 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + 3d_full_OPIN_inter_die_stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common_-sdc_file_sdc/samples/neuron_stratixiv_arch_timing.sdc_-read_vpr_constraints_tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan_3d/one_big_partition.xml 451.38 vpr 3.00 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3144372 42 35 119888 86875 1 50816 3438 92 68 12512 -1 neuron3d 1748.3 MiB 107.01 466829 2934319 1083146 1824777 26396 3070.7 MiB 121.42 1.02 7.60476 -72127.1 -6.60476 5.56512 0.18 0.379505 0.318093 43.634 37.1671 -1 -1 -1 -1 -1 655727 15 0 0 2.71615e+08 21708.4 39.03 59.6901 51.5812 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + 3d_full_OPIN_inter_die_stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common_-sdc_file_sdc/samples/neuron_stratixiv_arch_timing.sdc_-read_vpr_constraints_tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan_3d/half_blocks_right_left.xml 475.99 vpr 3.00 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3142992 42 35 119888 86875 1 50882 3437 92 68 12512 -1 neuron3d 1747.0 MiB 106.93 491824 2959115 1097287 1744521 117307 3069.3 MiB 138.63 1.02 7.70882 -73552.4 -6.70882 5.31116 0.19 0.374383 0.32362 46.5434 39.1779 -1 -1 -1 -1 -1 687615 23 0 0 2.71615e+08 21708.4 47.56 68.7335 58.9824 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + 3d_full_OPIN_inter_die_stratixiv_arch.timing.xml neuron_stratixiv_arch_timing.blif common_-sdc_file_sdc/samples/neuron_stratixiv_arch_timing.sdc_-read_vpr_constraints_tasks/regression_tests/vtr_reg_nightly_test5/vpr_tight_floorplan_3d/half_blocks_up_down.xml 468.36 vpr 3.00 GiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 42 -1 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 3142568 42 35 119888 86875 1 50882 3437 92 68 12512 -1 neuron3d 1746.6 MiB 106.94 498184 3062823 1134124 1468642 460057 3068.9 MiB 137.72 0.97 7.864 -76728.1 -6.864 5.39064 0.16 0.391075 0.327661 48.2954 40.5989 -1 -1 -1 -1 -1 694746 16 0 0 2.71615e+08 21708.4 40.71 65.5361 56.0476 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other/config/config.txt index 5c1b1d03abb..82cad9de83c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other/config/config.txt @@ -14,6 +14,7 @@ includes_dir=benchmarks/verilog/koios # Add circuits to list to sweep circuit_list_add=bwave_like.float.small.v +circuit_list_add=bwave_like.fixed.small.v #Commenting out the following because of https://github.com/verilog-to-routing/vtr-verilog-to-routing/issues/2149 #circuit_list_add=bwave_like.fixed.large.v circuit_list_add=dnnweaver.v diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other/config/golden_results.txt index a3d58104f0a..76d710cde44 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other/config/golden_results.txt @@ -1,4 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bwave_like.float.small.v common 1068.21 vpr 1.88 GiB -1 -1 110.69 930728 6 445.27 -1 -1 199964 -1 -1 1998 114 356 -1 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 1971564 114 86 74339 64124 1 36263 2698 104 104 10816 memory auto 494.2 MiB 45.91 837299 2255578 853320 1293833 108425 1925.4 MiB 91.80 0.70 7.38685 -337841 -7.38685 7.38685 0.10 0.26105 0.226933 34.5308 28.5894 -1 -1 -1 -1 -1 1123690 16 3.44415e+08 1.41306e+08 2.36688e+08 21883.2 48.20 47.1429 39.4245 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dnnweaver.v common 2948.82 vpr 5.90 GiB -1 -1 166.20 1233468 9 742.44 -1 -1 565628 -1 -1 5863 1428 1144 -1 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 6186936 1428 2108 167036 128693 1 100353 10831 194 194 37636 memory auto 1034.3 MiB 297.91 1911950 21092463 8889289 10615756 1587418 6041.9 MiB 570.71 3.42 13.7488 -1.49441e+06 -13.7488 13.7488 0.23 0.615123 0.537901 116.246 98.5856 -1 -1 -1 -1 -1 2690563 15 1.20484e+09 3.94177e+08 8.26603e+08 21963.1 61.79 142.653 121.696 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tdarknet_like.small.v common 13533.79 vpr 39.26 GiB -1 -1 386.60 1503068 4 2106.20 -1 -1 561408 -1 -1 4062 21 9017 -1 success v8.0.0-11683-gf3326338a release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-03T17:46:01 betzgrp-wintermute.eecg.utoronto.ca /home/gholam39/vtr/feature/vtr-verilog-to-routing 41169996 21 26 134109 127288 1 74703 13231 534 534 285156 memory auto 1344.1 MiB 1835.62 3633223 25196690 12025307 13086177 85206 40205.1 MiB 652.38 3.80 13.6669 -1.87459e+06 -13.6669 13.6669 1.86 0.863375 0.716443 163.799 128.72 -1 -1 -1 -1 -1 4235395 13 9.28675e+09 1.3814e+09 6.26030e+09 21953.9 81.75 196.429 156.233 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bwave_like.float.small.v common 931.50 vpr 1.87 GiB -1 -1 113.03 934296 6 457.86 -1 -1 197616 -1 -1 1998 114 356 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1964192 114 86 74339 64124 1 36263 2698 104 104 10816 memory auto 483.5 MiB 46.28 831504 2218030 841112 1278117 98801 1918.2 MiB 117.16 0.86 7.53856 -335399 -7.53856 7.53856 0.06 0.275108 0.238404 36.4626 30.0575 -1 -1 -1 -1 -1 1118355 17 3.44415e+08 1.41306e+08 2.36688e+08 21883.2 52.63 49.9496 41.6897 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bwave_like.fixed.small.v common 173.06 vpr 1.47 GiB -1 -1 20.75 168220 5 13.72 -1 -1 74548 -1 -1 398 112 170 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1538568 112 86 19811 12990 1 14000 910 98 98 9604 dsp_top auto 190.1 MiB 14.52 315052 481382 144974 259763 76645 1502.5 MiB 25.41 0.21 9.1598 -128842 -9.1598 9.1598 0.05 0.122528 0.108983 14.1795 12.3233 -1 -1 -1 -1 -1 421458 14 3.0121e+08 7.10536e+07 2.10570e+08 21925.2 10.49 19.0155 16.6364 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dnnweaver.v common 2386.29 vpr 5.91 GiB -1 -1 168.23 1230060 9 789.17 -1 -1 566932 -1 -1 6001 1428 1144 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 6193800 1428 2108 167036 128693 1 100353 10969 194 194 37636 memory auto 1037.8 MiB 298.98 1923516 21573286 9150178 10784276 1638832 6048.6 MiB 643.44 3.75 13.1466 -1.44995e+06 -13.1466 13.1466 0.22 0.657801 0.578118 121.534 102.623 -1 -1 -1 -1 -1 2730911 15 1.20484e+09 3.98028e+08 8.26603e+08 21963.1 69.39 148.757 126.24 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tdarknet_like.small.v common 7876.99 vpr 39.26 GiB -1 -1 375.65 1504352 4 2146.35 -1 -1 561476 -1 -1 4058 21 9017 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 41164592 21 26 134109 127288 1 74696 13227 534 534 285156 memory auto 1322.1 MiB 1808.38 3403261 25186382 12102792 12982264 101326 40199.8 MiB 612.86 4.44 13.7564 -1.83727e+06 -13.7564 13.7564 1.69 0.890769 0.747193 157.229 124.131 -1 -1 -1 -1 -1 4043804 14 9.28675e+09 1.38129e+09 6.26030e+09 21953.9 95.25 192.185 153.77 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other_multi_arch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other_multi_arch/config/golden_results.txt index 00fb3c94ebd..49bd0bf75c9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other_multi_arch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other_multi_arch/config/golden_results.txt @@ -1,12 +1,12 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bwave_like.fixed.small.v common 578.31 vpr 1.69 GiB -1 -1 15.98 171132 5 18.58 -1 -1 78452 -1 -1 400 112 170 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1777120 112 86 19788 12967 1 14021 912 116 116 13456 -1 auto 200.4 MiB 14.61 310763 1735.5 MiB 30.18 0.25 7.69903 -119062 -7.69903 7.69903 7.58 0.0954802 0.083918 11.5352 9.93367 -1 417153 14 4.27832e+08 7.11094e+07 2.96610e+08 22043.0 13.57 16.1651 14.117 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.mem_heavy.xml bwave_like.fixed.small.v common 475.11 vpr 1.61 GiB -1 -1 16.49 170496 5 17.74 -1 -1 78428 -1 -1 400 112 170 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1684784 112 86 19788 12967 1 14021 912 116 116 13456 -1 auto 200.6 MiB 14.47 204907 1645.3 MiB 16.66 0.14 7.6727 -98168.4 -7.6727 7.6727 8.22 0.0569728 0.0468006 6.45423 5.48972 -1 301401 17 6.15573e+08 7.11094e+07 2.68432e+08 19948.8 9.12 9.33955 8.09709 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.dsp_heavy.xml bwave_like.fixed.small.v common 363.94 vpr 1.64 GiB -1 -1 16.20 171172 5 17.80 -1 -1 78436 -1 -1 400 112 170 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1723580 112 86 19788 12967 1 14021 912 116 116 13456 -1 auto 200.4 MiB 9.51 205843 1683.2 MiB 14.79 0.12 7.38613 -102539 -7.38613 7.38613 9.73 0.0535513 0.0465826 6.23445 5.29446 -1 303118 15 6.02556e+08 7.11094e+07 2.67862e+08 19906.5 8.56 8.94102 7.74417 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.densest.xml bwave_like.fixed.small.v common 572.67 vpr 1.62 GiB -1 -1 16.42 170328 5 19.35 -1 -1 78520 -1 -1 400 112 170 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1703272 112 86 19788 12967 1 14021 912 116 116 13456 -1 auto 200.1 MiB 14.28 188654 1663.4 MiB 30.29 0.25 7.69903 -98014.9 -7.69903 7.69903 17.34 0.0962573 0.0847937 11.3763 9.7962 -1 285488 14 6.09408e+08 7.11094e+07 2.68188e+08 19930.8 13.20 15.856 13.8416 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.denser.xml bwave_like.fixed.small.v common 604.55 vpr 1.67 GiB -1 -1 16.86 170116 5 18.53 -1 -1 78356 -1 -1 400 112 170 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1753032 112 86 19788 12967 1 14021 912 116 116 13456 -1 auto 200.4 MiB 14.63 239009 1711.9 MiB 29.57 0.27 7.4648 -108625 -7.4648 7.4648 9.75 0.0996212 0.0874776 11.4443 9.82126 -1 340028 15 4.88358e+08 7.11094e+07 2.87136e+08 21338.9 13.25 16.1056 14.05 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.xml bwave_like.fixed.small.v common 601.12 vpr 1.69 GiB -1 -1 16.44 170424 5 19.52 -1 -1 78360 -1 -1 400 112 170 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1777036 112 86 19788 12967 1 14021 912 116 116 13456 -1 auto 200.3 MiB 14.52 309684 1735.4 MiB 25.32 0.19 7.69903 -117065 -7.69903 7.69903 7.34 0.0960045 0.0844204 12.1025 10.4924 -1 419702 17 4.23166e+08 7.11094e+07 2.97048e+08 22075.5 13.94 16.9671 14.926 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.densest.xml bwave_like.fixed.small.v common 590.96 vpr 1.62 GiB -1 -1 16.64 170188 5 18.83 -1 -1 78376 -1 -1 400 112 170 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1695496 112 86 19788 12967 1 14021 912 116 116 13456 -1 auto 199.4 MiB 14.94 191986 1655.8 MiB 33.34 0.24 7.90693 -98582.3 -7.90693 7.90693 17.68 0.0999915 0.0881731 12.8298 11.0507 -1 287181 15 6.04742e+08 7.11094e+07 2.68015e+08 19917.9 13.77 17.7557 15.5209 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.denser.xml bwave_like.fixed.small.v common 594.98 vpr 1.67 GiB -1 -1 14.92 170488 5 16.48 -1 -1 78492 -1 -1 400 112 170 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1749896 112 86 19788 12967 1 14021 912 116 116 13456 -1 auto 199.3 MiB 14.79 243033 1708.9 MiB 31.22 0.23 7.69903 -108391 -7.69903 7.69903 10.70 0.0929271 0.0812659 11.9434 10.3067 -1 343928 15 4.83692e+08 7.11094e+07 2.87370e+08 21356.3 13.43 16.719 14.5905 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.xml bwave_like.fixed.small.v common 609.08 vpr 1.69 GiB -1 -1 14.77 170416 5 15.43 -1 -1 78432 -1 -1 400 112 170 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1771252 112 86 19788 12967 1 14021 912 116 116 13456 -1 auto 200.1 MiB 14.41 323630 1729.7 MiB 36.26 0.24 7.49113 -120759 -7.49113 7.49113 7.77 0.0977416 0.0859453 12.8217 11.0398 -1 415021 15 4.31814e+08 7.11094e+07 2.95952e+08 21994.0 18.55 17.4781 15.2097 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.densest.xml bwave_like.fixed.small.v common 573.34 vpr 1.62 GiB -1 -1 14.85 170168 5 16.84 -1 -1 78528 -1 -1 400 112 170 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1694988 112 86 19788 12967 1 14021 912 116 116 13456 -1 auto 200.5 MiB 14.67 197887 1655.3 MiB 34.12 0.24 7.17823 -100777 -7.17823 7.17823 15.48 0.1002 0.0892302 13.3123 11.3925 -1 294229 15 6.04741e+08 7.11094e+07 2.69018e+08 19992.4 15.32 18.1855 15.784 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.denser.xml bwave_like.fixed.small.v common 582.62 vpr 1.66 GiB -1 -1 14.79 170520 5 15.68 -1 -1 78528 -1 -1 400 112 170 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1743908 112 86 19788 12967 1 14021 912 116 116 13456 -1 auto 200.2 MiB 13.78 256445 1703.0 MiB 34.07 0.25 7.69903 -112172 -7.69903 7.69903 10.10 0.0988702 0.0865306 12.7626 10.9473 -1 350414 18 4.85062e+08 7.11094e+07 2.87995e+08 21402.7 16.60 18.0671 15.6718 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bwave_like.fixed.small.v common 224.99 vpr 1.98 GiB -1 -1 21.93 171316 5 11.58 -1 -1 74936 -1 -1 399 112 170 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2073788 112 86 19762 12941 1 13999 911 116 116 13456 -1 auto 190.1 MiB 14.92 315510 504107 159276 278578 66253 2025.2 MiB 31.46 0.24 9.5506 -130724 -9.5506 9.5506 0.09 0.123821 0.110204 14.6295 12.6219 -1 -1 -1 -1 -1 421382 14 4.27832e+08 7.10815e+07 2.95343e+08 21948.8 10.90 19.5431 17.0014 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.mem_heavy.xml bwave_like.fixed.small.v common 210.49 vpr 1.83 GiB -1 -1 21.71 171380 5 11.85 -1 -1 74872 -1 -1 399 112 170 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1919064 112 86 19762 12941 1 13999 911 116 116 13456 -1 auto 190.8 MiB 14.44 204740 464381 142920 284648 36813 1874.1 MiB 25.61 0.16 8.94933 -113068 -8.94933 8.94933 0.07 0.0655209 0.0580645 10.6429 9.11773 -1 -1 -1 -1 -1 302550 15 6.15573e+08 7.10815e+07 2.67658e+08 19891.3 9.06 15.0665 13.0588 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.dsp_heavy.xml bwave_like.fixed.small.v common 211.64 vpr 1.86 GiB -1 -1 21.73 171620 5 11.84 -1 -1 74744 -1 -1 399 112 170 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1948924 112 86 19762 12941 1 13999 911 116 116 13456 -1 auto 190.5 MiB 14.05 209130 459967 137712 270096 52159 1903.2 MiB 27.26 0.22 9.0251 -119168 -9.0251 9.0251 0.07 0.11871 0.105749 13.3515 11.5952 -1 -1 -1 -1 -1 304990 14 6.02556e+08 7.10815e+07 2.67078e+08 19848.3 9.44 18.0165 15.7663 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.densest.xml bwave_like.fixed.small.v common 216.14 vpr 1.84 GiB -1 -1 21.91 171880 5 13.65 -1 -1 74940 -1 -1 399 112 170 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1932912 112 86 19762 12941 1 13999 911 116 116 13456 -1 auto 190.5 MiB 14.43 193688 451139 131087 276083 43969 1887.6 MiB 29.45 0.23 8.53054 -113382 -8.53054 8.53054 0.07 0.119319 0.106137 13.524 11.5317 -1 -1 -1 -1 -1 292772 16 6.09408e+08 7.10815e+07 2.67410e+08 19872.9 10.60 18.7039 16.1544 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.denser.xml bwave_like.fixed.small.v common 232.93 vpr 1.93 GiB -1 -1 21.99 171472 5 12.76 -1 -1 74896 -1 -1 399 112 170 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2026648 112 86 19762 12941 1 13999 911 116 116 13456 -1 auto 190.1 MiB 14.49 248305 477623 143528 301601 32494 1979.1 MiB 33.15 0.27 9.12754 -121224 -9.12754 9.12754 0.08 0.124272 0.110642 13.4626 11.7152 -1 -1 -1 -1 -1 345172 14 4.88358e+08 7.10815e+07 2.86031e+08 21256.8 10.24 18.3384 16.0357 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.xml bwave_like.fixed.small.v common 236.98 vpr 1.98 GiB -1 -1 22.05 171740 5 13.47 -1 -1 74776 -1 -1 399 112 170 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2077804 112 86 19762 12941 1 13999 911 116 116 13456 -1 auto 190.4 MiB 15.23 319128 468795 144680 272206 51909 2029.1 MiB 32.32 0.27 9.17376 -134401 -9.17376 9.17376 0.08 0.138881 0.125321 14.3705 12.456 -1 -1 -1 -1 -1 428323 15 4.23166e+08 7.10815e+07 2.95769e+08 21980.4 11.01 19.5051 17.0232 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.densest.xml bwave_like.fixed.small.v common 219.54 vpr 1.85 GiB -1 -1 21.66 171024 5 15.07 -1 -1 74808 -1 -1 399 112 170 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1937612 112 86 19762 12941 1 13999 911 116 116 13456 -1 auto 190.6 MiB 14.83 189495 446725 132646 268695 45384 1892.2 MiB 28.67 0.25 9.01344 -112356 -9.01344 9.01344 0.07 0.122669 0.109393 13.1081 11.3354 -1 -1 -1 -1 -1 286872 14 6.04742e+08 7.10815e+07 2.67225e+08 19859.2 10.18 17.981 15.6778 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.coupled.denser.xml bwave_like.fixed.small.v common 226.36 vpr 1.94 GiB -1 -1 20.81 170888 5 13.70 -1 -1 74760 -1 -1 399 112 170 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2031452 112 86 19762 12941 1 13999 911 116 116 13456 -1 auto 190.6 MiB 14.84 246355 486451 153890 297699 34862 1983.8 MiB 31.60 0.26 8.8172 -121536 -8.8172 8.8172 0.07 0.120995 0.107853 14.1198 12.2459 -1 -1 -1 -1 -1 343853 13 4.83692e+08 7.10815e+07 2.86254e+08 21273.3 9.57 18.6375 16.2842 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.xml bwave_like.fixed.small.v common 233.93 vpr 1.98 GiB -1 -1 21.63 171276 5 13.86 -1 -1 74692 -1 -1 399 112 170 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2071140 112 86 19762 12941 1 13999 911 116 116 13456 -1 auto 190.5 MiB 15.50 331826 530591 171032 318763 40796 2022.6 MiB 35.98 0.25 9.7559 -130615 -9.7559 9.7559 0.08 0.121463 0.108223 15.2844 13.3472 -1 -1 -1 -1 -1 423548 14 4.31814e+08 7.10815e+07 2.94694e+08 21900.5 11.26 20.0898 17.6265 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.densest.xml bwave_like.fixed.small.v common 221.57 vpr 1.85 GiB -1 -1 21.49 171068 5 14.87 -1 -1 74744 -1 -1 399 112 170 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 1937008 112 86 19762 12941 1 13999 911 116 116 13456 -1 auto 190.4 MiB 14.89 198787 482037 149001 300322 32714 1891.6 MiB 30.75 0.25 9.128 -115580 -9.128 9.128 0.07 0.121564 0.108321 13.9986 12.1632 -1 -1 -1 -1 -1 295801 15 6.04741e+08 7.10815e+07 2.68227e+08 19933.7 10.75 19.0034 16.63 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.clustered.denser.xml bwave_like.fixed.small.v common 237.96 vpr 1.93 GiB -1 -1 21.74 170932 5 15.50 -1 -1 74876 -1 -1 399 112 170 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2027816 112 86 19762 12941 1 13999 911 116 116 13456 -1 auto 190.2 MiB 14.58 250673 530591 168545 325526 36520 1980.3 MiB 36.75 0.27 9.07368 -122853 -9.07368 9.07368 0.08 0.122868 0.109369 15.7166 13.6254 -1 -1 -1 -1 -1 345662 14 4.85062e+08 7.10815e+07 2.86879e+08 21319.8 10.39 20.5511 17.9407 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other_no_hb/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other_no_hb/config/golden_results.txt index 4f8ca9d3650..63ab8871773 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other_no_hb/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test6/koios_other_no_hb/config/golden_results.txt @@ -1,4 +1,4 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bwave_like.float.small.v common 1704.77 vpr 1.73 GiB -1 -1 112.77 1072684 6 972.07 -1 -1 262296 -1 -1 2657 114 356 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 1816404 114 86 102099 83868 1 49376 3345 104 104 10816 memory auto 743.0 MiB 66.63 1002333 1773.8 MiB 96.38 0.67 9.71996 -305575 -9.71996 9.71996 3.30 0.156333 0.132423 21.1584 17.4182 -1 1349918 17 3.44415e+08 1.56649e+08 2.37404e+08 21949.3 52.29 30.1635 25.3878 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dnnweaver.v common 3222.53 vpr 5.21 GiB -1 -1 123.94 1231884 9 1003.75 -1 -1 571344 -1 -1 5865 1428 1144 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 5466508 1428 2108 167060 128717 1 100354 10833 194 194 37636 memory auto 1290.9 MiB 282.28 1923492 5338.4 MiB 563.19 2.55 11.8807 -1.40186e+06 -11.8807 11.8807 23.26 0.324762 0.263642 82.6055 68.1074 -1 2737596 16 1.20484e+09 3.94233e+08 8.28785e+08 22021.1 77.10 98.7411 82.5923 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tdarknet_like.small.v common 12022.07 vpr 33.80 GiB -1 -1 375.15 1825460 9 2733.36 -1 -1 600716 -1 -1 4834 21 9017 -1 success aff6cf6-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-5.10.35-v8 x86_64 2023-01-23T14:19:07 gh-actions-runner-vtr-auto-spawned20 /root/vtr-verilog-to-routing/vtr-verilog-to-routing 35446888 21 26 151757 142444 1 82530 13915 534 534 285156 memory auto 1706.7 MiB 813.36 3582618 34616.1 MiB 391.34 2.29 18.4106 -1.77608e+06 -18.4106 18.4106 224.47 0.400339 0.326802 71.8404 56.0172 -1 4166999 13 9.28675e+09 1.38061e+09 6.26248e+09 21961.6 73.28 89.659 71.729 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml bwave_like.float.small.v common 1232.09 vpr 1.95 GiB -1 -1 146.64 1087400 7 730.75 -1 -1 257640 -1 -1 2634 114 356 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 2046564 114 86 101499 83268 1 49010 3322 104 104 10816 memory auto 579.8 MiB 61.24 974836 3001339 1137961 1779654 83724 1998.6 MiB 112.00 0.85 11.4354 -320958 -11.4354 11.4354 0.07 0.299925 0.236733 37.4509 30.4784 -1 -1 -1 -1 -1 1318559 17 3.44415e+08 1.56007e+08 2.36688e+08 21883.2 37.89 51.1057 42.2451 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml dnnweaver.v common 2392.56 vpr 5.90 GiB -1 -1 179.79 1250160 9 741.95 -1 -1 567620 -1 -1 6015 1428 1144 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 6187376 1428 2108 167021 128678 1 100346 10983 194 194 37636 memory auto 1029.7 MiB 306.19 1918633 21488087 9068727 10808393 1610967 6042.4 MiB 631.77 4.23 11.6939 -1.4493e+06 -11.6939 11.6939 0.22 0.631809 0.542666 121.909 102.374 -1 -1 -1 -1 -1 2713088 16 1.20484e+09 3.98419e+08 8.26603e+08 21963.1 84.36 155.32 131.376 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml tdarknet_like.small.v common 8532.49 vpr 39.62 GiB -1 -1 474.89 1879900 10 2663.73 -1 -1 597580 -1 -1 4788 21 9049 -1 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing 41548496 21 26 151937 142624 1 82983 13901 536 536 287296 memory auto 1405.1 MiB 1674.36 3595648 26911849 12610035 14156548 145266 40574.7 MiB 677.00 4.01 16.4423 -1.82754e+06 -16.4423 16.4423 3.43 0.898099 0.751982 160.796 128.492 -1 -1 -1 -1 -1 4219892 13 9.36739e+09 1.38373e+09 6.30527e+09 21947.0 90.42 195.627 158.092 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_cb_titan_other_auto_bb/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_cb_titan_other_auto_bb/config/golden_results.txt index 866c693e208..82805da6c73 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_cb_titan_other_auto_bb/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_cb_titan_other_auto_bb/config/golden_results.txt @@ -1,24 +1,24 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 274.33 vpr 1.67 GiB 274 985 36 59 0 2 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1751192 22 252 53001 29054 7 24677 1356 54 40 4320 DSP auto 1201.8 MiB 28.44 198488 834078 239833 486415 107830 1710.1 MiB 88.73 0.78 8.127 -42238.8 -7.127 3.2908 0.05 0.148271 0.135522 17.8333 15.744 303702 12.3231 69783 2.83153 76575 155086 208007906 42739495 0 0 9.32956e+07 21596.2 49 1265168 16900788 -1 7.96436 3.11258 -39350.1 -6.96436 0 0 34.26 -1 -1 1710.1 MiB 51.56 34.213 30.4698 1710.1 MiB -1 43.95 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 296.95 vpr 1.49 GiB 36 1571 10 10 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1563664 3 33 48977 39238 1 26076 1627 40 30 2400 LAB auto 1224.0 MiB 83.28 250134 891172 251362 591782 48028 1464.2 MiB 98.25 1.08 87.5913 -75627.6 -86.5913 87.5913 0.03 0.140059 0.117071 13.9808 11.7641 353172 13.5460 85385 3.27497 91868 262292 232587773 20188528 0 0 5.14259e+07 21427.5 25 702232 9285402 -1 70.3434 70.3434 -102369 -69.3434 0 0 20.65 -1 -1 1473.9 MiB 40.32 22.6641 19.2534 1464.2 MiB -1 25.22 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml CHERI_stratixiv_arch_timing.blif common 540.47 vpr 1.94 GiB 211 2249 3 210 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2037288 38 173 62892 59064 3 35496 2673 60 44 5280 M9K auto 1409.9 MiB 164.18 513289 1894059 668419 1184159 41481 1947.0 MiB 157.35 1.33 12.7653 -343569 -11.7653 7.81152 0.09 0.201176 0.172816 23.896 19.1006 758516 21.3727 174487 4.91651 141948 514258 405055792 35852760 0 0 1.14231e+08 21634.7 42 1553068 20719330 -1 12.8411 7.499 -358312 -11.8411 0 0 42.47 -1 -1 1947.0 MiB 76.52 43.9588 36.0061 1947.0 MiB -1 60.49 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 589.60 vpr 1.91 GiB 574 2798 16 0 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2001236 4 570 66175 54803 2 39284 3388 51 38 3876 LAB auto 1447.8 MiB 155.95 533232 2623193 932253 1598194 92746 1812.4 MiB 215.96 2.10 29.0161 -116653 -28.0161 5.92061 0.05 0.301191 0.249063 27.4926 23.1837 797250 20.2971 181009 4.60829 189541 741081 672554457 66715174 0 0 8.35534e+07 21556.6 23 1135740 15117508 -1 28.3644 5.82916 -115705 -27.3644 0 0 31.70 -1 -1 1852.4 MiB 103.69 41.8108 35.66 1812.4 MiB -1 42.77 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml fir_cascade_stratixiv_arch_timing.blif common 879.41 vpr 4.60 GiB 40 3678 172 1 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 4821304 19 21 171111 96274 1 73403 3891 129 96 24768 DSP auto 1885.6 MiB 93.92 721191 3674811 1447266 2207023 20522 4708.3 MiB 191.83 2.10 5.44913 -108505 -4.44913 3.44439 0.30 0.526647 0.471555 66.588 59.5032 881865 12.0145 184489 2.51347 150520 182670 234363430 44750877 0 0 5.40280e+08 21813.6 13 7186500 97666830 -1 5.79735 3.69904 -144189 -4.79735 0 0 166.10 -1 -1 4708.3 MiB 53.67 86.4089 77.8263 4708.3 MiB -1 309.65 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml jacobi_stratixiv_arch_timing.blif common 387.64 vpr 1.62 GiB 536 1956 7 4 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1698516 227 309 49176 40422 1 28426 2503 47 35 3290 io auto 1277.7 MiB 115.93 279212 1871073 681710 1146897 42466 1619.6 MiB 141.43 1.46 220.281 -130165 -219.281 220.281 0.05 0.156959 0.140643 19.2134 16.2775 383257 13.4845 91998 3.23686 89251 274866 198215434 14104875 0 0 7.07118e+07 21493.0 22 956596 12777064 -1 189.286 189.286 -132315 -188.286 0 0 27.59 -1 -1 1619.6 MiB 35.18 28.1739 24.035 1619.6 MiB -1 33.35 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 334.23 vpr 1.74 GiB 36 1347 8 149 2 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1829712 3 33 52402 39411 1 28031 1542 57 42 4788 M9K auto 1243.3 MiB 81.75 269061 794087 222144 547106 24837 1786.8 MiB 85.70 0.92 16.8025 -321039 -15.8025 16.8025 0.06 0.131773 0.114692 13.684 11.2443 393810 14.0531 91878 3.27866 89612 222483 209363774 24035955 0 0 1.03322e+08 21579.3 34 1396452 18717124 -1 16.2742 16.2742 -308699 -15.2742 0 0 38.74 -1 -1 1786.8 MiB 41.89 24.8436 20.77 1786.8 MiB -1 56.23 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 141.94 vpr 1.22 GiB 251 959 1 17 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1284400 55 196 20131 19956 1 8238 1228 32 24 1536 LAB auto 1087.8 MiB 51.76 106547 546787 169017 351098 26672 1247.7 MiB 22.51 0.30 7.72587 -80541.9 -6.72587 7.72587 0.02 0.0556984 0.0432543 4.95048 3.96917 157962 19.1818 38364 4.65865 27958 115822 102952693 6453524 0 0 3.29329e+07 21440.7 26 447460 5953838 -1 7.76502 7.76502 -76752.8 -6.76502 0 0 13.98 -1 -1 1247.7 MiB 16.55 8.54212 7.00641 1247.7 MiB -1 14.25 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 307.64 vpr 1.53 GiB 255 2119 1 28 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1599632 84 171 36458 36247 3 20421 2403 45 33 2970 LAB auto 1229.6 MiB 130.60 246212 1546851 526543 938055 82253 1541.6 MiB 65.16 0.60 12.2403 -88738.7 -11.2403 4.36175 0.04 0.116376 0.0931767 12.3944 10.0298 357058 17.4968 82610 4.04812 60399 213300 141034379 9656315 0 0 6.38315e+07 21492.1 14 866116 11535668 -1 12.7186 4.16286 -89711.6 -11.7186 0 0 25.09 -1 -1 1541.6 MiB 22.93 17.5255 14.4302 1541.6 MiB -1 33.71 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml MCML_stratixiv_arch_timing.blif common 470.58 vpr 2.36 GiB 69 2114 10 295 16 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2470152 36 33 57796 49182 1 20337 2504 79 59 9322 M144K auto 1354.6 MiB 85.77 205265 2144000 827011 1276956 40033 2412.3 MiB 94.84 0.93 9.65485 -100924 -8.65485 9.65485 0.11 0.150672 0.123174 20.5518 16.6041 367275 18.0639 85353 4.19796 70083 232879 265855113 44214167 0 0 2.01416e+08 21606.5 52 2701980 36494954 -1 8.76102 8.76102 -132874 -7.76101 0 0 71.18 -1 -1 2412.3 MiB 67.10 37.8786 31.2963 2412.3 MiB -1 115.76 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 391.06 vpr 2.09 GiB 478 1247 1 300 4 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2188132 202 276 35125 30509 3 21749 2030 73 54 7884 M9K auto 1186.4 MiB 73.74 221378 1569486 548232 952211 69043 2136.8 MiB 77.87 0.71 9.53958 -41048.7 -8.53958 3.31916 0.10 0.151638 0.120593 17.0032 13.4065 364165 16.7486 80494 3.70206 62140 176161 232555496 34194472 0 0 1.70850e+08 21670.5 20 2296616 31018276 -1 9.79176 3.40488 -46180.4 -8.79176 0 0 61.95 -1 -1 2136.8 MiB 43.39 23.8091 19.2594 2136.8 MiB -1 102.79 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 163.52 vpr 1.46 GiB 5 331 31 105 0 2 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1527772 3 2 14862 10304 26 7580 474 49 36 3528 DSP auto 1033.2 MiB 51.70 95818 148234 34152 99460 14622 1492.0 MiB 11.14 0.14 5.55984 -27274.6 -4.55984 4.14445 0.04 0.0617795 0.0521385 5.2454 4.39406 156536 20.7223 33524 4.43791 20947 45968 55626498 9336465 0 0 7.61281e+07 21578.3 23 1038076 13775176 -1 5.79369 3.93658 -33540.9 -4.79369 0 0 29.59 -1 -1 1492.0 MiB 12.85 8.75915 7.48131 1492.0 MiB -1 35.88 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 408.57 vpr 1.80 GiB 693 1782 25 16 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1888044 35 658 51416 37539 1 27489 2516 58 43 4988 io auto 1282.7 MiB 76.00 216309 2038011 687485 1209212 141314 1843.8 MiB 135.29 1.06 42.0315 -66114 -41.0315 42.0315 0.07 0.171555 0.156497 23.2991 20.2208 321452 12.3735 76783 2.95558 114923 382082 318119015 38418240 0 0 1.07590e+08 21569.8 39 1452444 19489584 -1 38.5011 38.5011 -63047.1 -37.5011 0 0 40.87 -1 -1 1843.8 MiB 59.20 38.3058 33.3987 1843.8 MiB -1 63.43 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 260.97 vpr 1.81 GiB 753 1117 5 32 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1893608 13 740 25173 25306 1 12677 1907 63 47 5922 io auto 1131.6 MiB 56.05 128747 1160365 402937 707353 50075 1849.2 MiB 40.92 0.40 8.69027 -30900.3 -7.69027 8.6558 0.08 0.0759033 0.0656661 8.39033 6.95766 179924 14.2008 42106 3.32328 33603 128195 94671698 7105328 0 0 1.28010e+08 21616.1 21 1733724 23219606 -1 9.09465 7.96414 -32259.8 -8.09465 0 0 46.01 -1 -1 1849.2 MiB 16.49 13.0355 10.9451 1849.2 MiB -1 74.68 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml smithwaterman_stratixiv_arch_timing.blif common 370.56 vpr 1.68 GiB 117 2163 0 0 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1764916 79 38 66795 54922 1 36434 2280 46 34 3128 LAB auto 1327.0 MiB 86.21 231456 1457280 421023 990394 45863 1622.4 MiB 147.87 1.36 10.8967 -186378 -9.89669 10.8967 0.04 0.16553 0.132801 17.3694 14.0314 313091 8.59408 76236 2.09261 117263 269049 175937396 12236378 0 0 6.72076e+07 21485.8 48 910800 12142484 -1 10.6699 10.6699 -190052 -9.66991 0 0 26.13 -1 -1 1640.8 MiB 40.62 34.8186 28.4667 1622.4 MiB -1 35.27 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml stap_steering_stratixiv_arch_timing.blif common 283.75 vpr 1.64 GiB 213 1563 26 4 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1720704 139 74 57121 41054 1 24182 1806 49 36 3528 DSP auto 1290.0 MiB 67.05 148135 1200043 383208 762441 54394 1660.0 MiB 91.80 0.89 5.61142 -22112.7 -4.61142 5.61142 0.05 0.150933 0.131986 18.3872 15.6468 211300 8.73935 51166 2.11622 54828 100007 96197713 14849416 0 0 7.61281e+07 21578.3 17 1038076 13775176 -1 5.94716 5.94716 -28353.2 -4.94716 0 0 29.40 -1 -1 1660.0 MiB 21.14 25.7453 22.203 1660.0 MiB -1 41.49 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 129.35 vpr 1.21 GiB 54 661 0 40 0 1 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1270044 2 52 16673 16662 2 12103 756 32 24 1536 M9K auto 1064.9 MiB 39.89 153381 265790 70637 175126 20027 1240.3 MiB 17.64 0.20 6.19477 -20444.7 -5.19477 5.09574 0.02 0.0561591 0.0446344 4.81577 3.87232 212880 17.5948 50624 4.18415 56557 173671 156728092 12768792 0 0 3.29329e+07 21440.7 17 447460 5953838 -1 6.33066 5.09425 -22748.4 -5.33066 0 0 13.71 -1 -1 1240.3 MiB 23.44 8.0033 6.5916 1240.3 MiB -1 12.36 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml SURF_desc_stratixiv_arch_timing.blif common 416.55 vpr 1.76 GiB 445 2147 19 52 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1844148 131 314 57881 45152 1 32917 2663 49 36 3528 DSP auto 1362.5 MiB 86.85 289814 1976813 693410 1212996 70407 1709.7 MiB 176.27 1.72 218.423 -74978.2 -217.423 218.423 0.04 0.221041 0.187284 24.7923 21.1712 406108 12.3651 98471 2.99823 104154 327607 243738959 17645361 0 0 7.61281e+07 21578.3 31 1038076 13775176 -1 186.552 186.552 -77902.7 -185.552 0 0 29.48 -1 -1 1723.9 MiB 46.29 39.5945 34.0514 1709.7 MiB -1 40.60 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 72.45 vpr 1.18 GiB 42 752 0 0 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1240412 13 29 26295 20086 1 12536 794 29 21 1218 LAB auto 1061.5 MiB 12.01 63060 221294 37233 166811 17250 1211.3 MiB 13.99 0.21 4.9718 -5064.95 -3.9718 2.73193 0.02 0.0324766 0.0279228 2.25118 1.86401 74221 5.92157 18723 1.49378 27286 38567 29499580 2105965 0 0 2.60089e+07 21353.8 14 354380 4695504 -1 5.052 2.76895 -5085.43 -4.052 0 0 11.26 -1 -1 1211.3 MiB 4.96 3.68252 3.10887 1211.3 MiB -1 8.84 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 440.41 vpr 2.21 GiB 964 976 19 34 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2312848 542 422 37277 26038 1 20609 1993 78 58 9048 io auto 1147.2 MiB 53.94 204070 1443748 530591 842183 70974 2258.6 MiB 132.26 1.73 8.57113 -40060.5 -7.57113 8.57113 0.11 0.114346 0.0970879 14.4519 12.3212 298656 14.4936 68121 3.30588 78221 175730 190639053 28196714 0 0 1.96212e+08 21685.7 51 2627776 35616532 -1 7.6521 7.58988 -39168.5 -6.6521 0 0 69.57 -1 -1 2258.6 MiB 42.38 26.6138 23.1015 2258.6 MiB -1 112.64 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 352.19 vpr 2.44 GiB 1107 719 0 0 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2555856 403 704 15490 16194 1 8578 1826 88 65 11440 io auto 1059.1 MiB 45.27 130173 1273610 483501 754176 35933 2496.0 MiB 30.42 0.29 11.3244 -19683.2 -10.3244 5.27122 0.13 0.0543145 0.0483033 6.97282 5.8855 175112 20.4165 34706 4.04640 26241 103606 64495906 4320076 0 0 2.47902e+08 21669.7 14 3325632 44950250 -1 11.2948 5.14637 -21763.2 -10.2948 0 0 86.50 -1 -1 2496.0 MiB 10.71 9.42628 8.05816 2496.0 MiB -1 152.07 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 119.36 vpr 1.18 GiB 35 731 0 6 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1237480 18 17 16969 16357 1 6316 772 28 21 1176 LAB auto 1056.0 MiB 53.08 73005 241492 57735 179097 4660 1208.5 MiB 12.33 0.20 7.38599 -42310.7 -6.38599 7.38599 0.01 0.0365964 0.0308518 2.95968 2.35615 105687 16.7438 26315 4.16904 22845 117376 78439264 4259070 0 0 2.50919e+07 21336.6 34 342304 4528390 -1 7.17733 7.17733 -42131.3 -6.17733 0 0 10.78 -1 -1 1208.5 MiB 12.71 6.12373 5.01949 1208.5 MiB -1 8.71 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 28.18 vpr 990.12 MiB 35 78 0 8 0 0 success v8.0.0-11333-g1d3eb07f5 release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T23:07:52 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1013880 18 17 2291 2142 1 1502 121 13 10 260 LAB auto 951.4 MiB 5.55 9397 11184 1383 8541 1260 990.1 MiB 0.75 0.02 5.30894 -4071.84 -4.30894 4.56748 0.00 0.00643353 0.00532022 0.291101 0.250794 12844 8.56838 3461 2.30887 3750 8551 7007914 560366 0 0 5.17728e+06 19912.6 23 69776 911850 -1 5.37735 4.27489 -3719.74 -4.37735 0 0 2.93 -1 -1 990.1 MiB 1.23 0.669307 0.584049 990.1 MiB -1 0.74 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 244.09 vpr 1.63 GiB 274 1048 36 59 0 2 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1710388 22 252 53001 29054 7 22984 1419 54 40 4320 DSP auto 1201.8 MiB 72.33 204820 846239 237450 497497 111292 1670.3 MiB 74.90 0.65 7.79489 -43439.9 -6.79489 3.28078 0.10 0.145044 0.126909 16.2828 14.2166 303821 13.2372 69243 3.01686 62436 131482 118528064 33642231 0 0 9.32900e+07 21594.9 16 1265168 16897716 -1 7.83099 3.2527 -39766.3 -6.83099 0 0 28.25 -1 -1 1670.3 MiB 34.12 23.3299 20.6779 1670.3 MiB -1 9.52 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 283.46 vpr 1.50 GiB 36 1585 10 10 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1568820 3 33 48977 39238 1 26095 1641 40 30 2400 LAB auto 1223.9 MiB 100.65 250483 930441 270744 617644 42053 1427.9 MiB 105.66 1.16 88.0477 -77760.6 -87.0477 88.0477 0.04 0.138248 0.121195 14.6541 12.2965 344948 13.2210 84158 3.22556 78118 205246 82686512 15002058 0 0 5.14202e+07 21425.1 21 702232 9282330 -1 70.8752 70.8752 -96523.7 -69.8752 0 0 15.93 -1 -1 1478.1 MiB 30.26 22.7139 19.3696 1427.9 MiB -1 5.06 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml CHERI_stratixiv_arch_timing.blif common 546.32 vpr 1.95 GiB 211 2277 3 210 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2047732 38 173 62892 59064 3 35370 2701 60 44 5280 M9K auto 1407.8 MiB 197.71 509639 1864099 634981 1176586 52532 1902.3 MiB 202.15 1.98 13.0046 -365555 -12.0046 7.96311 0.08 0.240136 0.202587 26.0846 21.1277 742613 20.9997 172139 4.86777 125805 451636 168920802 27374866 0 0 1.14226e+08 21633.7 20 1553068 20716258 -1 12.6705 7.40682 -365097 -11.6705 0 0 34.41 -1 -1 1902.3 MiB 62.35 40.2332 33.4484 1902.3 MiB -1 12.28 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 585.36 vpr 1.92 GiB 574 2786 16 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2009276 4 570 66175 54803 2 39221 3376 51 38 3876 LAB auto 1446.3 MiB 179.14 542870 2585506 917648 1577326 90532 1765.2 MiB 247.87 2.16 32.1444 -118378 -31.1444 6.23584 0.07 0.26061 0.217278 28.1774 23.7022 787384 20.0781 179841 4.58591 168930 665993 263454811 44272964 0 0 8.35478e+07 21555.2 21 1135740 15114436 -1 31.2519 6.12513 -116198 -30.2519 0 0 26.38 -1 -1 1858.7 MiB 86.58 43.2996 37.0968 1765.2 MiB -1 8.44 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml fir_cascade_stratixiv_arch_timing.blif common 665.68 vpr 4.50 GiB 40 3697 172 1 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 4722308 19 21 171111 96274 1 69059 3910 129 96 24768 DSP auto 1880.9 MiB 143.60 659423 3667920 1455149 2188340 24431 4611.6 MiB 189.33 1.99 5.44974 -115422 -4.44974 3.12297 0.45 0.489655 0.429947 60.4384 53.4484 794955 11.5117 173671 2.51493 139983 173257 122973577 32392189 0 0 5.40274e+08 21813.4 12 7186500 97663758 -1 5.74024 3.40489 -147279 -4.74024 0 0 162.31 -1 -1 4611.6 MiB 45.39 79.3348 71.0438 4611.6 MiB -1 68.45 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml jacobi_stratixiv_arch_timing.blif common 369.28 vpr 1.63 GiB 536 1955 7 4 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1705764 227 309 49176 40422 1 28301 2502 47 35 3290 io auto 1276.4 MiB 132.64 278563 1870082 659393 1159933 50756 1577.3 MiB 148.04 1.40 223.632 -132177 -222.632 223.632 0.05 0.153184 0.136333 19.0846 16.0118 379374 13.4069 91772 3.24317 82642 255456 77638931 11638769 0 0 7.07061e+07 21491.2 20 956596 12773992 -1 190.135 190.135 -130112 -189.135 0 0 21.26 -1 -1 1598.5 MiB 30.66 28.1882 24.0263 1577.3 MiB -1 6.97 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 301.91 vpr 1.70 GiB 36 1393 8 149 2 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1787064 3 33 52402 39411 1 26961 1588 57 42 4788 M9K auto 1243.6 MiB 111.07 267316 798034 216514 557130 24390 1745.2 MiB 83.83 0.97 17.6841 -330571 -16.6841 17.6841 0.08 0.142592 0.123421 13.5697 11.1733 388393 14.4100 90639 3.36285 77554 201450 104702784 19227779 0 0 1.03316e+08 21578.1 23 1396452 18714052 -1 16.8884 16.8884 -322143 -15.8884 0 0 31.36 -1 -1 1745.2 MiB 37.27 22.9987 19.4136 1745.2 MiB -1 9.87 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 129.79 vpr 1.23 GiB 251 955 1 17 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1288736 55 196 20131 19956 1 8273 1224 32 24 1536 LAB auto 1088.4 MiB 60.90 109402 531288 160105 346309 24874 1229.7 MiB 21.34 0.27 7.65386 -81772.1 -6.65386 7.65386 0.03 0.0542655 0.0415901 4.69487 3.74852 159807 19.3237 38947 4.70943 27112 111164 42415566 5550679 0 0 3.29272e+07 21437.0 15 447460 5950766 -1 7.62538 7.62538 -77759.3 -6.62538 0 0 10.12 -1 -1 1229.7 MiB 15.08 7.2902 6.0554 1229.7 MiB -1 2.80 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 294.21 vpr 1.53 GiB 255 2122 1 28 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1605968 84 171 36458 36247 3 20327 2406 45 33 2970 LAB auto 1229.4 MiB 149.56 245617 1517216 535912 903537 77767 1503.4 MiB 67.74 0.64 12.3707 -91754.7 -11.3707 4.62772 0.04 0.123763 0.0993875 12.5521 10.1851 366533 18.0443 84029 4.13671 58196 217697 65258498 9131006 0 0 6.38257e+07 21490.1 17 866116 11532596 -1 12.1773 4.52077 -87689.2 -11.1773 0 0 19.45 -1 -1 1503.4 MiB 24.63 19.0845 15.7987 1503.4 MiB -1 5.86 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml MCML_stratixiv_arch_timing.blif common 373.27 vpr 2.31 GiB 69 2192 10 295 16 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2418176 36 33 57796 49182 1 19758 2582 79 59 9322 M144K auto 1354.2 MiB 113.69 225912 2286656 861887 1362579 62190 2361.5 MiB 99.88 0.94 9.64748 -102230 -8.64748 9.64748 0.14 0.158799 0.128764 21.1153 17.3148 383721 19.4260 88332 4.47183 55000 174045 129359271 32477749 0 0 2.01410e+08 21605.9 18 2701980 36491882 -1 8.6078 8.6078 -177231 -7.6078 0 0 60.49 -1 -1 2361.5 MiB 43.58 29.7071 24.9856 2361.5 MiB -1 22.04 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 287.89 vpr 2.03 GiB 478 1233 1 300 4 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2130704 202 276 35125 30509 3 21219 2016 73 54 7884 M9K auto 1185.2 MiB 94.47 222406 1491426 518693 900478 72255 2080.8 MiB 60.89 0.53 9.27552 -41609.1 -8.27552 3.17342 0.13 0.131124 0.103107 15.5709 12.4005 371010 17.4897 81992 3.86518 55375 161185 120683489 26150077 0 0 1.70845e+08 21669.8 17 2296616 31015204 -1 9.29871 3.26388 -44629 -8.29871 0 0 50.69 -1 -1 2080.8 MiB 36.84 22.1828 18.2794 2080.8 MiB -1 17.67 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 115.46 vpr 1.42 GiB 5 333 31 105 0 2 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1487204 3 2 14862 10304 26 7583 476 49 36 3528 DSP auto 1035.3 MiB 45.32 98385 149036 34562 99301 15173 1452.3 MiB 10.21 0.11 5.55968 -32411 -4.55968 4.12503 0.05 0.05808 0.0509959 5.30612 4.48561 158711 21.0019 34047 4.50536 20479 45132 33648692 7897451 0 0 7.61223e+07 21576.6 14 1038076 13772104 -1 5.83812 3.95731 -37986.2 -4.83812 0 0 22.99 -1 -1 1452.3 MiB 10.69 8.06663 7.00321 1452.3 MiB -1 7.95 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 308.79 vpr 1.75 GiB 693 1797 25 16 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1838356 35 658 51416 37539 1 27427 2531 58 43 4988 io auto 1276.3 MiB 90.95 215620 2002487 658856 1202364 141267 1795.3 MiB 112.04 0.92 42.7601 -66808.9 -41.7601 42.7601 0.09 0.161772 0.13883 20.582 17.6888 320121 12.3518 76529 2.95285 71977 215082 87258601 20096356 0 0 1.07584e+08 21568.7 22 1452444 19486512 -1 38.7113 38.7113 -63596.1 -37.7113 0 0 32.70 -1 -1 1795.3 MiB 31.75 30.3911 26.5086 1795.3 MiB -1 11.75 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 194.32 vpr 1.76 GiB 753 1113 5 32 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1848104 13 740 25173 25306 1 12716 1903 63 47 5922 io auto 1132.2 MiB 67.11 123583 1109975 381766 683735 44474 1804.8 MiB 39.31 0.39 9.15523 -32316.9 -8.15523 9.15523 0.10 0.0795356 0.0699448 8.32413 7.00496 172751 13.5928 41221 3.24345 30616 114657 28576108 5137032 0 0 1.28005e+08 21615.1 15 1733724 23216534 -1 8.8255 8.62305 -32652.3 -7.8255 0 0 39.07 -1 -1 1804.8 MiB 12.08 12.4456 10.6768 1804.8 MiB -1 13.32 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml smithwaterman_stratixiv_arch_timing.blif common 379.96 vpr 1.71 GiB 117 2338 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1788552 79 38 66795 54922 1 35698 2455 47 35 3290 LAB auto 1329.9 MiB 152.43 244085 1624747 493620 1093099 38028 1607.0 MiB 139.05 1.16 10.4142 -184930 -9.41415 10.4142 0.05 0.16033 0.126535 18.0534 14.5425 331959 9.29987 79923 2.23905 99630 253842 70436166 10502572 0 0 7.07061e+07 21491.2 17 956596 12773992 -1 9.6278 9.6278 -188775 -8.6278 0 0 21.47 -1 -1 1660.8 MiB 28.25 26.8951 22.1285 1607.0 MiB -1 7.63 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml stap_steering_stratixiv_arch_timing.blif common 241.84 vpr 1.65 GiB 213 1565 26 4 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1727976 139 74 57121 41054 1 24001 1808 49 36 3528 DSP auto 1289.3 MiB 91.18 155434 1168868 372786 754711 41371 1617.1 MiB 70.29 0.65 5.78947 -22744 -4.78947 5.78947 0.06 0.166564 0.140884 17.2708 14.567 220363 9.18294 52613 2.19248 52262 94777 51757158 12255510 0 0 7.61223e+07 21576.6 17 1038076 13772104 -1 6.13383 6.13383 -28632.3 -5.13383 0 0 23.67 -1 -1 1626.7 MiB 20.25 25.2854 21.7301 1617.1 MiB -1 7.50 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 123.74 vpr 1.21 GiB 54 665 0 40 0 1 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1272416 2 52 16673 16662 2 12027 760 32 24 1536 M9K auto 1066.6 MiB 48.17 156959 264252 69818 174977 19457 1223.8 MiB 19.40 0.22 6.30018 -21278.5 -5.30018 5.08848 0.02 0.0625285 0.0502951 5.37481 4.40679 214370 17.8300 51439 4.27838 52424 159985 69493207 10252744 0 0 3.29272e+07 21437.0 19 447460 5950766 -1 6.55525 5.17583 -23692.3 -5.55525 0 0 10.23 -1 -1 1223.8 MiB 22.52 9.11353 7.72653 1223.8 MiB -1 2.91 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml SURF_desc_stratixiv_arch_timing.blif common 378.16 vpr 1.77 GiB 445 2156 19 52 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1853480 131 314 57881 45152 1 32833 2672 49 36 3528 DSP auto 1362.9 MiB 112.93 299223 1985810 694705 1211569 79536 1665.8 MiB 167.05 1.45 220.781 -74322.2 -219.781 220.781 0.07 0.200934 0.169269 24.1803 20.5128 416847 12.7247 100775 3.07625 94693 283672 85089101 14646952 0 0 7.61223e+07 21576.6 21 1038076 13772104 -1 190.574 190.574 -74796.5 -189.574 0 0 22.90 -1 -1 1729.6 MiB 34.84 36.1614 31.133 1665.8 MiB -1 7.44 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 60.08 vpr 1.18 GiB 42 758 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1240452 13 29 26295 20086 1 12439 800 29 21 1218 LAB auto 1062.9 MiB 15.84 61122 230944 38935 173615 18394 1188.2 MiB 11.81 0.18 4.96737 -5434.49 -3.96737 2.8073 0.02 0.0387336 0.0317178 2.57184 2.12328 72725 5.84747 18233 1.46603 25673 34471 10834392 1619170 0 0 2.60031e+07 21349.0 15 354380 4692432 -1 5.00956 2.55962 -5093.98 -4.00956 0 0 8.03 -1 -1 1188.2 MiB 4.50 4.29731 3.66237 1188.2 MiB -1 2.18 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 297.39 vpr 2.16 GiB 964 1119 19 34 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2263120 542 422 37277 26038 1 20403 2136 78 58 9048 io auto 1150.4 MiB 73.81 204576 1445886 511132 869441 65313 2210.1 MiB 89.30 0.98 8.29539 -39922.7 -7.29539 8.29539 0.17 0.107171 0.0943286 12.3686 10.4512 291171 14.2731 67635 3.31544 59148 140903 91946515 22482953 0 0 1.96207e+08 21685.1 19 2627776 35613460 -1 7.77837 7.50651 -37244.2 -6.77837 0 0 58.79 -1 -1 2210.1 MiB 28.79 18.2832 15.7996 2210.1 MiB -1 21.60 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 209.37 vpr 2.38 GiB 1107 725 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2494924 403 704 15490 16194 1 8534 1832 88 65 11440 io auto 1060.9 MiB 49.01 125640 1144742 417642 695010 32090 2436.4 MiB 27.60 0.29 12.1377 -20404.2 -11.1377 5.98066 0.18 0.0583692 0.0490098 6.35528 5.34844 169403 19.8527 34281 4.01746 23768 95388 22780638 3618006 0 0 2.47896e+08 21669.2 14 3325632 44947178 -1 12.3671 6.09382 -23278.4 -11.3671 0 0 74.30 -1 -1 2436.4 MiB 9.07 9.00673 7.74787 2436.4 MiB -1 27.37 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 116.44 vpr 1.17 GiB 35 739 0 6 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1231712 18 17 16969 16357 1 6288 780 28 21 1176 LAB auto 1057.8 MiB 66.58 77598 237654 57043 175228 5383 1183.4 MiB 12.28 0.19 7.75636 -48829.2 -6.75636 7.75636 0.02 0.0387637 0.0330252 3.16043 2.55192 107783 17.1520 26590 4.23138 18971 91032 29347868 3748700 0 0 2.50861e+07 21331.7 15 342304 4525318 -1 7.25059 7.25059 -44231.5 -6.25059 0 0 7.74 -1 -1 1183.4 MiB 10.12 5.32204 4.46908 1183.4 MiB -1 2.14 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 23.94 vpr 993.17 MiB 35 78 0 8 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1017004 18 17 2291 2142 1 1448 121 13 10 260 LAB auto 954.6 MiB 6.88 10123 9091 942 7112 1037 993.2 MiB 0.58 0.01 5.30858 -4060.6 -4.30858 4.62312 0.00 0.00627854 0.00548745 0.259054 0.223136 13428 9.29273 3606 2.49550 3290 8079 3159547 482733 0 0 5.17151e+06 19890.4 10 69776 908778 -1 5.28356 4.46405 -3916.13 -4.28356 0 0 1.74 -1 -1 993.2 MiB 1.11 0.547712 0.492658 993.2 MiB -1 0.20 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_cb_titan_other_cube_bb/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_cb_titan_other_cube_bb/config/golden_results.txt index c82ecd830c2..46f57bf760a 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_cb_titan_other_cube_bb/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_cb_titan_other_cube_bb/config/golden_results.txt @@ -1,24 +1,24 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 262.54 vpr 1.67 GiB 274 985 36 59 0 2 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1751260 22 252 53001 29054 7 24677 1356 54 40 4320 DSP auto 1201.6 MiB 29.07 193351 856584 241304 515166 100114 1710.2 MiB 57.40 0.47 7.85588 -42871.3 -6.85589 3.25066 0.05 0.164012 0.144346 20.1769 17.6827 321085 13.0284 73107 2.96640 77217 159907 244975232 47657761 0 0 9.32956e+07 21596.2 51 1265168 16900788 -1 7.71858 3.05436 -39723.1 -6.71858 0 0 35.16 -1 -1 1710.2 MiB 58.56 36.785 32.606 1710.2 MiB -1 53.64 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 266.43 vpr 1.49 GiB 36 1571 10 10 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1563992 3 33 48977 39238 1 26076 1627 40 30 2400 LAB auto 1224.2 MiB 84.53 219644 900737 262240 597791 40706 1464.3 MiB 59.40 0.56 87.2663 -78342.6 -86.2663 87.2663 0.03 0.136963 0.113622 14.4066 12.1423 358074 13.7340 86107 3.30266 114584 318694 268050281 21927928 0 0 5.14259e+07 21427.5 25 702232 9285402 -1 70.0405 70.0405 -100596 -69.0405 0 0 20.03 -1 -1 1474.7 MiB 45.67 22.9811 19.484 1464.3 MiB -1 26.55 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml CHERI_stratixiv_arch_timing.blif common 502.31 vpr 1.94 GiB 211 2249 3 210 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2037436 38 173 62892 59064 3 35496 2673 60 44 5280 M9K auto 1410.0 MiB 165.24 469808 1875516 643371 1181456 50689 1947.1 MiB 111.84 1.00 12.7266 -347397 -11.7266 7.76695 0.06 0.21795 0.188479 25.7283 20.759 761318 21.4516 174875 4.92744 146514 536546 425254345 33830656 0 0 1.14231e+08 21634.7 42 1553068 20719330 -1 12.751 7.43563 -359178 -11.751 0 0 42.27 -1 -1 1947.1 MiB 79.84 46.0754 37.7929 1947.1 MiB -1 61.86 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 510.28 vpr 1.91 GiB 574 2798 16 0 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2002052 4 570 66175 54803 2 39284 3388 51 38 3876 LAB auto 1448.3 MiB 155.18 480842 2546888 886276 1563516 97096 1812.7 MiB 134.18 1.24 29.1982 -116620 -28.1982 5.72994 0.05 0.279475 0.249568 28.0608 23.8653 835653 21.2748 189102 4.81433 189134 743856 723324517 67486197 0 0 8.35534e+07 21556.6 23 1135740 15117508 -1 29.3026 5.84212 -116980 -28.3026 0 0 30.38 -1 -1 1852.8 MiB 112.28 42.3369 36.2977 1812.7 MiB -1 38.66 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml fir_cascade_stratixiv_arch_timing.blif common 867.83 vpr 4.60 GiB 40 3678 172 1 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 4821640 19 21 171111 96274 1 73403 3891 129 96 24768 DSP auto 1885.8 MiB 97.55 673610 3766584 1489345 2249664 27575 4708.6 MiB 146.85 1.66 6.29597 -125028 -5.29597 3.97866 0.29 0.540165 0.485534 68.9859 61.9344 848230 11.5563 178165 2.42732 147804 178663 227513169 41591039 0 0 5.40280e+08 21813.6 12 7186500 97666830 -1 6.65084 4.08305 -163510 -5.65084 0 0 181.11 -1 -1 4708.6 MiB 50.62 87.2479 78.818 4708.6 MiB -1 325.55 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml jacobi_stratixiv_arch_timing.blif common 343.21 vpr 1.62 GiB 536 1956 7 4 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1698584 227 309 49176 40422 1 28426 2503 47 35 3290 io auto 1277.4 MiB 116.96 233056 1803125 631727 1120429 50969 1619.5 MiB 88.74 0.85 220.939 -126998 -219.939 220.939 0.04 0.166742 0.142156 19.3794 16.4143 379748 13.3611 92233 3.24513 99103 311914 224583690 13083987 0 0 7.07118e+07 21493.0 21 956596 12777064 -1 187.057 187.057 -123104 -186.057 0 0 27.10 -1 -1 1619.5 MiB 37.22 28.2116 24.0272 1619.5 MiB -1 38.39 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 315.38 vpr 1.74 GiB 36 1347 8 149 2 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1829548 3 33 52402 39411 1 28031 1542 57 42 4788 M9K auto 1243.2 MiB 86.72 244124 776277 216128 536517 23632 1786.7 MiB 52.34 0.52 17.0483 -326259 -16.0483 17.0483 0.07 0.138809 0.121469 14.0009 11.569 405781 14.4803 94699 3.37933 90589 226981 215520672 23742240 0 0 1.03322e+08 21579.3 36 1396452 18717124 -1 16.875 16.875 -325058 -15.875 0 0 36.75 -1 -1 1786.7 MiB 43.28 25.6581 21.481 1786.7 MiB -1 63.41 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 139.86 vpr 1.23 GiB 251 959 1 17 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1284536 55 196 20131 19956 1 8238 1228 32 24 1536 LAB auto 1088.0 MiB 53.11 91486 559933 175888 358521 25524 1248.2 MiB 15.79 0.19 7.71763 -76349.3 -6.71763 7.71763 0.02 0.0584194 0.0454426 4.977 3.97164 157473 19.1224 38477 4.67237 27101 111117 94606130 5424659 0 0 3.29329e+07 21440.7 19 447460 5953838 -1 7.80396 7.80396 -71355.4 -6.80396 0 0 13.93 -1 -1 1248.2 MiB 15.44 8.35859 6.82859 1248.2 MiB -1 17.66 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 300.15 vpr 1.53 GiB 255 2119 1 28 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1600832 84 171 36458 36247 3 20421 2403 45 33 2970 LAB auto 1230.0 MiB 133.46 215779 1514675 513849 921288 79538 1542.2 MiB 47.82 0.40 11.6637 -82784.4 -10.6637 4.35909 0.04 0.125998 0.101813 12.8855 10.4713 378250 18.5353 86311 4.22948 61190 222462 160896872 10489022 0 0 6.38315e+07 21492.1 15 866116 11535668 -1 11.6634 4.19224 -80813.6 -10.6634 0 0 24.48 -1 -1 1542.2 MiB 25.88 18.604 15.307 1542.2 MiB -1 38.36 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml MCML_stratixiv_arch_timing.blif common 472.60 vpr 2.36 GiB 69 2114 10 295 16 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2470104 36 33 57796 49182 1 20337 2504 79 59 9322 M144K auto 1354.5 MiB 91.79 191623 2160996 836970 1284726 39300 2412.2 MiB 67.21 0.56 10.2495 -98516.5 -9.24953 10.2495 0.13 0.16167 0.129858 22.2193 18.021 377038 18.5441 87648 4.31084 62293 205655 243946544 38601764 0 0 2.01416e+08 21606.5 38 2701980 36494954 -1 9.70689 9.70689 -141067 -8.70689 0 0 76.07 -1 -1 2412.2 MiB 63.06 36.3348 29.836 2412.2 MiB -1 137.99 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 375.96 vpr 2.09 GiB 478 1247 1 300 4 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2188816 202 276 35125 30509 3 21749 2030 73 54 7884 M9K auto 1186.9 MiB 74.59 200331 1492398 532004 896669 63725 2137.5 MiB 51.05 0.44 9.45976 -41482.6 -8.45976 3.2749 0.09 0.135831 0.107322 16.6052 13.1563 375108 17.2519 83232 3.82799 60066 170786 232358226 31331523 0 0 1.70850e+08 21670.5 20 2296616 31018276 -1 9.62531 3.4756 -46305.7 -8.62531 0 0 58.71 -1 -1 2137.5 MiB 44.12 23.4723 19.0421 2137.5 MiB -1 117.39 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 165.46 vpr 1.46 GiB 5 331 31 105 0 2 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1528192 3 2 14862 10304 26 7580 474 49 36 3528 DSP auto 1034.0 MiB 51.87 92247 138999 31235 92996 14768 1492.4 MiB 8.22 0.10 5.55984 -29264.2 -4.55984 4.06397 0.05 0.0616422 0.0541806 5.24622 4.45456 167173 22.1304 35397 4.68586 21123 46432 61105341 9679027 0 0 7.61281e+07 21578.3 17 1038076 13775176 -1 5.6924 4.02951 -34017.5 -4.6924 0 0 28.53 -1 -1 1492.4 MiB 13.65 8.213 7.0777 1492.4 MiB -1 40.94 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 370.32 vpr 1.80 GiB 693 1782 25 16 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1888728 35 658 51416 37539 1 27489 2516 58 43 4988 io auto 1284.0 MiB 80.62 202458 2020906 668206 1210645 142055 1844.5 MiB 95.39 0.76 42.8072 -66021.2 -41.8072 42.8072 0.06 0.184101 0.168476 24.308 21.081 341782 13.1561 81070 3.12060 79542 238219 239578869 26140480 0 0 1.07590e+08 21569.8 25 1452444 19489584 -1 38.1488 38.1488 -62990.2 -37.1488 0 0 39.93 -1 -1 1844.5 MiB 44.87 35.4251 30.8897 1844.5 MiB -1 77.01 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 276.67 vpr 1.81 GiB 753 1117 5 32 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1893960 13 740 25173 25306 1 12677 1907 63 47 5922 io auto 1132.7 MiB 61.57 116849 1243112 426353 762445 54314 1849.6 MiB 37.85 0.37 8.96103 -32048.3 -7.96103 8.34217 0.07 0.10083 0.0872721 10.5665 8.76523 184402 14.5542 43397 3.42518 32969 126042 98688201 5803557 0 0 1.28010e+08 21616.1 27 1733724 23219606 -1 9.60149 8.09958 -33981.4 -8.60149 0 0 41.46 -1 -1 1849.6 MiB 19.05 16.7544 14.0352 1849.6 MiB -1 87.87 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml smithwaterman_stratixiv_arch_timing.blif common 345.00 vpr 1.68 GiB 117 2163 0 0 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1764996 79 38 66795 54922 1 36434 2280 46 34 3128 LAB auto 1327.7 MiB 94.53 193506 1442280 408990 987732 45558 1622.8 MiB 107.09 0.96 10.4896 -189466 -9.48956 10.4896 0.04 0.181193 0.143388 18.7619 15.1531 299015 8.20771 73882 2.02800 103402 216097 142829772 7784557 0 0 6.72076e+07 21485.8 60 910800 12142484 -1 10.3837 10.3837 -194478 -9.38372 0 0 26.33 -1 -1 1638.8 MiB 42.30 42.291 34.5762 1622.8 MiB -1 40.72 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml stap_steering_stratixiv_arch_timing.blif common 266.83 vpr 1.64 GiB 213 1563 26 4 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1720856 139 74 57121 41054 1 24182 1806 49 36 3528 DSP auto 1290.8 MiB 69.88 133172 1156071 367259 725408 63404 1660.2 MiB 60.43 0.52 5.48428 -22113.5 -4.48428 5.48428 0.07 0.162898 0.142928 18.879 16.1269 215960 8.93209 52660 2.17801 54038 98442 96215821 13796889 0 0 7.61281e+07 21578.3 19 1038076 13775176 -1 5.51133 5.51133 -29956.1 -4.51133 0 0 27.36 -1 -1 1660.2 MiB 22.78 27.9037 23.9882 1660.2 MiB -1 55.48 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 140.48 vpr 1.21 GiB 54 661 0 40 0 1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1270440 2 52 16673 16662 2 12103 756 32 24 1536 M9K auto 1065.9 MiB 43.92 141628 265790 71308 176456 18026 1240.7 MiB 18.22 0.17 5.85549 -20415.2 -4.85549 5.01595 0.02 0.0692838 0.0555555 6.68946 5.25155 217051 17.9396 51922 4.29143 56196 175509 165452147 11846487 0 0 3.29329e+07 21440.7 21 447460 5953838 -1 6.15846 5.09265 -23156.7 -5.15846 0 0 11.54 -1 -1 1240.7 MiB 26.16 10.769 8.67679 1240.7 MiB -1 18.71 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml SURF_desc_stratixiv_arch_timing.blif common 392.75 vpr 1.76 GiB 445 2147 19 52 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1844900 131 314 57881 45152 1 32917 2663 49 36 3528 DSP auto 1363.2 MiB 95.61 255034 1921463 673114 1175616 72733 1710.1 MiB 134.37 1.22 221.573 -74398.9 -220.573 221.573 0.04 0.233591 0.200131 27.0035 23.0742 426446 12.9844 103551 3.15291 100955 302123 227188716 15114891 0 0 7.61281e+07 21578.3 29 1038076 13775176 -1 189.583 189.583 -75056.7 -188.583 0 0 29.67 -1 -1 1722.5 MiB 44.73 42.2754 36.2423 1710.1 MiB -1 50.80 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 72.91 vpr 1.18 GiB 42 752 0 0 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1240564 13 29 26295 20086 1 12536 794 29 21 1218 LAB auto 1062.3 MiB 12.25 60938 224969 39079 169148 16742 1211.5 MiB 8.86 0.14 4.98143 -5213.57 -3.98143 2.67558 0.02 0.0416776 0.0341297 2.6038 2.15581 76160 6.07627 19086 1.52274 27055 37715 33235953 2126435 0 0 2.60089e+07 21353.8 15 354380 4695504 -1 5.12425 2.73808 -5240.77 -4.12425 0 0 10.15 -1 -1 1211.5 MiB 5.50 4.50745 3.80146 1211.5 MiB -1 13.00 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 419.11 vpr 2.21 GiB 964 976 19 34 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2314204 542 422 37277 26038 1 20609 1993 78 58 9048 io auto 1148.8 MiB 54.92 195960 1431211 527491 836231 67489 2260.0 MiB 75.94 0.81 8.64801 -40234.9 -7.64801 8.64801 0.16 0.123859 0.105031 15.0886 12.8374 307874 14.9410 69309 3.36353 75615 168495 192889124 28407970 0 0 1.96212e+08 21685.7 49 2627776 35616532 -1 7.7876 7.7876 -39277.6 -6.7876 0 0 70.32 -1 -1 2260.0 MiB 47.47 28.8645 24.8552 2260.0 MiB -1 140.28 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 372.90 vpr 2.44 GiB 1107 719 0 0 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 2556376 403 704 15490 16194 1 8578 1826 88 65 11440 io auto 1059.8 MiB 48.57 116298 1217830 465874 718697 33259 2496.5 MiB 20.86 0.20 11.5693 -19709.2 -10.5693 5.54149 0.13 0.0565761 0.0505453 6.76822 5.74259 179325 20.9077 35835 4.17803 25660 100498 66404931 3332967 0 0 2.47902e+08 21669.7 16 3325632 44950250 -1 11.8553 5.68629 -23200.6 -10.8553 0 0 77.52 -1 -1 2496.5 MiB 11.29 9.56088 8.18797 2496.5 MiB -1 184.05 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 128.05 vpr 1.18 GiB 35 731 0 6 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1237600 18 17 16969 16357 1 6316 772 28 21 1176 LAB auto 1057.0 MiB 55.40 63754 237952 58783 174226 4943 1208.6 MiB 10.72 0.17 7.30797 -43944.1 -6.30797 7.30797 0.02 0.0456687 0.0331407 3.49879 2.66407 106285 16.8386 26325 4.17063 23086 119829 86137327 4609946 0 0 2.50919e+07 21336.6 33 342304 4528390 -1 7.17133 7.17133 -42643.8 -6.17133 0 0 11.42 -1 -1 1208.6 MiB 14.17 7.0988 5.66309 1208.6 MiB -1 14.18 -3d_full_OPIN_inter_die_stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 28.52 vpr 991.08 MiB 35 78 0 8 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 1014864 18 17 2291 2142 1 1502 121 13 10 260 LAB auto 952.7 MiB 5.67 8316 9091 920 7166 1005 991.1 MiB 0.60 0.02 5.30894 -4125.69 -4.30894 4.62264 0.00 0.0081588 0.00656763 0.325292 0.274447 13019 8.68512 3524 2.35090 3667 8486 7074412 569840 0 0 5.17728e+06 19912.6 32 69776 911850 -1 5.3319 4.58316 -3880.08 -4.3319 0 0 2.75 -1 -1 991.1 MiB 1.52 0.921023 0.802184 991.1 MiB -1 1.13 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 245.62 vpr 1.63 GiB 274 1048 36 59 0 2 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1710616 22 252 53001 29054 7 22984 1419 54 40 4320 DSP auto 1201.9 MiB 75.60 184944 854209 237356 546771 70082 1670.5 MiB 72.88 0.69 7.97251 -43075.7 -6.97251 3.30339 0.08 0.146166 0.132698 17.0437 15.0301 301371 13.1305 69191 3.01460 59225 124430 116802792 31972323 0 0 9.32900e+07 21594.9 16 1265168 16897716 -1 8.04852 3.11041 -39249.7 -7.04852 0 0 28.37 -1 -1 1670.5 MiB 33.95 23.995 21.3968 1670.5 MiB -1 9.57 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 270.96 vpr 1.50 GiB 36 1585 10 10 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1570676 3 33 48977 39238 1 26095 1641 40 30 2400 LAB auto 1223.8 MiB 102.33 228282 920766 279042 595583 46141 1429.0 MiB 88.59 0.94 88.2845 -80659 -87.2845 88.2845 0.04 0.14392 0.1195 14.5711 12.2042 355838 13.6383 86376 3.31057 77310 201105 89811404 15105784 0 0 5.14202e+07 21425.1 24 702232 9282330 -1 70.4773 70.4773 -94845.6 -69.4773 0 0 15.57 -1 -1 1479.9 MiB 33.28 23.5591 20.0191 1429.0 MiB -1 4.91 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml CHERI_stratixiv_arch_timing.blif common 509.62 vpr 1.95 GiB 211 2277 3 210 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2047208 38 173 62892 59064 3 35370 2701 60 44 5280 M9K auto 1407.5 MiB 198.26 451048 1864099 647919 1164574 51606 1901.9 MiB 164.98 1.47 13.1506 -361183 -12.1506 7.9921 0.08 0.247442 0.196485 26.6398 21.6013 732955 20.7266 171188 4.84088 124728 456761 177820618 26065245 0 0 1.14226e+08 21633.7 19 1553068 20716258 -1 12.8769 7.53335 -363190 -11.8769 0 0 34.42 -1 -1 1901.9 MiB 62.40 40.217 33.3925 1901.9 MiB -1 11.90 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 567.58 vpr 1.92 GiB 574 2786 16 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2010460 4 570 66175 54803 2 39221 3376 51 38 3876 LAB auto 1446.8 MiB 182.98 484271 2560191 884458 1582491 93242 1765.5 MiB 199.71 1.77 30.1698 -117772 -29.1698 6.32625 0.06 0.250873 0.209174 27.8047 23.3414 819023 20.8849 185777 4.73728 196681 798495 366587115 55913961 0 0 8.35478e+07 21555.2 22 1135740 15114436 -1 29.383 6.18561 -115406 -28.383 0 0 25.30 -1 -1 1859.5 MiB 114.24 42.8002 36.5375 1765.5 MiB -1 8.24 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml fir_cascade_stratixiv_arch_timing.blif common 659.64 vpr 4.50 GiB 40 3697 172 1 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 4722024 19 21 171111 96274 1 69059 3910 129 96 24768 DSP auto 1880.9 MiB 143.54 633199 3760290 1490720 2235840 33730 4611.4 MiB 182.36 1.64 5.44974 -120174 -4.44974 3.48061 0.38 0.500711 0.446581 64.3668 57.2048 792964 11.4829 169052 2.44804 136130 168139 120657048 30423925 0 0 5.40274e+08 21813.4 11 7186500 97663758 -1 5.66375 3.85682 -156124 -4.66375 0 0 164.59 -1 -1 4611.4 MiB 43.21 81.7626 73.4249 4611.4 MiB -1 67.85 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml jacobi_stratixiv_arch_timing.blif common 346.58 vpr 1.63 GiB 536 1955 7 4 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1706888 227 309 49176 40422 1 28301 2502 47 35 3290 io auto 1277.2 MiB 130.96 235454 1785192 630171 1108259 46762 1578.0 MiB 123.59 1.24 223.973 -131867 -222.973 223.973 0.06 0.15399 0.137707 18.3847 15.5681 381869 13.4950 92844 3.28105 78342 247729 91337050 11782368 0 0 7.07061e+07 21491.2 19 956596 12773992 -1 184.913 184.913 -126417 -183.913 0 0 21.19 -1 -1 1599.2 MiB 34.88 27.0233 23.1993 1578.0 MiB -1 6.86 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 293.47 vpr 1.70 GiB 36 1393 8 149 2 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1786932 3 33 52402 39411 1 26961 1588 57 42 4788 M9K auto 1243.4 MiB 111.19 242706 816556 231855 560850 23851 1745.1 MiB 73.99 0.84 17.4268 -331657 -16.4268 17.4268 0.09 0.152091 0.122991 14.1776 11.6814 398717 14.7930 92932 3.44793 77467 205644 117024334 20394306 0 0 1.03316e+08 21578.1 21 1396452 18714052 -1 16.917 16.917 -320368 -15.917 0 0 31.30 -1 -1 1745.1 MiB 38.71 22.7388 19.2113 1745.1 MiB -1 9.99 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 125.97 vpr 1.23 GiB 251 955 1 17 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1288384 55 196 20131 19956 1 8273 1224 32 24 1536 LAB auto 1088.4 MiB 59.12 92593 557464 169405 360888 27171 1229.7 MiB 20.18 0.28 7.84939 -78165.6 -6.8494 7.84939 0.02 0.0579092 0.0459609 5.05811 4.04769 159733 19.3148 38820 4.69408 24505 101419 42311105 5071555 0 0 3.29272e+07 21437.0 15 447460 5950766 -1 7.81851 7.81851 -71908.7 -6.81851 0 0 10.15 -1 -1 1229.7 MiB 14.27 7.7811 6.44817 1229.7 MiB -1 2.82 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 281.85 vpr 1.53 GiB 255 2122 1 28 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1606428 84 171 36458 36247 3 20327 2406 45 33 2970 LAB auto 1229.5 MiB 149.46 215765 1517216 518543 921536 77137 1503.3 MiB 58.02 0.60 11.9872 -86085.7 -10.9872 4.67996 0.04 0.124604 0.100056 12.4857 10.1291 368614 18.1467 84927 4.18092 54529 192216 59535264 7516911 0 0 6.38257e+07 21490.1 16 866116 11532596 -1 12.0375 4.6146 -82845.8 -11.0375 0 0 19.13 -1 -1 1503.3 MiB 22.39 18.634 15.5175 1503.3 MiB -1 6.30 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml MCML_stratixiv_arch_timing.blif common 351.01 vpr 2.30 GiB 69 2192 10 295 16 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2416864 36 33 57796 49182 1 19758 2582 79 59 9322 M144K auto 1354.1 MiB 113.80 191652 2198126 837039 1305954 55133 2360.2 MiB 85.43 0.84 9.95158 -103978 -8.95158 9.95158 0.14 0.157427 0.126297 20.3063 16.4066 380882 19.2822 88412 4.47588 48910 148956 112086751 26603030 0 0 2.01410e+08 21605.9 17 2701980 36491882 -1 9.01423 9.01423 -137565 -8.01423 0 0 60.43 -1 -1 2360.2 MiB 36.97 28.4438 23.7031 2360.2 MiB -1 21.63 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 283.30 vpr 2.03 GiB 478 1233 1 300 4 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2130904 202 276 35125 30509 3 21219 2016 73 54 7884 M9K auto 1185.7 MiB 91.45 212379 1465966 513016 878305 74645 2081.0 MiB 57.22 0.51 9.02677 -43039.7 -8.02677 3.39009 0.15 0.130982 0.112127 16.0361 12.8184 388469 18.3128 85167 4.01485 54060 155006 126101728 25409947 0 0 1.70845e+08 21669.8 17 2296616 31015204 -1 9.33987 3.47782 -46390.5 -8.33987 0 0 50.94 -1 -1 2081.0 MiB 38.16 22.6708 18.6622 2081.0 MiB -1 18.21 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 116.04 vpr 1.42 GiB 5 333 31 105 0 2 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1487240 3 2 14862 10304 26 7583 476 49 36 3528 DSP auto 1035.3 MiB 44.85 91640 143465 34428 97411 11626 1452.4 MiB 9.56 0.11 5.55968 -32627.3 -4.55968 4.03585 0.05 0.0580242 0.0511336 5.23009 4.40266 162858 21.5506 34640 4.58383 20772 47469 39442838 8696473 0 0 7.61223e+07 21576.6 17 1038076 13772104 -1 5.83812 3.81128 -37803.8 -4.83812 0 0 22.95 -1 -1 1452.4 MiB 12.55 8.31954 7.18554 1452.4 MiB -1 7.74 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 307.88 vpr 1.76 GiB 693 1797 25 16 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1842824 35 658 51416 37539 1 27427 2531 58 43 4988 io auto 1280.9 MiB 92.83 193340 1985246 651294 1194899 139053 1799.6 MiB 104.91 0.90 42.817 -66341.8 -41.817 42.817 0.08 0.174464 0.149553 21.4513 18.52 318648 12.2949 76857 2.96551 72321 215661 101797360 20420450 0 0 1.07584e+08 21568.7 26 1452444 19486512 -1 38.0834 38.0834 -61419.7 -37.0834 0 0 32.89 -1 -1 1799.6 MiB 36.69 32.4273 28.3397 1799.6 MiB -1 11.41 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 191.13 vpr 1.76 GiB 753 1113 5 32 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1848728 13 740 25173 25306 1 12716 1903 63 47 5922 io auto 1133.0 MiB 66.11 114187 1121763 389127 687964 44672 1805.4 MiB 36.03 0.35 9.10047 -33210.9 -8.10047 9.10047 0.10 0.0829476 0.0672165 8.6028 7.09879 180406 14.1951 42811 3.36856 29439 106878 36794843 4980523 0 0 1.28005e+08 21615.1 13 1733724 23216534 -1 8.86459 8.53756 -33502.4 -7.86459 0 0 39.09 -1 -1 1805.4 MiB 13.39 12.4192 10.5234 1805.4 MiB -1 13.65 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml smithwaterman_stratixiv_arch_timing.blif common 365.42 vpr 1.71 GiB 117 2338 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1789484 79 38 66795 54922 1 35698 2455 47 35 3290 LAB auto 1330.0 MiB 148.46 220034 1575085 472174 1056131 46780 1607.4 MiB 128.88 1.19 10.366 -185682 -9.366 10.366 0.05 0.166017 0.132704 17.7166 14.3298 340742 9.54593 82334 2.30660 86785 211666 72987830 8810844 0 0 7.07061e+07 21491.2 18 956596 12773992 -1 10.3348 10.3348 -186105 -9.33478 0 0 21.58 -1 -1 1659.8 MiB 29.02 26.9646 22.2301 1607.4 MiB -1 7.36 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml stap_steering_stratixiv_arch_timing.blif common 236.24 vpr 1.65 GiB 213 1565 26 4 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1729420 139 74 57121 41054 1 24001 1808 49 36 3528 DSP auto 1290.4 MiB 89.13 143448 1223918 387573 791889 44456 1618.2 MiB 69.23 0.62 6.15923 -22935.6 -5.15923 6.15923 0.06 0.160924 0.134554 18.8103 16.0328 226941 9.45706 54238 2.26020 51698 93187 54990565 12041480 0 0 7.61223e+07 21576.6 16 1038076 13772104 -1 6.33927 6.33927 -28490.3 -5.33927 0 0 23.07 -1 -1 1627.8 MiB 19.85 26.0631 22.6082 1618.2 MiB -1 7.69 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 121.12 vpr 1.21 GiB 54 665 0 40 0 1 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1272616 2 52 16673 16662 2 12027 760 32 24 1536 M9K auto 1066.8 MiB 48.71 145866 267719 70212 178005 19502 1223.6 MiB 17.33 0.21 6.32104 -21443.6 -5.32104 5.1317 0.02 0.0642369 0.0519236 5.54676 4.5538 218475 18.1714 52270 4.34750 52154 158487 72130661 9975959 0 0 3.29272e+07 21437.0 17 447460 5950766 -1 6.2459 4.96992 -24617.9 -5.2459 0 0 10.12 -1 -1 1223.6 MiB 22.65 9.07986 7.70719 1223.6 MiB -1 2.99 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml SURF_desc_stratixiv_arch_timing.blif common 360.73 vpr 1.77 GiB 445 2156 19 52 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1854860 131 314 57881 45152 1 32833 2672 49 36 3528 DSP auto 1363.2 MiB 112.86 262777 1930208 669923 1189043 71242 1666.5 MiB 145.10 1.38 223.441 -74881.2 -222.441 223.441 0.05 0.211297 0.180427 24.3293 20.7548 427696 13.0558 103553 3.16106 90894 273726 106018598 14702817 0 0 7.61223e+07 21576.6 21 1038076 13772104 -1 190.035 190.035 -73399.2 -189.035 0 0 23.08 -1 -1 1732.8 MiB 39.37 36.0725 31.1574 1666.5 MiB -1 7.54 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 60.38 vpr 1.18 GiB 42 758 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1239040 13 29 26295 20086 1 12439 800 29 21 1218 LAB auto 1061.9 MiB 15.77 60924 230944 39979 176254 14711 1186.8 MiB 11.56 0.18 5.04063 -5430.36 -4.04063 2.87222 0.02 0.0364742 0.0318647 2.60212 2.17525 74753 6.01053 18783 1.51025 25814 34889 12202224 1634430 0 0 2.60031e+07 21349.0 17 354380 4692432 -1 5.24483 2.65773 -5067.06 -4.24483 0 0 8.10 -1 -1 1186.8 MiB 4.94 4.43218 3.80588 1186.8 MiB -1 2.32 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 296.54 vpr 2.16 GiB 964 1119 19 34 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2262908 542 422 37277 26038 1 20403 2136 78 58 9048 io auto 1150.3 MiB 72.90 213411 1459636 524477 868204 66955 2209.9 MiB 84.09 0.87 8.12716 -41041.3 -7.12716 8.12716 0.14 0.11422 0.095868 12.9019 10.9024 322533 15.8104 73202 3.58833 58164 135585 115660664 26279570 0 0 1.96207e+08 21685.1 19 2627776 35613460 -1 7.60563 7.60563 -38875.6 -6.60563 0 0 58.66 -1 -1 2209.9 MiB 34.96 18.71 16.1454 2209.9 MiB -1 20.83 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 209.98 vpr 2.38 GiB 1107 725 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2494688 403 704 15490 16194 1 8534 1832 88 65 11440 io auto 1060.9 MiB 49.31 117261 1200767 458957 708379 33431 2436.2 MiB 25.42 0.26 11.7854 -20675.2 -10.7854 5.73126 0.18 0.0562212 0.0465333 6.50332 5.46368 182292 21.3632 36297 4.25372 22684 88666 28845037 3461649 0 0 2.47896e+08 21669.2 14 3325632 44947178 -1 11.9953 5.82624 -23038.5 -10.9953 0 0 74.42 -1 -1 2436.2 MiB 10.82 9.12659 7.84634 2436.2 MiB -1 27.36 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 115.88 vpr 1.17 GiB 35 739 0 6 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1230928 18 17 16969 16357 1 6288 780 28 21 1176 LAB auto 1057.5 MiB 66.57 64446 230476 54244 170900 5332 1183.1 MiB 10.85 0.17 7.74825 -47724 -6.74825 7.74825 0.02 0.0458274 0.0351245 3.17308 2.53234 105547 16.7961 26043 4.14433 16938 75501 27527280 3256994 0 0 2.50861e+07 21331.7 14 342304 4525318 -1 7.60467 7.60467 -45348.9 -6.60467 0 0 7.98 -1 -1 1183.1 MiB 9.47 5.27867 4.40168 1183.1 MiB -1 2.23 +3d_full_OPIN_inter_die_stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 23.87 vpr 993.03 MiB 35 78 0 8 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1016864 18 17 2291 2142 1 1448 121 13 10 260 LAB auto 954.3 MiB 6.57 8979 9091 989 7145 957 993.0 MiB 0.57 0.01 5.30858 -4141.38 -4.30858 4.67064 0.00 0.00654281 0.00572201 0.265779 0.231578 13865 9.59516 3702 2.56194 3278 7822 3354574 494732 0 0 5.17151e+06 19890.4 12 69776 908778 -1 5.46939 4.48287 -3903.7 -4.46939 0 0 1.75 -1 -1 993.0 MiB 1.23 0.606378 0.546433 993.0 MiB -1 0.21 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_sb_titan_other_auto_bb/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_sb_titan_other_auto_bb/config/golden_results.txt index 1ab11fa8780..1a9fa971299 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_sb_titan_other_auto_bb/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_sb_titan_other_auto_bb/config/golden_results.txt @@ -1,24 +1,24 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time -3d_SB_inter_die_stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 520.61 vpr 1.72 GiB 274 987 36 59 0 2 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1803496 22 252 53001 29054 7 24609 1358 54 40 4320 DSP auto 1204.1 MiB 39.90 216521 888364 256649 520395 111320 1761.2 MiB 87.85 0.71 7.67021 -36041.3 -6.67021 3.10875 0.08 0.126381 0.112882 16.7124 14.1733 342154 13.9217 83084 3.38056 76883 151222 326194146 106749769 0 0 8.89497e+07 20590.2 56 1365594 16211305 -1 9.14969 3.16574 -43836.7 -8.14969 0 0 32.91 -1 -1 1761.2 MiB 138.38 31.7678 27.6452 1761.2 MiB -1 194.50 -3d_SB_inter_die_stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 417.34 vpr 1.50 GiB 36 1580 10 10 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1572468 3 33 48977 39238 1 26329 1636 40 30 2400 LAB auto 1223.7 MiB 106.33 249698 955600 281109 638361 36130 1458.1 MiB 103.12 1.05 82.0766 -56743.3 -81.0766 82.0766 0.04 0.11202 0.0959451 12.7886 10.3669 398272 15.1290 101546 3.85740 95740 256181 200343144 42868641 0 0 4.91306e+07 20471.1 44 758110 8921656 -1 71.392 71.392 -126837 -70.392 0 0 18.37 -1 -1 1481.7 MiB 63.81 24.8111 20.6403 1458.1 MiB -1 98.71 -3d_SB_inter_die_stratixiv_arch.timing.xml CHERI_stratixiv_arch_timing.blif common 888.33 vpr 1.97 GiB 211 2261 3 210 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 2062840 38 173 62892 59064 3 35566 2685 60 44 5280 M9K auto 1410.9 MiB 217.72 478758 1942701 680573 1218059 44069 2014.5 MiB 176.36 1.51 11.7665 -297024 -10.7665 7.51698 0.09 0.202619 0.16947 25.2532 19.6817 772503 21.7245 195521 5.49850 143008 511949 475300762 109106753 0 0 1.08858e+08 20617.0 56 1675578 19868374 -1 14.2505 7.86617 -385289 -13.2505 0 0 41.27 -1 -1 2014.5 MiB 166.71 50.776 41.2384 2014.5 MiB -1 244.64 -3d_SB_inter_die_stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 1114.78 vpr 1.92 GiB 574 2772 16 0 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 2014640 4 570 66175 54803 2 39317 3362 51 38 3876 LAB auto 1449.1 MiB 196.88 499724 2671912 950738 1625402 95772 1861.6 MiB 224.11 1.77 27.5032 -105534 -26.5032 5.41511 0.06 0.199192 0.172892 26.8354 21.1953 803998 20.4517 200370 5.09692 177923 701320 1281304364 359064468 0 0 7.97022e+07 20563.0 20 1225854 14507865 -1 30.2412 6.45869 -119451 -29.2412 0 0 29.13 -1 -1 1864.8 MiB 453.83 38.7043 31.5496 1861.6 MiB -1 172.45 -3d_SB_inter_die_stratixiv_arch.timing.xml fir_cascade_stratixiv_arch_timing.blif common 2049.90 vpr 4.79 GiB 40 3678 172 1 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 5023844 19 21 171111 96274 1 73063 3891 129 96 24768 DSP auto 1882.9 MiB 124.90 684201 3735993 1501648 2208285 26060 4906.1 MiB 148.94 1.66 6.00962 -98830.8 -5.00962 3.45872 0.32 0.391796 0.341532 49.21 43.1537 833239 11.4049 184319 2.52284 146193 177078 507711122 213695314 0 0 5.14406e+08 20769.0 13 7758968 93673935 -1 5.92189 4.22813 -137430 -4.92189 0 0 182.05 -1 -1 4906.1 MiB 207.32 64.5253 57.3404 4906.1 MiB -1 1108.11 -3d_SB_inter_die_stratixiv_arch.timing.xml jacobi_stratixiv_arch_timing.blif common 514.96 vpr 1.64 GiB 536 1953 7 4 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1718304 227 309 49176 40422 1 28164 2500 47 35 3290 io auto 1277.5 MiB 147.28 266723 1918980 725646 1136427 56907 1646.8 MiB 121.43 1.12 194.338 -109756 -193.338 194.338 0.06 0.111355 0.0960834 15.0867 12.0805 409856 14.5545 103143 3.66275 87257 277955 172520127 35585678 0 0 6.75216e+07 20523.3 21 1033138 12274942 -1 196.949 196.949 -148256 -195.949 0 0 25.10 -1 -1 1646.8 MiB 48.56 22.5017 18.5228 1646.8 MiB -1 139.81 -3d_SB_inter_die_stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 637.08 vpr 1.80 GiB 36 1342 8 149 2 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1888764 3 33 52402 39411 1 28062 1537 57 42 4788 M9K auto 1243.0 MiB 103.75 273842 834941 243386 565684 25871 1844.5 MiB 86.68 0.82 15.7112 -289050 -14.7112 15.7112 0.08 0.119058 0.102615 13.4566 10.7905 420305 14.9820 105404 3.75718 100360 260743 546160418 129480870 0 0 9.85096e+07 20574.3 31 1507654 17957159 -1 18.1236 18.1236 -347845 -17.1236 0 0 36.57 -1 -1 1844.5 MiB 161.91 23.1123 19.1485 1844.5 MiB -1 216.44 -3d_SB_inter_die_stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 204.89 vpr 1.28 GiB 251 954 1 17 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1341080 55 196 20131 19956 1 8282 1223 32 24 1536 LAB auto 1088.4 MiB 66.85 105468 576479 179756 366623 30100 1309.6 MiB 23.08 0.27 7.56709 -65136 -6.56709 7.56709 0.02 0.0500856 0.0384976 4.85645 3.72985 179329 21.6607 46312 5.59391 29504 122672 72003745 13823732 0 0 3.14199e+07 20455.7 25 483264 5705245 -1 8.81703 8.81703 -85275.7 -7.81703 0 0 12.37 -1 -1 1309.6 MiB 22.42 8.31826 6.6994 1309.6 MiB -1 59.44 -3d_SB_inter_die_stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 589.98 vpr 1.54 GiB 255 2123 1 28 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1610260 84 171 36458 36247 3 20352 2407 45 33 2970 LAB auto 1231.2 MiB 174.99 241376 1598683 558192 952375 88116 1542.8 MiB 73.08 0.66 10.1083 -70133.7 -9.1083 4.12508 0.04 0.115981 0.0878 12.7489 9.8058 400083 19.6717 97548 4.79634 61046 216519 401222983 118385406 0 0 6.09438e+07 20519.8 15 935204 11078823 -1 12.436 4.7257 -87531.4 -11.436 0 0 22.73 -1 -1 1542.8 MiB 163.43 18.4518 14.7362 1542.8 MiB -1 127.22 -3d_SB_inter_die_stratixiv_arch.timing.xml MCML_stratixiv_arch_timing.blif common 876.17 vpr 2.42 GiB 69 2107 10 295 16 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 2536200 36 33 57796 49182 1 20334 2497 79 59 9322 M144K auto 1353.5 MiB 112.44 207195 2136055 812529 1275642 47884 2476.8 MiB 85.13 0.73 8.88006 -82826.8 -7.88006 8.88006 0.14 0.117618 0.0988504 16.8798 13.4801 387054 19.0395 94434 4.64529 67787 213274 284083043 79148811 0 0 1.92002e+08 20596.6 76 2917968 35039980 -1 10.009 10.009 -164810 -9.00897 0 0 68.45 -1 -1 2476.8 MiB 114.92 38.9885 32.6103 2476.8 MiB -1 446.62 -3d_SB_inter_die_stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 736.20 vpr 2.14 GiB 478 1231 1 300 4 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 2247640 202 276 35125 30509 3 21728 2014 73 54 7884 M9K auto 1187.1 MiB 97.40 215797 1654704 587326 990918 76460 2195.0 MiB 74.14 0.51 9.19228 -26875.5 -8.19228 3.05134 0.12 0.118753 0.0925985 16.8246 13.1399 376974 17.3545 88484 4.07347 60418 171331 292439604 76641418 0 0 1.62738e+08 20641.5 20 2479452 29744051 -1 9.3155 3.44252 -47330.5 -8.3155 0 0 58.40 -1 -1 2195.0 MiB 100.36 23.6255 19.1348 2195.0 MiB -1 368.29 -3d_SB_inter_die_stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 284.11 vpr 1.46 GiB 5 330 31 105 0 2 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1535704 3 2 14862 10304 26 7620 473 49 36 3528 DSP auto 1034.8 MiB 49.27 92588 153359 38791 100501 14067 1499.7 MiB 8.95 0.10 5.67702 -19552.3 -4.67702 3.79963 0.05 0.0467277 0.0410877 4.49584 3.7966 163693 21.5556 38186 5.02844 20395 46106 86292972 21661805 0 0 7.26079e+07 20580.5 24 1120110 13214470 -1 5.87594 4.15929 -36105.4 -4.87594 0 0 26.89 -1 -1 1499.7 MiB 26.95 7.94052 6.90757 1499.7 MiB -1 151.30 -3d_SB_inter_die_stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 569.26 vpr 1.82 GiB 693 1777 25 16 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1904396 35 658 51416 37539 1 27463 2511 58 43 4988 io auto 1278.1 MiB 99.65 212181 2083831 717693 1222429 143709 1859.8 MiB 106.25 0.84 40.5037 -60224.2 -39.5037 40.5037 0.09 0.128488 0.113425 18.2416 14.9291 330278 12.7260 81833 3.15312 94649 304701 197817782 44987282 0 0 1.02587e+08 20566.7 26 1568252 18700371 -1 39.261 39.261 -66616.1 -38.2609 0 0 38.02 -1 -1 1859.8 MiB 59.17 27.948 23.4447 1859.8 MiB -1 230.32 -3d_SB_inter_die_stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 470.62 vpr 1.83 GiB 753 1108 5 32 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1915540 13 740 25173 25306 1 12781 1898 63 47 5922 io auto 1130.4 MiB 71.87 124962 1223482 428622 736669 58191 1870.6 MiB 40.32 0.32 8.57799 -25886.9 -7.57799 8.57799 0.09 0.0666816 0.0576129 8.22186 6.71409 184141 14.4153 45279 3.54462 32905 123041 47256325 10410499 0 0 1.22008e+08 20602.6 29 1871156 22275272 -1 8.85683 8.47371 -35058.8 -7.85683 0 0 44.24 -1 -1 1870.6 MiB 19.02 13.7447 11.5422 1870.6 MiB -1 265.30 -3d_SB_inter_die_stratixiv_arch.timing.xml smithwaterman_stratixiv_arch_timing.blif common 665.26 vpr 1.70 GiB 117 2173 0 0 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1780732 79 38 66795 54922 1 36442 2290 46 34 3128 LAB auto 1332.5 MiB 118.39 223170 1465826 438868 989440 37518 1631.4 MiB 116.51 1.00 10.0034 -164441 -9.00345 10.0034 0.05 0.140615 0.10816 15.3551 12.0225 328872 9.02528 85242 2.33931 156751 378493 614757965 143147538 0 0 6.41689e+07 20514.4 66 983570 11662836 -1 10.4048 10.4048 -200702 -9.40483 0 0 23.96 -1 -1 1655.2 MiB 233.33 36.8481 30.0419 1631.4 MiB -1 139.49 -3d_SB_inter_die_stratixiv_arch.timing.xml stap_steering_stratixiv_arch_timing.blif common 407.83 vpr 1.66 GiB 213 1565 26 4 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1737656 139 74 57121 41054 1 24175 1808 49 36 3528 DSP auto 1290.3 MiB 89.57 147265 1212908 383568 790816 38524 1687.9 MiB 69.62 0.60 5.18803 -15564.9 -4.18803 4.69751 0.06 0.132355 0.115165 16.3281 13.6684 218052 9.02122 54686 2.26246 53635 97635 86433611 24558382 0 0 7.26079e+07 20580.5 22 1120110 13214470 -1 5.61308 5.61308 -32689.4 -4.61308 0 0 26.73 -1 -1 1687.9 MiB 33.82 24.3867 20.8618 1687.9 MiB -1 158.29 -3d_SB_inter_die_stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 207.60 vpr 1.26 GiB 54 659 0 40 0 1 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1323644 2 52 16673 16662 2 12066 754 32 24 1536 M9K auto 1066.2 MiB 53.44 154124 275154 74667 179945 20542 1292.6 MiB 19.41 0.22 5.39679 -16155.6 -4.39679 4.49872 0.02 0.0602811 0.0465091 5.32106 4.24475 238163 19.7449 63975 5.30385 56894 169908 152382564 31381158 0 0 3.14199e+07 20455.7 17 483264 5705245 -1 6.73871 5.3677 -26489.1 -5.73871 0 0 11.94 -1 -1 1292.6 MiB 44.16 8.78802 7.31639 1292.6 MiB -1 58.83 -3d_SB_inter_die_stratixiv_arch.timing.xml SURF_desc_stratixiv_arch_timing.blif common 633.14 vpr 1.77 GiB 445 2151 19 52 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1857528 131 314 57881 45152 1 32955 2667 49 36 3528 DSP auto 1363.0 MiB 124.71 294607 2054724 754821 1217361 82542 1716.5 MiB 175.07 1.59 191.584 -64615.7 -190.584 191.584 0.05 0.18745 0.150512 23.6039 19.2336 445934 13.5621 110207 3.35169 137218 430293 351839984 68634033 0 0 7.26079e+07 20580.5 40 1120110 13214470 -1 199.253 199.253 -80683.6 -198.253 0 0 29.02 -1 -1 1733.2 MiB 107.66 40.3599 33.6861 1716.5 MiB -1 162.17 -3d_SB_inter_die_stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 100.48 vpr 1.19 GiB 42 752 0 0 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1244324 13 29 26295 20086 1 12509 794 29 21 1218 LAB auto 1062.8 MiB 15.76 62891 261719 56222 191052 14445 1215.2 MiB 11.16 0.13 4.85572 -4225.92 -3.85572 2.52096 0.02 0.0230785 0.0193446 2.10304 1.7256 79797 6.38019 22463 1.79603 26933 37992 38420451 7963787 0 0 2.48366e+07 20391.3 15 382818 4502703 -1 5.10516 2.8003 -5630 -4.10516 0 0 9.38 -1 -1 1215.2 MiB 10.20 3.46483 2.94414 1215.2 MiB -1 34.98 -3d_SB_inter_die_stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 992.51 vpr 2.27 GiB 964 977 19 34 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 2381124 542 422 37277 26038 1 20599 1994 78 58 9048 io auto 1149.6 MiB 66.87 226304 1519939 558967 890778 70194 2325.3 MiB 119.69 1.26 7.37113 -33408.8 -6.37113 7.37113 0.13 0.0952139 0.0773201 12.7243 10.3294 334343 16.2334 78109 3.79244 102458 238617 478712499 184281568 0 0 1.86852e+08 20651.1 60 2837414 34147767 -1 8.84853 8.84853 -42837.3 -7.84853 0 0 65.96 -1 -1 2325.3 MiB 257.74 25.311 21.3358 2325.3 MiB -1 442.74 -3d_SB_inter_die_stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 841.75 vpr 2.52 GiB 1107 729 0 0 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 2644060 403 704 15490 16194 1 8574 1836 88 65 11440 io auto 1060.4 MiB 54.40 122558 1384110 547152 796309 40649 2582.1 MiB 30.97 0.29 10.4549 -16402 -9.45487 4.73896 0.17 0.0533879 0.0433669 6.8282 5.61818 173895 20.2840 38605 4.50309 24038 94279 126191912 44771966 0 0 2.36204e+08 20647.2 14 3590540 43137666 -1 12.0851 5.88321 -24833.6 -11.0851 0 0 83.16 -1 -1 2582.1 MiB 63.98 9.36579 7.90327 2582.1 MiB -1 559.86 -3d_SB_inter_die_stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 160.76 vpr 1.18 GiB 35 730 0 6 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1240184 18 17 16969 16357 1 6277 771 28 21 1176 LAB auto 1057.6 MiB 67.85 69205 265821 72177 187282 6362 1211.1 MiB 11.88 0.16 7.31748 -40693.1 -6.31748 7.31748 0.02 0.0306438 0.0257343 2.96374 2.35042 113779 18.1379 30059 4.79181 21341 106772 39406769 7295674 0 0 2.39639e+07 20377.5 31 369794 4343188 -1 8.79554 8.79554 -51620.5 -7.79554 0 0 8.95 -1 -1 1211.1 MiB 12.09 5.72142 4.74732 1211.1 MiB -1 40.12 -3d_SB_inter_die_stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 29.75 vpr 994.02 MiB 35 73 0 8 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1017876 18 17 2291 2142 1 1500 116 13 10 260 LAB auto 953.8 MiB 7.30 9755 9704 1420 7286 998 994.0 MiB 0.48 0.01 5.28239 -3533.58 -4.28239 4.24487 0.00 0.00357156 0.00285794 0.176718 0.144844 15640 10.4476 4912 3.28123 4115 9431 4210567 862713 0 0 4.97530e+06 19135.8 17 75766 878809 -1 5.24221 4.56041 -4449.95 -4.24221 0 0 2.13 -1 -1 994.0 MiB 1.20 0.467896 0.409078 994.0 MiB -1 2.84 +3d_SB_inter_die_stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 1172.59 vpr 1.65 GiB 274 1048 36 59 0 2 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1734316 22 252 53001 29054 7 22984 1419 54 40 4320 DSP auto 1201.6 MiB 73.64 214653 894059 251005 559264 83790 1693.7 MiB 79.53 0.65 7.70472 -37826.5 -6.70472 3.17657 0.08 0.149615 0.135881 18.4856 16.2276 346942 15.1160 80330 3.49991 71500 157095 1535090931 789230213 0 0 8.89497e+07 20590.2 18 1365594 16211305 -1 7.67229 3.07739 -42724.4 -6.67229 0 0 29.57 -1 -1 1693.7 MiB 940.94 26.0477 23.0694 1693.7 MiB -1 23.96 +3d_SB_inter_die_stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 329.77 vpr 1.50 GiB 36 1585 10 10 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1576816 3 33 48977 39238 1 26095 1641 40 30 2400 LAB auto 1223.5 MiB 99.18 252169 969141 295922 624406 48813 1444.1 MiB 90.56 0.89 82.4495 -56586.4 -81.4495 82.4495 0.03 0.133831 0.117153 15.353 12.8639 420916 16.1326 105895 4.05868 118615 321172 291100673 58952034 0 0 4.91306e+07 20471.1 26 758110 8921656 -1 72.0146 72.0146 -132785 -71.0146 0 0 16.42 -1 -1 1484.9 MiB 85.29 24.7756 21.0909 1444.1 MiB -1 12.23 +3d_SB_inter_die_stratixiv_arch.timing.xml CHERI_stratixiv_arch_timing.blif common 641.04 vpr 1.96 GiB 211 2277 3 210 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2050760 38 173 62892 59064 3 35370 2701 60 44 5280 M9K auto 1407.2 MiB 203.13 510171 1939307 680820 1206280 52207 1927.9 MiB 173.07 1.61 11.2616 -300285 -10.2616 7.35058 0.09 0.251364 0.202792 27.6684 22.4451 799864 22.6187 198121 5.60249 142256 518619 474643655 110260894 0 0 1.08858e+08 20617.0 17 1675578 19868374 -1 14.7898 8.01616 -421363 -13.7898 0 0 36.05 -1 -1 1927.9 MiB 161.55 40.1269 33.3458 1927.9 MiB -1 29.72 +3d_SB_inter_die_stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 991.70 vpr 1.92 GiB 574 2786 16 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2018452 4 570 66175 54803 2 39221 3376 51 38 3876 LAB auto 1446.4 MiB 179.88 509692 2712081 968624 1648149 95308 1790.4 MiB 217.33 1.88 26.7071 -106583 -25.7071 4.92953 0.06 0.265658 0.222085 30.753 25.8577 822920 20.9843 201896 5.14831 185617 735052 1296414490 366645497 0 0 7.97022e+07 20563.0 20 1225854 14507865 -1 30.288 6.57341 -120054 -29.288 0 0 26.21 -1 -1 1867.6 MiB 509.93 45.4244 38.8563 1790.4 MiB -1 20.62 +3d_SB_inter_die_stratixiv_arch.timing.xml fir_cascade_stratixiv_arch_timing.blif common 1067.25 vpr 4.62 GiB 40 3697 172 1 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 4842056 19 21 171111 96274 1 69059 3910 129 96 24768 DSP auto 1880.7 MiB 144.01 645363 3760290 1509060 2226895 24335 4728.6 MiB 196.62 2.32 6.72849 -100513 -5.72849 2.73028 0.43 0.510597 0.454713 66.2712 58.6699 801246 11.6028 176582 2.55708 136809 169565 554939068 253332108 0 0 5.14406e+08 20769.0 10 7758968 93673935 -1 6.25252 4.02616 -144264 -5.25252 0 0 173.36 -1 -1 4728.6 MiB 346.30 83.0597 74.3223 4728.6 MiB -1 149.18 +3d_SB_inter_die_stratixiv_arch.timing.xml jacobi_stratixiv_arch_timing.blif common 397.31 vpr 1.63 GiB 536 1955 7 4 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1713464 227 309 49176 40422 1 28301 2502 47 35 3290 io auto 1276.8 MiB 127.62 267729 1921016 704204 1175385 41427 1599.4 MiB 134.02 1.32 194.73 -111174 -193.73 194.73 0.05 0.16753 0.141447 20.6062 17.3755 409980 14.4885 103027 3.64092 98368 318292 234678586 45271456 0 0 6.75216e+07 20523.3 20 1033138 12274942 -1 196.841 196.841 -137573 -195.841 0 0 22.11 -1 -1 1604.7 MiB 66.77 29.7244 25.3562 1599.4 MiB -1 17.48 +3d_SB_inter_die_stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 413.22 vpr 1.73 GiB 36 1393 8 149 2 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1814172 3 33 52402 39411 1 26961 1588 57 42 4788 M9K auto 1243.2 MiB 110.44 272716 825817 229328 570617 25872 1771.7 MiB 82.01 0.93 15.8733 -287969 -14.8733 15.8733 0.07 0.156169 0.134842 15.1402 12.4708 427395 15.8570 107058 3.97203 83662 216621 348016314 94876303 0 0 9.85096e+07 20574.3 20 1507654 17957159 -1 18.2989 18.2989 -351435 -17.2989 0 0 32.91 -1 -1 1771.7 MiB 133.99 23.8154 20.073 1771.7 MiB -1 25.41 +3d_SB_inter_die_stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 136.48 vpr 1.24 GiB 251 955 1 17 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1295860 55 196 20131 19956 1 8273 1224 32 24 1536 LAB auto 1088.4 MiB 59.84 106751 583640 180621 371614 31405 1246.6 MiB 21.31 0.25 7.0989 -65567.9 -6.0989 7.0989 0.02 0.0579001 0.0443717 5.41731 4.32489 176951 21.3967 45151 5.45961 29990 122737 58119884 11106318 0 0 3.14199e+07 20455.7 16 483264 5705245 -1 8.58513 8.58513 -82449.9 -7.58513 0 0 10.90 -1 -1 1246.6 MiB 18.17 8.28886 6.85025 1246.6 MiB -1 6.97 +3d_SB_inter_die_stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 490.96 vpr 1.54 GiB 255 2122 1 28 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1612568 84 171 36458 36247 3 20327 2406 45 33 2970 LAB auto 1229.2 MiB 149.78 250819 1565561 553633 923794 88134 1522.9 MiB 59.74 0.57 10.3141 -74446.1 -9.31412 4.16669 0.05 0.122453 0.0982616 12.7928 10.36 417504 20.5535 99339 4.89042 64295 236643 559755019 162046743 0 0 6.09438e+07 20519.8 16 935204 11078823 -1 14.1009 4.7173 -100461 -13.1009 0 0 19.72 -1 -1 1522.9 MiB 220.56 19.1309 15.8639 1522.9 MiB -1 14.15 +3d_SB_inter_die_stratixiv_arch.timing.xml MCML_stratixiv_arch_timing.blif common 456.82 vpr 2.35 GiB 69 2192 10 295 16 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2459944 36 33 57796 49182 1 19758 2582 79 59 9322 M144K auto 1354.5 MiB 116.70 208658 2198126 841464 1306315 50347 2402.3 MiB 88.77 0.83 9.15792 -77023.4 -8.15792 9.15792 0.15 0.152102 0.123811 20.4428 16.5197 386740 19.5788 94929 4.80580 57777 193960 262282869 78128583 0 0 1.92002e+08 20596.6 16 2917968 35039980 -1 9.09509 9.09509 -148250 -8.09509 0 0 62.97 -1 -1 2402.3 MiB 105.94 28.2563 23.5085 2402.3 MiB -1 49.55 +3d_SB_inter_die_stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 391.83 vpr 2.07 GiB 478 1233 1 300 4 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2167360 202 276 35125 30509 3 21219 2016 73 54 7884 M9K auto 1185.5 MiB 91.51 224050 1542346 541947 927260 73139 2116.6 MiB 59.94 0.50 9.32745 -27918.9 -8.32745 3.0761 0.12 0.131152 0.102135 16.6741 13.2554 393714 18.5600 91424 4.30981 54848 152461 310286597 88766009 0 0 1.62738e+08 20641.5 15 2479452 29744051 -1 9.42064 3.77724 -50913.7 -8.42064 0 0 53.44 -1 -1 2116.6 MiB 114.73 22.9686 18.8221 2116.6 MiB -1 44.42 +3d_SB_inter_die_stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 148.74 vpr 1.44 GiB 5 333 31 105 0 2 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1511644 3 2 14862 10304 26 7583 476 49 36 3528 DSP auto 1036.2 MiB 45.72 83064 154607 38752 101305 14550 1476.2 MiB 10.09 0.11 5.67702 -19756.2 -4.67702 3.74463 0.05 0.0597777 0.0527936 5.58527 4.74534 152254 20.1474 35176 4.65476 19877 43738 72454580 21374549 0 0 7.26079e+07 20580.5 14 1120110 13214470 -1 5.84516 4.10311 -39834.2 -4.84516 0 0 24.01 -1 -1 1476.2 MiB 28.04 8.35092 7.24512 1476.2 MiB -1 22.29 +3d_SB_inter_die_stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 346.43 vpr 1.78 GiB 693 1797 25 16 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1868112 35 658 51416 37539 1 27427 2531 58 43 4988 io auto 1276.9 MiB 93.13 219255 2157656 730879 1273796 152981 1824.3 MiB 109.67 0.89 40.2879 -60979.5 -39.2879 40.2879 0.08 0.170403 0.154539 23.7513 20.5481 349212 13.4742 85186 3.28688 77275 233364 143562765 36702160 0 0 1.02587e+08 20566.7 21 1568252 18700371 -1 38.5591 38.5591 -67167.5 -37.5591 0 0 34.79 -1 -1 1824.3 MiB 50.23 33.5444 29.3581 1824.3 MiB -1 28.83 +3d_SB_inter_die_stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 218.13 vpr 1.79 GiB 753 1113 5 32 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1877276 13 740 25173 25306 1 12716 1903 63 47 5922 io auto 1133.0 MiB 66.22 123520 1204279 427315 726664 50300 1833.3 MiB 39.61 0.36 9.02181 -27438.3 -8.02181 9.02181 0.09 0.0790484 0.0694683 9.30633 7.78111 180566 14.2077 43756 3.44291 31272 116811 38575938 8660251 0 0 1.22008e+08 20602.6 13 1871156 22275272 -1 10.1297 8.7558 -37422.6 -9.12975 0 0 40.27 -1 -1 1833.3 MiB 15.13 13.1986 11.2705 1833.3 MiB -1 33.84 +3d_SB_inter_die_stratixiv_arch.timing.xml smithwaterman_stratixiv_arch_timing.blif common 395.83 vpr 1.71 GiB 117 2338 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1795672 79 38 66795 54922 1 35698 2455 47 35 3290 LAB auto 1330.1 MiB 147.79 247450 1624747 516348 1068765 39634 1627.6 MiB 129.80 1.19 9.58554 -169343 -8.58554 9.58554 0.05 0.181629 0.143035 18.586 15.0291 368099 10.3123 95475 2.67474 86257 200403 122474916 27418708 0 0 6.75216e+07 20523.3 17 1033138 12274942 -1 10.0787 10.0787 -216795 -9.07872 0 0 22.36 -1 -1 1667.7 MiB 46.86 27.3022 22.6133 1627.6 MiB -1 18.57 +3d_SB_inter_die_stratixiv_arch.timing.xml stap_steering_stratixiv_arch_timing.blif common 251.39 vpr 1.66 GiB 213 1565 26 4 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1735768 139 74 57121 41054 1 24001 1808 49 36 3528 DSP auto 1289.7 MiB 85.10 145328 1179878 360849 786061 32968 1640.5 MiB 69.36 0.64 5.18803 -16009.3 -4.18803 4.71553 0.06 0.152706 0.134393 18.4109 15.5777 214240 8.92778 54586 2.27470 53253 96856 65663526 17180534 0 0 7.26079e+07 20580.5 19 1120110 13214470 -1 5.62731 5.62731 -28524.3 -4.62731 0 0 23.91 -1 -1 1640.5 MiB 26.16 26.596 22.9352 1640.5 MiB -1 19.18 +3d_SB_inter_die_stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 136.47 vpr 1.23 GiB 54 665 0 40 0 1 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1290392 2 52 16673 16662 2 12027 760 32 24 1536 M9K auto 1065.8 MiB 47.70 152283 278120 75325 183121 19674 1260.1 MiB 18.99 0.21 5.35599 -16505.5 -4.35599 4.51559 0.02 0.0653283 0.0529731 5.96357 4.87661 239529 19.9226 64112 5.33245 57238 170600 111195486 21263938 0 0 3.14199e+07 20455.7 20 483264 5705245 -1 6.61831 5.4172 -26290.3 -5.61831 0 0 10.52 -1 -1 1260.1 MiB 32.71 9.93276 8.38713 1260.1 MiB -1 7.29 +3d_SB_inter_die_stratixiv_arch.timing.xml SURF_desc_stratixiv_arch_timing.blif common 437.55 vpr 1.78 GiB 445 2156 19 52 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1867528 131 314 57881 45152 1 32833 2672 49 36 3528 DSP auto 1362.4 MiB 112.91 292652 2022878 726716 1212218 83944 1695.6 MiB 151.83 1.37 193.523 -65237.6 -192.523 193.523 0.06 0.213557 0.18157 25.9931 22.1715 453070 13.8304 113197 3.45545 117143 365096 327048949 70274290 0 0 7.26079e+07 20580.5 21 1120110 13214470 -1 201.969 201.969 -83602 -200.969 0 0 23.94 -1 -1 1743.7 MiB 97.67 37.8603 32.6631 1695.6 MiB -1 18.81 +3d_SB_inter_die_stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 67.95 vpr 1.18 GiB 42 758 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1235488 13 29 26295 20086 1 12439 800 29 21 1218 LAB auto 1063.1 MiB 15.63 63489 256928 52638 188949 15341 1198.2 MiB 13.00 0.16 4.8555 -4307.79 -3.8555 2.47976 0.02 0.0366363 0.0322351 3.02693 2.52688 81757 6.57369 23123 1.85921 26483 36571 27721140 5378093 0 0 2.48366e+07 20391.3 14 382818 4502703 -1 4.99885 2.78104 -5808.83 -3.99885 0 0 8.34 -1 -1 1198.2 MiB 8.51 4.71161 4.0282 1198.2 MiB -1 5.30 +3d_SB_inter_die_stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 447.54 vpr 2.19 GiB 964 1119 19 34 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2300520 542 422 37277 26038 1 20403 2136 78 58 9048 io auto 1150.3 MiB 74.85 225689 1597136 573868 950177 73091 2246.6 MiB 97.02 0.89 7.40155 -34081.7 -6.40155 7.40155 0.16 0.121776 0.101863 14.3979 12.1212 339854 16.6595 81624 4.00118 64892 154040 296022532 107475224 0 0 1.86852e+08 20651.1 17 2837414 34147767 -1 8.54909 8.54909 -42257.7 -7.54909 0 0 62.88 -1 -1 2246.6 MiB 134.16 19.8788 17.0808 2246.6 MiB -1 53.46 +3d_SB_inter_die_stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 304.70 vpr 2.43 GiB 1107 725 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2550580 403 704 15490 16194 1 8534 1832 88 65 11440 io auto 1060.6 MiB 49.77 123671 1380047 554552 786292 39203 2490.8 MiB 31.02 0.26 10.5993 -16629.2 -9.59931 4.97242 0.18 0.0546677 0.0486849 7.77113 6.51315 175220 20.5344 38433 4.50404 23623 93265 107849323 36421682 0 0 2.36204e+08 20647.2 15 3590540 43137666 -1 12.8766 5.81047 -24731 -11.8766 0 0 79.59 -1 -1 2490.8 MiB 52.92 10.6013 9.06122 2490.8 MiB -1 68.45 +3d_SB_inter_die_stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 117.22 vpr 1.17 GiB 35 739 0 6 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1225656 18 17 16969 16357 1 6288 780 28 21 1176 LAB auto 1057.5 MiB 63.70 72669 252010 65056 180799 6155 1192.1 MiB 11.10 0.16 7.46032 -42922.1 -6.46033 7.46032 0.02 0.0386153 0.0330756 3.3215 2.67044 118105 18.7946 31588 5.02673 19732 92762 36148054 6649139 0 0 2.39639e+07 20377.5 15 369794 4343188 -1 7.99918 7.99918 -51485.8 -6.99918 0 0 8.05 -1 -1 1192.1 MiB 11.26 5.50251 4.59387 1192.1 MiB -1 5.21 +3d_SB_inter_die_stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 24.11 vpr 992.77 MiB 35 78 0 8 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1016600 18 17 2291 2142 1 1448 121 13 10 260 LAB auto 954.8 MiB 6.88 9528 9390 1205 7155 1030 992.8 MiB 0.57 0.01 5.30062 -3506.54 -4.30062 4.33661 0.00 0.00633989 0.00552195 0.266113 0.231235 16243 11.2408 5134 3.55294 3509 8574 4051674 833095 0 0 4.97530e+06 19135.8 10 75766 878809 -1 5.2881 4.86207 -4539.08 -4.2881 0 0 1.87 -1 -1 992.8 MiB 1.27 0.557486 0.502971 992.8 MiB -1 0.41 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_sb_titan_other_per_layer_bb/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_sb_titan_other_per_layer_bb/config/golden_results.txt index 0ab160efdd9..08e1f46286a 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_sb_titan_other_per_layer_bb/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/3d_sb_titan_other_per_layer_bb/config/golden_results.txt @@ -1,24 +1,24 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time -3d_SB_inter_die_stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 582.16 vpr 1.72 GiB 274 987 36 59 0 2 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1803524 22 252 53001 29054 7 24609 1358 54 40 4320 DSP auto 1204.1 MiB 42.52 195330 858296 247287 495125 115884 1761.3 MiB 84.93 0.67 7.80788 -36648 -6.80788 3.12522 0.08 0.124521 0.103496 15.0607 12.6449 371346 15.1095 103610 4.21573 80566 160946 461930857 165593977 0 0 8.89497e+07 20590.2 51 1365594 16211305 -1 8.74368 3.32525 -48171.4 -7.74368 0 0 32.76 -1 -1 1761.3 MiB 195.95 29.1002 25.2738 1761.3 MiB -1 198.81 -3d_SB_inter_die_stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 422.96 vpr 1.50 GiB 36 1580 10 10 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1573748 3 33 48977 39238 1 26329 1636 40 30 2400 LAB auto 1223.6 MiB 106.71 248841 888148 253476 594820 39852 1458.1 MiB 105.66 1.10 81.4784 -53835.8 -80.4784 81.4784 0.04 0.109711 0.0935788 11.8789 9.57793 411181 15.6194 116574 4.42826 92798 244546 220907410 47907010 0 0 4.91306e+07 20471.1 24 758110 8921656 -1 72.6227 72.6227 -121766 -71.6227 0 0 18.53 -1 -1 1483.2 MiB 63.69 19.757 16.3958 1458.1 MiB -1 101.16 -3d_SB_inter_die_stratixiv_arch.timing.xml CHERI_stratixiv_arch_timing.blif common 930.36 vpr 1.97 GiB 211 2261 3 210 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 2062768 38 173 62892 59064 3 35566 2685 60 44 5280 M9K auto 1410.8 MiB 217.85 527418 1961355 698273 1211201 51881 2014.4 MiB 213.62 1.87 11.5444 -297926 -10.5444 7.43875 0.09 0.221174 0.167818 25.4392 19.6023 799544 22.4850 206009 5.79344 140764 494647 491987729 117958703 0 0 1.08858e+08 20617.0 42 1675578 19868374 -1 14.3661 7.89936 -391318 -13.3661 0 0 40.95 -1 -1 2014.4 MiB 168.06 45.7164 36.8171 2014.4 MiB -1 248.05 -3d_SB_inter_die_stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 1128.29 vpr 1.92 GiB 574 2772 16 0 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 2014856 4 570 66175 54803 2 39317 3362 51 38 3876 LAB auto 1449.1 MiB 197.04 539555 2646737 936545 1618816 91376 1861.6 MiB 263.14 2.12 27.205 -106349 -26.205 5.36288 0.06 0.222737 0.17179 26.4811 20.5787 812545 20.6691 203996 5.18915 179839 704950 1238086925 342084780 0 0 7.97022e+07 20563.0 22 1225854 14507865 -1 30.7692 6.31053 -122652 -29.7692 0 0 28.44 -1 -1 1865.0 MiB 437.18 39.1867 31.6218 1861.6 MiB -1 162.84 -3d_SB_inter_die_stratixiv_arch.timing.xml fir_cascade_stratixiv_arch_timing.blif common 2111.69 vpr 4.79 GiB 40 3678 172 1 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 5023412 19 21 171111 96274 1 73063 3891 129 96 24768 DSP auto 1882.4 MiB 124.10 683749 3705402 1474779 2203663 26960 4905.7 MiB 149.84 1.99 4.90769 -102144 -3.90769 2.66229 0.32 0.333623 0.299825 45.1483 39.2326 943364 12.9122 246384 3.37235 150431 182369 732288583 298849219 0 0 5.14406e+08 20769.0 11 7758968 93673935 -1 5.35185 3.87959 -147181 -4.35185 0 0 181.00 -1 -1 4905.7 MiB 286.71 59.0156 52.1235 4905.7 MiB -1 1092.75 -3d_SB_inter_die_stratixiv_arch.timing.xml jacobi_stratixiv_arch_timing.blif common 564.65 vpr 1.64 GiB 536 1953 7 4 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1718716 227 309 49176 40422 1 28164 2500 47 35 3290 io auto 1277.5 MiB 145.88 261565 1868100 672501 1151002 44597 1646.7 MiB 140.05 1.34 195.231 -110925 -194.231 195.231 0.06 0.12554 0.0992061 14.6571 11.6553 411249 14.6040 113307 4.02369 103543 325921 298122992 61172575 0 0 6.75216e+07 20523.3 20 1033138 12274942 -1 199.286 199.286 -155282 -198.286 0 0 25.18 -1 -1 1646.7 MiB 79.16 22.1044 18.1436 1646.7 MiB -1 142.30 -3d_SB_inter_die_stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 695.10 vpr 1.80 GiB 36 1342 8 149 2 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1888536 3 33 52402 39411 1 28062 1537 57 42 4788 M9K auto 1243.2 MiB 103.70 273438 790611 224541 541418 24652 1844.3 MiB 92.12 0.90 15.273 -287167 -14.273 15.273 0.08 0.114449 0.0977109 12.57 10.0601 460533 16.4159 127882 4.55842 95816 245821 618088152 166535403 0 0 9.85096e+07 20574.3 47 1507654 17957159 -1 17.7356 17.7356 -355280 -16.7356 0 0 36.56 -1 -1 1844.3 MiB 212.41 25.6811 21.3256 1844.3 MiB -1 217.95 -3d_SB_inter_die_stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 201.82 vpr 1.28 GiB 251 954 1 17 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1341092 55 196 20131 19956 1 8282 1223 32 24 1536 LAB auto 1088.4 MiB 65.74 108189 537257 161186 351024 25047 1309.7 MiB 24.49 0.28 7.63348 -66340.6 -6.63348 7.63348 0.02 0.0446494 0.0376164 4.56397 3.53477 173240 20.9252 49009 5.91968 28613 116746 69432612 13114190 0 0 3.14199e+07 20455.7 16 483264 5705245 -1 8.73313 8.73313 -81669.2 -7.73313 0 0 11.99 -1 -1 1309.7 MiB 20.35 7.28084 5.9123 1309.7 MiB -1 59.04 -3d_SB_inter_die_stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 574.17 vpr 1.54 GiB 255 2123 1 28 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1610160 84 171 36458 36247 3 20352 2407 45 33 2970 LAB auto 1230.9 MiB 176.26 230253 1534187 537107 918935 78145 1542.6 MiB 80.31 0.73 10.3286 -73217.5 -9.32864 4.15911 0.04 0.109206 0.091457 12.2567 9.37699 386386 18.9982 105938 5.20887 59158 205458 343044614 94954046 0 0 6.09438e+07 20519.8 15 935204 11078823 -1 11.9822 4.48722 -86968.8 -10.9822 0 0 22.88 -1 -1 1542.6 MiB 135.83 18.0758 14.384 1542.6 MiB -1 130.74 -3d_SB_inter_die_stratixiv_arch.timing.xml MCML_stratixiv_arch_timing.blif common 895.60 vpr 2.42 GiB 69 2107 10 295 16 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 2536012 36 33 57796 49182 1 20334 2497 79 59 9322 M144K auto 1353.6 MiB 114.46 211332 2152988 849915 1253916 49157 2476.6 MiB 97.43 0.82 9.56163 -84792.3 -8.56163 9.56163 0.14 0.126043 0.0984021 17.6076 13.911 393751 19.3689 103359 5.08431 69197 220461 288511556 81052098 0 0 1.92002e+08 20596.6 45 2917968 35039980 -1 9.93304 9.93304 -167341 -8.93304 0 0 69.52 -1 -1 2476.6 MiB 110.65 31.348 25.8958 2476.6 MiB -1 452.70 -3d_SB_inter_die_stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 733.35 vpr 2.14 GiB 478 1231 1 300 4 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 2246596 202 276 35125 30509 3 21728 2014 73 54 7884 M9K auto 1186.1 MiB 98.22 226155 1680130 581037 1021138 77955 2193.9 MiB 81.38 0.58 9.04734 -32184.9 -8.04734 3.17119 0.12 0.12349 0.0925998 16.6945 12.9764 376551 17.3350 90231 4.15390 60533 167938 291951174 74695878 0 0 1.62738e+08 20641.5 20 2479452 29744051 -1 9.34711 3.98413 -48329.1 -8.34711 0 0 58.73 -1 -1 2193.9 MiB 97.89 23.8118 19.2322 2193.9 MiB -1 359.25 -3d_SB_inter_die_stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 303.46 vpr 1.46 GiB 5 330 31 105 0 2 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1536028 3 2 14862 10304 26 7620 473 49 36 3528 DSP auto 1035.1 MiB 49.34 86372 144149 35218 93920 15011 1500.0 MiB 9.09 0.10 5.35635 -20850.9 -4.35635 3.73741 0.06 0.0454616 0.0396929 4.33095 3.6643 150545 19.8242 37129 4.88925 20992 47091 119548029 36655524 0 0 7.26079e+07 20580.5 20 1120110 13214470 -1 5.84657 3.77061 -40487 -4.84657 0 0 26.93 -1 -1 1500.0 MiB 45.25 7.43604 6.47906 1500.0 MiB -1 151.75 -3d_SB_inter_die_stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 585.18 vpr 1.82 GiB 693 1777 25 16 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1904188 35 658 51416 37539 1 27463 2511 58 43 4988 io auto 1277.9 MiB 98.57 206877 1998531 678358 1182926 137247 1859.6 MiB 115.66 0.95 40.5043 -60922.5 -39.5043 40.5043 0.09 0.122326 0.107203 16.9254 13.7612 342117 13.1822 91004 3.50649 77091 229186 193704618 53247878 0 0 1.02587e+08 20566.7 33 1568252 18700371 -1 39.0491 39.0491 -68044.3 -38.0491 0 0 37.83 -1 -1 1859.6 MiB 69.44 28.0298 23.4918 1859.6 MiB -1 227.84 -3d_SB_inter_die_stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 484.44 vpr 1.83 GiB 753 1108 5 32 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1915040 13 740 25173 25306 1 12781 1898 63 47 5922 io auto 1130.4 MiB 72.36 122373 1223482 442946 725936 54600 1870.2 MiB 43.47 0.37 8.58151 -26013.6 -7.58151 8.58151 0.09 0.066611 0.0577751 8.09623 6.55743 181674 14.2222 45570 3.56740 33303 126130 60438024 14474337 0 0 1.22008e+08 20602.6 22 1871156 22275272 -1 9.64216 8.37594 -35791.4 -8.64216 0 0 44.59 -1 -1 1870.2 MiB 22.83 12.7955 10.6758 1870.2 MiB -1 273.45 -3d_SB_inter_die_stratixiv_arch.timing.xml smithwaterman_stratixiv_arch_timing.blif common 623.34 vpr 1.70 GiB 117 2173 0 0 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1781144 79 38 66795 54922 1 36442 2290 46 34 3128 LAB auto 1333.0 MiB 118.38 223063 1496002 445875 1009592 40535 1631.3 MiB 130.59 1.12 10.298 -164963 -9.29802 10.298 0.05 0.147236 0.114284 15.8825 12.406 335721 9.21323 96583 2.65054 148171 339963 462293429 109838402 0 0 6.41689e+07 20514.4 61 983570 11662836 -1 11.0507 11.0507 -199170 -10.0507 0 0 24.26 -1 -1 1656.6 MiB 176.26 36.1483 29.4745 1631.3 MiB -1 139.48 -3d_SB_inter_die_stratixiv_arch.timing.xml stap_steering_stratixiv_arch_timing.blif common 434.07 vpr 1.65 GiB 213 1565 26 4 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1733820 139 74 57121 41054 1 24175 1808 49 36 3528 DSP auto 1291.2 MiB 90.16 142328 1212908 383501 795665 33742 1665.9 MiB 78.06 0.70 5.18054 -15486.2 -4.18054 4.55771 0.06 0.122967 0.105902 15.1532 12.538 255792 10.5826 76054 3.14650 55778 101786 129669669 38217209 0 0 7.26079e+07 20580.5 17 1120110 13214470 -1 5.89185 5.89185 -31809.1 -4.89185 0 0 27.24 -1 -1 1665.9 MiB 49.95 22.1936 18.8747 1665.9 MiB -1 159.11 -3d_SB_inter_die_stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 203.83 vpr 1.26 GiB 54 659 0 40 0 1 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1324232 2 52 16673 16662 2 12066 754 32 24 1536 M9K auto 1066.4 MiB 53.90 155566 261434 69464 171581 20389 1293.2 MiB 20.41 0.23 5.61272 -16670 -4.61272 4.76097 0.02 0.058552 0.0457308 5.08816 4.03513 232798 19.3001 64048 5.30990 55982 170058 137168149 27075994 0 0 3.14199e+07 20455.7 17 483264 5705245 -1 6.79545 5.55783 -24965 -5.79545 0 0 12.04 -1 -1 1293.2 MiB 38.89 8.66706 7.15564 1293.2 MiB -1 59.00 -3d_SB_inter_die_stratixiv_arch.timing.xml SURF_desc_stratixiv_arch_timing.blif common 605.13 vpr 1.77 GiB 445 2151 19 52 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1857416 131 314 57881 45152 1 32955 2667 49 36 3528 DSP auto 1362.9 MiB 121.73 289015 2017750 707990 1212909 96851 1716.4 MiB 185.57 1.75 193.424 -63656.5 -192.424 193.424 0.05 0.181205 0.144741 21.1471 16.9918 454441 13.8208 122774 3.73389 118181 360293 262822432 52549899 0 0 7.26079e+07 20580.5 21 1120110 13214470 -1 194.404 194.404 -85184.9 -193.404 0 0 27.34 -1 -1 1734.0 MiB 79.07 32.7617 27.124 1716.4 MiB -1 156.20 -3d_SB_inter_die_stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 99.58 vpr 1.19 GiB 42 752 0 0 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1244496 13 29 26295 20086 1 12509 794 29 21 1218 LAB auto 1062.7 MiB 15.63 61236 243344 47038 181714 14592 1215.3 MiB 10.40 0.15 5.00346 -4267.82 -4.00346 2.38962 0.02 0.0231436 0.0188542 1.7862 1.47025 95550 7.63972 32943 2.63397 28913 41935 41958000 8761950 0 0 2.48366e+07 20391.3 15 382818 4502703 -1 5.19398 2.70192 -5808.39 -4.19397 0 0 9.42 -1 -1 1215.3 MiB 11.07 3.16143 2.70178 1215.3 MiB -1 33.35 -3d_SB_inter_die_stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 909.95 vpr 2.27 GiB 964 977 19 34 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 2381096 542 422 37277 26038 1 20599 1994 78 58 9048 io auto 1149.6 MiB 67.08 196066 1482304 530853 872654 78797 2325.3 MiB 124.70 1.43 7.36978 -33273.5 -6.36978 7.36978 0.13 0.0925632 0.0749752 12.0148 9.72001 324180 15.7399 87235 4.23553 91508 211619 398061242 137241372 0 0 1.86852e+08 20651.1 51 2837414 34147767 -1 8.24329 7.76567 -42592.4 -7.24329 0 0 66.15 -1 -1 2325.3 MiB 174.89 22.8404 19.2662 2325.3 MiB -1 437.08 -3d_SB_inter_die_stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 828.65 vpr 2.52 GiB 1107 729 0 0 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 2643872 403 704 15490 16194 1 8574 1836 88 65 11440 io auto 1060.4 MiB 54.12 128760 1372872 549171 784185 39516 2581.9 MiB 33.58 0.32 10.7454 -16424.4 -9.74539 5.1543 0.17 0.0471481 0.041409 6.67367 5.49523 170198 19.8528 38778 4.52327 24095 94572 111221252 37508416 0 0 2.36204e+08 20647.2 15 3590540 43137666 -1 12.3144 5.56074 -24951.7 -11.3144 0 0 82.34 -1 -1 2581.9 MiB 53.56 9.31536 7.88036 2581.9 MiB -1 555.23 -3d_SB_inter_die_stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 160.17 vpr 1.18 GiB 35 730 0 6 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1239888 18 17 16969 16357 1 6277 771 28 21 1176 LAB auto 1057.4 MiB 68.96 72908 258753 67776 184461 6516 1210.8 MiB 13.14 0.19 7.51407 -40222.8 -6.51407 7.51407 0.02 0.031379 0.0262939 2.92773 2.28009 115032 18.3376 32494 5.17998 21478 112529 39563291 7329073 0 0 2.39639e+07 20377.5 45 369794 4343188 -1 8.81565 8.81565 -49666.3 -7.81565 0 0 9.04 -1 -1 1210.8 MiB 13.32 6.56727 5.39667 1210.8 MiB -1 35.56 -3d_SB_inter_die_stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 29.27 vpr 993.75 MiB 35 73 0 8 0 0 success v8.0.0-11651-ge18409e-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-142-generic x86_64 2024-10-31T16:58:00 agent-3 /home/mohagh18/vtr-verilog-to-routing/vtr_flow/tasks 1017596 18 17 2291 2142 1 1500 116 13 10 260 LAB auto 953.5 MiB 7.20 9940 8576 1032 6562 982 993.7 MiB 0.50 0.01 5.31743 -3552.51 -4.31743 4.26751 0.00 0.00438277 0.00337059 0.190292 0.160703 16521 11.0361 5707 3.81229 4108 9384 4537270 913138 0 0 4.97530e+06 19135.8 34 75766 878809 -1 5.39567 4.83189 -4585.23 -4.39567 0 0 2.12 -1 -1 993.7 MiB 1.43 0.596507 0.52271 993.7 MiB -1 2.56 +3d_SB_inter_die_stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 536.55 vpr 1.66 GiB 274 1048 36 59 0 2 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1736116 22 252 53001 29054 7 22984 1419 54 40 4320 DSP auto 1203.7 MiB 75.33 195509 902029 259905 557804 84320 1695.4 MiB 84.98 0.74 7.68567 -37648.9 -6.68567 3.28584 0.07 0.153024 0.133083 18.1163 15.7769 347393 15.1356 91110 3.96959 68562 144013 571183324 243523602 0 0 8.89497e+07 20590.2 16 1365594 16211305 -1 7.79531 3.22903 -48240.7 -6.79531 0 0 29.52 -1 -1 1695.4 MiB 297.68 25.2358 22.2605 1695.4 MiB -1 24.01 +3d_SB_inter_die_stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 315.33 vpr 1.50 GiB 36 1585 10 10 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1577588 3 33 48977 39238 1 26095 1641 40 30 2400 LAB auto 1223.4 MiB 101.58 259626 940116 279572 621693 38851 1444.2 MiB 104.57 1.20 82.1047 -56021.6 -81.1047 82.1047 0.03 0.137922 0.120416 14.543 12.1914 423885 16.2464 113939 4.36698 96228 253395 185059095 38347475 0 0 4.91306e+07 20471.1 24 758110 8921656 -1 72.5235 72.5235 -115556 -71.5235 0 0 16.27 -1 -1 1486.1 MiB 54.76 23.4199 19.9723 1444.2 MiB -1 12.10 +3d_SB_inter_die_stratixiv_arch.timing.xml CHERI_stratixiv_arch_timing.blif common 679.10 vpr 1.96 GiB 211 2277 3 210 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2051156 38 173 62892 59064 3 35370 2701 60 44 5280 M9K auto 1407.4 MiB 202.36 543821 1995713 704501 1236982 54230 1927.9 MiB 222.49 2.01 11.2639 -298285 -10.2639 7.34492 0.09 0.259679 0.207609 28.5947 23.0535 806716 22.8124 200624 5.67327 143147 517766 456418331 103863687 0 0 1.08858e+08 20617.0 18 1675578 19868374 -1 13.9133 7.76735 -393376 -12.9133 0 0 36.17 -1 -1 1927.9 MiB 150.24 41.7265 34.527 1927.9 MiB -1 29.72 +3d_SB_inter_die_stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 1027.30 vpr 1.92 GiB 574 2786 16 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2017832 4 570 66175 54803 2 39221 3376 51 38 3876 LAB auto 1446.1 MiB 179.29 547789 2661451 958041 1608713 94697 1789.9 MiB 260.36 2.34 27.0929 -106609 -26.0929 5.35183 0.06 0.27456 0.228129 29.5628 24.7422 829210 21.1447 207429 5.28940 184705 723383 1302091086 364260887 0 0 7.97022e+07 20563.0 18 1225854 14507865 -1 30.5677 6.54028 -121409 -29.5677 0 0 25.79 -1 -1 1868.9 MiB 503.91 43.0657 36.7123 1789.9 MiB -1 20.41 +3d_SB_inter_die_stratixiv_arch.timing.xml fir_cascade_stratixiv_arch_timing.blif common 925.95 vpr 4.62 GiB 40 3697 172 1 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 4842080 19 21 171111 96274 1 69059 3910 129 96 24768 DSP auto 1880.6 MiB 147.82 619747 3698710 1495912 2184534 18264 4728.6 MiB 198.02 2.37 6.78648 -99082.3 -5.78648 3.44108 0.45 0.48725 0.430185 61.9462 54.5962 814845 11.7998 205031 2.96905 140099 173436 458459419 150453276 0 0 5.14406e+08 20769.0 14 7758968 93673935 -1 6.45787 3.53717 -145075 -5.45787 0 0 172.18 -1 -1 4728.6 MiB 193.32 83.1106 74.2663 4728.6 MiB -1 156.75 +3d_SB_inter_die_stratixiv_arch.timing.xml jacobi_stratixiv_arch_timing.blif common 409.69 vpr 1.63 GiB 536 1955 7 4 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1712060 227 309 49176 40422 1 28301 2502 47 35 3290 io auto 1276.6 MiB 131.22 276554 1921016 711963 1138812 70241 1598.4 MiB 145.73 1.42 195.512 -113504 -194.512 195.512 0.05 0.160338 0.134313 19.3452 16.1804 416656 14.7244 107078 3.78408 104021 334271 218912879 40948386 0 0 6.75216e+07 20523.3 20 1033138 12274942 -1 198.006 198.006 -143215 -197.006 0 0 22.05 -1 -1 1607.4 MiB 63.92 28.3971 24.123 1598.4 MiB -1 16.81 +3d_SB_inter_die_stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 443.45 vpr 1.73 GiB 36 1393 8 149 2 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1814380 3 33 52402 39411 1 26961 1588 57 42 4788 M9K auto 1243.5 MiB 110.53 271211 807295 220659 561442 25194 1771.9 MiB 87.02 0.90 15.8645 -293903 -14.8645 15.8645 0.07 0.137468 0.119486 13.9778 11.464 446897 16.5806 122453 4.54320 84683 217412 421161484 116638965 0 0 9.85096e+07 20574.3 19 1507654 17957159 -1 17.551 17.551 -341289 -16.551 0 0 33.12 -1 -1 1771.9 MiB 157.72 22.3148 18.7943 1771.9 MiB -1 26.51 +3d_SB_inter_die_stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 141.26 vpr 1.24 GiB 251 955 1 17 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1296500 55 196 20131 19956 1 8273 1224 32 24 1536 LAB auto 1088.2 MiB 59.31 113956 557464 166863 359124 31477 1246.8 MiB 24.59 0.29 7.08326 -66257.5 -6.08326 7.08326 0.02 0.055314 0.0441799 5.26138 4.20946 182605 22.0804 50112 6.05949 29285 119606 66293828 12593582 0 0 3.14199e+07 20455.7 15 483264 5705245 -1 8.63522 8.63522 -90099.9 -7.63522 0 0 10.47 -1 -1 1246.8 MiB 20.00 8.06464 6.66092 1246.8 MiB -1 7.35 +3d_SB_inter_die_stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 368.03 vpr 1.54 GiB 255 2122 1 28 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1613076 84 171 36458 36247 3 20327 2406 45 33 2970 LAB auto 1229.2 MiB 152.28 248422 1517216 526978 905843 84395 1523.2 MiB 71.70 0.64 10.4991 -73576.7 -9.49906 4.26563 0.04 0.123293 0.0990031 12.7883 10.3856 402144 19.7974 109429 5.38714 60309 208858 232045575 52278765 0 0 6.09438e+07 20519.8 18 935204 11078823 -1 12.8865 4.78217 -92799.1 -11.8865 0 0 20.13 -1 -1 1523.2 MiB 81.81 19.2634 16.033 1523.2 MiB -1 15.82 +3d_SB_inter_die_stratixiv_arch.timing.xml MCML_stratixiv_arch_timing.blif common 464.20 vpr 2.35 GiB 69 2192 10 295 16 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2461196 36 33 57796 49182 1 19758 2582 79 59 9322 M144K auto 1354.2 MiB 116.23 224613 2251244 886249 1326045 38950 2403.5 MiB 95.91 0.83 9.49151 -92147.5 -8.49151 9.49151 0.14 0.154631 0.123533 20.7936 16.7804 397126 20.1046 99400 5.03215 58343 180947 261415498 79896454 0 0 1.92002e+08 20596.6 16 2917968 35039980 -1 8.83504 8.83504 -160829 -7.83504 0 0 63.50 -1 -1 2403.5 MiB 101.27 28.5358 23.7007 2403.5 MiB -1 55.56 +3d_SB_inter_die_stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 411.57 vpr 2.07 GiB 478 1233 1 300 4 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2167564 202 276 35125 30509 3 21219 2016 73 54 7884 M9K auto 1185.7 MiB 93.64 225707 1580536 560957 948186 71393 2116.8 MiB 74.70 0.59 9.27529 -27528.6 -8.27529 3.13114 0.14 0.130328 0.10251 17.2693 13.6101 391848 18.4721 94302 4.44548 55624 156547 339484601 88142541 0 0 1.62738e+08 20641.5 16 2479452 29744051 -1 9.56018 3.68158 -50102.5 -8.56018 0 0 53.61 -1 -1 2116.8 MiB 117.38 23.7611 19.3642 2116.8 MiB -1 45.57 +3d_SB_inter_die_stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 144.75 vpr 1.44 GiB 5 333 31 105 0 2 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1510544 3 2 14862 10304 26 7583 476 49 36 3528 DSP auto 1035.3 MiB 46.50 89280 149036 37755 99315 11966 1475.1 MiB 9.68 0.11 5.67702 -19268.5 -4.67702 3.75675 0.06 0.0566391 0.0498904 5.10516 4.33648 154058 20.3861 37061 4.90419 20488 44948 78925296 21606068 0 0 7.26079e+07 20580.5 14 1120110 13214470 -1 5.90336 3.89257 -40281.1 -4.90336 0 0 23.32 -1 -1 1475.1 MiB 26.78 7.88124 6.86256 1475.1 MiB -1 16.54 +3d_SB_inter_die_stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 359.04 vpr 1.78 GiB 693 1797 25 16 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1871392 35 658 51416 37539 1 27427 2531 58 43 4988 io auto 1280.5 MiB 91.15 211809 2071451 692754 1236953 141744 1827.5 MiB 125.31 1.09 40.9771 -61336.3 -39.9771 40.9771 0.07 0.171234 0.145898 21.8018 18.6515 342831 13.2280 87296 3.36829 76962 231011 136781567 33406286 0 0 1.02587e+08 20566.7 22 1568252 18700371 -1 39.0683 39.0683 -66985.6 -38.0683 0 0 34.25 -1 -1 1827.5 MiB 47.65 31.738 27.5672 1827.5 MiB -1 27.89 +3d_SB_inter_die_stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 229.59 vpr 1.79 GiB 753 1113 5 32 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1876484 13 740 25173 25306 1 12716 1903 63 47 5922 io auto 1132.6 MiB 66.93 129881 1180703 418671 705083 56949 1832.5 MiB 43.61 0.38 9.05339 -27832.3 -8.05339 9.05339 0.11 0.0813991 0.0719295 9.16919 7.64919 190989 15.0279 45936 3.61445 31437 116923 44250090 9507104 0 0 1.22008e+08 20602.6 13 1871156 22275272 -1 9.68529 8.62738 -38151.5 -8.68529 0 0 40.96 -1 -1 1832.5 MiB 16.73 13.1394 11.2088 1832.5 MiB -1 34.19 +3d_SB_inter_die_stratixiv_arch.timing.xml smithwaterman_stratixiv_arch_timing.blif common 497.04 vpr 1.71 GiB 117 2338 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1795972 79 38 66795 54922 1 35698 2455 47 35 3290 LAB auto 1330.1 MiB 155.39 246824 1707517 542100 1124665 40752 1627.5 MiB 155.77 1.28 9.48565 -168709 -8.48565 9.48565 0.05 0.168989 0.135904 19.3486 15.5394 380234 10.6523 107944 3.02407 107615 274456 330281609 74151890 0 0 6.75216e+07 20523.3 18 1033138 12274942 -1 10.455 10.455 -199956 -9.45504 0 0 22.28 -1 -1 1670.4 MiB 111.91 28.4097 23.3845 1627.5 MiB -1 17.60 +3d_SB_inter_die_stratixiv_arch.timing.xml stap_steering_stratixiv_arch_timing.blif common 327.67 vpr 1.66 GiB 213 1565 26 4 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1742392 139 74 57121 41054 1 24001 1808 49 36 3528 DSP auto 1289.0 MiB 84.58 151125 1190888 390352 769055 31481 1646.6 MiB 73.69 0.67 5.16253 -15574.5 -4.16253 4.57572 0.06 0.14295 0.12611 17.3522 14.6159 255867 10.6625 71175 2.96600 55302 103707 208615694 72938344 0 0 7.26079e+07 20580.5 15 1120110 13214470 -1 5.91719 5.91719 -30815.6 -4.91719 0 0 23.62 -1 -1 1646.6 MiB 95.34 24.5543 21.0784 1646.6 MiB -1 19.49 +3d_SB_inter_die_stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 139.09 vpr 1.23 GiB 54 665 0 40 0 1 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1291272 2 52 16673 16662 2 12027 760 32 24 1536 M9K auto 1066.0 MiB 49.80 156611 278120 76189 183016 18915 1261.0 MiB 18.22 0.20 5.5971 -16655.2 -4.5971 4.5816 0.03 0.0587051 0.0472882 5.12731 4.2098 232871 19.3688 64465 5.36181 56972 170063 109738115 20757776 0 0 3.14199e+07 20455.7 17 483264 5705245 -1 6.60942 5.3536 -26554.6 -5.60942 0 0 10.35 -1 -1 1261.0 MiB 31.51 8.7766 7.46125 1261.0 MiB -1 6.22 +3d_SB_inter_die_stratixiv_arch.timing.xml SURF_desc_stratixiv_arch_timing.blif common 468.75 vpr 1.78 GiB 445 2156 19 52 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1866664 131 314 57881 45152 1 32833 2672 49 36 3528 DSP auto 1361.4 MiB 115.86 298766 2022878 721872 1209208 91798 1694.5 MiB 174.52 1.53 192.881 -65340.9 -191.881 192.881 0.06 0.202016 0.181173 25.4109 21.5614 461781 14.0963 121424 3.70658 122316 378159 341015575 70726261 0 0 7.26079e+07 20580.5 20 1120110 13214470 -1 198.296 198.296 -88522.8 -197.296 0 0 24.15 -1 -1 1743.6 MiB 98.43 37.004 31.8471 1694.5 MiB -1 19.45 +3d_SB_inter_die_stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 66.67 vpr 1.18 GiB 42 758 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1237180 13 29 26295 20086 1 12439 800 29 21 1218 LAB auto 1063.0 MiB 15.45 60926 230944 41654 173553 15737 1197.6 MiB 9.59 0.15 4.84629 -4259.39 -3.84629 2.46064 0.02 0.0310798 0.0251181 2.06236 1.71947 97856 7.86814 33858 2.72236 28683 39681 30714017 6195766 0 0 2.48366e+07 20391.3 17 382818 4502703 -1 5.05177 2.69405 -5674.91 -4.05177 0 0 8.19 -1 -1 1197.6 MiB 8.55 3.63438 3.14676 1197.6 MiB -1 4.10 +3d_SB_inter_die_stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 544.98 vpr 2.19 GiB 964 1119 19 34 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2300396 542 422 37277 26038 1 20403 2136 78 58 9048 io auto 1150.1 MiB 76.17 219742 1555886 562141 922870 70875 2246.5 MiB 103.91 1.05 7.36593 -33948.8 -6.36593 7.36593 0.16 0.106572 0.0952071 13.4562 11.2916 354299 17.3676 93322 4.57461 68078 159956 457518312 185590185 0 0 1.86852e+08 20651.1 17 2837414 34147767 -1 8.09599 7.40175 -42456.2 -7.09599 0 0 61.24 -1 -1 2246.5 MiB 222.11 18.7938 16.1322 2246.5 MiB -1 52.95 +3d_SB_inter_die_stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 302.19 vpr 2.43 GiB 1107 725 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 2550728 403 704 15490 16194 1 8534 1832 88 65 11440 io auto 1060.8 MiB 47.82 130160 1380047 560321 782548 37178 2490.9 MiB 35.48 0.32 10.5854 -18606.9 -9.58537 4.72024 0.18 0.0600238 0.0497498 7.88269 6.58458 172969 20.2706 38383 4.49818 23174 90029 105109403 34944955 0 0 2.36204e+08 20647.2 15 3590540 43137666 -1 11.6218 5.22111 -24845.6 -10.6218 0 0 75.93 -1 -1 2490.9 MiB 48.99 10.7341 9.14711 2490.9 MiB -1 68.06 +3d_SB_inter_die_stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 124.32 vpr 1.17 GiB 35 739 0 6 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1226084 18 17 16969 16357 1 6288 780 28 21 1176 LAB auto 1058.1 MiB 67.46 76143 241243 58815 176856 5572 1192.4 MiB 11.31 0.19 7.29112 -42594.5 -6.29112 7.29112 0.02 0.0414227 0.0316342 2.95997 2.38508 119636 19.0382 33085 5.26496 19940 91849 40304260 7468528 0 0 2.39639e+07 20377.5 15 369794 4343188 -1 7.77921 7.77921 -50567.3 -6.77921 0 0 7.94 -1 -1 1192.4 MiB 12.02 5.00754 4.21584 1192.4 MiB -1 4.51 +3d_SB_inter_die_stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 27.66 vpr 993.31 MiB 35 78 0 8 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 1017148 18 17 2291 2142 1 1448 121 13 10 260 LAB auto 954.6 MiB 6.88 10156 8194 784 6524 886 993.3 MiB 0.47 0.01 5.3048 -3531.01 -4.3048 4.2956 0.00 0.00511192 0.00432104 0.195587 0.167728 15985 11.0623 5210 3.60554 3642 8882 4445146 900786 0 0 4.97530e+06 19135.8 9 75766 878809 -1 5.28906 4.84614 -4691.92 -4.28906 0 0 1.76 -1 -1 993.3 MiB 1.25 0.451107 0.405755 993.3 MiB -1 0.36 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/titan_other_run_flat/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/titan_other_run_flat/config/golden_results.txt index a425bc681fa..718952957d1 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/titan_other_run_flat/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_nightly_test7/titan_other_run_flat/config/golden_results.txt @@ -1,17 +1,17 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time -stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 428.95 vpr 5.69 GiB 274 985 36 59 0 2 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 5971508 22 252 53001 29054 7 24677 1356 89 66 5874 DSP auto 1467.0 MiB 29.42 248371 954110 285143 562881 106086 2057.9 MiB 74.97 0.48 8.02184 -42397.1 -7.02184 3.20007 0.04 0.161633 0.140714 21.3998 18.8902 338955 6.39912 75485 1.42508 121441 289695 240594743 33482528 0 0 1.48105e+08 25213.7 39 3159283 31787115 49857 7.80104 2.93519 -40292.1 -6.80104 0 0 39.35 35.55 25.88 5831.3 MiB 166.75 33.3779 29.4514 2057.9 MiB 63.99 86.71 -stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 401.08 vpr 5.30 GiB 36 1571 10 10 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 5555168 3 33 48977 39238 1 26076 1627 54 40 2160 LAB auto 1487.4 MiB 85.08 281537 996387 310061 662006 24320 1647.3 MiB 84.09 0.88 87.0532 -85683.9 -86.0532 87.0532 0.01 0.151493 0.124576 16.6171 13.9393 385197 7.86550 91415 1.86664 153329 523861 226612296 21114418 0 0 5.45450e+07 25252.3 30 2487515 26398364 64837 71.1883 71.1883 -141728 -70.1883 0 0 13.44 30.23 21.58 5424.9 MiB 159.09 25.8834 21.5141 1647.3 MiB 63.84 27.53 -stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 815.75 vpr 5.98 GiB 574 2798 16 0 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 6267952 4 570 66175 54803 2 39284 3388 91 67 6097 io auto 1706.5 MiB 160.61 638374 2775803 1006957 1681672 87174 2241.8 MiB 163.90 1.29 30.5835 -120026 -29.5835 6.7874 0.04 0.263073 0.221418 30.5747 25.8293 932098 14.0864 206865 3.12627 313209 1690318 954117063 123613138 0 0 1.53690e+08 25207.4 27 4525410 53890031 131493 29.7513 6.85838 -125627 -28.7513 0 0 33.31 54.47 38.26 6120.8 MiB 327.83 43.1256 36.2726 2241.8 MiB 62.26 88.69 -stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 434.21 vpr 5.92 GiB 36 1347 8 149 2 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 6203740 3 33 52402 39411 1 28031 1542 73 54 3942 M9K auto 1506.8 MiB 95.28 323184 838612 237748 580980 19884 1853.6 MiB 66.53 0.68 17.7952 -334079 -16.7952 17.7952 0.03 0.154745 0.126064 15.9138 12.957 406168 7.75219 92691 1.76911 132469 475520 169127598 17802510 0 0 9.96430e+07 25277.3 38 3040453 30196243 80077 17.4909 17.4909 -335232 -16.4909 0 0 22.40 42.41 31.76 6058.3 MiB 168.17 27.0613 22.1224 1853.6 MiB 67.97 48.05 -stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 223.68 vpr 4.84 GiB 251 959 1 17 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 5078096 55 196 20131 19956 1 8238 1228 44 33 1452 io auto 1355.0 MiB 51.43 120172 619090 201214 397879 19997 1469.9 MiB 15.63 0.17 8.13439 -80298.9 -7.13439 8.13439 0.01 0.0497327 0.0426725 5.13046 4.11514 171217 8.50641 39945 1.98455 53315 231814 61625526 5048493 0 0 3.65488e+07 25171.3 31 1360323 16115684 53903 8.22633 8.22633 -82640.4 -7.22633 0 0 10.79 18.71 13.33 4958.8 MiB 105.23 8.36643 6.63879 1463.0 MiB 66.70 15.62 -stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 431.82 vpr 5.47 GiB 255 2119 1 28 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 5732792 84 171 36458 36247 3 20421 2403 62 46 2852 LAB auto 1495.6 MiB 121.86 290701 1595115 570423 937667 87025 1731.5 MiB 59.06 0.88 12.9798 -90874.5 -11.9798 4.66054 0.02 0.17766 0.134007 15.0676 12.1411 404601 11.1020 89060 2.44375 122881 589071 155442818 13359079 0 0 7.20371e+07 25258.4 18 2648236 32071807 68561 13.0244 4.46105 -93007.9 -12.0244 0 0 20.45 38.56 26.05 5598.4 MiB 152.24 20.3374 16.3586 1731.5 MiB 67.96 44.69 -stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 539.67 vpr 6.16 GiB 478 1247 1 300 4 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 6457104 202 276 35125 30509 3 21749 2030 106 79 8374 M9K auto 1451.1 MiB 75.73 260809 1697966 584525 1053901 59540 2326.5 MiB 69.69 0.42 9.41173 -47933.7 -8.41173 3.39967 0.05 0.134641 0.105417 19.7532 15.4741 313986 8.94063 65931 1.87736 96858 402480 121760850 21350695 0 0 2.11299e+08 25232.8 22 3960251 43027550 63662 8.19797 3.68858 -71562.1 -7.19797 0 0 54.52 55.09 40.21 6305.8 MiB 161.98 25.3227 19.9869 2326.5 MiB 66.34 144.43 -stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 321.41 vpr 5.38 GiB 5 331 31 105 0 2 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 5636340 3 2 14862 10304 26 7580 474 89 66 5874 DSP auto 1300.6 MiB 52.12 119481 175939 46938 124613 4388 1941.1 MiB 10.79 0.10 5.88056 -30914.8 -4.88056 4.13266 0.03 0.0612334 0.0539792 6.49277 5.46245 146943 9.90449 29299 1.97486 42949 181573 51132764 5390460 0 0 1.48105e+08 25213.7 14 2293428 23912154 38101 4.50499 3.70819 -37430.5 -3.50499 0 0 39.27 24.81 17.74 5504.2 MiB 114.27 8.41206 7.05794 1941.1 MiB 73.15 80.30 -stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 604.42 vpr 5.88 GiB 693 1782 25 16 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 6163500 35 658 51416 37539 1 27489 2516 108 80 8640 io auto 1545.4 MiB 78.23 235688 2328796 788065 1390229 150502 2425.2 MiB 108.94 0.73 41.8548 -65336.7 -40.8548 41.8548 0.05 0.182563 0.166931 27.3116 23.6666 331843 6.64936 78001 1.56296 165823 675315 288495127 31315475 0 0 2.18145e+08 25248.3 25 4406446 50088530 83951 38.7687 38.7687 -62631.6 -37.7687 0 0 56.64 43.29 29.65 6019.0 MiB 179.17 35.858 30.9207 2425.2 MiB 66.65 145.87 -stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 490.19 vpr 5.49 GiB 753 1117 5 32 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 5761892 13 740 25173 25306 1 12677 1907 117 87 10179 io auto 1397.7 MiB 56.69 158532 1337680 499407 780615 57658 2495.4 MiB 38.42 0.23 9.04712 -33408.1 -8.04712 8.7062 0.06 0.0593076 0.0526541 10.3875 8.4919 194524 7.72964 42258 1.67917 67248 314264 80325919 6195706 0 0 2.57091e+08 25257.0 16 4145714 46103256 60712 9.44565 8.02164 -41382.5 -8.44565 0 0 57.13 29.02 17.50 5626.8 MiB 125.09 13.501 10.9774 2495.4 MiB 68.37 185.29 -stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 207.70 vpr 5.16 GiB 54 661 0 40 0 1 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 5413568 2 52 16673 16662 2 12103 756 37 27 999 LAB auto 1332.8 MiB 39.94 182960 252022 64860 179647 7515 1435.5 MiB 11.93 0.15 6.11901 -21401.8 -5.11901 5.08095 0.01 0.0565371 0.0449556 4.69769 3.76454 240240 14.4124 55528 3.33121 73264 390943 149921058 16354331 0 0 2.50432e+07 25068.2 20 1108499 11576081 35204 6.84174 5.56247 -26781.1 -5.84174 0 0 7.65 15.28 11.31 5286.7 MiB 116.23 7.63028 6.11936 1392.9 MiB 61.87 7.85 -stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 145.06 vpr 4.71 GiB 42 752 0 0 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 4934812 13 29 26295 20086 1 12536 794 39 29 1131 LAB auto 1329.3 MiB 12.27 76015 258044 53765 191160 13119 1421.9 MiB 8.56 0.11 4.99496 -5275.28 -3.99496 2.88115 0.01 0.0346645 0.0283209 2.62579 2.18191 86766 3.29997 21050 0.800593 54834 71341 33867829 3195419 0 0 2.84345e+07 25141.0 17 1246323 12347232 14132 3.54641 2.89399 -5805.4 -2.54641 0 0 8.60 11.69 7.86 4819.2 MiB 83.22 3.9986 3.31593 1386.6 MiB 62.52 10.13 -stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 915.69 vpr 5.93 GiB 964 976 19 34 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 6215724 542 422 37277 26038 1 20609 1993 147 109 16023 io auto 1415.0 MiB 64.39 248974 1619266 607103 947945 64218 3187.8 MiB 75.54 0.68 8.38795 -43141.1 -7.38795 8.38795 0.09 0.115964 0.0986763 16.0502 13.7598 328246 8.80630 70845 1.90065 110233 335979 524318827 154462291 0 0 4.05153e+08 25285.7 64 5882994 65429817 45007 8.03999 7.98626 -51092.4 -7.03999 0 0 103.28 39.29 23.30 6070.0 MiB 349.45 29.8141 25.6449 3187.8 MiB 59.96 287.52 -stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 748.11 vpr 5.79 GiB 1107 719 0 0 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 6066732 403 704 15490 16194 1 8578 1826 167 124 20708 io auto 1326.2 MiB 59.68 191872 1351702 518260 795694 37748 3664.4 MiB 22.00 0.18 12.747 -23348.6 -11.747 5.87647 0.22 0.055378 0.0492417 7.51842 6.33745 234362 15.1309 39654 2.56014 41635 226559 55203082 4251380 0 0 5.23921e+08 25300.4 18 6720982 74598209 36896 11.745 5.81823 -32706.7 -10.745 0 0 136.86 30.11 13.54 5924.5 MiB 113.58 9.62012 8.10804 3664.4 MiB 61.24 384.70 -stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 199.44 vpr 4.72 GiB 35 731 0 6 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 4951472 18 17 16969 16357 1 6316 772 39 29 1131 LAB auto 1324.1 MiB 53.52 86613 255652 66755 185847 3050 1424.2 MiB 8.79 0.13 7.13037 -40670.7 -6.13037 7.13037 0.01 0.0390029 0.0309978 3.15152 2.51856 121385 7.15503 28025 1.65193 47865 258456 72672688 6504539 0 0 2.84345e+07 25141.0 37 1091666 12266607 43440 7.12455 7.12455 -43536.9 -6.12455 0 0 8.32 12.62 8.86 4835.4 MiB 93.40 6.0413 4.8334 1380.2 MiB 61.27 11.59 -stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 94.11 vpr 4.39 GiB 35 78 0 8 0 0 success v8.0.0-11333-g6a44da44e release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-09-18T20:37:10 betzgrp-wintermute.eecg.utoronto.ca /home/singera8/vtr-verilog-to-routing/vtr_flow/tasks 4605040 18 17 2291 2142 1 1502 121 16 12 192 LAB M9K auto 1221.1 MiB 5.74 10236 9390 1004 7372 1014 1290.4 MiB 0.82 0.01 5.31114 -4105.51 -4.31114 4.53509 0.00 0.00620249 0.00535395 0.259352 0.226533 13262 5.79633 3436 1.50175 7580 28332 7737888 644646 0 0 4.72128e+06 24590.0 42 153746 1493427 4612 4.44499 4.44499 -3952.72 -3.44499 0 0 1.88 2.19 1.68 4497.1 MiB 65.56 0.783495 0.672064 1259.5 MiB 60.88 0.58 +stratixiv_arch.timing.xml carpat_stratixiv_arch_timing.blif common 430.27 vpr 7.22 GiB 274 1048 36 59 0 2 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 7572608 22 252 53001 29054 7 22984 1419 89 66 5874 DSP auto 2999.7 MiB 77.55 248316 1021579 298715 629192 93672 3552.4 MiB 75.43 0.60 7.79847 -44076.4 -6.79847 3.16357 0.04 0.145495 0.126331 19.1685 16.7404 337990 6.38090 73939 1.39589 113486 262947 123939600 20677912 0 0 1.48102e+08 25213.2 18 3168173 32237029 53333 8.13811 2.93957 -42084.8 -7.13811 0 0 30.24 37.78 22.78 7394.9 MiB 150.02 24.6012 21.633 3552.4 MiB 61.30 11.40 +stratixiv_arch.timing.xml CH_DFSIN_stratixiv_arch_timing.blif common 423.49 vpr 6.82 GiB 36 1585 10 10 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 7148500 3 33 48977 39238 1 26095 1641 54 40 2160 LAB auto 3019.2 MiB 103.15 286068 978816 295772 657268 25776 3171.0 MiB 80.85 0.83 87.9237 -89444.7 -86.9237 87.9237 0.02 0.133548 0.111652 14.7315 12.3725 377246 7.70314 89630 1.83019 136470 456181 107134305 13321542 0 0 5.45421e+07 25251.0 24 2489089 26482784 65639 72.0152 72.0152 -145316 -71.0152 0 0 10.89 30.08 16.61 6981.0 MiB 142.54 20.844 17.5112 3143.2 MiB 62.59 3.96 +stratixiv_arch.timing.xml EKF-SLAM_Jacobians_stratixiv_arch_timing.blif common 711.87 vpr 7.51 GiB 574 2786 16 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 7875556 4 570 66175 54803 2 39221 3376 91 67 6097 io auto 3239.4 MiB 185.13 637050 2737396 996225 1655508 85663 3733.5 MiB 161.80 1.29 31.0835 -120493 -30.0835 7.14678 0.06 0.211633 0.187447 26.5121 22.2855 899864 13.5993 200525 3.03045 250269 1330369 403832098 58432790 0 0 1.53687e+08 25207.0 23 4527063 53934418 131001 31.4743 7.04434 -123107 -30.4743 0 0 31.82 48.91 25.68 7690.6 MiB 229.13 35.3927 29.9033 3733.5 MiB 54.44 12.02 +stratixiv_arch.timing.xml JPEG_stratixiv_arch_timing.blif common 490.17 vpr 7.44 GiB 36 1393 8 149 2 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 7802588 3 33 52402 39411 1 26961 1588 73 54 3942 M9K auto 3038.9 MiB 115.22 308817 862861 247827 593176 21858 3344.5 MiB 70.28 0.75 18.2872 -344515 -17.2872 18.2872 0.04 0.144193 0.116967 14.4383 11.7329 388387 7.41281 89501 1.70823 127828 482181 86633732 10962711 0 0 9.96402e+07 25276.6 23 3049699 30612935 82279 18.1508 18.1508 -339276 -17.1508 0 0 19.96 46.42 29.95 7619.5 MiB 155.40 20.4894 16.7826 3344.5 MiB 62.62 7.54 +stratixiv_arch.timing.xml leon2_stratixiv_arch_timing.blif common 264.87 vpr 6.35 GiB 251 955 1 17 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 6658268 55 196 20131 19956 1 8273 1224 44 33 1452 io auto 2885.6 MiB 59.05 121891 590184 190135 382049 18000 3003.9 MiB 21.76 0.23 8.00991 -79285.3 -7.00991 8.00991 0.01 0.0550621 0.0436789 5.54087 4.43725 168265 8.35975 39603 1.96756 52203 214680 35422307 3611930 0 0 3.65459e+07 25169.4 13 1361186 16140321 53661 8.2494 8.2494 -80319.6 -7.2494 0 0 7.63 18.91 10.40 6502.2 MiB 101.02 6.87899 5.53912 2972.4 MiB 65.45 3.08 +stratixiv_arch.timing.xml leon3mp_stratixiv_arch_timing.blif common 461.02 vpr 6.99 GiB 255 2122 1 28 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 7325496 84 171 36458 36247 3 20327 2406 62 46 2852 LAB auto 3026.4 MiB 149.19 282856 1613906 577988 956437 79481 3228.2 MiB 60.47 0.55 12.7635 -89890.6 -11.7635 4.81564 0.03 0.118349 0.0946709 13.1884 10.6839 385220 10.5702 85805 2.35443 120726 551439 87035965 8547461 0 0 7.20342e+07 25257.4 15 2649463 32096142 68009 12.7106 4.59591 -89170.4 -11.7106 0 0 14.69 35.95 19.46 7153.8 MiB 138.86 16.8557 13.6644 3228.2 MiB 62.61 5.90 +stratixiv_arch.timing.xml MMM_stratixiv_arch_timing.blif common 536.44 vpr 7.68 GiB 478 1233 1 300 4 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 8052732 202 276 35125 30509 3 21219 2016 106 79 8374 M9K auto 2979.7 MiB 92.45 275268 1593266 551386 986614 55266 3817.0 MiB 63.87 0.46 9.2665 -49067 -8.2665 3.57275 0.06 0.128588 0.0997349 17.2665 13.8123 330934 9.42322 69644 1.98309 96626 409213 76592480 17612840 0 0 2.11296e+08 25232.4 22 3960168 43100363 65824 7.92317 3.74791 -72552.8 -6.92317 0 0 43.69 57.06 35.19 7864.0 MiB 168.53 22.5205 18.1449 3817.0 MiB 63.34 20.57 +stratixiv_arch.timing.xml radar20_stratixiv_arch_timing.blif common 316.30 vpr 6.89 GiB 5 333 31 105 0 2 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 7222344 3 2 14862 10304 26 7583 476 89 66 5874 DSP auto 2832.3 MiB 45.71 124138 182462 49732 129080 3650 3434.9 MiB 11.57 0.11 5.88079 -31819.8 -4.88079 4.5134 0.05 0.0575387 0.0481419 6.23293 5.24991 151302 10.1983 29977 2.02056 41602 169090 28196463 3392334 0 0 1.48102e+08 25213.2 14 2293667 23918971 38105 5.43555 4.34297 -36900.7 -4.43555 0 0 31.66 30.81 19.91 7053.1 MiB 114.27 8.0475 6.8139 3434.9 MiB 67.70 13.08 +stratixiv_arch.timing.xml random_stratixiv_arch_timing.blif common 542.79 vpr 7.40 GiB 693 1797 25 16 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 7764172 35 658 51416 37539 1 27427 2531 108 80 8640 io auto 3075.8 MiB 93.41 241934 2243861 754419 1344439 145003 3917.1 MiB 110.58 0.77 41.8615 -66574.8 -40.8615 41.8615 0.09 0.160304 0.137258 23.4482 20.061 335308 6.71879 78438 1.57171 166276 681051 158387837 20955778 0 0 2.18142e+08 25247.9 25 4407721 50162159 84809 37.8945 37.8945 -63952.6 -36.8945 0 0 44.15 46.45 23.73 7582.2 MiB 178.57 31.4578 26.962 3917.1 MiB 63.71 20.46 +stratixiv_arch.timing.xml Reed_Solomon_stratixiv_arch_timing.blif common 387.65 vpr 7.01 GiB 753 1113 5 32 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 7354908 13 740 25173 25306 1 12716 1903 117 87 10179 io auto 2928.2 MiB 67.88 151917 1239643 452352 735278 52013 3981.2 MiB 35.57 0.31 9.32912 -33745.1 -8.32912 8.97758 0.07 0.0799309 0.0699705 9.04546 7.55642 187992 7.47008 41392 1.64476 64808 295441 45317315 4493718 0 0 2.57088e+08 25256.7 13 4146271 46119125 60560 9.51895 7.86886 -41989.5 -8.51895 0 0 53.18 36.15 16.47 7182.2 MiB 123.92 11.2875 9.41327 3981.2 MiB 65.19 23.64 +stratixiv_arch.timing.xml sudoku_check_stratixiv_arch_timing.blif common 286.07 vpr 6.67 GiB 54 665 0 40 0 1 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 6992700 2 52 16673 16662 2 12027 760 37 27 999 LAB auto 2863.8 MiB 48.35 185817 260785 68816 184545 7424 2969.0 MiB 17.52 0.22 6.43593 -22019.6 -5.43593 5.34219 0.01 0.0671365 0.0537497 5.45437 4.46867 243748 14.6228 55949 3.35647 70980 378754 80104321 9851562 0 0 2.50403e+07 25065.4 18 1109643 11618783 35248 6.97929 5.65113 -27162.3 -5.97929 0 0 5.11 17.57 11.32 6828.4 MiB 118.26 7.83981 6.43355 2903.7 MiB 66.65 1.88 +stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 191.95 vpr 6.21 GiB 42 758 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 6512136 13 29 26295 20086 1 12439 800 39 29 1131 LAB auto 2859.5 MiB 15.81 72155 253216 50624 190930 11662 2954.0 MiB 12.28 0.16 5.18599 -5515.92 -4.18599 2.85104 0.01 0.0356803 0.031132 2.85133 2.36966 82362 3.13247 19973 0.759632 54490 69977 15062795 1948535 0 0 2.84316e+07 25138.5 15 1246468 12354669 14284 3.84664 2.85129 -5700.97 -2.84664 0 0 5.78 12.08 6.10 6359.5 MiB 88.58 3.91742 3.26807 2899.6 MiB 66.17 2.25 +stratixiv_arch.timing.xml uoft_raytracer_stratixiv_arch_timing.blif common 545.23 vpr 7.47 GiB 964 1119 19 34 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 7832592 542 422 37277 26038 1 20403 2136 147 109 16023 io auto 2946.3 MiB 79.74 272838 1734636 659517 1007756 67363 4655.4 MiB 94.94 0.83 8.43041 -42423.1 -7.43041 8.08995 0.12 0.108337 0.0956704 15.1499 12.7644 351764 9.43725 76208 2.04454 89867 266535 93690673 14464239 0 0 4.05150e+08 25285.5 17 5915256 66794449 49681 8.39022 7.49893 -50294.9 -7.39022 0 0 83.08 50.78 21.66 7649.0 MiB 158.81 18.8518 16.0306 4655.4 MiB 64.94 40.50 +stratixiv_arch.timing.xml wb_conmax_stratixiv_arch_timing.blif common 445.21 vpr 7.31 GiB 1107 725 0 0 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 7666364 403 704 15490 16194 1 8534 1832 167 124 20708 io auto 2857.1 MiB 56.44 187193 1324022 523278 764997 35747 5137.0 MiB 26.81 0.24 12.7682 -23323.6 -11.7682 6.27217 0.15 0.0586464 0.0483811 7.15433 5.97963 227077 14.6605 37744 2.43683 40915 222692 34388107 3312547 0 0 5.23918e+08 25300.3 17 6721105 74589014 36638 11.3367 5.96529 -32928.6 -10.3367 0 0 108.17 38.65 9.61 7486.7 MiB 125.03 9.2614 7.7773 5137.0 MiB 64.95 52.28 +stratixiv_arch.timing.xml picosoc_stratixiv_arch_timing.blif common 247.80 vpr 6.23 GiB 35 739 0 6 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 6530136 18 17 16969 16357 1 6288 780 39 29 1131 LAB auto 2855.4 MiB 65.95 84377 244832 62116 178083 4633 2954.7 MiB 10.90 0.16 7.65805 -46422.6 -6.65805 7.65805 0.01 0.0380001 0.0324758 3.26975 2.63245 117354 6.91742 28009 1.65099 42731 188939 30663841 3241611 0 0 2.84316e+07 25138.5 16 1092397 12303174 43762 7.24996 7.24996 -46266.9 -6.24996 0 0 5.81 13.06 7.12 6376.9 MiB 93.57 4.60783 3.72572 2904.9 MiB 65.30 2.17 +stratixiv_arch.timing.xml murax_stratixiv_arch_timing.blif common 143.49 vpr 5.89 GiB 35 78 0 8 0 0 success 9cb4943-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 13.3.0 on Linux-6.8.0-47-generic x86_64 2025-02-12T18:10:28 agent-2 /home/pooladam/actions-runner/_work/vtr-verilog-to-routing/vtr-verilog-to-routing 6175112 18 17 2291 2142 1 1448 121 16 12 192 LAB M9K auto 2752.7 MiB 6.96 10189 9390 1103 7334 953 2822.6 MiB 0.78 0.01 5.3129 -4153.14 -4.3129 4.5918 0.00 0.00661338 0.00538061 0.265542 0.227477 13189 5.76442 3423 1.49607 7406 28929 4207039 458732 0 0 4.71840e+06 24575.0 16 154367 1513720 4558 4.32353 4.32353 -3831.79 -3.32353 0 0 1.05 2.34 1.56 6030.2 MiB 71.16 0.476743 0.411197 2791.2 MiB 66.66 0.13 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/basic_ap/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/basic_ap/config/golden_results.txt index e09520ad1f6..a21f4847723 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/basic_ap/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/basic_ap/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - fixed_k6_frac_N8_22nm.xml single_wire.v common 1.82 vpr 73.57 MiB -1 -1 0.10 17092 1 0.02 -1 -1 30112 -1 -1 0 1 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 75340 1 1 0 2 0 1 2 17 17 289 -1 unnamed_device -1 -1 -1 -1 -1 -1 -1 73.6 MiB 0.24 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 73.6 MiB 0.24 73.6 MiB 0.20 8 16 1 6.79088e+06 0 166176. 575.005 0.38 0.00152779 0.00147101 20206 45088 -1 18 1 1 1 141 56 0.7726 nan -0.7726 -0.7726 0 0 202963. 702.294 0.10 0.00 0.05 -1 -1 0.10 0.00136912 0.0013327 - fixed_k6_frac_N8_22nm.xml single_ff.v common 2.13 vpr 72.83 MiB -1 -1 0.11 17428 1 0.03 -1 -1 30100 -1 -1 1 2 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 74580 2 1 3 3 1 3 4 17 17 289 -1 unnamed_device -1 -1 -1 -1 -1 -1 -1 72.8 MiB 0.19 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 72.8 MiB 0.19 72.8 MiB 0.17 20 31 1 6.79088e+06 13472 414966. 1435.87 0.48 0.00134713 0.0012663 22510 95286 -1 39 1 2 2 247 61 0.942216 0.942216 -1.68896 -0.942216 0 0 503264. 1741.40 0.21 0.00 0.09 -1 -1 0.21 0.00158671 0.0015335 - fixed_k6_frac_N8_22nm.xml ch_intrinsics.v common 3.81 vpr 73.68 MiB -1 -1 0.40 18964 3 0.12 -1 -1 33228 -1 -1 43 99 2 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 75444 99 130 240 229 1 247 274 17 17 289 -1 unnamed_device -1 -1 -1 -1 -1 -1 -1 73.7 MiB 0.36 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 73.7 MiB 0.36 73.7 MiB 0.34 32 3096 19 6.79088e+06 1.6753e+06 586450. 2029.24 1.11 0.122876 0.111163 24814 144142 -1 2911 28 730 1212 168873 64441 2.43138 2.43138 -153.888 -2.43138 0 0 744469. 2576.02 0.30 0.17 0.13 -1 -1 0.30 0.0795405 0.0727107 - fixed_k6_frac_N8_22nm.xml diffeq1.v common 14.42 vpr 75.12 MiB -1 -1 0.63 23572 15 0.47 -1 -1 34420 -1 -1 66 162 0 5 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 76920 162 96 817 258 1 798 329 17 17 289 -1 unnamed_device -1 -1 -1 -1 -1 -1 -1 75.1 MiB 1.63 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 75.1 MiB 1.63 75.1 MiB 0.83 74 17094 47 6.79088e+06 2.86915e+06 1.22589e+06 4241.83 7.19 0.927094 0.854352 32590 314081 -1 14562 21 4154 11035 1472312 353034 22.5845 22.5845 -1896.41 -22.5845 0 0 1.52683e+06 5283.16 0.65 0.52 0.33 -1 -1 0.65 0.149256 0.138152 + fixed_k6_frac_N8_22nm.xml single_wire.v common 1.54 vpr 75.57 MiB -1 -1 0.07 20592 1 0.01 -1 -1 32920 -1 -1 0 1 0 0 success v8.0.0-12254-gfbcf794de release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-15T15:26:16 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77384 1 1 0 2 0 1 2 17 17 289 -1 unnamed_device -1 -1 2 3 0 0 3 75.6 MiB 0.53 0.00 0.2714 -0.2714 -0.2714 nan 0.40 1.4631e-05 9.791e-06 8.1049e-05 5.7141e-05 75.6 MiB 0.53 75.6 MiB 0.12 8 4 1 6.79088e+06 0 166176. 575.005 0.15 0.000784397 0.000717344 20206 45088 -1 14 1 1 1 125 46 0.7726 nan -0.7726 -0.7726 0 0 202963. 702.294 0.01 0.00 0.04 -1 -1 0.01 0.000811044 0.000756117 + fixed_k6_frac_N8_22nm.xml single_ff.v common 1.63 vpr 75.70 MiB -1 -1 0.07 20844 1 0.02 -1 -1 33032 -1 -1 1 2 0 0 success v8.0.0-12254-gfbcf794de release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-15T15:26:16 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77520 2 1 3 3 1 3 4 17 17 289 -1 unnamed_device -1 -1 22 9 3 1 5 75.7 MiB 0.53 0.00 0.74674 -1.4524 -0.74674 0.74674 0.40 2.2315e-05 1.4572e-05 0.00011558 8.2163e-05 75.7 MiB 0.53 75.7 MiB 0.12 20 27 1 6.79088e+06 13472 414966. 1435.87 0.24 0.000962952 0.000874709 22510 95286 -1 26 1 2 2 102 24 0.691615 0.691615 -1.31306 -0.691615 0 0 503264. 1741.40 0.03 0.00 0.08 -1 -1 0.03 0.000867563 0.000798758 + fixed_k6_frac_N8_22nm.xml ch_intrinsics.v common 2.79 vpr 76.36 MiB -1 -1 0.25 22244 3 0.07 -1 -1 36668 -1 -1 68 99 1 0 success v8.0.0-12254-gfbcf794de release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-15T15:26:16 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 78188 99 130 240 229 1 226 298 17 17 289 -1 unnamed_device -1 -1 846 18208 2258 1637 14313 76.4 MiB 0.64 0.00 1.6707 -124.477 -1.6707 1.6707 0.40 0.00059261 0.000526035 0.013517 0.012053 76.4 MiB 0.64 76.4 MiB 0.19 34 1946 36 6.79088e+06 1.4641e+06 618332. 2139.56 0.79 0.171134 0.151607 25102 150614 -1 1729 13 592 913 55626 16852 2.0466 2.0466 -144.281 -2.0466 -0.42408 -0.16867 787024. 2723.27 0.04 0.03 0.13 -1 -1 0.04 0.0345082 0.031115 + fixed_k6_frac_N8_22nm.xml diffeq1.v common 12.53 vpr 78.07 MiB -1 -1 0.37 26724 15 0.31 -1 -1 37344 -1 -1 48 162 0 5 success v8.0.0-12254-gfbcf794de release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-15T15:26:16 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 79948 162 96 817 258 1 703 311 17 17 289 -1 unnamed_device -1 -1 6658 22424 277 6100 16047 78.1 MiB 1.01 0.01 20.481 -1562.51 -20.481 20.481 0.40 0.00197202 0.00175463 0.0544262 0.0486974 78.1 MiB 1.01 78.1 MiB 0.34 52 13955 24 6.79088e+06 2.62666e+06 926341. 3205.33 8.88 0.890272 0.801277 28558 226646 -1 11525 23 3544 7898 1070307 274350 20.4832 20.4832 -1545.04 -20.4832 0 0 1.14541e+06 3963.36 0.05 0.30 0.20 -1 -1 0.05 0.173866 0.157862 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/koios_test/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/koios_test/config/golden_results.txt index 91bac1cf221..39aa722daca 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/koios_test/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/koios_test/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml test.v common 10.66 vpr 75.07 MiB -1 -1 0.35 18416 1 0.08 -1 -1 32256 -1 -1 12 130 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 76872 130 40 596 562 1 356 185 14 14 196 dsp_top auto 36.0 MiB 0.29 1916 35953 11702 19824 4427 75.1 MiB 0.33 0.00 5.12303 -634.784 -5.12303 5.12303 0.88 0.00199221 0.00189164 0.140924 0.132687 -1 -1 -1 -1 82 3595 10 4.93594e+06 1.0962e+06 1.23902e+06 6321.54 4.92 0.71462 0.660858 33448 250998 -1 3301 8 676 703 150435 58622 4.57723 4.57723 -633.308 -4.57723 0 0 1.53308e+06 7821.82 0.49 0.15 0.48 -1 -1 0.49 0.0533478 0.0508037 - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml test.v common_--router_algorithm_parallel 10.40 vpr 75.02 MiB -1 -1 0.30 18440 1 0.07 -1 -1 32232 -1 -1 12 130 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 76816 130 40 596 562 1 356 185 14 14 196 dsp_top auto 35.9 MiB 0.28 1916 35953 11702 19824 4427 75.0 MiB 0.32 0.00 5.12303 -634.784 -5.12303 5.12303 0.77 0.001372 0.00128332 0.125861 0.118102 -1 -1 -1 -1 82 3590 8 4.93594e+06 1.0962e+06 1.23902e+06 6321.54 4.81 0.680937 0.627391 33448 250998 -1 3307 8 685 712 152508 59455 4.57723 4.57723 -633.101 -4.57723 0 0 1.53308e+06 7821.82 0.50 0.29 0.40 -1 -1 0.50 0.0712463 0.0684693 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml test.v common 9.38 vpr 77.35 MiB -1 -1 0.36 22280 1 0.10 -1 -1 35580 -1 -1 12 130 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 79208 130 40 596 562 1 356 185 14 14 196 dsp_top auto 38.5 MiB 0.18 1862 38583 13232 21153 4198 77.4 MiB 0.24 0.00 5.12303 -624.562 -5.12303 5.12303 0.45 0.00115671 0.00104931 0.13445 0.124537 -1 -1 -1 -1 64 3969 9 4.93594e+06 1.0962e+06 976140. 4980.31 5.77 0.971386 0.907233 31408 195022 -1 3606 8 821 857 201107 78801 4.57723 4.57723 -666.876 -4.57723 0 0 1.23909e+06 6321.90 0.06 0.12 0.38 -1 -1 0.06 0.0628918 0.0600921 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml test.v common_--router_algorithm_parallel 7.77 vpr 77.61 MiB -1 -1 0.36 22212 1 0.08 -1 -1 35140 -1 -1 12 130 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 79472 130 40 596 562 1 356 185 14 14 196 dsp_top auto 38.6 MiB 0.18 1862 38583 13232 21153 4198 77.6 MiB 0.37 0.00 5.12303 -624.562 -5.12303 5.12303 0.55 0.00210597 0.00194049 0.204405 0.191731 -1 -1 -1 -1 64 3993 10 4.93594e+06 1.0962e+06 976140. 4980.31 3.98 0.785401 0.735059 31408 195022 -1 3592 9 794 830 166912 64369 4.57723 4.57723 -658.916 -4.57723 0 0 1.23909e+06 6321.90 0.07 0.13 0.32 -1 -1 0.07 0.068841 0.0645644 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/koios_test_no_hb/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/koios_test_no_hb/config/golden_results.txt index 85e095de4e0..4e167973fd7 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/koios_test_no_hb/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/koios_test_no_hb/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml test.v common 18.25 vpr 77.09 MiB -1 -1 0.78 20036 1 0.12 -1 -1 34336 -1 -1 23 130 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 78936 130 40 1147 997 1 585 196 14 14 196 dsp_top auto 38.0 MiB 0.70 2881 41164 12013 24103 5048 77.1 MiB 0.38 0.01 6.00943 -707.528 -6.00943 6.00943 0.74 0.00343703 0.00329093 0.145992 0.134216 -1 -1 -1 -1 110 5376 49 4.93594e+06 1.40315e+06 1.58123e+06 8067.52 11.44 1.37108 1.222 36820 330950 -1 4864 22 2349 2464 267041 89229 7.0711 7.0711 -772.235 -7.0711 0 0 1.96868e+06 10044.3 0.59 0.20 0.57 -1 -1 0.59 0.101693 0.0932648 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml test.v common 9.61 vpr 79.62 MiB -1 -1 0.81 23308 1 0.11 -1 -1 37544 -1 -1 23 130 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 81536 130 40 1147 997 1 585 196 14 14 196 dsp_top auto 40.6 MiB 0.64 2711 47992 15247 26403 6342 79.6 MiB 0.47 0.01 6.04823 -699.558 -6.04823 6.04823 0.48 0.00203985 0.00179993 0.208906 0.186707 -1 -1 -1 -1 108 5255 25 4.93594e+06 1.40315e+06 1.55765e+06 7947.21 4.22 0.825967 0.736098 36552 325092 -1 4721 19 2233 2309 243533 83581 7.64092 7.64092 -760.756 -7.64092 0 0 1.93951e+06 9895.46 0.09 0.19 0.61 -1 -1 0.09 0.108869 0.100506 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_absorb_buffers/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_absorb_buffers/config/golden_results.txt index 5e82ce20db9..abc45194ec7 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_absorb_buffers/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_absorb_buffers/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml riscv_core_lut6.blif common_--absorb_buffer_luts_on 2.06 vpr 70.06 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 83 130 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 71744 130 150 1169 1319 1 886 363 12 12 144 clb auto 29.9 MiB 1.33 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00617255 0.00566712 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml riscv_core_lut6.blif common_--absorb_buffer_luts_off 2.11 vpr 69.43 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 90 130 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 71096 130 150 1216 1366 1 933 370 12 12 144 clb auto 29.7 MiB 1.36 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00673229 0.00602451 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml riscv_core_lut6.blif common_--absorb_buffer_luts_on 2.31 vpr 72.31 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 83 130 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 74048 130 150 1169 1319 1 886 363 12 12 144 clb auto 32.2 MiB 1.59 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00628067 0.00572957 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml riscv_core_lut6.blif common_--absorb_buffer_luts_off 1.95 vpr 72.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 90 130 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73772 130 150 1216 1366 1 933 370 12 12 144 clb auto 32.4 MiB 1.26 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00606424 0.00546366 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_analysis_only/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_analysis_only/config/golden_results.txt index e7e15672aec..94e710b87f5 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_analysis_only/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_analysis_only/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_N10_mem32K_40nm.xml stereovision3.v common 2.59 vpr 63.68 MiB -1 -1 0.88 23332 5 0.19 -1 -1 33328 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65204 10 2 181 183 1 35 24 6 6 36 clb auto 24.2 MiB 0.13 146 398 72 298 28 63.7 MiB 0.05 0.00 2.14835 -92.8998 -2.14835 2.14835 0.00 0.00056264 0.000520569 0.00752147 0.00699209 -1 -1 -1 -1 130 4.06250 54 1.68750 215 509 15144 2919 646728 646728 138825. 3856.24 24 3164 19284 -1 2.05191 2.05191 -93.8814 -2.05191 0 0 0.02 -1 -1 63.7 MiB 0.05 0.0383425 0.0343799 63.7 MiB -1 0.02 - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 3.12 vpr 66.62 MiB -1 -1 0.87 22944 4 0.17 -1 -1 33248 -1 -1 15 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68220 11 2 303 283 2 78 28 7 7 49 clb auto 27.0 MiB 0.35 264 1036 209 767 60 66.6 MiB 0.05 0.00 2.03811 -163.536 -2.03811 1.90043 0.00 0.000979369 0.000882501 0.0285292 0.0262389 -1 -1 -1 -1 252 3.50000 103 1.43056 122 199 4265 1218 1.07788e+06 808410 219490. 4479.39 12 5100 32136 -1 2.11264 1.93889 -160.659 -2.11264 0 0 0.04 -1 -1 66.6 MiB 0.04 0.0622 0.0573011 66.6 MiB -1 0.05 + k6_N10_mem32K_40nm.xml stereovision3.v common 2.05 vpr 66.01 MiB -1 -1 0.86 26896 5 0.23 -1 -1 36624 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67592 10 2 181 183 1 35 24 6 6 36 clb auto 27.0 MiB 0.05 152 432 67 335 30 66.0 MiB 0.02 0.00 2.14835 -93.0339 -2.14835 2.14835 0.00 0.000412775 0.000360271 0.0136111 0.012803 -1 -1 -1 -1 138 4.31250 57 1.78125 181 343 11634 2077 646728 646728 138825. 3856.24 15 3164 19284 -1 2.14648 2.14648 -94.9192 -2.14648 0 0 0.04 -1 -1 66.0 MiB 0.03 0.0339384 0.0288063 66.0 MiB -1 0.00 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.21 vpr 69.14 MiB -1 -1 0.76 26288 4 0.18 -1 -1 36060 -1 -1 15 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70796 11 2 303 283 2 78 28 7 7 49 clb auto 29.6 MiB 0.27 285 784 175 539 70 69.1 MiB 0.05 0.00 2.03811 -163.686 -2.03811 1.90043 0.00 0.000707376 0.000615193 0.0194274 0.0173585 -1 -1 -1 -1 313 4.34722 112 1.55556 114 177 3842 1019 1.07788e+06 808410 219490. 4479.39 6 5100 32136 -1 2.07112 1.86791 -165.31 -2.07112 0 0 0.05 -1 -1 69.1 MiB 0.03 0.0450009 0.0414951 69.1 MiB -1 0.01 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_analytic_placer/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_analytic_placer/config/golden_results.txt index 36eb5abce3a..7f41d46c079 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_analytic_placer/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_analytic_placer/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 5.66 vpr 65.89 MiB -1 -1 0.43 18980 3 0.13 -1 -1 33368 -1 -1 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67472 99 130 344 474 1 227 298 12 12 144 clb auto 26.1 MiB 0.26 783 1293 269 877 147 65.9 MiB 0.17 0.00 1.86362 -117.589 -1.86362 1.86362 0.38 0.00100487 0.000938659 0.00647195 0.00625967 -1 -1 -1 -1 34 1729 21 5.66058e+06 4.21279e+06 293002. 2034.74 1.97 0.304859 0.274689 12094 55633 -1 1447 11 494 759 44038 15434 1.98889 1.98889 -143.496 -1.98889 -0.113193 -0.0844279 360780. 2505.42 0.12 0.08 0.06 -1 -1 0.12 0.0336886 0.0313908 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 3.75 vpr 67.64 MiB -1 -1 0.42 22416 3 0.08 -1 -1 36896 -1 -1 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69264 99 130 344 474 1 227 298 12 12 144 clb auto 28.8 MiB 0.22 846 1293 248 869 176 67.6 MiB 0.10 0.00 1.87518 -117.076 -1.87518 1.87518 0.33 0.000961535 0.000869555 0.00580355 0.00550747 -1 -1 -1 -1 38 1541 12 5.66058e+06 4.21279e+06 319130. 2216.18 1.43 0.231487 0.210357 12522 62564 -1 1321 9 430 670 30619 10041 1.9175 1.9175 -131.199 -1.9175 -0.126268 -0.104429 406292. 2821.48 0.02 0.04 0.09 -1 -1 0.02 0.0283489 0.0264305 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/annealer_detailed_placer/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/annealer_detailed_placer/config/config.txt new file mode 100644 index 00000000000..a8e217b51fd --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/annealer_detailed_placer/config/config.txt @@ -0,0 +1,54 @@ +############################################################################### +# Configuration file for running the MCNC benchmarks through the AP flow. +# +# The AP flow requires that each circuit contains fixed blocks and is fixed +# to a specific device size. The device sizes here were chosen to match the +# device sizes of the default VTR flow. +############################################################################### + +# Path to directory of circuits to use +circuits_dir=benchmarks/blif/wiremap6 + +# Path to directory of architectures to use +archs_dir=arch/timing + +# Add architectures to list to sweep +arch_list_add=k6_frac_N10_40nm.xml + +# Add circuits to list to sweep +circuit_list_add=apex4.pre-vpr.blif +circuit_list_add=des.pre-vpr.blif +circuit_list_add=ex1010.pre-vpr.blif +circuit_list_add=seq.pre-vpr.blif + +# Constrain the circuits to their devices +circuit_constraint_list_add=(apex4.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(seq.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(des.pre-vpr.blif, device=mcnc_large) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, device=mcnc_large) + +# Constrain the IOs +circuit_constraint_list_add=(apex4.pre-vpr.blif, constraints=../../../../../mcnc/constraints/apex4_io_constraint.xml) +circuit_constraint_list_add=(seq.pre-vpr.blif, constraints=../../../../../mcnc/constraints/seq_io_constraint.xml) +circuit_constraint_list_add=(des.pre-vpr.blif, constraints=../../../../../mcnc/constraints/des_io_constraint.xml) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, constraints=../../../../../mcnc/constraints/ex1010_io_constraint.xml) + +# Constrain the circuits to their channel widths +# 1.3 * minW +circuit_constraint_list_add=(apex4.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(seq.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(des.pre-vpr.blif, route_chan_width=44) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, route_chan_width=114) + +# Parse info and how to parse +parse_file=vpr_fixed_chan_width.txt + +# How to parse QoR info +qor_parse_file=qor_ap_fixed_chan_width.txt + +# Pass requirements +pass_requirements_file=pass_requirements_ap_fixed_chan_width.txt + +# Pass the script params while writing the vpr constraints. +script_params=-starting_stage vpr -track_memory_usage --analytical_place --ap_detailed_placer annealer --route + diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/annealer_detailed_placer/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/annealer_detailed_placer/config/golden_results.txt new file mode 100644 index 00000000000..651ce660172 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/annealer_detailed_placer/config/golden_results.txt @@ -0,0 +1,5 @@ + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time initial_placed_wirelength_est placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time initial_placed_CPD_est placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 5.36 vpr 74.60 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 80 9 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 76392 9 19 897 28 0 553 108 16 16 256 -1 mcnc_medium -1 -1 9403 6159 8332 1169 4944 2219 74.6 MiB 2.06 0.01 6.38627 5.03307 -82.5272 -5.03307 nan 0.05 0.00184828 0.00145901 0.0761667 0.0633817 74.6 MiB 2.06 74.6 MiB 1.36 10201 18.4801 2604 4.71739 4077 19753 686869 111324 1.05632e+07 4.31152e+06 1.26944e+06 4958.75 17 28900 206586 -1 5.47355 nan -88.2759 -5.47355 0 0 0.19 -1 -1 74.6 MiB 0.25 0.188153 0.163341 74.6 MiB -1 0.05 + k6_frac_N10_40nm.xml des.pre-vpr.blif common 2.38 vpr 75.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 60 256 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77100 256 245 954 501 0 598 561 22 22 484 -1 mcnc_large -1 -1 8563 7535 44489 711 9803 33975 75.3 MiB 0.67 0.01 6.75273 4.22233 -808.963 -4.22233 nan 0.07 0.00206921 0.00182545 0.0513366 0.0463847 75.3 MiB 0.67 75.3 MiB 0.37 10334 17.2809 2851 4.76756 2724 5860 351757 83165 2.15576e+07 3.23364e+06 1.49107e+06 3080.73 18 47664 245996 -1 4.44165 nan -865.851 -4.44165 0 0 0.21 -1 -1 75.3 MiB 0.18 0.157515 0.145045 75.3 MiB -1 0.07 + k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 20.38 vpr 103.08 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 294 10 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 105556 10 10 2659 20 0 1271 314 22 22 484 -1 mcnc_large -1 -1 35357 24411 60010 16230 38382 5398 103.1 MiB 8.28 0.02 9.43184 6.46379 -62.5671 -6.46379 nan 0.16 0.00656395 0.00519987 0.417008 0.342569 103.1 MiB 8.28 103.1 MiB 4.38 37499 29.5035 9497 7.47207 8209 52283 2194356 288045 2.15576e+07 1.58448e+07 3.51389e+06 7260.09 18 64568 594370 -1 6.75089 nan -64.5268 -6.75089 0 0 0.64 -1 -1 103.1 MiB 0.89 0.797133 0.683077 103.1 MiB -1 0.16 + k6_frac_N10_40nm.xml seq.pre-vpr.blif common 5.23 vpr 75.78 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 85 41 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77600 41 35 1006 76 0 568 161 16 16 256 -1 mcnc_medium -1 -1 10195 6390 9775 797 4761 4217 75.8 MiB 1.99 0.01 6.7004 4.74782 -144.082 -4.74782 nan 0.06 0.00226831 0.00182788 0.0691298 0.0583805 75.8 MiB 1.99 75.8 MiB 1.23 10367 18.2518 2719 4.78697 4167 22236 721470 123170 1.05632e+07 4.58099e+06 1.26944e+06 4958.75 18 28900 206586 -1 5.02595 nan -149.084 -5.02595 0 0 0.19 -1 -1 75.8 MiB 0.29 0.198778 0.17414 75.8 MiB -1 0.06 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/appack_full_legalizer/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/appack_full_legalizer/config/config.txt new file mode 100644 index 00000000000..358e9022f78 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/appack_full_legalizer/config/config.txt @@ -0,0 +1,54 @@ +############################################################################### +# Configuration file for running the MCNC benchmarks through the AP flow. +# +# The AP flow requires that each circuit contains fixed blocks and is fixed +# to a specific device size. The device sizes here were chosen to match the +# device sizes of the default VTR flow. +############################################################################### + +# Path to directory of circuits to use +circuits_dir=benchmarks/blif/wiremap6 + +# Path to directory of architectures to use +archs_dir=arch/timing + +# Add architectures to list to sweep +arch_list_add=k6_frac_N10_40nm.xml + +# Add circuits to list to sweep +circuit_list_add=apex4.pre-vpr.blif +circuit_list_add=des.pre-vpr.blif +circuit_list_add=ex1010.pre-vpr.blif +circuit_list_add=seq.pre-vpr.blif + +# Constrain the circuits to their devices +circuit_constraint_list_add=(apex4.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(seq.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(des.pre-vpr.blif, device=mcnc_large) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, device=mcnc_large) + +# Constrain the IOs +circuit_constraint_list_add=(apex4.pre-vpr.blif, constraints=../../../../../mcnc/constraints/apex4_io_constraint.xml) +circuit_constraint_list_add=(seq.pre-vpr.blif, constraints=../../../../../mcnc/constraints/seq_io_constraint.xml) +circuit_constraint_list_add=(des.pre-vpr.blif, constraints=../../../../../mcnc/constraints/des_io_constraint.xml) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, constraints=../../../../../mcnc/constraints/ex1010_io_constraint.xml) + +# Constrain the circuits to their channel widths +# 1.3 * minW +circuit_constraint_list_add=(apex4.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(seq.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(des.pre-vpr.blif, route_chan_width=44) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, route_chan_width=114) + +# Parse info and how to parse +parse_file=vpr_fixed_chan_width.txt + +# How to parse QoR info +qor_parse_file=qor_ap_fixed_chan_width.txt + +# Pass requirements +pass_requirements_file=pass_requirements_ap_fixed_chan_width.txt + +# Pass the script params while writing the vpr constraints. +script_params=-starting_stage vpr -track_memory_usage --analytical_place --ap_full_legalizer appack --route + diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/appack_full_legalizer/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/appack_full_legalizer/config/golden_results.txt new file mode 100644 index 00000000000..b81de13a2b0 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/appack_full_legalizer/config/golden_results.txt @@ -0,0 +1,5 @@ + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time initial_placed_wirelength_est placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time initial_placed_CPD_est placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 5.30 vpr 74.56 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 80 9 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 76348 9 19 897 28 0 553 108 16 16 256 -1 mcnc_medium -1 -1 9403 6159 8332 1169 4944 2219 74.6 MiB 2.07 0.01 6.38627 5.03307 -82.5272 -5.03307 nan 0.05 0.00163075 0.00127541 0.0698617 0.0579099 74.6 MiB 2.07 74.6 MiB 1.38 10201 18.4801 2604 4.71739 4077 19753 686869 111324 1.05632e+07 4.31152e+06 1.26944e+06 4958.75 17 28900 206586 -1 5.47355 nan -88.2759 -5.47355 0 0 0.19 -1 -1 74.6 MiB 0.23 0.174176 0.150615 74.6 MiB -1 0.05 + k6_frac_N10_40nm.xml des.pre-vpr.blif common 2.46 vpr 75.66 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 60 256 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77480 256 245 954 501 0 598 561 22 22 484 -1 mcnc_large -1 -1 8563 7535 44489 711 9803 33975 75.7 MiB 0.69 0.01 6.75273 4.22233 -808.963 -4.22233 nan 0.07 0.00230416 0.00205573 0.0543722 0.0491359 75.7 MiB 0.69 75.7 MiB 0.38 10334 17.2809 2851 4.76756 2724 5860 351757 83165 2.15576e+07 3.23364e+06 1.49107e+06 3080.73 18 47664 245996 -1 4.44165 nan -865.851 -4.44165 0 0 0.22 -1 -1 75.7 MiB 0.20 0.166801 0.153732 75.7 MiB -1 0.07 + k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 20.34 vpr 103.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 294 10 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 105984 10 10 2659 20 0 1271 314 22 22 484 -1 mcnc_large -1 -1 35357 24411 60010 16230 38382 5398 103.5 MiB 8.19 0.02 9.43184 6.46379 -62.5671 -6.46379 nan 0.16 0.00653141 0.00517397 0.420809 0.346396 103.5 MiB 8.19 103.5 MiB 4.27 37499 29.5035 9497 7.47207 8209 52283 2194356 288045 2.15576e+07 1.58448e+07 3.51389e+06 7260.09 18 64568 594370 -1 6.75089 nan -64.5268 -6.75089 0 0 0.64 -1 -1 103.5 MiB 0.90 0.816903 0.702518 103.5 MiB -1 0.16 + k6_frac_N10_40nm.xml seq.pre-vpr.blif common 5.26 vpr 75.71 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 85 41 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77524 41 35 1006 76 0 568 161 16 16 256 -1 mcnc_medium -1 -1 10195 6390 9775 797 4761 4217 75.7 MiB 1.97 0.01 6.7004 4.74782 -144.082 -4.74782 nan 0.05 0.00190585 0.00149849 0.0653002 0.0549159 75.7 MiB 1.97 75.7 MiB 1.23 10367 18.2518 2719 4.78697 4167 22236 721470 123170 1.05632e+07 4.58099e+06 1.26944e+06 4958.75 18 28900 206586 -1 5.02595 nan -149.084 -5.02595 0 0 0.19 -1 -1 75.7 MiB 0.27 0.189214 0.165171 75.7 MiB -1 0.05 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/bipartitioning_partial_legalizer/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/bipartitioning_partial_legalizer/config/config.txt new file mode 100644 index 00000000000..914133ac93b --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/bipartitioning_partial_legalizer/config/config.txt @@ -0,0 +1,54 @@ +############################################################################### +# Configuration file for running the MCNC benchmarks through the AP flow. +# +# The AP flow requires that each circuit contains fixed blocks and is fixed +# to a specific device size. The device sizes here were chosen to match the +# device sizes of the default VTR flow. +############################################################################### + +# Path to directory of circuits to use +circuits_dir=benchmarks/blif/wiremap6 + +# Path to directory of architectures to use +archs_dir=arch/timing + +# Add architectures to list to sweep +arch_list_add=k6_frac_N10_40nm.xml + +# Add circuits to list to sweep +circuit_list_add=apex4.pre-vpr.blif +circuit_list_add=des.pre-vpr.blif +circuit_list_add=ex1010.pre-vpr.blif +circuit_list_add=seq.pre-vpr.blif + +# Constrain the circuits to their devices +circuit_constraint_list_add=(apex4.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(seq.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(des.pre-vpr.blif, device=mcnc_large) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, device=mcnc_large) + +# Constrain the IOs +circuit_constraint_list_add=(apex4.pre-vpr.blif, constraints=../../../../../mcnc/constraints/apex4_io_constraint.xml) +circuit_constraint_list_add=(seq.pre-vpr.blif, constraints=../../../../../mcnc/constraints/seq_io_constraint.xml) +circuit_constraint_list_add=(des.pre-vpr.blif, constraints=../../../../../mcnc/constraints/des_io_constraint.xml) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, constraints=../../../../../mcnc/constraints/ex1010_io_constraint.xml) + +# Constrain the circuits to their channel widths +# 1.3 * minW +circuit_constraint_list_add=(apex4.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(seq.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(des.pre-vpr.blif, route_chan_width=44) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, route_chan_width=114) + +# Parse info and how to parse +parse_file=vpr_fixed_chan_width.txt + +# How to parse QoR info +qor_parse_file=qor_ap_fixed_chan_width.txt + +# Pass requirements +pass_requirements_file=pass_requirements_ap_fixed_chan_width.txt + +# Pass the script params while writing the vpr constraints. +script_params=-starting_stage vpr -track_memory_usage --analytical_place --ap_partial_legalizer bipartitioning --route + diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/bipartitioning_partial_legalizer/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/bipartitioning_partial_legalizer/config/golden_results.txt new file mode 100644 index 00000000000..7f6c398f2d7 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/bipartitioning_partial_legalizer/config/golden_results.txt @@ -0,0 +1,5 @@ + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time initial_placed_wirelength_est placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time initial_placed_CPD_est placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 5.33 vpr 74.62 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 80 9 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 76408 9 19 897 28 0 553 108 16 16 256 -1 mcnc_medium -1 -1 9403 6159 8332 1169 4944 2219 74.6 MiB 2.07 0.01 6.38627 5.03307 -82.5272 -5.03307 nan 0.05 0.00174681 0.00136501 0.0775146 0.0645634 74.6 MiB 2.07 74.6 MiB 1.37 10201 18.4801 2604 4.71739 4077 19753 686869 111324 1.05632e+07 4.31152e+06 1.26944e+06 4958.75 17 28900 206586 -1 5.47355 nan -88.2759 -5.47355 0 0 0.19 -1 -1 74.6 MiB 0.24 0.187199 0.162157 74.6 MiB -1 0.05 + k6_frac_N10_40nm.xml des.pre-vpr.blif common 2.42 vpr 75.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 60 256 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77220 256 245 954 501 0 598 561 22 22 484 -1 mcnc_large -1 -1 8563 7535 44489 711 9803 33975 75.4 MiB 0.67 0.01 6.75273 4.22233 -808.963 -4.22233 nan 0.07 0.00206925 0.0018412 0.0508939 0.0460358 75.4 MiB 0.67 75.4 MiB 0.37 10334 17.2809 2851 4.76756 2724 5860 351757 83165 2.15576e+07 3.23364e+06 1.49107e+06 3080.73 18 47664 245996 -1 4.44165 nan -865.851 -4.44165 0 0 0.21 -1 -1 75.4 MiB 0.19 0.160523 0.14791 75.4 MiB -1 0.07 + k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 20.53 vpr 103.26 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 294 10 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 105740 10 10 2659 20 0 1271 314 22 22 484 -1 mcnc_large -1 -1 35357 24411 60010 16230 38382 5398 103.3 MiB 8.21 0.02 9.43184 6.46379 -62.5671 -6.46379 nan 0.16 0.00644882 0.00510584 0.417293 0.342947 103.3 MiB 8.21 103.3 MiB 4.32 37499 29.5035 9497 7.47207 8209 52283 2194356 288045 2.15576e+07 1.58448e+07 3.51389e+06 7260.09 18 64568 594370 -1 6.75089 nan -64.5268 -6.75089 0 0 0.63 -1 -1 103.3 MiB 0.86 0.791005 0.677375 103.3 MiB -1 0.16 + k6_frac_N10_40nm.xml seq.pre-vpr.blif common 5.21 vpr 75.84 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 85 41 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77656 41 35 1006 76 0 568 161 16 16 256 -1 mcnc_medium -1 -1 10195 6390 9775 797 4761 4217 75.8 MiB 1.98 0.01 6.7004 4.74782 -144.082 -4.74782 nan 0.06 0.00192868 0.00151668 0.0664797 0.0560886 75.8 MiB 1.98 75.8 MiB 1.23 10367 18.2518 2719 4.78697 4167 22236 721470 123170 1.05632e+07 4.58099e+06 1.26944e+06 4958.75 18 28900 206586 -1 5.02595 nan -149.084 -5.02595 0 0 0.19 -1 -1 75.8 MiB 0.28 0.192055 0.167866 75.8 MiB -1 0.05 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/flowbased_partial_legalizer/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/flowbased_partial_legalizer/config/config.txt new file mode 100644 index 00000000000..75c1001ec87 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/flowbased_partial_legalizer/config/config.txt @@ -0,0 +1,54 @@ +############################################################################### +# Configuration file for running the MCNC benchmarks through the AP flow. +# +# The AP flow requires that each circuit contains fixed blocks and is fixed +# to a specific device size. The device sizes here were chosen to match the +# device sizes of the default VTR flow. +############################################################################### + +# Path to directory of circuits to use +circuits_dir=benchmarks/blif/wiremap6 + +# Path to directory of architectures to use +archs_dir=arch/timing + +# Add architectures to list to sweep +arch_list_add=k6_frac_N10_40nm.xml + +# Add circuits to list to sweep +circuit_list_add=apex4.pre-vpr.blif +circuit_list_add=des.pre-vpr.blif +circuit_list_add=ex1010.pre-vpr.blif +circuit_list_add=seq.pre-vpr.blif + +# Constrain the circuits to their devices +circuit_constraint_list_add=(apex4.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(seq.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(des.pre-vpr.blif, device=mcnc_large) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, device=mcnc_large) + +# Constrain the IOs +circuit_constraint_list_add=(apex4.pre-vpr.blif, constraints=../../../../../mcnc/constraints/apex4_io_constraint.xml) +circuit_constraint_list_add=(seq.pre-vpr.blif, constraints=../../../../../mcnc/constraints/seq_io_constraint.xml) +circuit_constraint_list_add=(des.pre-vpr.blif, constraints=../../../../../mcnc/constraints/des_io_constraint.xml) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, constraints=../../../../../mcnc/constraints/ex1010_io_constraint.xml) + +# Constrain the circuits to their channel widths +# 1.3 * minW +circuit_constraint_list_add=(apex4.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(seq.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(des.pre-vpr.blif, route_chan_width=44) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, route_chan_width=114) + +# Parse info and how to parse +parse_file=vpr_fixed_chan_width.txt + +# How to parse QoR info +qor_parse_file=qor_ap_fixed_chan_width.txt + +# Pass requirements +pass_requirements_file=pass_requirements_ap_fixed_chan_width.txt + +# Pass the script params while writing the vpr constraints. +script_params=-starting_stage vpr -track_memory_usage --analytical_place --ap_partial_legalizer flow-based --route + diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/flowbased_partial_legalizer/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/flowbased_partial_legalizer/config/golden_results.txt new file mode 100644 index 00000000000..6d4d19ae438 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/flowbased_partial_legalizer/config/golden_results.txt @@ -0,0 +1,5 @@ + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time initial_placed_wirelength_est placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time initial_placed_CPD_est placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 12.62 vpr 74.31 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 80 9 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 76096 9 19 897 28 0 584 108 16 16 256 -1 mcnc_medium -1 -1 9926 6388 9360 1577 5386 2397 74.3 MiB 5.70 0.01 6.43571 5.02313 -83.9819 -5.02313 nan 0.06 0.00185978 0.00147058 0.0799794 0.0660381 74.3 MiB 5.70 74.3 MiB 1.43 10240 17.5643 2650 4.54545 4343 20498 701095 117730 1.05632e+07 4.31152e+06 1.26944e+06 4958.75 19 28900 206586 -1 5.38542 nan -87.7064 -5.38542 0 0 0.19 -1 -1 74.3 MiB 0.26 0.197396 0.17025 74.3 MiB -1 0.05 + k6_frac_N10_40nm.xml des.pre-vpr.blif common 2.32 vpr 75.27 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 57 256 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77076 256 245 954 501 0 594 558 22 22 484 -1 mcnc_large -1 -1 8638 7318 44182 518 9501 34163 75.3 MiB 0.67 0.01 5.66617 4.14214 -792.809 -4.14214 nan 0.07 0.00208712 0.00185181 0.0537576 0.048756 75.3 MiB 0.67 75.3 MiB 0.37 10133 17.0589 2794 4.70370 2430 5324 301583 66661 2.15576e+07 3.07196e+06 1.49107e+06 3080.73 12 47664 245996 -1 4.75649 nan -895.431 -4.75649 0 0 0.21 -1 -1 75.3 MiB 0.15 0.141255 0.130583 75.3 MiB -1 0.07 + k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 51.54 vpr 102.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 291 10 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 105452 10 10 2659 20 0 1408 311 22 22 484 -1 mcnc_large -1 -1 37550 26161 58226 15798 37153 5275 103.0 MiB 23.78 0.03 9.68078 6.57123 -63.8025 -6.57123 nan 0.16 0.0065839 0.00526862 0.429236 0.356006 103.0 MiB 23.78 103.0 MiB 4.32 39984 28.3977 10109 7.17969 10202 60703 2773126 353665 2.15576e+07 1.56832e+07 3.51389e+06 7260.09 18 64568 594370 -1 6.79723 nan -65.6391 -6.79723 0 0 0.63 -1 -1 103.0 MiB 1.05 0.82393 0.707849 103.0 MiB -1 0.16 + k6_frac_N10_40nm.xml seq.pre-vpr.blif common 12.93 vpr 75.62 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 87 41 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77440 41 35 1006 76 0 593 163 16 16 256 -1 mcnc_medium -1 -1 10931 6699 10398 846 4997 4555 75.6 MiB 5.83 0.01 6.29407 4.89173 -140.548 -4.89173 nan 0.05 0.00178239 0.00141272 0.0611088 0.0513396 75.6 MiB 5.83 75.6 MiB 1.26 10678 18.0067 2822 4.75885 4682 24845 807602 138478 1.05632e+07 4.68878e+06 1.26944e+06 4958.75 18 28900 206586 -1 5.05377 nan -146.422 -5.05377 0 0 0.19 -1 -1 75.6 MiB 0.27 0.180074 0.157058 75.6 MiB -1 0.05 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/lp_b2b_analytical_solver/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/lp_b2b_analytical_solver/config/config.txt new file mode 100644 index 00000000000..48b5feea72d --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/lp_b2b_analytical_solver/config/config.txt @@ -0,0 +1,54 @@ +############################################################################### +# Configuration file for running the MCNC benchmarks through the AP flow. +# +# The AP flow requires that each circuit contains fixed blocks and is fixed +# to a specific device size. The device sizes here were chosen to match the +# device sizes of the default VTR flow. +############################################################################### + +# Path to directory of circuits to use +circuits_dir=benchmarks/blif/wiremap6 + +# Path to directory of architectures to use +archs_dir=arch/timing + +# Add architectures to list to sweep +arch_list_add=k6_frac_N10_40nm.xml + +# Add circuits to list to sweep +circuit_list_add=apex4.pre-vpr.blif +circuit_list_add=des.pre-vpr.blif +circuit_list_add=ex1010.pre-vpr.blif +circuit_list_add=seq.pre-vpr.blif + +# Constrain the circuits to their devices +circuit_constraint_list_add=(apex4.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(seq.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(des.pre-vpr.blif, device=mcnc_large) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, device=mcnc_large) + +# Constrain the IOs +circuit_constraint_list_add=(apex4.pre-vpr.blif, constraints=../../../../../mcnc/constraints/apex4_io_constraint.xml) +circuit_constraint_list_add=(seq.pre-vpr.blif, constraints=../../../../../mcnc/constraints/seq_io_constraint.xml) +circuit_constraint_list_add=(des.pre-vpr.blif, constraints=../../../../../mcnc/constraints/des_io_constraint.xml) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, constraints=../../../../../mcnc/constraints/ex1010_io_constraint.xml) + +# Constrain the circuits to their channel widths +# 1.3 * minW +circuit_constraint_list_add=(apex4.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(seq.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(des.pre-vpr.blif, route_chan_width=44) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, route_chan_width=114) + +# Parse info and how to parse +parse_file=vpr_fixed_chan_width.txt + +# How to parse QoR info +qor_parse_file=qor_ap_fixed_chan_width.txt + +# Pass requirements +pass_requirements_file=pass_requirements_ap_fixed_chan_width.txt + +# Pass the script params while writing the vpr constraints. +script_params=-starting_stage vpr -track_memory_usage --analytical_place --route --ap_analytical_solver lp-b2b + diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/lp_b2b_analytical_solver/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/lp_b2b_analytical_solver/config/golden_results.txt new file mode 100644 index 00000000000..6f5f90a4c3c --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/lp_b2b_analytical_solver/config/golden_results.txt @@ -0,0 +1,5 @@ + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time initial_placed_wirelength_est placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time initial_placed_CPD_est placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 5.30 vpr 74.49 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 80 9 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 76280 9 19 897 28 0 553 108 16 16 256 -1 mcnc_medium -1 -1 9403 6159 8332 1169 4944 2219 74.5 MiB 2.02 0.01 6.38627 5.03307 -82.5272 -5.03307 nan 0.05 0.00186748 0.00147374 0.075299 0.0626077 74.5 MiB 2.02 74.5 MiB 1.33 10201 18.4801 2604 4.71739 4077 19753 686869 111324 1.05632e+07 4.31152e+06 1.26944e+06 4958.75 17 28900 206586 -1 5.47355 nan -88.2759 -5.47355 0 0 0.19 -1 -1 74.5 MiB 0.25 0.187127 0.162486 74.5 MiB -1 0.05 + k6_frac_N10_40nm.xml des.pre-vpr.blif common 2.40 vpr 75.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 60 256 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77092 256 245 954 501 0 598 561 22 22 484 -1 mcnc_large -1 -1 8563 7535 44489 711 9803 33975 75.3 MiB 0.67 0.01 6.75273 4.22233 -808.963 -4.22233 nan 0.07 0.00223446 0.00199382 0.0522672 0.0472954 75.3 MiB 0.67 75.3 MiB 0.37 10334 17.2809 2851 4.76756 2724 5860 351757 83165 2.15576e+07 3.23364e+06 1.49107e+06 3080.73 18 47664 245996 -1 4.44165 nan -865.851 -4.44165 0 0 0.21 -1 -1 75.3 MiB 0.18 0.157983 0.145454 75.3 MiB -1 0.07 + k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 20.43 vpr 103.27 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 294 10 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 105744 10 10 2659 20 0 1271 314 22 22 484 -1 mcnc_large -1 -1 35357 24411 60010 16230 38382 5398 103.3 MiB 8.26 0.02 9.43184 6.46379 -62.5671 -6.46379 nan 0.17 0.00657205 0.00518572 0.421775 0.347051 103.3 MiB 8.26 103.3 MiB 4.34 37499 29.5035 9497 7.47207 8209 52283 2194356 288045 2.15576e+07 1.58448e+07 3.51389e+06 7260.09 18 64568 594370 -1 6.75089 nan -64.5268 -6.75089 0 0 0.63 -1 -1 103.3 MiB 0.89 0.808608 0.694348 103.3 MiB -1 0.16 + k6_frac_N10_40nm.xml seq.pre-vpr.blif common 5.22 vpr 75.38 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 85 41 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77184 41 35 1006 76 0 568 161 16 16 256 -1 mcnc_medium -1 -1 10195 6390 9775 797 4761 4217 75.4 MiB 1.98 0.01 6.7004 4.74782 -144.082 -4.74782 nan 0.05 0.00198299 0.00156918 0.0659499 0.0554529 75.4 MiB 1.98 75.4 MiB 1.23 10367 18.2518 2719 4.78697 4167 22236 721470 123170 1.05632e+07 4.58099e+06 1.26944e+06 4958.75 18 28900 206586 -1 5.02595 nan -149.084 -5.02595 0 0 0.19 -1 -1 75.4 MiB 0.26 0.19016 0.165955 75.4 MiB -1 0.05 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/mcnc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/mcnc/config/golden_results.txt index 97f45b6c51f..26f3273119e 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/mcnc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/mcnc/config/golden_results.txt @@ -1,5 +1,5 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 17.89 vpr 72.27 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 113 9 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 74000 9 19 897 28 0 861 141 16 16 256 -1 mcnc_medium -1 -1 -1 -1 -1 -1 -1 72.3 MiB 7.61 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 72.3 MiB 7.61 72.3 MiB 1.79 15611 18.1735 4293 4.99767 8307 35569 1513043 269803 1.05632e+07 6.09002e+06 1.26944e+06 4958.75 25 28900 206586 -1 6.2156 nan -103.761 -6.2156 0 0 0.25 -1 -1 72.3 MiB 0.57 0.174543 0.155743 72.3 MiB -1 0.31 - k6_frac_N10_40nm.xml des.pre-vpr.blif common 5.93 vpr 73.48 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 168 256 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 75248 256 245 954 501 0 934 669 22 22 484 -1 mcnc_large -1 -1 -1 -1 -1 -1 -1 73.5 MiB 1.37 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 73.5 MiB 1.37 73.5 MiB 1.31 14674 15.7109 3946 4.22484 4204 10388 463073 94185 2.15576e+07 9.05419e+06 1.49107e+06 3080.73 15 47664 245996 -1 5.16547 nan -949.23 -5.16547 0 0 0.24 -1 -1 73.5 MiB 0.33 0.134699 0.127277 73.5 MiB -1 0.78 - k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 89.28 vpr 101.70 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 378 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 104144 10 10 2659 20 0 2595 398 22 22 484 -1 mcnc_large -1 -1 -1 -1 -1 -1 -1 101.7 MiB 38.46 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 101.7 MiB 38.46 101.7 MiB 4.76 69814 26.9033 18438 7.10520 21388 82112 8636123 1705637 2.15576e+07 2.03719e+07 3.51389e+06 7260.09 30 64568 594370 -1 8.65166 nan -83.0393 -8.65166 0 0 0.78 -1 -1 101.7 MiB 2.76 0.603303 0.529989 101.7 MiB -1 0.89 - k6_frac_N10_40nm.xml seq.pre-vpr.blif common 18.93 vpr 73.44 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 137 41 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 75200 41 35 1006 76 0 966 213 16 16 256 -1 mcnc_medium -1 -1 -1 -1 -1 -1 -1 73.4 MiB 7.75 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 73.4 MiB 7.75 73.4 MiB 2.26 16234 16.8054 4428 4.58385 7333 31283 1130829 193699 1.05632e+07 7.38348e+06 1.26944e+06 4958.75 18 28900 206586 -1 6.06719 nan -179.594 -6.06719 0 0 0.29 -1 -1 73.4 MiB 0.59 0.225217 0.206578 73.4 MiB -1 0.44 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time initial_placed_wirelength_est placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time initial_placed_CPD_est placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 5.42 vpr 74.62 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 80 9 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 76412 9 19 897 28 0 553 108 16 16 256 -1 mcnc_medium -1 -1 9403 6159 8332 1169 4944 2219 74.6 MiB 2.07 0.01 6.38627 5.03307 -82.5272 -5.03307 nan 0.05 0.00163693 0.00127812 0.0763521 0.063641 74.6 MiB 2.07 74.6 MiB 1.37 10201 18.4801 2604 4.71739 4077 19753 686869 111324 1.05632e+07 4.31152e+06 1.26944e+06 4958.75 17 28900 206586 -1 5.47355 nan -88.2759 -5.47355 0 0 0.19 -1 -1 74.6 MiB 0.25 0.188399 0.163633 74.6 MiB -1 0.05 + k6_frac_N10_40nm.xml des.pre-vpr.blif common 2.42 vpr 75.53 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 60 256 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77344 256 245 954 501 0 598 561 22 22 484 -1 mcnc_large -1 -1 8563 7535 44489 711 9803 33975 75.5 MiB 0.67 0.01 6.75273 4.22233 -808.963 -4.22233 nan 0.07 0.00198854 0.00175913 0.0485999 0.0437247 75.5 MiB 0.67 75.5 MiB 0.37 10334 17.2809 2851 4.76756 2724 5860 351757 83165 2.15576e+07 3.23364e+06 1.49107e+06 3080.73 18 47664 245996 -1 4.44165 nan -865.851 -4.44165 0 0 0.21 -1 -1 75.5 MiB 0.18 0.153989 0.141495 75.5 MiB -1 0.07 + k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 20.42 vpr 103.30 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 294 10 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 105784 10 10 2659 20 0 1271 314 22 22 484 -1 mcnc_large -1 -1 35357 24411 60010 16230 38382 5398 103.3 MiB 8.23 0.02 9.43184 6.46379 -62.5671 -6.46379 nan 0.16 0.0063497 0.0050375 0.425885 0.351879 103.3 MiB 8.23 103.3 MiB 4.31 37499 29.5035 9497 7.47207 8209 52283 2194356 288045 2.15576e+07 1.58448e+07 3.51389e+06 7260.09 18 64568 594370 -1 6.75089 nan -64.5268 -6.75089 0 0 0.64 -1 -1 103.3 MiB 0.84 0.793649 0.680537 103.3 MiB -1 0.16 + k6_frac_N10_40nm.xml seq.pre-vpr.blif common 5.29 vpr 75.68 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 85 41 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77496 41 35 1006 76 0 568 161 16 16 256 -1 mcnc_medium -1 -1 10195 6390 9775 797 4761 4217 75.7 MiB 1.99 0.01 6.7004 4.74782 -144.082 -4.74782 nan 0.05 0.00234249 0.00188299 0.0692247 0.0585205 75.7 MiB 1.99 75.7 MiB 1.24 10367 18.2518 2719 4.78697 4167 22236 721470 123170 1.05632e+07 4.58099e+06 1.26944e+06 4958.75 18 28900 206586 -1 5.02595 nan -149.084 -5.02595 0 0 0.19 -1 -1 75.7 MiB 0.29 0.197995 0.173511 75.7 MiB -1 0.05 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/naive_full_legalizer/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/naive_full_legalizer/config/config.txt new file mode 100644 index 00000000000..dbc8f9dcd38 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/naive_full_legalizer/config/config.txt @@ -0,0 +1,54 @@ +############################################################################### +# Configuration file for running the MCNC benchmarks through the AP flow. +# +# The AP flow requires that each circuit contains fixed blocks and is fixed +# to a specific device size. The device sizes here were chosen to match the +# device sizes of the default VTR flow. +############################################################################### + +# Path to directory of circuits to use +circuits_dir=benchmarks/blif/wiremap6 + +# Path to directory of architectures to use +archs_dir=arch/timing + +# Add architectures to list to sweep +arch_list_add=k6_frac_N10_40nm.xml + +# Add circuits to list to sweep +circuit_list_add=apex4.pre-vpr.blif +circuit_list_add=des.pre-vpr.blif +circuit_list_add=ex1010.pre-vpr.blif +circuit_list_add=seq.pre-vpr.blif + +# Constrain the circuits to their devices +circuit_constraint_list_add=(apex4.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(seq.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(des.pre-vpr.blif, device=mcnc_large) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, device=mcnc_large) + +# Constrain the IOs +circuit_constraint_list_add=(apex4.pre-vpr.blif, constraints=../../../../../mcnc/constraints/apex4_io_constraint.xml) +circuit_constraint_list_add=(seq.pre-vpr.blif, constraints=../../../../../mcnc/constraints/seq_io_constraint.xml) +circuit_constraint_list_add=(des.pre-vpr.blif, constraints=../../../../../mcnc/constraints/des_io_constraint.xml) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, constraints=../../../../../mcnc/constraints/ex1010_io_constraint.xml) + +# Constrain the circuits to their channel widths +# 1.3 * minW +circuit_constraint_list_add=(apex4.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(seq.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(des.pre-vpr.blif, route_chan_width=44) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, route_chan_width=114) + +# Parse info and how to parse +parse_file=vpr_fixed_chan_width.txt + +# How to parse QoR info +qor_parse_file=qor_ap_fixed_chan_width.txt + +# Pass requirements +pass_requirements_file=pass_requirements_ap_fixed_chan_width.txt + +# Pass the script params while writing the vpr constraints. +script_params=-starting_stage vpr -track_memory_usage --analytical_place --ap_full_legalizer naive --route + diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/naive_full_legalizer/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/naive_full_legalizer/config/golden_results.txt new file mode 100644 index 00000000000..3ad8c6f807c --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/naive_full_legalizer/config/golden_results.txt @@ -0,0 +1,5 @@ + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time initial_placed_wirelength_est placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time initial_placed_CPD_est placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 5.69 vpr 74.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 115 9 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 76288 9 19 897 28 0 625 143 16 16 256 -1 mcnc_medium -1 -1 6992 7002 889 24 707 158 74.5 MiB 2.23 0.01 6.13867 6.20142 -92.8983 -6.20142 nan 0.05 0.00184963 0.00146703 0.0215325 0.020109 74.5 MiB 2.23 74.5 MiB 1.71 10686 17.1250 2867 4.59455 3963 19221 604164 101962 1.05632e+07 6.19781e+06 1.26944e+06 4958.75 18 28900 206586 -1 6.1539 nan -95.8095 -6.1539 0 0 0.19 -1 -1 74.5 MiB 0.25 0.13457 0.120906 74.5 MiB -1 0.05 + k6_frac_N10_40nm.xml des.pre-vpr.blif common 3.69 vpr 75.73 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 185 256 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77544 256 245 954 501 0 734 686 22 22 484 -1 mcnc_large -1 -1 9207 7848 61166 2485 20802 37879 75.7 MiB 1.31 0.01 5.67226 4.51297 -851.423 -4.51297 nan 0.07 0.0021372 0.00191331 0.0557081 0.0503795 75.7 MiB 1.31 75.7 MiB 0.93 11170 15.2180 3089 4.20845 2751 7995 332142 75196 2.15576e+07 9.97039e+06 1.49107e+06 3080.73 18 47664 245996 -1 4.65299 nan -879.156 -4.65299 0 0 0.21 -1 -1 75.7 MiB 0.18 0.15988 0.14711 75.7 MiB -1 0.07 + k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 20.29 vpr 102.36 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 359 10 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 104816 10 10 2659 20 0 1425 379 22 22 484 -1 mcnc_large -1 -1 30397 26717 37396 4935 29068 3393 102.4 MiB 8.00 0.03 9.198 6.91182 -66.484 -6.91182 nan 0.16 0.00639975 0.00510351 0.233175 0.196302 102.4 MiB 8.00 102.4 MiB 4.74 41052 28.8084 10538 7.39509 8821 60607 2626808 334508 2.15576e+07 1.93479e+07 3.51389e+06 7260.09 17 64568 594370 -1 7.39449 nan -70.2262 -7.39449 0 0 0.64 -1 -1 102.4 MiB 1.00 0.609161 0.533892 102.4 MiB -1 0.16 + k6_frac_N10_40nm.xml seq.pre-vpr.blif common 6.43 vpr 75.60 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 130 41 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77412 41 35 1006 76 0 677 206 16 16 256 -1 mcnc_medium -1 -1 8944 7755 9326 447 5503 3376 75.6 MiB 2.51 0.01 6.51137 5.32473 -151.971 -5.32473 nan 0.05 0.0023814 0.00192808 0.0582225 0.0502958 75.6 MiB 2.51 75.6 MiB 1.78 12758 18.8449 3345 4.94092 4671 25130 849904 141761 1.05632e+07 7.00622e+06 1.26944e+06 4958.75 19 28900 206586 -1 5.69529 nan -161.815 -5.69529 0 0 0.19 -1 -1 75.6 MiB 0.33 0.188837 0.166976 75.6 MiB -1 0.05 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/no_fixed_blocks/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/no_fixed_blocks/config/config.txt new file mode 100755 index 00000000000..7678dea6b00 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/no_fixed_blocks/config/config.txt @@ -0,0 +1,51 @@ +############################################################################### +# Configuration file for running the MCNC benchmarks through the AP flow. +# +# The AP flow requires that each circuit contains fixed blocks and is fixed +# to a specific device size. The device sizes here were chosen to match the +# device sizes of the default VTR flow. +############################################################################### + +# Path to directory of circuits to use +circuits_dir=benchmarks/verilog + +# Path to directory of architectures to use +archs_dir=arch/timing + +# Add architectures to list to sweep +arch_list_add=k6_frac_N10_frac_chain_mem32K_40nm.xml + +# Add circuits to list to sweep +circuit_list_add=boundtop.v +circuit_list_add=ch_intrinsics.v +circuit_list_add=or1200.v +circuit_list_add=spree.v +circuit_list_add=stereovision3.v + +# Constrain the circuits to their devices +circuit_constraint_list_add=(stereovision3.v, device=vtr_extra_small) +circuit_constraint_list_add=(ch_intrinsics.v, device=vtr_extra_small) +circuit_constraint_list_add=(spree.v, device=vtr_extra_small) +circuit_constraint_list_add=(boundtop.v, device=vtr_extra_small) +circuit_constraint_list_add=(or1200.v, device=vtr_small) + +# Constrain the circuits to their channel widths +# 1.3 * minW +circuit_constraint_list_add=(stereovision3.v, route_chan_width=44) +circuit_constraint_list_add=(ch_intrinsics.v, route_chan_width=52) +circuit_constraint_list_add=(spree.v, route_chan_width=78) +circuit_constraint_list_add=(boundtop.v, route_chan_width=50) +circuit_constraint_list_add=(or1200.v, route_chan_width=118) + +# Parse info and how to parse +parse_file=vpr_fixed_chan_width.txt + +# How to parse QoR info +qor_parse_file=qor_ap_fixed_chan_width.txt + +# Pass requirements +pass_requirements_file=pass_requirements_ap_fixed_chan_width.txt + +# Pass the script params while writing the vpr constraints. +script_params=-track_memory_usage -crit_path_router_iterations 100 --analytical_place --route + diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/no_fixed_blocks/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/no_fixed_blocks/config/golden_results.txt new file mode 100644 index 00000000000..2d46961d25a --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/no_fixed_blocks/config/golden_results.txt @@ -0,0 +1,6 @@ + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time initial_placed_wirelength_est placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time initial_placed_CPD_est placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 16.05 vpr 81.89 MiB -1 -1 9.85 47916 3 0.64 -1 -1 38724 -1 -1 49 196 1 0 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 83860 196 193 800 0 1 604 439 20 20 400 -1 vtr_extra_small -1 -1 10093 3077 163805 47163 101234 15408 81.9 MiB 2.17 0.01 3.77247 2.52274 -1147.74 -2.52274 2.52274 0.06 0.00196642 0.00169503 0.211716 0.183254 81.9 MiB 2.17 81.9 MiB 0.91 4991 8.38824 1457 2.44874 1466 2076 118441 34928 2.07112e+07 3.18881e+06 1.26946e+06 3173.65 11 38988 203232 -1 2.92237 2.92237 -1223.08 -2.92237 0 0 0.18 -1 -1 81.9 MiB 0.10 0.293888 0.259253 81.9 MiB -1 0.06 + k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 2.52 vpr 77.02 MiB -1 -1 0.23 22192 3 0.07 -1 -1 36924 -1 -1 68 99 1 0 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 78868 99 130 264 0 1 225 298 20 20 400 -1 vtr_extra_small -1 -1 2849 742 80893 25565 39657 15671 77.0 MiB 0.72 0.00 2.69645 1.91463 -131.03 -1.91463 1.91463 0.06 0.00061311 0.000539815 0.0522956 0.0461293 77.0 MiB 0.72 77.0 MiB 0.30 1353 8.15060 436 2.62651 392 659 28979 8639 2.07112e+07 4.21279e+06 1.31074e+06 3276.84 11 39388 210115 -1 1.9348 1.9348 -148.444 -1.9348 0 0 0.19 -1 -1 77.0 MiB 0.03 0.0744741 0.0665954 77.0 MiB -1 0.06 + k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 46.11 vpr 128.92 MiB -1 -1 3.56 65444 8 3.03 -1 -1 44832 -1 -1 244 385 2 1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 132012 385 362 3324 0 1 2376 994 30 30 900 -1 vtr_small -1 -1 69736 30282 586038 225550 334252 26236 128.9 MiB 16.71 0.07 13.325 9.27568 -9839.79 -9.27568 9.27568 0.29 0.0114598 0.0100068 1.39228 1.22079 128.9 MiB 16.71 128.9 MiB 7.82 41183 17.4430 10522 4.45659 9533 31343 1664287 305798 4.8774e+07 1.46421e+07 6.56785e+06 7297.61 15 120772 1084977 -1 9.37975 9.37975 -10177.2 -9.37975 0 0 1.26 -1 -1 128.9 MiB 0.87 1.92037 1.71045 128.9 MiB -1 0.29 + k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 12.43 vpr 85.28 MiB -1 -1 2.05 35232 16 0.41 -1 -1 38816 -1 -1 60 45 3 1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 87328 45 32 936 0 1 769 141 20 20 400 -1 vtr_extra_small -1 -1 15469 6745 22467 6247 15063 1157 85.3 MiB 4.07 0.01 15.7888 11.0431 -6847.48 -11.0431 11.0431 0.09 0.00231814 0.00189861 0.173349 0.143749 85.3 MiB 4.07 85.3 MiB 2.71 11393 14.8734 2918 3.80940 3365 9605 739135 176293 2.07112e+07 5.27364e+06 1.91495e+06 4787.38 16 44576 305072 -1 11.6428 11.6428 -7437.92 -11.6428 0 0 0.31 -1 -1 85.3 MiB 0.28 0.320691 0.276954 85.3 MiB -1 0.08 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.26 vpr 76.48 MiB -1 -1 0.46 26544 4 0.11 -1 -1 36856 -1 -1 15 11 0 0 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 78320 11 2 140 0 2 79 28 20 20 400 -1 vtr_extra_small -1 -1 1310 261 1624 429 1055 140 76.5 MiB 0.43 0.00 3.12927 2.10685 -169.756 -2.10685 1.95087 0.05 0.00043178 0.00035591 0.0204188 0.0171776 76.5 MiB 0.43 76.5 MiB 0.25 405 5.54795 119 1.63014 169 297 5541 1641 2.07112e+07 808410 1.12964e+06 2824.09 13 37792 180905 -1 2.21425 2.04843 -176.222 -2.21425 0 0 0.16 -1 -1 76.5 MiB 0.02 0.0414951 0.0361465 76.5 MiB -1 0.05 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/none_detailed_placer/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/none_detailed_placer/config/config.txt new file mode 100644 index 00000000000..bb79ed9f837 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/none_detailed_placer/config/config.txt @@ -0,0 +1,54 @@ +############################################################################### +# Configuration file for running the MCNC benchmarks through the AP flow. +# +# The AP flow requires that each circuit contains fixed blocks and is fixed +# to a specific device size. The device sizes here were chosen to match the +# device sizes of the default VTR flow. +############################################################################### + +# Path to directory of circuits to use +circuits_dir=benchmarks/blif/wiremap6 + +# Path to directory of architectures to use +archs_dir=arch/timing + +# Add architectures to list to sweep +arch_list_add=k6_frac_N10_40nm.xml + +# Add circuits to list to sweep +circuit_list_add=apex4.pre-vpr.blif +circuit_list_add=des.pre-vpr.blif +circuit_list_add=seq.pre-vpr.blif +# circuit_list_add=ex1010.pre-vpr.blif + +# Constrain the circuits to their devices +circuit_constraint_list_add=(apex4.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(seq.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(des.pre-vpr.blif, device=mcnc_large) +# circuit_constraint_list_add=(ex1010.pre-vpr.blif, device=mcnc_large) + +# Constrain the IOs +circuit_constraint_list_add=(apex4.pre-vpr.blif, constraints=../../../../../mcnc/constraints/apex4_io_constraint.xml) +circuit_constraint_list_add=(seq.pre-vpr.blif, constraints=../../../../../mcnc/constraints/seq_io_constraint.xml) +circuit_constraint_list_add=(des.pre-vpr.blif, constraints=../../../../../mcnc/constraints/des_io_constraint.xml) +# circuit_constraint_list_add=(ex1010.pre-vpr.blif, constraints=../../../../../mcnc/constraints/ex1010_io_constraint.xml) + +# Constrain the circuits to their channel widths +# 1.3 * minW +circuit_constraint_list_add=(apex4.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(seq.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(des.pre-vpr.blif, route_chan_width=44) +# circuit_constraint_list_add=(ex1010.pre-vpr.blif, route_chan_width=114) + +# Parse info and how to parse +parse_file=vpr_fixed_chan_width.txt + +# How to parse QoR info +qor_parse_file=qor_ap_fixed_chan_width.txt + +# Pass requirements +pass_requirements_file=pass_requirements_ap_fixed_chan_width.txt + +# Pass the script params while writing the vpr constraints. +script_params=-starting_stage vpr -track_memory_usage --analytical_place --ap_detailed_placer none --route + diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/none_detailed_placer/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/none_detailed_placer/config/golden_results.txt new file mode 100644 index 00000000000..9bc3116c877 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/none_detailed_placer/config/golden_results.txt @@ -0,0 +1,4 @@ + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time initial_placed_wirelength_est placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time initial_placed_CPD_est placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 4.91 vpr 74.38 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 80 9 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 76164 9 19 897 28 0 553 108 16 16 256 -1 mcnc_medium -1 -1 -1 -1 -1 -1 -1 -1 74.4 MiB 1.81 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 74.4 MiB 1.81 74.4 MiB 1.37 13272 24.0435 3469 6.28442 3878 18598 715986 106519 1.05632e+07 4.31152e+06 1.26944e+06 4958.75 17 28900 206586 -1 6.45087 nan -103.767 -6.45087 0 0 0.19 -1 -1 74.4 MiB 0.26 0.112595 0.100491 74.4 MiB -1 0.05 + k6_frac_N10_40nm.xml des.pre-vpr.blif common 1.97 vpr 75.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 60 256 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77096 256 245 954 501 0 598 561 22 22 484 -1 mcnc_large -1 -1 -1 -1 -1 -1 -1 -1 75.3 MiB 0.42 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 75.3 MiB 0.42 75.3 MiB 0.38 11212 18.7492 3056 5.11037 2113 4530 278846 60151 2.15576e+07 3.23364e+06 1.49107e+06 3080.73 12 47664 245996 -1 6.64463 nan -975.374 -6.64463 0 0 0.21 -1 -1 75.3 MiB 0.14 0.084613 0.0790408 75.3 MiB -1 0.07 + k6_frac_N10_40nm.xml seq.pre-vpr.blif common 4.85 vpr 75.37 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 85 41 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77176 41 35 1006 76 0 568 161 16 16 256 -1 mcnc_medium -1 -1 -1 -1 -1 -1 -1 -1 75.4 MiB 1.71 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 75.4 MiB 1.71 75.4 MiB 1.21 14272 25.1268 3820 6.72535 3679 18279 692666 109920 1.05632e+07 4.58099e+06 1.26944e+06 4958.75 17 28900 206586 -1 6.47993 nan -177.198 -6.47993 0 0 0.19 -1 -1 75.4 MiB 0.27 0.123284 0.110324 75.4 MiB -1 0.05 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/qp_hybrid_analytical_solver/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/qp_hybrid_analytical_solver/config/config.txt new file mode 100644 index 00000000000..8e0fbf318b0 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/qp_hybrid_analytical_solver/config/config.txt @@ -0,0 +1,54 @@ +############################################################################### +# Configuration file for running the MCNC benchmarks through the AP flow. +# +# The AP flow requires that each circuit contains fixed blocks and is fixed +# to a specific device size. The device sizes here were chosen to match the +# device sizes of the default VTR flow. +############################################################################### + +# Path to directory of circuits to use +circuits_dir=benchmarks/blif/wiremap6 + +# Path to directory of architectures to use +archs_dir=arch/timing + +# Add architectures to list to sweep +arch_list_add=k6_frac_N10_40nm.xml + +# Add circuits to list to sweep +circuit_list_add=apex4.pre-vpr.blif +circuit_list_add=des.pre-vpr.blif +circuit_list_add=ex1010.pre-vpr.blif +circuit_list_add=seq.pre-vpr.blif + +# Constrain the circuits to their devices +circuit_constraint_list_add=(apex4.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(seq.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(des.pre-vpr.blif, device=mcnc_large) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, device=mcnc_large) + +# Constrain the IOs +circuit_constraint_list_add=(apex4.pre-vpr.blif, constraints=../../../../../mcnc/constraints/apex4_io_constraint.xml) +circuit_constraint_list_add=(seq.pre-vpr.blif, constraints=../../../../../mcnc/constraints/seq_io_constraint.xml) +circuit_constraint_list_add=(des.pre-vpr.blif, constraints=../../../../../mcnc/constraints/des_io_constraint.xml) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, constraints=../../../../../mcnc/constraints/ex1010_io_constraint.xml) + +# Constrain the circuits to their channel widths +# 1.3 * minW +circuit_constraint_list_add=(apex4.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(seq.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(des.pre-vpr.blif, route_chan_width=44) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, route_chan_width=114) + +# Parse info and how to parse +parse_file=vpr_fixed_chan_width.txt + +# How to parse QoR info +qor_parse_file=qor_ap_fixed_chan_width.txt + +# Pass requirements +pass_requirements_file=pass_requirements_ap_fixed_chan_width.txt + +# Pass the script params while writing the vpr constraints. +script_params=-starting_stage vpr -track_memory_usage --analytical_place --route --ap_analytical_solver qp-hybrid + diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/qp_hybrid_analytical_solver/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/qp_hybrid_analytical_solver/config/golden_results.txt new file mode 100644 index 00000000000..30011e0b715 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/qp_hybrid_analytical_solver/config/golden_results.txt @@ -0,0 +1,5 @@ + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time initial_placed_wirelength_est placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time initial_placed_CPD_est placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 4.86 vpr 74.66 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 81 9 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 76452 9 19 897 28 0 625 109 16 16 256 -1 mcnc_medium -1 -1 10323 6649 8689 1316 5113 2260 74.7 MiB 1.76 0.01 6.54619 5.17635 -85.9934 -5.17635 nan 0.05 0.00164315 0.00128057 0.0733612 0.0605987 74.7 MiB 1.76 74.7 MiB 1.32 10840 17.3718 2768 4.43590 5407 24284 870241 141685 1.05632e+07 4.36541e+06 1.26944e+06 4958.75 20 28900 206586 -1 5.42577 nan -88.8116 -5.42577 0 0 0.19 -1 -1 74.7 MiB 0.29 0.19409 0.166936 74.7 MiB -1 0.05 + k6_frac_N10_40nm.xml des.pre-vpr.blif common 2.43 vpr 75.52 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 59 256 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77328 256 245 954 501 0 600 560 22 22 484 -1 mcnc_large -1 -1 10402 8307 53621 1204 10298 42119 75.5 MiB 0.68 0.01 6.38809 4.16523 -791.785 -4.16523 nan 0.07 0.00208179 0.00185463 0.0618928 0.0557901 75.5 MiB 0.68 75.5 MiB 0.38 11135 18.5583 3005 5.00833 2530 5567 337524 73209 2.15576e+07 3.17975e+06 1.49107e+06 3080.73 21 47664 245996 -1 4.4894 nan -841.028 -4.4894 0 0 0.21 -1 -1 75.5 MiB 0.19 0.179241 0.164601 75.5 MiB -1 0.07 + k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 17.75 vpr 102.67 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 288 10 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 105136 10 10 2659 20 0 1538 308 22 22 484 -1 mcnc_large -1 -1 38253 26944 58492 15799 37362 5331 102.7 MiB 6.73 0.02 9.41303 6.40421 -62.5348 -6.40421 nan 0.17 0.00666069 0.00532918 0.430291 0.355679 102.7 MiB 6.73 102.7 MiB 4.45 40258 26.1756 10190 6.62549 10650 57950 2684197 344292 2.15576e+07 1.55215e+07 3.51389e+06 7260.09 18 64568 594370 -1 6.57818 nan -63.8839 -6.57818 0 0 0.64 -1 -1 102.7 MiB 1.04 0.843305 0.725958 102.7 MiB -1 0.17 + k6_frac_N10_40nm.xml seq.pre-vpr.blif common 4.78 vpr 75.54 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 84 41 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77348 41 35 1006 76 0 665 160 16 16 256 -1 mcnc_medium -1 -1 11168 6794 11444 944 5497 5003 75.5 MiB 1.73 0.01 6.69562 4.81085 -140.774 -4.81085 nan 0.06 0.00183692 0.00145063 0.0754977 0.0634854 75.5 MiB 1.73 75.5 MiB 1.27 10731 16.1368 2840 4.27068 5065 23288 779371 134867 1.05632e+07 4.5271e+06 1.26944e+06 4958.75 20 28900 206586 -1 5.06219 nan -147.938 -5.06219 0 0 0.19 -1 -1 75.5 MiB 0.30 0.209884 0.182524 75.5 MiB -1 0.06 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/unrelated_clustering/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/unrelated_clustering/config/config.txt new file mode 100644 index 00000000000..a45e6a65de4 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/unrelated_clustering/config/config.txt @@ -0,0 +1,54 @@ +############################################################################### +# Configuration file for running the MCNC benchmarks through the AP flow. +# +# The AP flow requires that each circuit contains fixed blocks and is fixed +# to a specific device size. The device sizes here were chosen to match the +# device sizes of the default VTR flow. +############################################################################### + +# Path to directory of circuits to use +circuits_dir=benchmarks/blif/wiremap6 + +# Path to directory of architectures to use +archs_dir=arch/timing + +# Add architectures to list to sweep +arch_list_add=k6_frac_N10_40nm.xml + +# Add circuits to list to sweep +circuit_list_add=apex4.pre-vpr.blif +circuit_list_add=des.pre-vpr.blif +circuit_list_add=ex1010.pre-vpr.blif +circuit_list_add=seq.pre-vpr.blif + +# Constrain the circuits to their devices +circuit_constraint_list_add=(apex4.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(seq.pre-vpr.blif, device=mcnc_medium) +circuit_constraint_list_add=(des.pre-vpr.blif, device=mcnc_large) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, device=mcnc_large) + +# Constrain the IOs +circuit_constraint_list_add=(apex4.pre-vpr.blif, constraints=../../../../../mcnc/constraints/apex4_io_constraint.xml) +circuit_constraint_list_add=(seq.pre-vpr.blif, constraints=../../../../../mcnc/constraints/seq_io_constraint.xml) +circuit_constraint_list_add=(des.pre-vpr.blif, constraints=../../../../../mcnc/constraints/des_io_constraint.xml) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, constraints=../../../../../mcnc/constraints/ex1010_io_constraint.xml) + +# Constrain the circuits to their channel widths +# 1.3 * minW +circuit_constraint_list_add=(apex4.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(seq.pre-vpr.blif, route_chan_width=78) +circuit_constraint_list_add=(des.pre-vpr.blif, route_chan_width=44) +circuit_constraint_list_add=(ex1010.pre-vpr.blif, route_chan_width=114) + +# Parse info and how to parse +parse_file=vpr_fixed_chan_width.txt + +# How to parse QoR info +qor_parse_file=qor_ap_fixed_chan_width.txt + +# Pass requirements +pass_requirements_file=pass_requirements_ap_fixed_chan_width.txt + +# Pass the script params while writing the vpr constraints. +script_params=-starting_stage vpr -track_memory_usage --analytical_place --route --allow_unrelated_clustering on + diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/unrelated_clustering/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/unrelated_clustering/config/golden_results.txt new file mode 100644 index 00000000000..21279f9641b --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/unrelated_clustering/config/golden_results.txt @@ -0,0 +1,5 @@ + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time initial_placed_wirelength_est placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time initial_placed_CPD_est placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_40nm.xml apex4.pre-vpr.blif common 5.66 vpr 74.28 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 80 9 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 76064 9 19 897 28 0 552 108 16 16 256 -1 mcnc_medium -1 -1 9620 6071 8332 1239 4827 2266 74.3 MiB 2.17 0.01 6.55226 5.04869 -82.451 -5.04869 nan 0.05 0.0019148 0.00151416 0.084397 0.070615 74.3 MiB 2.17 74.3 MiB 1.47 9940 18.0399 2561 4.64791 4585 23342 802970 130868 1.05632e+07 4.31152e+06 1.26944e+06 4958.75 19 28900 206586 -1 5.35516 nan -87.5909 -5.35516 0 0 0.19 -1 -1 74.3 MiB 0.29 0.206681 0.179393 74.3 MiB -1 0.05 + k6_frac_N10_40nm.xml des.pre-vpr.blif common 2.48 vpr 75.52 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 54 256 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77328 256 245 954 501 0 597 555 22 22 484 -1 mcnc_large -1 -1 8708 7524 52995 794 11676 40525 75.5 MiB 0.72 0.01 6.97905 4.04686 -782.79 -4.04686 nan 0.07 0.00208549 0.00185005 0.062528 0.0562697 75.5 MiB 0.72 75.5 MiB 0.38 10306 17.2630 2820 4.72362 2656 5838 326120 72001 2.15576e+07 2.91028e+06 1.49107e+06 3080.73 17 47664 245996 -1 4.59024 nan -888.995 -4.59024 0 0 0.22 -1 -1 75.5 MiB 0.17 0.166107 0.152372 75.5 MiB -1 0.07 + k6_frac_N10_40nm.xml ex1010.pre-vpr.blif common 21.33 vpr 102.66 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 265 10 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 105120 10 10 2659 20 0 1294 285 22 22 484 -1 mcnc_large -1 -1 34837 24481 50883 13051 33647 4185 102.7 MiB 8.60 0.02 9.12647 6.35221 -62.1391 -6.35221 nan 0.17 0.00648785 0.00516362 0.408006 0.337214 102.7 MiB 8.60 102.7 MiB 4.77 37135 28.6978 9413 7.27434 8847 56356 2392185 311871 2.15576e+07 1.42819e+07 3.51389e+06 7260.09 18 64568 594370 -1 6.77434 nan -65.0555 -6.77434 0 0 0.64 -1 -1 102.7 MiB 0.94 0.795649 0.684273 102.7 MiB -1 0.17 + k6_frac_N10_40nm.xml seq.pre-vpr.blif common 5.46 vpr 75.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 83 41 -1 -1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 77220 41 35 1006 76 0 569 159 16 16 256 -1 mcnc_medium -1 -1 10409 6244 10049 777 4823 4449 75.4 MiB 2.09 0.01 6.53346 4.67383 -140.875 -4.67383 nan 0.05 0.00230132 0.00185378 0.0709884 0.0598796 75.4 MiB 2.09 75.4 MiB 1.33 10049 17.6608 2652 4.66081 4131 22014 689676 118454 1.05632e+07 4.4732e+06 1.26944e+06 4958.75 18 28900 206586 -1 5.15385 nan -149.76 -5.15385 0 0 0.19 -1 -1 75.4 MiB 0.27 0.198813 0.173339 75.4 MiB -1 0.05 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/vtr_chain/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/vtr_chain/config/config.txt index 2f77b824751..f5bbc150963 100755 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/vtr_chain/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/vtr_chain/config/config.txt @@ -18,7 +18,7 @@ arch_list_add=k6_frac_N10_frac_chain_mem32K_40nm.xml # Add circuits to list to sweep circuit_list_add=boundtop.v circuit_list_add=ch_intrinsics.v -circuit_list_add=or1200.v +# circuit_list_add=or1200.v circuit_list_add=spree.v circuit_list_add=stereovision3.v @@ -27,14 +27,14 @@ circuit_constraint_list_add=(stereovision3.v, device=vtr_extra_small) circuit_constraint_list_add=(ch_intrinsics.v, device=vtr_extra_small) circuit_constraint_list_add=(spree.v, device=vtr_extra_small) circuit_constraint_list_add=(boundtop.v, device=vtr_extra_small) -circuit_constraint_list_add=(or1200.v, device=vtr_small) +# circuit_constraint_list_add=(or1200.v, device=vtr_small) # Constrain the IOs circuit_constraint_list_add=(stereovision3.v, constraints=../../../../constraints/stereovision3_io_constraint.xml) circuit_constraint_list_add=(ch_intrinsics.v, constraints=../../../../constraints/ch_intrinsics_io_constraint.xml) circuit_constraint_list_add=(spree.v, constraints=../../../../constraints/spree_io_constraint.xml) circuit_constraint_list_add=(boundtop.v, constraints=../../../../constraints/boundtop_io_constraint.xml) -circuit_constraint_list_add=(or1200.v, constraints=../../../../constraints/or1200_io_constraint.xml) +# circuit_constraint_list_add=(or1200.v, constraints=../../../../constraints/or1200_io_constraint.xml) # Constrain the circuits to their channel widths # 1.3 * minW @@ -42,7 +42,7 @@ circuit_constraint_list_add=(stereovision3.v, route_chan_width=44) circuit_constraint_list_add=(ch_intrinsics.v, route_chan_width=52) circuit_constraint_list_add=(spree.v, route_chan_width=78) circuit_constraint_list_add=(boundtop.v, route_chan_width=50) -circuit_constraint_list_add=(or1200.v, route_chan_width=118) +# circuit_constraint_list_add=(or1200.v, route_chan_width=118) # Parse info and how to parse parse_file=vpr_fixed_chan_width.txt diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/vtr_chain/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/vtr_chain/config/golden_results.txt index 01136ed182a..c66935a46ca 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/vtr_chain/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_ap/vtr_chain/config/golden_results.txt @@ -1,6 +1,5 @@ - arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 25.49 vpr 80.19 MiB -1 -1 18.42 46348 3 0.86 -1 -1 35680 -1 -1 79 196 2 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 82116 196 193 800 389 1 770 470 20 20 400 -1 vtr_extra_small -1 -1 -1 -1 -1 -1 -1 80.2 MiB 1.84 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 80.2 MiB 1.84 80.2 MiB 1.78 9940 13.0618 2693 3.53876 2581 4860 309537 77921 2.07112e+07 5.35363e+06 1.26946e+06 3173.65 17 38988 203232 -1 3.97958 3.97958 -1577.97 -3.97958 0 0 0.21 -1 -1 80.2 MiB 0.27 0.168973 0.157491 80.2 MiB -1 0.35 - k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 4.99 vpr 74.65 MiB -1 -1 0.39 19048 3 0.16 -1 -1 33328 -1 -1 43 99 4 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 76440 99 130 240 229 1 245 276 20 20 400 -1 vtr_extra_small -1 -1 -1 -1 -1 -1 -1 74.6 MiB 0.74 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 74.6 MiB 0.74 74.6 MiB 0.55 2337 12.5645 646 3.47312 478 786 47927 11655 2.07112e+07 4.50944e+06 1.31074e+06 3276.84 17 39388 210115 -1 2.7949 2.7949 -157.904 -2.7949 0 0 0.23 -1 -1 74.6 MiB 0.08 0.0477832 0.0442404 74.6 MiB -1 0.59 - k6_frac_N10_frac_chain_mem32K_40nm.xml or1200.v common 98.01 vpr 129.75 MiB -1 -1 6.86 63268 8 3.92 -1 -1 41140 -1 -1 389 385 4 1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 132868 385 362 3324 747 1 3234 1141 30 30 900 -1 vtr_small -1 -1 -1 -1 -1 -1 -1 129.8 MiB 36.86 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 129.8 MiB 36.86 129.8 MiB 7.32 78006 24.2330 20094 6.24231 16800 53011 4072067 673498 4.8774e+07 2.35528e+07 6.56785e+06 7297.61 18 120772 1084977 -1 13.1891 13.1891 -14048.3 -13.1891 0 0 1.37 -1 -1 129.8 MiB 1.69 0.748616 0.698526 129.8 MiB -1 1.67 - k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 34.66 vpr 83.23 MiB -1 -1 3.83 32732 16 0.61 -1 -1 34984 -1 -1 81 45 5 1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 85228 45 32 936 77 1 909 164 20 20 400 -1 vtr_extra_small -1 -1 -1 -1 -1 -1 -1 83.2 MiB 12.99 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 83.2 MiB 12.99 83.2 MiB 3.72 18303 20.2020 4779 5.27483 4457 12845 1130075 256506 2.07112e+07 7.50141e+06 1.91495e+06 4787.38 16 44576 305072 -1 16.4662 16.4662 -8382.09 -16.4662 0 0 0.35 -1 -1 83.2 MiB 0.43 0.172284 0.155775 83.2 MiB -1 0.53 - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 5.78 vpr 73.51 MiB -1 -1 0.88 22948 4 0.18 -1 -1 33064 -1 -1 20 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 75272 11 2 140 13 2 126 33 20 20 400 -1 vtr_extra_small -1 -1 -1 -1 -1 -1 -1 73.5 MiB 0.89 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 73.5 MiB 0.89 73.5 MiB 0.66 927 7.72500 248 2.06667 341 586 12691 3249 2.07112e+07 1.07788e+06 1.12964e+06 2824.09 11 37792 180905 -1 2.27141 2.21904 -192.145 -2.27141 0 0 0.21 -1 -1 73.5 MiB 0.04 0.0291693 0.0268442 73.5 MiB -1 0.47 + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time initial_placed_wirelength_est placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time initial_placed_CPD_est placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time + k6_frac_N10_frac_chain_mem32K_40nm.xml boundtop.v common 14.54 vpr 82.28 MiB -1 -1 9.96 48020 3 0.65 -1 -1 38940 -1 -1 50 196 1 0 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 84252 196 193 800 389 1 590 440 20 20 400 -1 vtr_extra_small -1 -1 7269 3604 43912 758 11772 31382 82.3 MiB 1.30 0.01 3.30822 2.43048 -1151.9 -2.43048 2.43048 0.06 0.002079 0.00181368 0.0695641 0.0612043 82.3 MiB 1.30 82.3 MiB 0.79 5442 9.36661 1584 2.72633 1683 2660 177523 49523 2.07112e+07 3.2427e+06 1.26946e+06 3173.65 11 38988 203232 -1 2.79324 2.79324 -1254.69 -2.79324 0 0 0.18 -1 -1 82.3 MiB 0.11 0.152818 0.138384 82.3 MiB -1 0.06 + k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 1.96 vpr 76.81 MiB -1 -1 0.24 22036 3 0.07 -1 -1 36928 -1 -1 69 99 1 0 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 78656 99 130 240 229 1 219 299 20 20 400 -1 vtr_extra_small -1 -1 1563 884 19280 2443 1505 15332 76.8 MiB 0.44 0.00 2.55206 1.84785 -144.016 -1.84785 1.84785 0.06 0.00060969 0.000536584 0.0151999 0.0136238 76.8 MiB 0.44 76.8 MiB 0.28 1526 9.53750 450 2.81250 376 634 31170 8726 2.07112e+07 4.26669e+06 1.31074e+06 3276.84 9 39388 210115 -1 2.05232 2.05232 -168.138 -2.05232 0 0 0.20 -1 -1 76.8 MiB 0.03 0.0352944 0.0322354 76.8 MiB -1 0.06 + k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 11.54 vpr 85.29 MiB -1 -1 2.07 35360 16 0.41 -1 -1 39076 -1 -1 60 45 3 1 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 87340 45 32 936 77 1 760 141 20 20 400 -1 vtr_extra_small -1 -1 12953 7089 10389 560 5264 4565 85.3 MiB 3.54 0.01 14.301 11.2847 -7086.79 -11.2847 11.2847 0.08 0.00255674 0.00213543 0.0910557 0.0765308 85.3 MiB 3.54 85.3 MiB 2.53 11912 15.7358 3017 3.98547 3350 8724 874737 208453 2.07112e+07 5.27364e+06 1.91495e+06 4787.38 16 44576 305072 -1 11.3994 11.3994 -7436.43 -11.3994 0 0 0.31 -1 -1 85.3 MiB 0.30 0.233278 0.204917 85.3 MiB -1 0.08 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.14 vpr 76.73 MiB -1 -1 0.46 26260 4 0.11 -1 -1 36560 -1 -1 15 11 0 0 success v8.0.0-12360-gc452b7d62-dirty release VTR_ASSERT_LEVEL=3 GNU 13.2.0 on Linux-6.8.0-49-generic x86_64 2025-03-31T20:05:43 srivatsan-Precision-Tower-5810 /home/alex/vtr-verilog-to-routing 78576 11 2 140 13 2 79 28 20 20 400 -1 vtr_extra_small -1 -1 1104 344 1078 166 479 433 76.7 MiB 0.38 0.00 2.93945 2.10685 -181.865 -2.10685 1.95087 0.05 0.000440544 0.000363655 0.0147992 0.0126302 76.7 MiB 0.38 76.7 MiB 0.24 524 7.17808 134 1.83562 128 184 4055 1077 2.07112e+07 808410 1.12964e+06 2824.09 5 37792 180905 -1 2.22187 1.97161 -193.349 -2.22187 0 0 0.16 -1 -1 76.7 MiB 0.02 0.0304812 0.0272338 76.7 MiB -1 0.05 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_bidir/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_bidir/config/golden_results.txt index b41f3d15872..37ed89929f5 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_bidir/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_bidir/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k4_n4_v7_bidir.xml styr.blif common 3.89 vpr 58.70 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60104 10 10 253 263 1 165 89 11 11 121 clb auto 19.0 MiB 0.24 1298 4445 695 3556 194 58.7 MiB 0.05 0.00 5.53812 -72.6437 -5.53812 5.53812 0.21 0.000597156 0.000536921 0.0176424 0.0161115 -1 -1 -1 -1 14 2029 36 2.43e+06 2.07e+06 -1 -1 2.05 0.317982 0.283185 3402 27531 -1 1944 19 1218 4569 249188 30978 7.47374 7.47374 -94.8537 -7.47374 0 0 -1 -1 0.07 0.29 0.02 -1 -1 0.07 0.060437 0.0554417 - k4_n4_v7_longline_bidir.xml styr.blif common 4.05 vpr 58.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59432 10 10 253 263 1 165 89 11 11 121 clb auto 18.9 MiB 0.06 1243 3851 530 3175 146 58.0 MiB 0.04 0.00 4.42129 -53.6285 -4.42129 4.42129 0.23 0.000663771 0.000596818 0.0176359 0.0161365 -1 -1 -1 -1 19 2381 26 2.43e+06 2.07e+06 -1 -1 2.10 0.266841 0.23096 3282 34431 -1 2331 24 1499 5264 384444 46394 8.40637 8.40637 -105.933 -8.40637 0 0 -1 -1 0.10 0.21 0.02 -1 -1 0.10 0.0424648 0.0379047 - k4_n4_v7_l1_bidir.xml styr.blif common 5.46 vpr 58.66 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60072 10 10 253 263 1 165 89 11 11 121 clb auto 18.9 MiB 0.24 1249 6821 1452 5028 341 58.7 MiB 0.08 0.00 6.30077 -80.949 -6.30077 6.30077 0.24 0.000749315 0.000681105 0.0293634 0.0269084 -1 -1 -1 -1 10 1483 31 2.43e+06 2.07e+06 -1 -1 3.45 0.313343 0.275769 4482 22551 -1 1280 20 1321 4798 303501 58064 7.52318 7.52318 -89.7629 -7.52318 0 0 -1 -1 0.05 0.22 0.02 -1 -1 0.05 0.041626 0.0374306 - k4_n4_v7_bidir_pass_gate.xml styr.blif common 4.39 vpr 58.13 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59524 10 10 253 263 1 165 89 11 11 121 clb auto 18.9 MiB 0.07 1252 4247 601 3492 154 58.1 MiB 0.05 0.00 3.38007 -43.5291 -3.38007 3.38007 0.17 0.000689869 0.000623886 0.0174325 0.0159695 -1 -1 -1 -1 14 2047 30 2.43e+06 2.07e+06 -1 -1 2.73 0.325816 0.284563 3402 27531 -1 2099 29 1484 5383 889715 156716 22.7353 22.7353 -261.092 -22.7353 0 0 -1 -1 0.05 0.26 0.03 -1 -1 0.05 0.0496444 0.0442275 + k4_n4_v7_bidir.xml styr.blif common 1.86 vpr 61.17 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62636 10 10 253 263 1 165 89 11 11 121 clb auto 21.5 MiB 0.05 1288 4445 682 3619 144 61.2 MiB 0.05 0.00 5.46014 -72.9505 -5.46014 5.46014 0.08 0.000682102 0.000589331 0.0191204 0.0168271 -1 -1 -1 -1 14 2036 29 2.43e+06 2.07e+06 -1 -1 0.92 0.219017 0.188624 3402 27531 -1 1911 15 1185 4098 215222 27160 6.9309 6.9309 -92.2142 -6.9309 0 0 -1 -1 0.01 0.09 0.03 -1 -1 0.01 0.0322472 0.0293972 + k4_n4_v7_longline_bidir.xml styr.blif common 1.71 vpr 60.48 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61932 10 10 253 263 1 165 89 11 11 121 clb auto 21.2 MiB 0.08 1219 4247 600 3483 164 60.5 MiB 0.06 0.00 4.42494 -53.3169 -4.42494 4.42494 0.10 0.000822212 0.000745517 0.0200899 0.0175819 -1 -1 -1 -1 18 2215 40 2.43e+06 2.07e+06 -1 -1 0.71 0.217702 0.191181 3282 34431 -1 2139 18 1151 3756 254207 31830 9.07319 9.07319 -108.035 -9.07319 0 0 -1 -1 0.02 0.11 0.03 -1 -1 0.02 0.0360271 0.0325274 + k4_n4_v7_l1_bidir.xml styr.blif common 2.28 vpr 61.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62500 10 10 253 263 1 165 89 11 11 121 clb auto 21.5 MiB 0.06 1285 7613 1616 5547 450 61.0 MiB 0.11 0.00 6.9252 -85.9419 -6.9252 6.9252 0.14 0.00083663 0.000735935 0.0404209 0.0365528 -1 -1 -1 -1 10 1481 31 2.43e+06 2.07e+06 -1 -1 1.11 0.183783 0.164876 4482 22551 -1 1268 22 1168 4312 263452 47622 7.30329 7.30329 -93.8299 -7.30329 0 0 -1 -1 0.01 0.12 0.02 -1 -1 0.01 0.0404434 0.0363816 + k4_n4_v7_bidir_pass_gate.xml styr.blif common 3.36 vpr 60.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61916 10 10 253 263 1 165 89 11 11 121 clb auto 21.3 MiB 0.09 1234 4643 666 3821 156 60.5 MiB 0.06 0.00 3.51175 -43.7413 -3.51175 3.51175 0.10 0.000796689 0.00069941 0.0254117 0.0229956 -1 -1 -1 -1 16 1911 27 2.43e+06 2.07e+06 -1 -1 2.14 0.308921 0.270668 3522 30407 -1 1965 30 1263 4698 759011 126866 28.7744 28.7744 -241.883 -28.7744 0 0 -1 -1 0.01 0.28 0.03 -1 -1 0.01 0.0527885 0.0460513 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_binary/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_binary/config/golden_results.txt index 0c895ef220e..99bb28a8269 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_binary/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_binary/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common_--verify_binary_search_off 4.17 vpr 63.71 MiB -1 -1 0.84 23508 5 0.18 -1 -1 33600 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65244 10 2 181 183 1 35 24 6 6 36 clb auto 24.2 MiB 0.28 146 398 72 298 28 63.7 MiB 0.07 0.01 2.14643 -92.7521 -2.14643 2.14643 0.08 0.000419766 0.000385553 0.00743059 0.00689969 -1 -1 -1 -1 14 201 20 646728 646728 22986.6 638.518 0.77 0.1423 0.123972 1728 4488 -1 171 15 208 442 9451 2845 2.12882 2.12882 -98.7664 -2.12882 0 0 30529.5 848.041 0.01 0.17 0.01 -1 -1 0.01 0.0225812 0.0202157 - k6_N10_mem32K_40nm.xml stereovision3.v common_--verify_binary_search_on 4.53 vpr 63.96 MiB -1 -1 0.88 23572 5 0.17 -1 -1 33336 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65496 10 2 181 183 1 35 24 6 6 36 clb auto 24.5 MiB 0.05 146 398 72 298 28 64.0 MiB 0.02 0.00 2.14643 -92.7521 -2.14643 2.14643 0.04 0.000405627 0.000370918 0.00658648 0.00608268 -1 -1 -1 -1 14 201 20 646728 646728 22986.6 638.518 1.69 0.282025 0.245066 1728 4488 -1 171 15 208 442 9451 2845 2.12882 2.12882 -98.7664 -2.12882 0 0 30529.5 848.041 0.01 0.21 0.01 -1 -1 0.01 0.0221666 0.0200952 + k6_N10_mem32K_40nm.xml stereovision3.v common_--verify_binary_search_off 2.33 vpr 66.02 MiB -1 -1 0.85 26768 5 0.17 -1 -1 37096 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67604 10 2 181 183 1 35 24 6 6 36 clb auto 26.9 MiB 0.04 152 432 67 335 30 66.0 MiB 0.03 0.00 2.14643 -92.8849 -2.14643 2.14643 0.04 0.000423798 0.000369821 0.00844968 0.00761151 -1 -1 -1 -1 12 196 16 646728 646728 19965.4 554.594 0.19 0.07328 0.0645326 1696 3924 -1 174 13 186 392 8874 2604 2.14935 2.14935 -96.0816 -2.14935 0 0 25971.8 721.439 0.00 0.03 0.01 -1 -1 0.00 0.0168546 0.0152174 + k6_N10_mem32K_40nm.xml stereovision3.v common_--verify_binary_search_on 2.62 vpr 65.81 MiB -1 -1 0.84 26884 5 0.22 -1 -1 36840 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67392 10 2 181 183 1 35 24 6 6 36 clb auto 26.8 MiB 0.05 152 432 67 335 30 65.8 MiB 0.02 0.00 2.14643 -92.8849 -2.14643 2.14643 0.05 0.000430785 0.000371967 0.00760808 0.00673261 -1 -1 -1 -1 12 196 16 646728 646728 19965.4 554.594 0.40 0.162173 0.135998 1696 3924 -1 174 13 186 392 8874 2604 2.14935 2.14935 -96.0816 -2.14935 0 0 25971.8 721.439 0.00 0.05 0.01 -1 -1 0.00 0.0294148 0.0268014 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_binary_heap/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_binary_heap/config/golden_results.txt index f677f127a88..5d7f440c1da 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_binary_heap/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_binary_heap/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common_--router_heap_binary 5.49 vpr 65.63 MiB -1 -1 0.41 18996 3 0.19 -1 -1 33284 -1 -1 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67204 99 130 344 474 1 227 298 12 12 144 clb auto 25.8 MiB 0.26 717 72933 22876 34411 15646 65.6 MiB 0.49 0.01 1.84343 -118.171 -1.84343 1.84343 0.32 0.00110711 0.00103861 0.0946179 0.0889931 -1 -1 -1 -1 38 1545 17 5.66058e+06 4.21279e+06 319130. 2216.18 1.31 0.310492 0.285139 12522 62564 -1 1253 9 393 600 24053 7065 1.90841 1.90841 -133.88 -1.90841 -1.28606 -0.31945 406292. 2821.48 0.16 0.13 0.07 -1 -1 0.16 0.032452 0.0305703 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common_--router_heap_binary 2.91 vpr 67.98 MiB -1 -1 0.40 22276 3 0.11 -1 -1 36796 -1 -1 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69616 99 130 344 474 1 227 298 12 12 144 clb auto 28.7 MiB 0.20 673 63978 19550 30341 14087 68.0 MiB 0.20 0.00 1.86472 -118.834 -1.86472 1.86472 0.22 0.000979117 0.000879056 0.0638803 0.0581045 -1 -1 -1 -1 38 1389 12 5.66058e+06 4.21279e+06 319130. 2216.18 0.56 0.199818 0.181639 12522 62564 -1 1120 9 399 643 21323 6785 1.90702 1.90702 -133.259 -1.90702 -1.20917 -0.320482 406292. 2821.48 0.02 0.04 0.10 -1 -1 0.02 0.0304906 0.0285332 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_blocks_with_no_inputs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_blocks_with_no_inputs/config/golden_results.txt index 48a022dd3d9..c1c20666920 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_blocks_with_no_inputs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_blocks_with_no_inputs/config/golden_results.txt @@ -1,9 +1,9 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml ch_intrinsics.v common 5.84 vpr 65.10 MiB -1 -1 0.39 18876 3 0.10 -1 -1 33232 -1 -1 71 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66660 99 130 344 474 1 225 301 13 13 169 clb auto 25.4 MiB 0.12 670 76909 23210 36946 16753 65.1 MiB 0.32 0.00 2.16096 -124.917 -2.16096 2.16096 0.44 0.00120946 0.00114822 0.0881788 0.0826918 -1 -1 -1 -1 32 1294 10 6.63067e+06 4.37447e+06 323148. 1912.12 2.10 0.382628 0.350915 11612 59521 -1 1127 11 526 869 34973 10462 1.97404 1.97404 -140.169 -1.97404 -0.343814 -0.101108 396943. 2348.77 0.15 0.17 0.06 -1 -1 0.15 0.0412974 0.0388666 - k6_N10_mem32K_40nm.xml diffeq1.v common 15.40 vpr 67.93 MiB -1 -1 0.74 23840 15 0.44 -1 -1 34444 -1 -1 61 162 0 5 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 69560 162 96 1009 950 1 665 324 16 16 256 mult_36 auto 28.5 MiB 0.42 5631 94844 28473 58959 7412 67.9 MiB 1.03 0.01 21.7383 -1576.03 -21.7383 21.7383 0.79 0.00372085 0.0034284 0.379891 0.357576 -1 -1 -1 -1 44 10661 49 1.21132e+07 5.26753e+06 665287. 2598.78 8.62 1.79562 1.64394 20656 131250 -1 8667 20 3482 8436 990185 277410 22.0559 22.0559 -1674.9 -22.0559 0 0 864808. 3378.16 0.32 0.39 0.15 -1 -1 0.32 0.147219 0.137238 - k6_N10_mem32K_40nm.xml single_wire.v common 1.30 vpr 62.92 MiB -1 -1 0.10 17140 1 0.02 -1 -1 30180 -1 -1 0 1 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64428 1 1 1 2 0 1 2 3 3 9 -1 auto 24.1 MiB 0.04 2 3 0 3 0 62.9 MiB 0.03 0.00 0.18684 -0.18684 -0.18684 nan 0.01 2.6888e-05 2.0274e-05 0.000125894 9.4502e-05 -1 -1 -1 -1 2 1 1 53894 0 1165.58 129.509 0.03 0.00164489 0.00155135 254 297 -1 1 1 1 1 15 7 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.02 0.00 -1 -1 0.00 0.00173302 0.00169026 - k6_N10_mem32K_40nm.xml single_ff.v common 1.46 vpr 62.77 MiB -1 -1 0.11 17408 1 0.04 -1 -1 30188 -1 -1 1 2 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64272 2 1 3 4 1 3 4 3 3 9 -1 auto 24.0 MiB 0.04 6 9 3 5 1 62.8 MiB 0.06 0.00 0.55247 -0.90831 -0.55247 0.55247 0.02 3.4252e-05 2.6329e-05 0.000209418 0.000157449 -1 -1 -1 -1 2 2 2 53894 53894 1165.58 129.509 0.03 0.00197162 0.00182923 254 297 -1 2 2 3 3 56 20 0.577715 0.577715 -0.9588 -0.577715 0 0 1165.58 129.509 0.01 0.04 0.00 -1 -1 0.01 0.00155682 0.0014869 - k6_N10_mem32K_40nm_i_or_o.xml ch_intrinsics.v common 9.61 vpr 64.97 MiB -1 -1 0.41 18928 3 0.13 -1 -1 33340 -1 -1 71 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66528 99 130 344 474 1 225 301 19 19 361 o auto 25.3 MiB 0.24 983 75901 19451 37817 18633 65.0 MiB 0.54 0.00 2.16871 -135.347 -2.16871 2.16871 2.86 0.00121773 0.00114162 0.0972287 0.0905415 -1 -1 -1 -1 32 1458 11 1.79173e+07 4.37447e+06 762679. 2112.68 1.51 0.219057 0.201389 24278 148653 -1 1307 11 602 931 46510 12471 2.09384 2.09384 -139.537 -2.09384 -0.328666 -0.186439 944445. 2616.19 0.44 0.06 0.18 -1 -1 0.44 0.031886 0.0295362 - k6_N10_mem32K_40nm_i_or_o.xml diffeq1.v common 27.29 vpr 82.19 MiB -1 -1 0.67 23752 15 0.43 -1 -1 34460 -1 -1 61 162 0 5 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 84164 162 96 1009 950 1 665 324 24 24 576 i auto 28.5 MiB 0.54 7386 77052 23414 46790 6848 82.2 MiB 0.69 0.01 21.6223 -1621.01 -21.6223 21.6223 4.64 0.00332881 0.00312838 0.251065 0.233165 -1 -1 -1 -1 32 13635 42 3.08128e+07 5.26753e+06 1.24505e+06 2161.54 15.07 1.57866 1.45267 39974 242477 -1 10826 21 3915 8751 1239118 330681 22.6153 22.6153 -1715.7 -22.6153 0 0 1.54255e+06 2678.04 0.62 0.44 0.21 -1 -1 0.62 0.158783 0.147345 - k6_N10_mem32K_40nm_i_or_o.xml single_wire.v common 1.44 vpr 62.84 MiB -1 -1 0.11 17216 1 0.04 -1 -1 30128 -1 -1 0 1 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64352 1 1 1 2 0 1 2 4 4 16 i auto 24.1 MiB 0.00 3 3 0 0 3 62.8 MiB 0.00 0.00 0.280667 -0.280667 -0.280667 nan 0.01 1.1887e-05 7.554e-06 7.9236e-05 5.4291e-05 -1 -1 -1 -1 4 2 1 215576 0 2092.17 130.760 0.01 0.00144545 0.00135883 324 600 -1 2 1 1 1 16 6 0.229376 nan -0.229376 -0.229376 0 0 3281.68 205.105 0.02 0.04 0.01 -1 -1 0.02 0.00203875 0.00199605 - k6_N10_mem32K_40nm_i_or_o.xml single_ff.v common 1.61 vpr 62.92 MiB -1 -1 0.09 17432 1 0.03 -1 -1 29964 -1 -1 1 2 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64432 2 1 3 4 1 3 4 4 4 16 i auto 24.1 MiB 0.05 7 9 0 0 9 62.9 MiB 0.00 0.00 0.647256 -1.07419 -0.647256 0.647256 0.01 1.7185e-05 1.2489e-05 0.000119925 9.2542e-05 -1 -1 -1 -1 6 3 2 215576 53894 3281.68 205.105 0.01 0.0016352 0.00153073 340 760 -1 3 2 3 3 71 25 0.569757 0.569757 -0.969092 -0.569757 0 0 4601.64 287.602 0.02 0.03 0.01 -1 -1 0.02 0.00163658 0.00157466 + k6_N10_mem32K_40nm.xml ch_intrinsics.v common 3.54 vpr 67.39 MiB -1 -1 0.42 22156 3 0.16 -1 -1 36544 -1 -1 71 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69008 99 130 344 474 1 225 301 13 13 169 clb auto 27.8 MiB 0.09 709 69853 20089 36202 13562 67.4 MiB 0.23 0.00 2.16096 -124.938 -2.16096 2.16096 0.29 0.000913323 0.000821579 0.0687918 0.0619499 -1 -1 -1 -1 30 1301 10 6.63067e+06 4.37447e+06 308771. 1827.05 1.05 0.343222 0.313014 11444 57198 -1 1153 11 545 813 32907 9964 1.99803 1.99803 -136.313 -1.99803 -0.30784 -0.0857401 382024. 2260.50 0.04 0.06 0.10 -1 -1 0.04 0.0301423 0.0279655 + k6_N10_mem32K_40nm.xml diffeq1.v common 13.02 vpr 70.71 MiB -1 -1 0.61 26808 15 0.59 -1 -1 38128 -1 -1 61 162 0 5 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 72412 162 96 1009 950 1 665 324 16 16 256 mult_36 auto 31.1 MiB 0.36 5686 93732 25708 60129 7895 70.7 MiB 0.92 0.01 21.5854 -1586.88 -21.5854 21.5854 0.47 0.00359311 0.00328994 0.373845 0.344857 -1 -1 -1 -1 42 11019 36 1.21132e+07 5.26753e+06 637230. 2489.18 7.15 1.94736 1.79599 20148 122574 -1 9118 25 3874 8580 1140724 318272 22.5245 22.5245 -1660.58 -22.5245 0 0 799729. 3123.94 0.07 0.71 0.15 -1 -1 0.07 0.298338 0.280888 + k6_N10_mem32K_40nm.xml single_wire.v common 0.56 vpr 65.29 MiB -1 -1 0.11 20620 1 0.02 -1 -1 33040 -1 -1 0 1 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66856 1 1 1 2 0 1 2 3 3 9 -1 auto 27.0 MiB 0.00 2 3 0 3 0 65.3 MiB 0.00 0.00 0.18684 -0.18684 -0.18684 nan 0.00 1.0264e-05 6.201e-06 6.8769e-05 4.6066e-05 -1 -1 -1 -1 2 1 1 53894 0 1165.58 129.509 0.00 0.00116982 0.00111262 254 297 -1 1 1 1 1 15 7 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00106891 0.001042 + k6_N10_mem32K_40nm.xml single_ff.v common 0.54 vpr 65.06 MiB -1 -1 0.09 21000 1 0.02 -1 -1 33296 -1 -1 1 2 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66620 2 1 3 4 1 3 4 3 3 9 -1 auto 26.6 MiB 0.00 6 9 3 5 1 65.1 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.01 2.0617e-05 1.4741e-05 0.000141684 0.000107774 -1 -1 -1 -1 2 2 2 53894 53894 1165.58 129.509 0.00 0.00168512 0.00158841 254 297 -1 2 2 3 3 56 20 0.577715 0.577715 -0.9588 -0.577715 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00172391 0.0016612 + k6_N10_mem32K_40nm_i_or_o.xml ch_intrinsics.v common 5.58 vpr 67.37 MiB -1 -1 0.39 22284 3 0.08 -1 -1 36712 -1 -1 71 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68984 99 130 344 474 1 225 301 19 19 361 o auto 27.9 MiB 0.07 850 78925 21699 38013 19213 67.4 MiB 0.30 0.00 2.16428 -129.737 -2.16428 2.16428 1.74 0.000907451 0.000818758 0.097179 0.0888059 -1 -1 -1 -1 36 1162 10 1.79173e+07 4.37447e+06 833707. 2309.44 1.42 0.329975 0.298327 24998 161561 -1 1074 10 581 868 36231 9318 1.99581 1.99581 -134.677 -1.99581 -0.182839 -0.0660558 1.02328e+06 2834.56 0.12 0.05 0.23 -1 -1 0.12 0.0286893 0.0266338 + k6_N10_mem32K_40nm_i_or_o.xml diffeq1.v common 20.39 vpr 77.82 MiB -1 -1 0.54 26812 15 0.47 -1 -1 38260 -1 -1 61 162 0 5 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 79688 162 96 1009 950 1 665 324 24 24 576 i auto 30.8 MiB 0.33 7393 99292 28927 58867 11498 77.8 MiB 1.03 0.02 21.7254 -1657.33 -21.7254 21.7254 3.05 0.00462453 0.00428627 0.416981 0.375188 -1 -1 -1 -1 38 12380 31 3.08128e+07 5.26753e+06 1.42563e+06 2475.05 11.34 2.12533 1.94729 42274 284153 -1 10868 19 3672 8078 1198132 301968 22.4983 22.4983 -1725.65 -22.4983 0 0 1.79535e+06 3116.93 0.13 0.60 0.50 -1 -1 0.13 0.215504 0.199648 + k6_N10_mem32K_40nm_i_or_o.xml single_wire.v common 0.51 vpr 65.29 MiB -1 -1 0.10 20720 1 0.02 -1 -1 33044 -1 -1 0 1 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66852 1 1 1 2 0 1 2 4 4 16 i auto 26.9 MiB 0.00 3 3 0 0 3 65.3 MiB 0.00 0.00 0.18684 -0.18684 -0.18684 nan 0.00 1.1044e-05 6.598e-06 7.3231e-05 5.0487e-05 -1 -1 -1 -1 4 2 1 215576 0 2092.17 130.760 0.00 0.00113801 0.00107607 324 600 -1 2 1 1 1 17 7 0.229376 nan -0.229376 -0.229376 0 0 3281.68 205.105 0.00 0.00 0.00 -1 -1 0.00 0.00158495 0.00154688 + k6_N10_mem32K_40nm_i_or_o.xml single_ff.v common 0.64 vpr 65.29 MiB -1 -1 0.10 20876 1 0.03 -1 -1 33324 -1 -1 1 2 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66852 2 1 3 4 1 3 4 4 4 16 i auto 27.0 MiB 0.00 7 9 0 1 8 65.3 MiB 0.00 0.00 0.55247 -0.955943 -0.55247 0.55247 0.00 1.4352e-05 9.526e-06 0.000103801 7.6571e-05 -1 -1 -1 -1 6 3 2 215576 53894 3281.68 205.105 0.01 0.00161569 0.00152133 340 760 -1 3 2 3 3 59 19 0.569757 0.569757 -0.969092 -0.569757 0 0 4601.64 287.602 0.00 0.00 0.00 -1 -1 0.00 0.00155787 0.00150496 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_bounding_box/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_bounding_box/config/golden_results.txt index 61bfbd9f7c3..278399cb6d8 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_bounding_box/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_bounding_box/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 5.19 vpr 63.54 MiB -1 -1 0.72 23444 5 0.16 -1 -1 33404 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65060 10 2 181 183 1 35 24 6 6 36 clb auto 24.1 MiB 0.14 157 568 195 321 52 63.5 MiB 0.01 0.00 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 14 182 25 646728 646728 22986.6 638.518 1.78 0.168378 0.144307 1728 4488 -1 155 21 263 632 12700 3802 2.3029 2.3029 -99.5273 -2.3029 0 0 30529.5 848.041 0.01 0.20 0.01 -1 -1 0.01 0.0242495 0.021514 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.77 vpr 65.95 MiB -1 -1 0.64 26892 5 0.17 -1 -1 36964 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67536 10 2 181 183 1 35 24 6 6 36 clb auto 26.9 MiB 0.04 152 568 210 329 29 66.0 MiB 0.00 0.00 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 12 168 36 646728 646728 19965.4 554.594 0.12 0.0658358 0.0559906 1696 3924 -1 165 24 236 544 12437 3707 2.26842 2.26842 -94.6601 -2.26842 0 0 25971.8 721.439 0.00 0.03 0.00 -1 -1 0.00 0.0200385 0.0180231 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_check_route_options/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_check_route_options/config/golden_results.txt index 045d3fe7955..b44eab4cd1c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_check_route_options/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_check_route_options/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - sub_tiles.xml sub_tiles.blif common_--check_route_full 8.20 vpr 56.35 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57704 6 7 19 26 0 19 26 3 3 9 -1 auto 17.6 MiB 0.01 51 216 43 63 110 56.4 MiB 0.04 0.00 3.682 -25.774 -3.682 nan 6.49 6.5121e-05 5.701e-05 0.000603112 0.000525304 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.25 0.00299547 0.00268387 1370 14749 -1 19 3 36 39 5809 2843 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.02 0.04 -1 -1 0.00 0.0025833 0.00241723 - sub_tiles.xml sub_tiles.blif common_--check_route_quick 8.02 vpr 56.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57820 6 7 19 26 0 19 26 3 3 9 -1 auto 17.7 MiB 0.01 51 216 43 63 110 56.5 MiB 0.13 0.00 3.682 -25.774 -3.682 nan 6.21 6.8432e-05 5.8755e-05 0.000633201 0.00053959 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.25 0.00290908 0.00262232 1370 14749 -1 19 3 36 39 5809 2843 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.01 0.03 0.04 -1 -1 0.01 0.00211606 0.00201309 - sub_tiles.xml sub_tiles.blif common_--check_route_off 8.13 vpr 56.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57860 6 7 19 26 0 19 26 3 3 9 -1 auto 17.8 MiB 0.01 51 216 43 63 110 56.5 MiB 0.09 0.00 3.682 -25.774 -3.682 nan 6.37 7.7326e-05 6.6753e-05 0.000634774 0.000545772 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.25 0.0037268 0.00328193 1370 14749 -1 19 3 36 39 5809 2843 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.02 0.09 0.04 -1 -1 0.02 0.00205651 0.00193283 + sub_tiles.xml sub_tiles.blif common_--check_route_full 14.98 vpr 58.93 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60340 6 7 19 26 0 19 26 3 3 9 -1 auto 20.6 MiB 0.00 51 216 43 63 110 58.9 MiB 0.00 0.00 3.682 -25.774 -3.682 nan 13.82 4.4449e-05 3.636e-05 0.000492339 0.000302558 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.14 0.00246861 0.00204917 1370 14749 -1 19 3 36 39 5813 2852 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.00 0.05 -1 -1 0.00 0.00171876 0.00162871 + sub_tiles.xml sub_tiles.blif common_--check_route_quick 17.28 vpr 59.05 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60472 6 7 19 26 0 19 26 3 3 9 -1 auto 20.6 MiB 0.00 51 216 43 63 110 59.1 MiB 0.00 0.00 3.682 -25.774 -3.682 nan 15.88 4.5558e-05 3.7864e-05 0.000392587 0.000316954 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.12 0.00226581 0.00204304 1370 14749 -1 19 3 36 39 5813 2852 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.00 0.03 -1 -1 0.00 0.00121674 0.00115171 + sub_tiles.xml sub_tiles.blif common_--check_route_off 16.44 vpr 58.93 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60340 6 7 19 26 0 19 26 3 3 9 -1 auto 20.5 MiB 0.00 51 216 43 63 110 58.9 MiB 0.00 0.00 3.682 -25.774 -3.682 nan 15.05 6.9962e-05 5.8494e-05 0.000570046 0.000472887 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.09 0.00239105 0.00217713 1370 14749 -1 19 3 36 39 5813 2852 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.00 0.03 -1 -1 0.00 0.00134624 0.00127449 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_cin_tie_off/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_cin_tie_off/config/golden_results.txt index 53684d6cff9..124aaee2a04 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_cin_tie_off/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_cin_tie_off/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - k6_frac_N10_4add_2chains_tie_off_depop50_mem20K_22nm.xml mult_4x4.v common 1.90 vpr 63.76 MiB -1 -1 0.12 17788 1 0.03 -1 -1 30128 -1 -1 3 9 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65288 9 8 75 70 1 34 20 5 5 25 clb auto 24.4 MiB 0.65 94 74 30 43 1 63.8 MiB 0.01 0.00 2.64007 -29.0549 -2.64007 2.64007 0.02 0.000134569 0.000121726 0.00170312 0.00163516 -1 -1 -1 -1 20 213 13 151211 75605.7 29112.5 1164.50 0.06 0.0102057 0.00938622 1812 4729 -1 172 28 196 239 7256 3917 3.69111 3.69111 -41.2836 -3.69111 0 0 37105.9 1484.24 0.00 0.05 0.01 -1 -1 0.00 0.0114522 0.00994594 13 18 -1 -1 -1 -1 - k6_frac_N10_4add_2chains_tie_off_depop50_mem20K_22nm.xml mult_9x9.v common 10.86 vpr 64.98 MiB -1 -1 0.15 18364 1 0.04 -1 -1 30524 -1 -1 6 19 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66540 19 18 308 249 1 134 43 5 5 25 clb auto 25.3 MiB 6.04 443 2443 654 1774 15 65.0 MiB 0.32 0.00 4.8546 -99.0126 -4.8546 4.8546 0.05 0.000624995 0.000577932 0.0282589 0.0262406 -1 -1 -1 -1 50 734 31 151211 151211 61632.8 2465.31 2.88 0.28247 0.251267 2268 9834 -1 572 18 574 959 28269 13700 5.68143 5.68143 -111.492 -5.68143 0 0 77226.2 3089.05 0.02 0.05 0.01 -1 -1 0.02 0.0287398 0.0263667 53 83 -1 -1 -1 -1 + k6_frac_N10_4add_2chains_tie_off_depop50_mem20K_22nm.xml mult_4x4.v common 1.66 vpr 66.09 MiB -1 -1 0.12 21064 1 0.03 -1 -1 33388 -1 -1 3 9 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67676 9 8 75 70 1 34 20 5 5 25 clb auto 27.2 MiB 0.65 100 74 24 47 3 66.1 MiB 0.00 0.00 2.48207 -28.4593 -2.48207 2.48207 0.02 0.000164662 0.000145718 0.00164144 0.00155216 -1 -1 -1 -1 38 129 6 151211 75605.7 48493.3 1939.73 0.18 0.0548944 0.0466047 2100 8065 -1 122 13 105 125 3874 2046 2.74837 2.74837 -33.9524 -2.74837 0 0 61632.8 2465.31 0.00 0.01 0.01 -1 -1 0.00 0.00954243 0.00888996 13 18 -1 -1 -1 -1 + k6_frac_N10_4add_2chains_tie_off_depop50_mem20K_22nm.xml mult_9x9.v common 7.16 vpr 67.19 MiB -1 -1 0.14 21572 1 0.04 -1 -1 34020 -1 -1 6 19 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68800 19 18 308 249 1 134 43 5 5 25 clb auto 27.7 MiB 5.80 445 2068 454 1604 10 67.2 MiB 0.04 0.00 4.5386 -91.3528 -4.5386 4.5386 0.02 0.000449316 0.000397091 0.0186893 0.0169167 -1 -1 -1 -1 50 721 33 151211 151211 61632.8 2465.31 0.30 0.144091 0.126311 2268 9834 -1 620 20 733 1185 38218 18241 5.03997 5.03997 -109.631 -5.03997 0 0 77226.2 3089.05 0.00 0.04 0.01 -1 -1 0.00 0.0288144 0.0262691 53 83 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_aliases/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_aliases/config/golden_results.txt index ad248bb36be..e2bde77991f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_aliases/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_aliases/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - timing/k6_N10_40nm.xml clock_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/clk.sdc 0.58 vpr 57.55 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 1 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58932 1 4 28 32 2 10 9 4 4 16 clb auto 18.6 MiB 0.01 21 27 11 8 8 57.6 MiB 0.00 0.00 2.44626 0 0 2.44626 0.01 7.1025e-05 6.2018e-05 0.00055486 0.00050444 -1 -1 -1 -1 8 12 5 72000 72000 5593.62 349.601 0.06 0.00779734 0.00653696 672 1128 -1 13 8 23 23 458 156 2.39017 2.39017 0 0 0 0 6492.02 405.751 0.00 0.01 0.00 -1 -1 0.00 0.00306883 0.00282037 - timing/k6_N10_40nm.xml clock_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/clk_assign.sdc 0.67 vpr 57.44 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 1 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58820 1 4 28 32 2 10 9 4 4 16 clb auto 18.4 MiB 0.02 21 27 11 8 8 57.4 MiB 0.02 0.00 2.44626 0 0 2.44626 0.01 6.4104e-05 5.5768e-05 0.000609665 0.000560857 -1 -1 -1 -1 8 12 5 72000 72000 5593.62 349.601 0.10 0.0111366 0.00958283 672 1128 -1 13 8 23 23 458 156 2.39017 2.39017 0 0 0 0 6492.02 405.751 0.00 0.01 0.00 -1 -1 0.00 0.00675941 0.0056104 - timing/k6_N10_40nm.xml clock_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/counter_clk.sdc 0.64 vpr 57.61 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 1 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58996 1 4 28 32 2 10 9 4 4 16 clb auto 18.7 MiB 0.02 21 27 11 8 8 57.6 MiB 0.00 0.00 2.44626 0 0 2.44626 0.01 0.000116601 0.000103254 0.000726354 0.000668277 -1 -1 -1 -1 8 12 5 72000 72000 5593.62 349.601 0.03 0.00873381 0.00733762 672 1128 -1 13 8 23 23 458 156 2.39017 2.39017 0 0 0 0 6492.02 405.751 0.00 0.01 0.00 -1 -1 0.00 0.00321575 0.00294979 + timing/k6_N10_40nm.xml clock_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/clk.sdc 0.40 vpr 59.77 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 1 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61208 1 4 28 32 2 10 9 4 4 16 clb auto 21.3 MiB 0.01 21 27 10 10 7 59.8 MiB 0.00 0.00 2.44626 0 0 2.44626 0.01 7.9684e-05 6.8866e-05 0.000576703 0.000522527 -1 -1 -1 -1 8 11 5 72000 72000 5593.62 349.601 0.02 0.00940561 0.00796331 672 1128 -1 21 6 21 21 561 284 2.37141 2.37141 0 0 0 0 6492.02 405.751 0.00 0.01 0.00 -1 -1 0.00 0.00917782 0.00398665 + timing/k6_N10_40nm.xml clock_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/clk_assign.sdc 0.34 vpr 59.79 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 1 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61228 1 4 28 32 2 10 9 4 4 16 clb auto 21.3 MiB 0.01 21 27 10 10 7 59.8 MiB 0.00 0.00 2.44626 0 0 2.44626 0.01 6.4384e-05 5.704e-05 0.000402489 0.000366894 -1 -1 -1 -1 8 11 5 72000 72000 5593.62 349.601 0.02 0.0100179 0.00835542 672 1128 -1 21 6 21 21 561 284 2.37141 2.37141 0 0 0 0 6492.02 405.751 0.00 0.00 0.00 -1 -1 0.00 0.00286153 0.00265955 + timing/k6_N10_40nm.xml clock_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/counter_clk.sdc 0.31 vpr 59.75 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 1 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61188 1 4 28 32 2 10 9 4 4 16 clb auto 21.1 MiB 0.01 21 27 10 10 7 59.8 MiB 0.00 0.00 2.44626 0 0 2.44626 0.01 6.4879e-05 5.7282e-05 0.000404422 0.000368079 -1 -1 -1 -1 8 11 5 72000 72000 5593.62 349.601 0.02 0.00971796 0.00808787 672 1128 -1 21 6 21 21 561 284 2.37141 2.37141 0 0 0 0 6492.02 405.751 0.00 0.00 0.00 -1 -1 0.00 0.00303232 0.00280678 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_aliases_set_delay/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_aliases_set_delay/config/golden_results.txt index aa6222425e4..9d76eedbd00 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_aliases_set_delay/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_aliases_set_delay/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - timing/k6_N10_40nm.xml clock_set_delay_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/set_delay.sdc 0.48 vpr 57.38 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 2 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58752 2 2 22 24 2 4 6 4 4 16 clb auto 18.5 MiB 0.01 8 15 5 7 3 57.4 MiB 0.00 0.00 1.297 0 0 1.297 0.01 7.8807e-05 7.0658e-05 0.000416035 0.000372622 -1 -1 -1 -1 6 12 3 72000 36000 4025.56 251.598 0.01 0.00270904 0.00251355 660 1032 -1 15 4 8 8 614 487 1.297 1.297 0 0 0 0 5593.62 349.601 0.00 0.00 0.00 -1 -1 0.00 0.00251275 0.00236334 + timing/k6_N10_40nm.xml clock_set_delay_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/set_delay.sdc 0.31 vpr 59.78 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 2 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61216 2 2 22 24 2 4 6 4 4 16 clb auto 21.3 MiB 0.00 8 15 5 7 3 59.8 MiB 0.00 0.00 1.297 0 0 1.297 0.01 5.264e-05 4.5649e-05 0.000308634 0.000273818 -1 -1 -1 -1 6 12 3 72000 36000 4025.56 251.598 0.01 0.002301 0.00212354 660 1032 -1 15 4 8 8 644 530 1.297 1.297 0 0 0 0 5593.62 349.601 0.00 0.00 0.00 -1 -1 0.00 0.00265986 0.00219441 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_buf/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_buf/config/golden_results.txt index 82ec46d8b99..55f3e1dd3ba 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_buf/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_buf/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params crit_path_delay_mcw clk_to_clk_cpd clk_to_clk2_cpd clk_to_input_cpd clk_to_output_cpd clk2_to_clk2_cpd clk2_to_clk_cpd clk2_to_input_cpd clk2_to_output_cpd input_to_input_cpd input_to_clk_cpd input_to_clk2_cpd input_to_output_cpd output_to_output_cpd output_to_clk_cpd output_to_clk2_cpd output_to_input_cpd clk_to_clk_setup_slack clk_to_clk2_setup_slack clk_to_input_setup_slack clk_to_output_setup_slack clk2_to_clk2_setup_slack clk2_to_clk_setup_slack clk2_to_input_setup_slack clk2_to_output_setup_slack input_to_input_setup_slack input_to_clk_setup_slack input_to_clk2_setup_slack input_to_output_setup_slack output_to_output_setup_slack output_to_clk_setup_slack output_to_clk2_setup_slack output_to_input_setup_slack clk_to_clk_hold_slack clk_to_clk2_hold_slack clk_to_input_hold_slack clk_to_output_hold_slack clk2_to_clk2_hold_slack clk2_to_clk_hold_slack clk2_to_input_hold_slack clk2_to_output_hold_slack input_to_input_hold_slack input_to_clk_hold_slack input_to_clk2_hold_slack input_to_output_hold_slack output_to_output_hold_slack output_to_clk_hold_slack output_to_clk2_hold_slack output_to_input_hold_slack - k6_frac_N10_mem32K_40nm_clk_buf.xml multiclock_buf.blif common 1.66039 0.545 -1 -1 -1 0.545 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.293 -1 -1 -1 0.293 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm_clk_buf.xml multiclock_buf.blif common 1.69449 0.545 -1 -1 -1 0.545 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.293 -1 -1 -1 0.293 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_modeling/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_modeling/config/golden_results.txt index 373e866a79a..948d09b747d 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_modeling/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_modeling/config/golden_results.txt @@ -1,9 +1,9 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_global_nets num_routed_nets - timing/k6_N10_40nm.xml microbenchmarks/d_flip_flop.v common_--clock_modeling_ideal_--route_chan_width_60 0.61 vpr 57.25 MiB -1 -1 0.07 17368 1 0.03 -1 -1 29984 -1 -1 1 2 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58620 2 1 3 4 1 3 4 3 3 9 -1 auto 18.5 MiB 0.00 6 9 6 3 0 57.2 MiB 0.00 0.00 0.55447 -0.91031 -0.55447 0.55447 0.00 1.6807e-05 1.1824e-05 0.000119813 9.1115e-05 -1 -1 -1 -1 -1 2 4 18000 18000 14049.7 1561.07 0.00 0.0015798 0.00147928 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 2 - timing/k6_N10_40nm.xml microbenchmarks/d_flip_flop.v common_--clock_modeling_route_--route_chan_width_60 0.59 vpr 57.21 MiB -1 -1 0.08 17320 1 0.03 -1 -1 30044 -1 -1 1 2 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58584 2 1 3 4 1 3 4 3 3 9 -1 auto 18.6 MiB 0.00 9 9 5 2 2 57.2 MiB 0.00 0.00 0.48631 -0.91031 -0.48631 0.48631 0.00 1.8256e-05 1.1481e-05 0.000119179 8.8895e-05 -1 -1 -1 -1 -1 4 1 18000 18000 15707.9 1745.32 0.00 0.00156657 0.00148701 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 3 - timing/k6_N10_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_ideal_--route_chan_width_60 38.32 parmys 205.31 MiB -1 -1 31.78 210240 2 1.22 -1 -1 54528 -1 -1 155 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60600 5 156 191 347 1 163 316 15 15 225 clb auto 19.7 MiB 0.04 31 86316 62145 3320 20851 59.2 MiB 0.16 0.00 1.49664 -15.0848 -1.49664 1.49664 0.00 0.000581684 0.000550637 0.0478501 0.0452811 -1 -1 -1 -1 -1 50 5 3.042e+06 2.79e+06 863192. 3836.41 0.01 0.0572937 0.0539594 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 154 9 - timing/k6_N10_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_route_--route_chan_width_60 38.38 parmys 205.27 MiB -1 -1 31.80 210196 2 1.48 -1 -1 54476 -1 -1 155 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60720 5 156 191 347 1 163 316 15 15 225 clb auto 19.7 MiB 0.04 33 86316 61936 3548 20832 59.3 MiB 0.13 0.00 1.51877 -14.6769 -1.51877 1.51877 0.00 0.00039327 0.000370069 0.0328101 0.030775 -1 -1 -1 -1 -1 59 7 3.042e+06 2.79e+06 892591. 3967.07 0.01 0.0420611 0.0392993 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 153 10 - timing/k6_N10_mem32K_40nm.xml microbenchmarks/d_flip_flop.v common_--clock_modeling_ideal_--route_chan_width_60 0.64 vpr 62.86 MiB -1 -1 0.10 17484 1 0.03 -1 -1 30080 -1 -1 1 2 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64368 2 1 3 4 1 3 4 3 3 9 -1 auto 24.2 MiB 0.00 6 9 6 2 1 62.9 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.00 1.8165e-05 1.317e-05 0.000125618 9.4159e-05 -1 -1 -1 -1 -1 2 2 53894 53894 12370.0 1374.45 0.00 0.00159727 0.00150396 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 2 - timing/k6_N10_mem32K_40nm.xml microbenchmarks/d_flip_flop.v common_--clock_modeling_route_--route_chan_width_60 0.64 vpr 62.82 MiB -1 -1 0.10 17360 1 0.02 -1 -1 29972 -1 -1 1 2 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64332 2 1 3 4 1 3 4 3 3 9 -1 auto 24.1 MiB 0.01 9 9 5 2 2 62.8 MiB 0.00 0.00 0.48631 -0.90831 -0.48631 0.48631 0.00 1.693e-05 1.0549e-05 0.000124748 8.9675e-05 -1 -1 -1 -1 -1 8 1 53894 53894 14028.3 1558.70 0.00 0.00264161 0.002541 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 3 - timing/k6_N10_mem32K_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_ideal_--route_chan_width_60 7.90 vpr 70.32 MiB -1 -1 1.65 25700 2 0.23 -1 -1 33916 -1 -1 43 311 15 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 72008 311 156 972 1128 1 953 525 28 28 784 memory auto 29.8 MiB 0.49 8857 212225 75880 124970 11375 70.3 MiB 1.71 0.02 3.97422 -4305.11 -3.97422 3.97422 0.00 0.00572888 0.00511108 0.585707 0.519764 -1 -1 -1 -1 -1 12735 10 4.25198e+07 1.05374e+07 2.96205e+06 3778.13 0.46 0.855078 0.77478 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 15 938 - timing/k6_N10_mem32K_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_route_--route_chan_width_60 7.81 vpr 70.43 MiB -1 -1 1.46 26084 2 0.15 -1 -1 33892 -1 -1 43 311 15 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 72124 311 156 972 1128 1 953 525 28 28 784 memory auto 29.8 MiB 0.58 9179 216459 74948 128246 13265 70.4 MiB 1.73 0.01 3.98529 -3456.75 -3.98529 3.98529 0.00 0.00402513 0.00355029 0.622817 0.553567 -1 -1 -1 -1 -1 13300 12 4.25198e+07 1.05374e+07 3.02951e+06 3864.17 0.44 0.790802 0.707413 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 14 939 + timing/k6_N10_40nm.xml microbenchmarks/d_flip_flop.v common_--clock_modeling_ideal_--route_chan_width_60 0.26 vpr 59.66 MiB -1 -1 0.07 21096 1 0.02 -1 -1 33168 -1 -1 1 2 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61096 2 1 3 4 1 3 4 3 3 9 -1 auto 21.4 MiB 0.00 6 9 6 3 0 59.7 MiB 0.00 0.00 0.55447 -0.91031 -0.55447 0.55447 0.00 1.5934e-05 1.0639e-05 9.4808e-05 6.8481e-05 -1 -1 -1 -1 -1 2 4 18000 18000 14049.7 1561.07 0.00 0.00119359 0.00110751 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 2 + timing/k6_N10_40nm.xml microbenchmarks/d_flip_flop.v common_--clock_modeling_route_--route_chan_width_60 0.27 vpr 59.66 MiB -1 -1 0.08 20840 1 0.02 -1 -1 33340 -1 -1 1 2 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61096 2 1 3 4 1 3 4 3 3 9 -1 auto 21.2 MiB 0.00 9 9 5 2 2 59.7 MiB 0.00 0.00 0.48631 -0.91031 -0.48631 0.48631 0.00 1.6744e-05 1.0373e-05 9.4261e-05 6.634e-05 -1 -1 -1 -1 -1 4 1 18000 18000 15707.9 1745.32 0.00 0.00132946 0.0012632 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 3 + timing/k6_N10_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_ideal_--route_chan_width_60 31.57 parmys 210.75 MiB -1 -1 25.18 215804 2 1.59 -1 -1 60048 -1 -1 155 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63168 5 156 191 347 1 163 316 15 15 225 clb auto 22.1 MiB 0.04 31 86316 62145 3320 20851 61.7 MiB 0.22 0.02 1.49664 -15.0848 -1.49664 1.49664 0.00 0.000537912 0.000491594 0.0397632 0.036381 -1 -1 -1 -1 -1 50 5 3.042e+06 2.79e+06 863192. 3836.41 0.01 0.0494991 0.045404 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 154 9 + timing/k6_N10_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_route_--route_chan_width_60 25.71 parmys 210.82 MiB -1 -1 22.20 215880 2 0.99 -1 -1 60300 -1 -1 155 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62916 5 156 191 347 1 163 316 15 15 225 clb auto 21.9 MiB 0.02 33 86316 61936 3548 20832 61.4 MiB 0.10 0.00 1.51877 -14.6769 -1.51877 1.51877 0.00 0.000236107 0.000213852 0.0263786 0.0239723 -1 -1 -1 -1 -1 59 7 3.042e+06 2.79e+06 892591. 3967.07 0.01 0.0328145 0.0299576 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 153 10 + timing/k6_N10_mem32K_40nm.xml microbenchmarks/d_flip_flop.v common_--clock_modeling_ideal_--route_chan_width_60 0.41 vpr 65.16 MiB -1 -1 0.11 20748 1 0.02 -1 -1 33304 -1 -1 1 2 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66724 2 1 3 4 1 3 4 3 3 9 -1 auto 26.9 MiB 0.00 6 9 6 2 1 65.2 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.00 1.6453e-05 1.1342e-05 0.000108728 7.9328e-05 -1 -1 -1 -1 -1 2 2 53894 53894 12370.0 1374.45 0.00 0.0015946 0.00150943 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 2 + timing/k6_N10_mem32K_40nm.xml microbenchmarks/d_flip_flop.v common_--clock_modeling_route_--route_chan_width_60 0.41 vpr 65.16 MiB -1 -1 0.11 21132 1 0.02 -1 -1 33192 -1 -1 1 2 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66724 2 1 3 4 1 3 4 3 3 9 -1 auto 26.9 MiB 0.00 9 9 5 2 2 65.2 MiB 0.00 0.00 0.48631 -0.90831 -0.48631 0.48631 0.00 1.8934e-05 1.2137e-05 0.000113982 8.1444e-05 -1 -1 -1 -1 -1 8 1 53894 53894 14028.3 1558.70 0.00 0.00161693 0.00153615 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 3 + timing/k6_N10_mem32K_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_ideal_--route_chan_width_60 5.07 vpr 72.77 MiB -1 -1 1.12 29456 2 0.10 -1 -1 37868 -1 -1 43 311 15 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 74516 311 156 972 1128 1 953 525 28 28 784 memory auto 32.5 MiB 0.54 8655 197406 67882 119014 10510 72.8 MiB 1.23 0.02 3.83315 -4315.62 -3.83315 3.83315 0.00 0.0052551 0.00459042 0.542684 0.463052 -1 -1 -1 -1 -1 12421 13 4.25198e+07 1.05374e+07 2.96205e+06 3778.13 0.41 0.761716 0.663478 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 15 938 + timing/k6_N10_mem32K_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_route_--route_chan_width_60 5.34 vpr 72.84 MiB -1 -1 1.44 29580 2 0.14 -1 -1 38000 -1 -1 43 311 15 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 74592 311 156 972 1128 1 953 525 28 28 784 memory auto 32.4 MiB 0.55 8675 193172 64013 116396 12763 72.8 MiB 0.82 0.01 3.94715 -3504.6 -3.94715 3.94715 0.00 0.00308193 0.00262987 0.364549 0.310746 -1 -1 -1 -1 -1 12709 18 4.25198e+07 1.05374e+07 3.02951e+06 3864.17 0.33 0.5457 0.474589 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 14 939 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_pll/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_pll/config/golden_results.txt index 2c49254b706..9cebacaf785 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_pll/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_clock_pll/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_frac_N10_mem32K_40nm_clk_pll_valid.xml multiclock_buf.blif common 0.85 vpr 63.63 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65156 8 4 25 28 5 19 19 6 6 36 clb auto 24.9 MiB 0.59 52 194 34 129 31 63.6 MiB 0.01 0.00 1.3678 -5.84519 -1.3678 0.545 0.00 7.3716e-05 5.9392e-05 0.000813182 0.000675871 -1 -1 -1 -1 94 6.71429 38 2.71429 16 16 1079 432 431152 215576 56755.0 1576.53 2 2184 7490 -1 1.70371 0.545 -7.0897 -1.70371 -0.508975 -0.416549 0.01 -1 -1 63.6 MiB 0.00 0.00311706 0.00282227 63.6 MiB -1 0.01 - k6_frac_N10_mem32K_40nm_clk_pll_invalid.xml multiclock_buf.blif common 0.07 vpr 18.59 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 19032 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm_clk_pll_valid.xml multiclock_buf.blif common 0.85 vpr 66.03 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67612 8 4 25 28 5 19 19 6 6 36 clb auto 27.5 MiB 0.60 51 194 39 119 36 66.0 MiB 0.01 0.00 1.41795 -5.85435 -1.41795 0.545 0.00 8.3509e-05 6.4713e-05 0.00086545 0.000699438 -1 -1 -1 -1 86 6.14286 35 2.50000 16 16 675 275 431152 215576 56755.0 1576.53 2 2184 7490 -1 1.6578 0.545 -6.7903 -1.6578 -0.42675 -0.369747 0.01 -1 -1 66.0 MiB 0.00 0.00307466 0.00275514 66.0 MiB -1 0.00 + k6_frac_N10_mem32K_40nm_clk_pll_invalid.xml multiclock_buf.blif common 0.03 vpr 20.80 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 21296 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_constant_outputs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_constant_outputs/config/golden_results.txt index 15ed137557f..c751724ac21 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_constant_outputs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_constant_outputs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml constant_outputs_only.blif common 0.57 vpr 62.94 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64448 -1 2 2 4 0 2 4 4 4 16 clb auto 24.2 MiB 0.01 0 9 0 2 7 62.9 MiB 0.00 0.00 nan 0 0 nan 0.01 1.5432e-05 9.947e-06 0.000103448 7.2917e-05 -1 -1 -1 -1 2 0 1 107788 107788 1342.00 83.8749 0.01 0.00166706 0.00157906 504 462 -1 0 1 0 0 0 0 nan nan 0 0 0 0 1342.00 83.8749 0.00 0.00 0.00 -1 -1 0.00 0.00153779 0.0014983 + k6_N10_mem32K_40nm.xml constant_outputs_only.blif common 0.30 vpr 65.00 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66556 -1 2 2 4 0 2 4 4 4 16 clb auto 26.8 MiB 0.00 0 9 0 2 7 65.0 MiB 0.00 0.00 nan 0 0 nan 0.01 9.099e-06 4.802e-06 6.6245e-05 4.4664e-05 -1 -1 -1 -1 2 0 1 107788 107788 1342.00 83.8749 0.00 0.00112148 0.00105568 504 462 -1 0 1 0 0 0 0 nan nan 0 0 0 0 1342.00 83.8749 0.00 0.00 0.00 -1 -1 0.00 0.00152182 0.00148612 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_grid/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_grid/config/golden_results.txt index f2f0bc32df9..19c7fb784a9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_grid/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_grid/config/golden_results.txt @@ -1,9 +1,9 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - fixed_grid.xml raygentop.v common 50.12 vpr 84.06 MiB -1 -1 4.92 42896 3 0.97 -1 -1 38096 -1 -1 129 236 1 6 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 86076 236 305 3199 3011 1 1520 677 25 25 625 -1 25x25 43.6 MiB 4.09 14118 291835 98746 175385 17704 84.1 MiB 2.87 0.03 4.72973 -2868.1 -4.72973 4.72973 2.50 0.00934029 0.00870323 1.04526 0.953005 -1 -1 -1 -1 52 26464 27 3.19446e+07 9.87633e+06 2.10129e+06 3362.06 27.31 4.1956 3.78489 66867 433069 -1 22541 17 6135 15940 1459564 357995 4.91399 4.91399 -3142.67 -4.91399 0 0 2.76576e+06 4425.22 0.86 0.74 0.34 -1 -1 0.86 0.41451 0.387228 - column_io.xml raygentop.v common 52.53 vpr 84.01 MiB -1 -1 4.75 43120 3 0.95 -1 -1 38088 -1 -1 129 236 1 6 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 86024 236 305 3199 3011 1 1520 677 25 25 625 io auto 43.3 MiB 3.89 13024 265096 92273 145957 26866 84.0 MiB 2.89 0.07 4.68781 -2886.38 -4.68781 4.68781 2.43 0.016091 0.0142909 1.032 0.939322 -1 -1 -1 -1 54 26434 28 2.82259e+07 9.87633e+06 2.01770e+06 3228.33 30.36 4.7011 4.2358 60384 399159 -1 22444 15 6172 15744 1649398 413722 4.80071 4.80071 -3243.79 -4.80071 0 0 2.61977e+06 4191.64 0.69 0.69 0.36 -1 -1 0.69 0.354307 0.329375 - multiwidth_blocks.xml raygentop.v common 28.57 vpr 84.04 MiB -1 -1 4.75 43076 3 0.88 -1 -1 37996 -1 -1 129 236 1 6 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 86060 236 305 3199 3011 1 1520 677 19 19 361 io clb auto 43.3 MiB 3.59 13048 259154 90005 148757 20392 84.0 MiB 2.45 0.03 4.71803 -2841.59 -4.71803 4.71803 0.97 0.00752803 0.00694478 0.875966 0.783393 -1 -1 -1 -1 68 23694 44 1.65001e+07 9.87633e+06 1.28755e+06 3566.63 9.85 3.54924 3.21845 36601 236909 -1 20599 14 5516 14268 1424917 397183 4.96129 4.96129 -3042.89 -4.96129 0 0 1.60474e+06 4445.26 0.53 0.88 0.31 -1 -1 0.53 0.465359 0.438075 - non_column.xml raygentop.v common 42.55 vpr 104.43 MiB -1 -1 5.31 43252 3 0.75 -1 -1 38476 -1 -1 125 236 1 6 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 106936 236 305 3188 3000 1 1523 673 33 33 1089 io auto 45.0 MiB 3.88 15120 277785 102957 155262 19566 101.8 MiB 2.72 0.04 4.88336 -2959.54 -4.88336 4.88336 4.12 0.0135356 0.0122621 1.04776 0.936225 -1 -1 -1 -1 54 27353 23 5.44432e+07 9.66075e+06 3.30487e+06 3034.77 14.70 2.8388 2.54814 100302 649205 -1 23944 23 6474 17454 1453295 386379 5.20545 5.20545 -3216.33 -5.20545 0 0 4.28921e+06 3938.67 1.71 0.82 0.92 -1 -1 1.71 0.475433 0.442218 - non_column_tall_aspect_ratio.xml raygentop.v common 50.32 vpr 113.80 MiB -1 -1 5.13 43092 3 0.78 -1 -1 38540 -1 -1 125 236 1 6 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 116536 236 305 3188 3000 1 1523 673 23 46 1058 io auto 45.1 MiB 3.75 14514 263045 93443 131443 38159 101.1 MiB 2.67 0.03 4.82017 -2930.26 -4.82017 4.82017 3.80 0.00828949 0.00744749 0.950815 0.857933 -1 -1 -1 -1 50 29578 44 5.05849e+07 9.66075e+06 3.07243e+06 2904.00 24.36 4.29725 3.87978 95149 595581 -1 24184 18 6943 17685 1630226 419654 5.16068 5.16068 -3258.77 -5.16068 0 0 3.91054e+06 3696.17 1.09 0.74 0.66 -1 -1 1.09 0.398171 0.369417 - non_column_wide_aspect_ratio.xml raygentop.v common 58.69 vpr 121.68 MiB -1 -1 4.91 42728 3 0.80 -1 -1 38520 -1 -1 125 236 1 6 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 124604 236 305 3188 3000 1 1523 673 53 27 1431 io auto 45.2 MiB 3.93 16209 316109 110737 181993 23379 121.4 MiB 2.93 0.03 4.68132 -2964.88 -4.68132 4.68132 4.96 0.0102309 0.00911393 1.15188 1.03477 -1 -1 -1 -1 46 33009 48 7.18852e+07 9.66075e+06 3.81039e+06 2662.74 29.85 4.41198 3.98344 125381 744275 -1 26452 19 7219 18561 1795297 455177 5.41811 5.41811 -3289.3 -5.41811 0 0 4.88937e+06 3416.75 1.36 0.79 0.79 -1 -1 1.36 0.408966 0.377897 - custom_sbloc.xml raygentop.v common 32.21 vpr 83.94 MiB -1 -1 4.78 43028 3 0.93 -1 -1 38248 -1 -1 129 236 1 6 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 85956 236 305 3199 3011 1 1520 677 19 19 361 io clb auto 43.3 MiB 3.43 12849 268067 89827 155344 22896 83.9 MiB 2.79 0.03 4.97602 -2840.03 -4.97602 4.97602 0.92 0.0104771 0.00924771 1.01557 0.905812 -1 -1 -1 -1 64 23203 26 1.65001e+07 9.87633e+06 1.19565e+06 3312.06 13.62 4.4638 4.00556 35881 230269 -1 20371 13 5422 13885 1260364 333144 5.08018 5.08018 -3072.96 -5.08018 0 0 1.50465e+06 4168.01 0.50 0.66 0.28 -1 -1 0.50 0.368005 0.3451 - multiple_io_types.xml raygentop.v common 121.78 vpr 532.44 MiB -1 -1 4.07 43200 3 0.82 -1 -1 37944 -1 -1 129 236 1 6 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 545220 236 305 3199 3011 1 1520 677 70 70 4900 io_left auto 43.7 MiB 5.99 31458 107633 6752 25817 75064 532.4 MiB 0.91 0.03 4.99804 -3572.5 -4.99804 4.99804 35.22 0.00883048 0.00802454 0.360901 0.3315 -1 -1 -1 -1 56 45066 25 2.76175e+08 9.87633e+06 1.50822e+07 3078.00 42.79 2.92767 2.65794 455094 2833831 -1 41437 17 7663 19266 3190631 818724 5.20546 5.20546 -3898.3 -5.20546 0 0 1.92585e+07 3930.30 6.20 1.08 2.64 -1 -1 6.20 0.379077 0.35159 + fixed_grid.xml raygentop.v common 36.32 vpr 86.72 MiB -1 -1 4.05 45484 3 0.90 -1 -1 40972 -1 -1 129 236 1 6 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 88800 236 305 3199 3011 1 1520 677 25 25 625 -1 25x25 45.9 MiB 3.77 14108 309661 104995 184095 20571 86.7 MiB 2.82 0.04 4.79923 -2884.9 -4.79923 4.79923 1.31 0.00890658 0.00798503 1.05545 0.927146 -1 -1 -1 -1 58 25094 44 3.19446e+07 9.87633e+06 2.35761e+06 3772.18 18.23 4.76599 4.25993 69363 480205 -1 22477 18 6375 16887 1571491 383129 5.01505 5.01505 -3124.26 -5.01505 0 0 3.00727e+06 4811.63 0.12 0.58 0.43 -1 -1 0.12 0.287158 0.267873 + column_io.xml raygentop.v common 21.72 vpr 86.87 MiB -1 -1 3.94 45412 3 0.59 -1 -1 40804 -1 -1 129 236 1 6 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 88956 236 305 3199 3011 1 1520 677 25 25 625 io auto 46.1 MiB 2.22 12585 268067 93998 147700 26369 86.9 MiB 1.58 0.02 4.73901 -2866.75 -4.73901 4.73901 0.71 0.00580557 0.00496502 0.564483 0.497852 -1 -1 -1 -1 54 26673 50 2.82259e+07 9.87633e+06 2.01770e+06 3228.33 9.11 2.60416 2.32669 60384 399159 -1 22031 17 6221 15823 1566992 390050 4.92063 4.92063 -3214.76 -4.92063 0 0 2.61977e+06 4191.64 0.11 0.57 0.36 -1 -1 0.11 0.283045 0.264698 + multiwidth_blocks.xml raygentop.v common 24.35 vpr 86.45 MiB -1 -1 4.29 45400 3 0.88 -1 -1 40680 -1 -1 129 236 1 6 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 88524 236 305 3199 3011 1 1520 677 19 19 361 io clb auto 45.5 MiB 3.44 13659 253212 84696 147080 21436 86.4 MiB 2.39 0.03 4.97053 -2888.67 -4.97053 4.97053 0.57 0.0095941 0.00864195 0.904905 0.798443 -1 -1 -1 -1 70 23087 25 1.65001e+07 9.87633e+06 1.31889e+06 3653.42 9.29 3.5266 3.16984 37321 246261 -1 21189 14 5796 14717 1380152 383870 5.13329 5.13329 -3164.24 -5.13329 0 0 1.66774e+06 4619.77 0.06 0.50 0.26 -1 -1 0.06 0.248992 0.232939 + non_column.xml raygentop.v common 55.37 vpr 101.45 MiB -1 -1 4.51 45384 3 0.78 -1 -1 40740 -1 -1 125 236 1 6 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 103880 236 305 3188 3000 1 1523 673 33 33 1089 io auto 46.9 MiB 3.81 15255 254201 81770 140693 31738 97.7 MiB 2.36 0.03 4.86131 -2900.08 -4.86131 4.86131 2.27 0.00977579 0.00884174 0.917497 0.805822 -1 -1 -1 -1 48 30162 49 5.44432e+07 9.66075e+06 2.98548e+06 2741.49 34.00 4.50443 4.0188 95950 575791 -1 25045 20 6804 18118 1664218 433730 5.45028 5.45028 -3158.16 -5.45028 0 0 3.81303e+06 3501.40 0.21 0.95 0.95 -1 -1 0.21 0.482241 0.44347 + non_column_tall_aspect_ratio.xml raygentop.v common 44.05 vpr 108.02 MiB -1 -1 4.73 45644 3 0.86 -1 -1 40856 -1 -1 125 236 1 6 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 110616 236 305 3188 3000 1 1523 673 23 46 1058 io auto 47.3 MiB 3.74 14790 242409 83942 122709 35758 98.8 MiB 2.21 0.03 4.6713 -2947.44 -4.6713 4.6713 2.10 0.00881355 0.00803567 0.866396 0.762137 -1 -1 -1 -1 54 27998 49 5.05849e+07 9.66075e+06 3.28516e+06 3105.07 22.44 5.06657 4.53255 98319 656086 -1 23970 19 6505 16966 1638977 432992 5.05886 5.05886 -3281.32 -5.05886 0 0 4.26512e+06 4031.31 0.30 0.98 1.19 -1 -1 0.30 0.480325 0.442198 + non_column_wide_aspect_ratio.xml raygentop.v common 55.14 vpr 115.98 MiB -1 -1 4.85 45536 3 0.89 -1 -1 40604 -1 -1 125 236 1 6 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 118764 236 305 3188 3000 1 1523 673 53 27 1431 io auto 47.2 MiB 4.13 15438 292525 96949 170972 24604 116.0 MiB 2.79 0.04 4.87363 -3002.95 -4.87363 4.87363 2.83 0.00999099 0.00902684 1.12807 0.98489 -1 -1 -1 -1 46 32183 50 7.18852e+07 9.66075e+06 3.81039e+06 2662.74 30.50 4.16688 3.69158 125381 744275 -1 26057 24 7716 19635 2034521 534369 5.1816 5.1816 -3336.75 -5.1816 0 0 4.88937e+06 3416.75 0.38 1.34 1.15 -1 -1 0.38 0.614347 0.564321 + custom_sbloc.xml raygentop.v common 26.06 vpr 86.32 MiB -1 -1 4.50 45448 3 1.04 -1 -1 40804 -1 -1 129 236 1 6 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 88392 236 305 3199 3011 1 1520 677 19 19 361 io clb auto 45.3 MiB 4.20 13741 271038 86813 158916 25309 86.3 MiB 2.67 0.04 4.66207 -2945.67 -4.66207 4.66207 0.62 0.0101306 0.00867476 0.964821 0.865378 -1 -1 -1 -1 68 24218 46 1.65001e+07 9.87633e+06 1.26689e+06 3509.39 7.14 3.30943 2.96886 36601 241349 -1 21082 17 5846 15055 1419293 377571 4.86127 4.86127 -3204.17 -4.86127 0 0 1.57833e+06 4372.12 0.09 0.93 0.49 -1 -1 0.09 0.46499 0.431595 + multiple_io_types.xml raygentop.v common 162.68 vpr 512.77 MiB -1 -1 4.59 44868 3 0.91 -1 -1 40632 -1 -1 129 236 1 6 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 525072 236 305 3199 3011 1 1520 677 70 70 4900 io_left auto 46.0 MiB 4.88 29540 98720 5114 25125 68481 512.8 MiB 0.75 0.03 4.77694 -3775.91 -4.77694 4.77694 29.11 0.00955143 0.00822118 0.265489 0.23233 -1 -1 -1 -1 46 47171 45 2.76175e+08 9.87633e+06 1.25363e+07 2558.43 103.39 4.74809 4.24216 425698 2387761 -1 40627 18 8645 22202 3622069 899914 5.14884 5.14884 -4109.51 -5.14884 0 0 1.61910e+07 3304.29 1.21 1.53 3.08 -1 -1 1.21 0.445697 0.411568 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_pin_locs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_pin_locs/config/golden_results.txt index d908d4ed0df..9ad80c43a91 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_pin_locs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_pin_locs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm_custom_pins.xml ch_intrinsics.v common 4.08 vpr 65.78 MiB -1 -1 0.40 19048 3 0.11 -1 -1 33284 -1 -1 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67356 99 130 344 474 1 227 298 12 12 144 clb auto 26.1 MiB 0.25 717 72933 22876 34411 15646 65.8 MiB 0.24 0.00 1.84343 -118.171 -1.84343 1.84343 0.43 0.000943281 0.00088635 0.0770799 0.0722149 -1 -1 -1 -1 38 1552 14 5.66058e+06 4.21279e+06 328943. 2284.32 0.86 0.213472 0.195926 12522 66188 -1 1256 9 393 600 24051 7073 1.90841 1.90841 -134.177 -1.90841 -1.28606 -0.31945 418267. 2904.63 0.16 0.04 0.09 -1 -1 0.16 0.0289584 0.0270731 + k6_frac_N10_mem32K_40nm_custom_pins.xml ch_intrinsics.v common 3.10 vpr 67.93 MiB -1 -1 0.36 22040 3 0.12 -1 -1 36928 -1 -1 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69560 99 130 344 474 1 227 298 12 12 144 clb auto 28.6 MiB 0.23 673 63978 19550 30341 14087 67.9 MiB 0.25 0.01 1.86472 -118.834 -1.86472 1.86472 0.23 0.00124652 0.00114654 0.0791433 0.0725521 -1 -1 -1 -1 38 1384 9 5.66058e+06 4.21279e+06 328943. 2284.32 0.66 0.24355 0.222932 12522 66188 -1 1114 9 395 636 21516 6871 1.90702 1.90702 -133.439 -1.90702 -1.20917 -0.320482 418267. 2904.63 0.04 0.05 0.10 -1 -1 0.04 0.0351893 0.0324309 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_sb_loc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_sb_loc/config/golden_results.txt index 8f5f4a02727..88d0cc36263 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_sb_loc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_sb_loc/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 75.27 vpr 1.16 GiB 42 758 0 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 1221072 13 29 26295 20086 1 12439 800 40 32 1280 -1 EP4SGX110 1063.1 MiB 16.25 74701 260640 52935 201304 6401 1192.5 MiB 10.24 0.14 5.01005 -5474.24 -4.01005 2.78538 0.01 0.0384053 0.0310295 2.9187 2.44906 86606 6.96358 20705 1.66479 25778 34975 9286411 1665781 0 0 2.34683e+07 18334.6 14 375646 4004209 -1 5.31377 2.77336 -5554.53 -4.31377 0 0 7.20 -1 -1 1192.5 MiB 3.77 4.63873 3.95514 1192.5 MiB -1 15.10 + stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 65.74 vpr 1.17 GiB 42 758 0 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1222776 13 29 26295 20086 1 12439 800 40 32 1280 -1 EP4SGX110 1063.6 MiB 14.29 72376 238368 44187 187356 6825 1172.1 MiB 12.27 0.21 5.14869 -5574.19 -4.14869 2.7734 0.01 0.0513395 0.0444487 3.37672 2.67885 83490 6.71303 20017 1.60947 25863 35776 9229792 1644713 0 0 2.34683e+07 18334.6 15 375646 4004209 -1 5.37962 2.85331 -5732.11 -4.37962 0 0 7.55 -1 -1 1172.1 MiB 6.09 5.72718 4.67253 1172.1 MiB -1 3.79 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_switch_block/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_switch_block/config/golden_results.txt index e1e3f31918d..f8dbe6d76d8 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_switch_block/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_custom_switch_block/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml ch_intrinsics.v common 4.18 vpr 62.35 MiB -1 -1 0.41 18976 3 0.11 -1 -1 33312 -1 -1 72 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 63844 99 130 353 483 1 273 302 15 15 225 memory auto 22.6 MiB 0.05 852 74178 23994 32332 17852 62.3 MiB 0.23 0.00 1.52582 -80.4614 -1.52582 1.52582 0.00 0.00092711 0.000867428 0.0693377 0.06488 -1 -1 -1 -1 1170 5.46729 648 3.02804 652 1492 181450 49896 1.16234e+06 363548 2.18283e+06 9701.45 10 48952 428016 -1 1.67686 1.67686 -105.874 -1.67686 -2.09914 -0.312873 0.62 -1 -1 62.3 MiB 0.07 0.0963391 0.0897976 62.3 MiB -1 1.98 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml ch_intrinsics.v common 2.26 vpr 64.63 MiB -1 -1 0.36 22472 3 0.08 -1 -1 36672 -1 -1 72 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66184 99 130 353 483 1 273 302 15 15 225 memory auto 25.1 MiB 0.03 836 70130 21082 33527 15521 64.6 MiB 0.28 0.00 1.52582 -78.5706 -1.52582 1.52582 0.00 0.00103975 0.000940046 0.0805857 0.0730912 -1 -1 -1 -1 1163 5.43458 640 2.99065 663 1535 177334 49638 1.16234e+06 363548 2.18283e+06 9701.45 10 48952 428016 -1 1.65868 1.65868 -90.7494 -1.65868 -2.16982 -0.309514 0.64 -1 -1 64.6 MiB 0.08 0.105372 0.095866 64.6 MiB -1 0.38 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_dedicated_clock/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_dedicated_clock/config/golden_results.txt index 843f979b3b0..01809a06f11 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_dedicated_clock/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_dedicated_clock/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_global_nets num_routed_nets - timing/k6_frac_N10_frac_chain_mem32K_htree0_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_dedicated_network 36.56 vpr 83.12 MiB -1 -1 1.49 26172 2 0.18 -1 -1 34220 -1 -1 32 311 15 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 85116 311 156 1015 1158 1 965 514 28 28 784 memory auto 32.4 MiB 0.98 8658 202198 68681 121559 11958 83.1 MiB 1.72 0.04 4.52468 -3467.97 -4.52468 4.52468 3.21 0.00929751 0.00872728 0.605238 0.537126 -1 -1 -1 -1 36 15288 36 4.25198e+07 9.94461e+06 1.97160e+06 2514.80 19.52 3.06547 2.77593 76483 392267 -1 13678 12 2942 3353 770564 257137 4.55307 4.55307 -4387.12 -4.55307 -358.532 -1.23434 2.42825e+06 3097.26 1.16 2.02 0.42 -1 -1 1.16 0.193225 0.179934 15 950 - timing/k6_frac_N10_frac_chain_mem32K_htree0_routedCLK_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_dedicated_network 34.90 vpr 83.83 MiB -1 -1 1.47 25784 2 0.19 -1 -1 34296 -1 -1 32 311 15 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 85840 311 156 1015 1158 1 965 514 28 28 784 memory auto 32.4 MiB 0.98 8658 202198 68681 121559 11958 83.8 MiB 1.42 0.01 4.52468 -3467.97 -4.52468 4.52468 2.94 0.00393818 0.00343783 0.510989 0.454287 -1 -1 -1 -1 36 15409 27 4.25198e+07 9.94461e+06 2.00618e+06 2558.90 18.25 2.85623 2.58578 76483 403003 -1 13760 16 3067 3560 745826 226255 4.40123 4.40123 -4543.68 -4.40123 -178.96 -1.26307 2.47848e+06 3161.33 1.21 2.10 0.41 -1 -1 1.21 0.238381 0.220608 15 950 - timing/k6_frac_N10_frac_chain_mem32K_htree0short_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_dedicated_network 28.59 vpr 84.23 MiB -1 -1 1.56 25800 2 0.18 -1 -1 34208 -1 -1 32 311 15 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 86256 311 156 1015 1158 1 965 514 28 28 784 memory auto 32.3 MiB 0.99 8666 202198 68103 120968 13127 84.2 MiB 1.54 0.02 4.38362 -3624.19 -4.38362 4.38362 3.07 0.00455316 0.00405324 0.525661 0.462249 -1 -1 -1 -1 40 15871 21 4.25198e+07 9.94461e+06 2.15085e+06 2743.43 10.76 2.02034 1.8204 78831 435812 -1 14774 15 2518 2894 1193668 708143 5.59605 5.59605 -4517.23 -5.59605 -1680.59 -3.37889 2.68809e+06 3428.68 1.36 3.08 0.49 -1 -1 1.36 0.410611 0.383265 15 950 + timing/k6_frac_N10_frac_chain_mem32K_htree0_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_dedicated_network 22.14 vpr 81.82 MiB -1 -1 1.50 29500 2 0.12 -1 -1 37736 -1 -1 32 311 15 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 83780 311 156 1015 1158 1 965 514 28 28 784 memory auto 35.0 MiB 0.98 9365 202198 74776 117229 10193 76.8 MiB 1.40 0.02 4.8046 -3913.87 -4.8046 4.8046 1.69 0.00610306 0.00535177 0.650008 0.562031 -1 -1 -1 -1 46 14326 15 4.25198e+07 9.94461e+06 2.42825e+06 3097.26 10.53 2.95135 2.62498 81963 495902 -1 13813 11 2359 2703 832718 314081 4.94363 4.94363 -4384.42 -4.94363 -367.864 -1.26276 3.12000e+06 3979.60 0.25 1.49 0.70 -1 -1 0.25 0.183604 0.168791 15 950 + timing/k6_frac_N10_frac_chain_mem32K_htree0_routedCLK_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_dedicated_network 21.14 vpr 85.34 MiB -1 -1 1.46 29488 2 0.17 -1 -1 37984 -1 -1 32 311 15 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 87384 311 156 1015 1158 1 965 514 28 28 784 memory auto 34.6 MiB 1.02 9365 202198 74776 117229 10193 77.2 MiB 1.49 0.03 4.8046 -3913.87 -4.8046 4.8046 1.72 0.00745529 0.00636204 0.709181 0.610899 -1 -1 -1 -1 46 14531 14 4.25198e+07 9.94461e+06 2.47848e+06 3161.33 10.27 3.22179 2.86209 81963 509322 -1 13895 10 2295 2641 564364 164225 5.2138 5.2138 -4583.26 -5.2138 -149.396 -1.20609 3.17357e+06 4047.92 0.16 0.89 0.46 -1 -1 0.16 0.127663 0.117099 15 950 + timing/k6_frac_N10_frac_chain_mem32K_htree0short_40nm.xml verilog/mkPktMerge.v common_--clock_modeling_dedicated_network 25.61 vpr 78.92 MiB -1 -1 1.51 29244 2 0.15 -1 -1 37516 -1 -1 32 311 15 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 80812 311 156 1015 1158 1 965 514 28 28 784 memory auto 34.8 MiB 0.83 9442 200140 70475 118412 11253 78.1 MiB 1.44 0.02 4.10149 -3784.12 -4.10149 4.10149 1.51 0.00620655 0.00547017 0.672177 0.575194 -1 -1 -1 -1 40 16586 15 4.25198e+07 9.94461e+06 2.15085e+06 2743.43 14.64 1.95101 1.72006 78831 435812 -1 15579 11 2621 3012 1218850 719774 5.45816 5.45816 -4586.28 -5.45816 -1608.52 -3.17721 2.68809e+06 3428.68 0.23 1.76 0.54 -1 -1 0.23 0.216383 0.199419 15 950 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_default_fc_pinlocs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_default_fc_pinlocs/config/golden_results.txt index e7307c55606..6c7432d3e12 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_default_fc_pinlocs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_default_fc_pinlocs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k4_N4_90nm_default_fc_pinloc.xml diffeq.blif common 15.60 vpr 69.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 438 64 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70696 64 39 1935 1974 1 1077 541 23 23 529 clb auto 28.8 MiB 0.37 10085 137127 36539 98027 2561 69.0 MiB 1.24 0.02 7.41831 -1418.64 -7.41831 7.41831 0.89 0.00562414 0.00480176 0.355623 0.303324 -1 -1 -1 -1 22 12754 28 983127 976439 735934. 1391.18 7.61 1.13414 0.983828 35322 121345 -1 11109 19 6608 23845 1462488 382373 7.14816 7.14816 -1474.13 -7.14816 0 0 927497. 1753.30 0.18 1.15 0.16 -1 -1 0.18 0.319207 0.292209 + k4_N4_90nm_default_fc_pinloc.xml diffeq.blif common 16.52 vpr 71.54 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 438 64 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73256 64 39 1935 1974 1 1077 541 23 23 529 clb auto 31.4 MiB 0.33 10472 141533 36950 100839 3744 71.5 MiB 1.36 0.02 7.46482 -1369.01 -7.46482 7.46482 0.53 0.00499636 0.00433729 0.369387 0.30729 -1 -1 -1 -1 24 13068 28 983127 976439 797780. 1508.09 10.94 2.01193 1.71604 39018 137339 -1 11478 18 6600 23331 1479297 381870 7.27304 7.27304 -1454.66 -7.27304 0 0 1.04508e+06 1975.57 0.04 0.85 0.23 -1 -1 0.04 0.262211 0.23364 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_depop/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_depop/config/golden_results.txt index 2ed54d22fd2..15d40a35dda 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_depop/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_depop/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 44.58 vpr 82.23 MiB -1 -1 8.38 52984 5 2.48 -1 -1 39568 -1 -1 153 193 5 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 84204 193 205 2718 2652 1 1312 556 20 20 400 memory auto 41.6 MiB 2.41 10365 229056 86247 118235 24574 82.2 MiB 2.50 0.03 4.93042 -2712.69 -4.93042 4.93042 1.52 0.00941947 0.00864017 1.00208 0.892514 -1 -1 -1 -1 82 19408 35 2.07112e+07 1.09858e+07 2.14661e+06 5366.52 20.26 4.55427 4.07475 53670 456392 -1 17785 15 4760 12593 961685 215487 5.25964 5.25964 -2873.25 -5.25964 -10.2812 -0.29768 2.68822e+06 6720.56 0.99 0.57 0.52 -1 -1 0.99 0.345857 0.321125 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 34.16 vpr 84.50 MiB -1 -1 7.12 54432 5 2.11 -1 -1 42788 -1 -1 153 193 5 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 86528 193 205 2718 2652 1 1312 556 20 20 400 memory auto 43.4 MiB 1.85 10543 233626 82676 126206 24744 84.5 MiB 2.72 0.04 4.85425 -2733.64 -4.85425 4.85425 0.66 0.00818288 0.00722228 1.06716 0.90034 -1 -1 -1 -1 76 20844 33 2.07112e+07 1.09858e+07 2.02110e+06 5052.76 15.57 4.03457 3.54046 52074 423490 -1 18742 16 4982 13549 1088379 246430 5.27071 5.27071 -2903.22 -5.27071 -6.49744 -0.292146 2.51807e+06 6295.18 0.11 0.47 0.38 -1 -1 0.11 0.260053 0.24125 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_detailed_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_detailed_timing/config/golden_results.txt index 218d776b173..d0e64cbc176 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_detailed_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_detailed_timing/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 4.12 vpr 65.63 MiB -1 -1 0.43 18936 3 0.10 -1 -1 33276 -1 -1 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67208 99 130 344 474 1 227 298 12 12 144 clb auto 25.9 MiB 0.31 717 72933 22876 34411 15646 65.6 MiB 0.28 0.00 1.84343 -118.171 -1.84343 1.84343 0.41 0.00118638 0.00111707 0.0787144 0.0738877 -1 -1 -1 -1 38 1540 14 5.66058e+06 4.21279e+06 319130. 2216.18 0.79 0.216838 0.200184 12522 62564 -1 1261 9 399 607 24533 7188 1.90841 1.90841 -134.095 -1.90841 -1.28606 -0.31945 406292. 2821.48 0.13 0.04 0.07 -1 -1 0.13 0.0278788 0.0260572 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 2.94 vpr 67.99 MiB -1 -1 0.39 22036 3 0.12 -1 -1 36636 -1 -1 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69620 99 130 344 474 1 227 298 12 12 144 clb auto 28.6 MiB 0.20 673 63978 19550 30341 14087 68.0 MiB 0.21 0.00 1.86472 -118.834 -1.86472 1.86472 0.24 0.000996678 0.000900839 0.0648293 0.0586504 -1 -1 -1 -1 38 1389 12 5.66058e+06 4.21279e+06 319130. 2216.18 0.58 0.202532 0.183764 12522 62564 -1 1116 11 409 682 22304 6997 1.90702 1.90702 -133.281 -1.90702 -1.20917 -0.320482 406292. 2821.48 0.02 0.06 0.09 -1 -1 0.02 0.0346978 0.0324594 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_diff_mux_for_inc_dec_wires/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_diff_mux_for_inc_dec_wires/config/golden_results.txt index 4e81f397cb6..2abafbec4a3 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_diff_mux_for_inc_dec_wires/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_diff_mux_for_inc_dec_wires/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_40nm.xml stereovision0.v common 151.84 vpr 271.81 MiB -1 -1 18.22 123192 5 52.14 -1 -1 65180 -1 -1 1352 169 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 278332 169 197 21117 21314 1 6442 1718 39 39 1521 clb auto 119.5 MiB 5.00 49106 989078 343367 618966 26745 271.8 MiB 10.45 0.10 3.87493 -15116.8 -3.87493 3.87493 9.42 0.0295107 0.0249862 3.37183 2.81407 -1 -1 -1 -1 38 61885 27 2.4642e+07 2.4336e+07 4.29790e+06 2825.71 35.67 14.1092 11.5867 119030 883757 -1 58128 20 30287 65526 2502097 448520 3.7865 3.7865 -15779.5 -3.7865 0 0 5.41627e+06 3561.00 1.70 2.36 0.73 -1 -1 1.70 1.66008 1.43692 - k6_N10_40nm_diff_switch_for_inc_dec_wires.xml stereovision0.v common 138.53 vpr 269.16 MiB -1 -1 17.93 123432 5 52.13 -1 -1 65280 -1 -1 1342 169 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 275616 169 197 21117 21314 1 6530 1708 39 39 1521 clb auto 119.7 MiB 5.14 49426 991593 360564 611379 19650 269.2 MiB 10.35 0.10 3.57102 -14945.7 -3.57102 3.57102 9.54 0.0295319 0.0250555 3.23004 2.68449 -1 -1 -1 -1 38 62626 36 7.37824e+07 7.23272e+07 4.16760e+06 2740.04 21.59 11.6516 9.60196 119030 845795 -1 58726 26 31787 68726 2500109 471239 3.4196 3.4196 -15850.7 -3.4196 0 0 5.22668e+06 3436.35 1.71 2.83 0.65 -1 -1 1.71 2.09886 1.80153 + k6_N10_40nm.xml stereovision0.v common 151.16 vpr 252.22 MiB -1 -1 13.65 124444 5 69.06 -1 -1 68628 -1 -1 1352 169 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 258272 169 197 21117 21314 1 6442 1718 39 39 1521 clb auto 120.8 MiB 5.85 49865 999363 355164 624898 19301 252.2 MiB 12.58 0.10 3.94387 -15329.6 -3.94387 3.94387 5.65 0.0282487 0.0224608 3.71486 2.98245 -1 -1 -1 -1 38 62474 28 2.4642e+07 2.4336e+07 4.29790e+06 2825.71 27.54 18.235 14.9379 119030 883757 -1 58887 28 30785 67364 2647531 463217 3.72242 3.72242 -16216.3 -3.72242 0 0 5.41627e+06 3561.00 0.27 2.70 0.65 -1 -1 0.27 1.99577 1.72788 + k6_N10_40nm_diff_switch_for_inc_dec_wires.xml stereovision0.v common 145.25 vpr 237.36 MiB -1 -1 13.92 124256 5 67.78 -1 -1 68500 -1 -1 1342 169 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 243060 169 197 21117 21314 1 6530 1708 39 39 1521 clb auto 120.5 MiB 3.79 49914 971183 338147 610049 22987 237.4 MiB 14.52 0.10 3.63479 -14732.8 -3.63479 3.63479 5.35 0.02794 0.0220913 4.48744 3.66675 -1 -1 -1 -1 40 62766 41 7.37824e+07 7.23272e+07 4.31957e+06 2839.95 22.87 16.3688 13.4703 120550 875283 -1 59263 24 31348 67380 2546099 475966 3.57863 3.57863 -15572.9 -3.57863 0 0 5.40678e+06 3554.75 0.57 4.00 1.03 -1 -1 0.57 2.85785 2.46864 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_eblif_vpr/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_eblif_vpr/config/golden_results.txt index 5a135fa5675..3a5d60de356 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_eblif_vpr/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_eblif_vpr/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml test_eblif.eblif common 0.39 vpr 58.09 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 3 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59484 3 1 5 6 1 4 5 3 3 9 -1 auto 19.7 MiB 0.00 9 12 4 4 4 58.1 MiB 0.00 0.00 0.52647 -0.88231 -0.52647 0.52647 0.00 1.7269e-05 1.2376e-05 0.000128336 0.000101173 -1 -1 -1 -1 20 9 2 53894 53894 4880.82 542.314 0.01 0.00155195 0.0014541 379 725 -1 5 1 3 3 29 19 0.545526 0.545526 -1.07365 -0.545526 0 0 6579.40 731.044 0.00 0.00 0.00 -1 -1 0.00 0.00163857 0.00158765 - k6_frac_N10_40nm.xml conn_order.eblif common 0.47 vpr 58.00 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 2 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59388 2 1 4 5 1 3 4 3 3 9 -1 auto 19.6 MiB 0.00 6 9 4 1 4 58.0 MiB 0.00 0.00 0.69084 -1.21731 -0.69084 0.69084 0.00 2.0764e-05 1.5673e-05 0.000154884 0.000113002 -1 -1 -1 -1 20 7 2 53894 53894 4880.82 542.314 0.01 0.00171618 0.00160639 379 725 -1 15 1 2 2 51 45 1.70808 1.70808 -2.25272 -1.70808 0 0 6579.40 731.044 0.00 0.00 0.00 -1 -1 0.00 0.00144513 0.00140629 + k6_frac_N10_40nm.xml test_eblif.eblif common 0.36 vpr 60.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 3 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61908 3 1 5 6 1 4 5 3 3 9 -1 auto 22.0 MiB 0.00 9 12 4 4 4 60.5 MiB 0.00 0.00 0.52647 -0.88231 -0.52647 0.52647 0.00 2.3168e-05 1.5881e-05 0.000156154 0.000121512 -1 -1 -1 -1 20 9 2 53894 53894 4880.82 542.314 0.01 0.00179937 0.00168173 379 725 -1 5 1 3 3 29 19 0.545526 0.545526 -1.07365 -0.545526 0 0 6579.40 731.044 0.00 0.00 0.00 -1 -1 0.00 0.00151021 0.00147037 + k6_frac_N10_40nm.xml conn_order.eblif common 0.33 vpr 60.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 2 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61912 2 1 4 5 1 3 4 3 3 9 -1 auto 22.1 MiB 0.00 6 9 4 1 4 60.5 MiB 0.00 0.00 0.69084 -1.21731 -0.69084 0.69084 0.00 1.6567e-05 1.1555e-05 0.000123665 9.5691e-05 -1 -1 -1 -1 20 7 2 53894 53894 4880.82 542.314 0.00 0.00181279 0.00171778 379 725 -1 15 1 2 2 51 45 1.70808 1.70808 -2.25272 -1.70808 0 0 6579.40 731.044 0.00 0.00 0.00 -1 -1 0.00 0.00154282 0.00150229 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_eblif_vpr_write/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_eblif_vpr_write/config/golden_results.txt index 9fe54ec14ab..6afcd280a0b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_eblif_vpr_write/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_eblif_vpr_write/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - arch.xml eblif_write.eblif common 0.48 vpr 56.39 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57744 3 2 5 7 1 5 7 4 4 16 ff_tile io_tile auto 17.7 MiB 0.00 14 18 7 10 1 56.4 MiB 0.00 0.00 0.198536 -0.769354 -0.198536 0.198536 0.00 1.8648e-05 1.2503e-05 0.000127908 9.7495e-05 -1 -1 -1 -1 1 8 1 59253.6 29626.8 -1 -1 0.00 0.00173006 0.00162827 136 248 -1 8 1 4 4 68 40 0.189392 0.189392 -0.755508 -0.189392 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00148908 0.0014446 + arch.xml eblif_write.eblif common 0.28 vpr 58.97 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60388 3 2 5 7 1 5 7 4 4 16 ff_tile io_tile auto 20.5 MiB 0.00 14 18 7 10 1 59.0 MiB 0.00 0.00 0.198536 -0.769354 -0.198536 0.198536 0.00 2.2578e-05 1.4571e-05 0.000133192 9.8031e-05 -1 -1 -1 -1 1 8 1 59253.6 29626.8 -1 -1 0.00 0.00167256 0.00156119 136 248 -1 8 1 4 4 68 40 0.189392 0.189392 -0.755508 -0.189392 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00133191 0.00129055 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_echo_files/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_echo_files/config/golden_results.txt index daf901cac9d..826beb46c2f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_echo_files/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_echo_files/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 2.56 vpr 63.62 MiB -1 -1 0.89 23616 5 0.15 -1 -1 33552 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65152 10 2 181 183 1 35 24 6 6 36 clb auto 24.2 MiB 0.18 146 398 72 298 28 63.6 MiB 0.11 0.00 2.14835 -92.8998 -2.14835 2.14835 0.00 0.000445467 0.000411761 0.00801694 0.00746509 -1 -1 -1 -1 -1 136 16 646728 646728 60312.4 1675.34 0.11 0.0303214 0.027336 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.94 vpr 66.02 MiB -1 -1 0.82 27148 5 0.18 -1 -1 36836 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67604 10 2 181 183 1 35 24 6 6 36 clb auto 26.9 MiB 0.09 152 432 67 335 30 66.0 MiB 0.04 0.00 2.14835 -93.0339 -2.14835 2.14835 0.00 0.000434946 0.000380309 0.00759691 0.00679441 -1 -1 -1 -1 -1 145 18 646728 646728 60312.4 1675.34 0.03 0.0281069 0.0251327 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_equivalent_sites/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_equivalent_sites/config/golden_results.txt index e9d9eeaa41e..106e5784d60 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_equivalent_sites/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_equivalent_sites/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - equivalent.xml equivalent.blif common 0.46 vpr 56.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57816 1 1 3 4 0 3 4 4 4 16 io_site_1 auto 17.7 MiB 0.00 9 9 4 5 0 56.5 MiB 0.01 0.00 3.8649 -3.8649 -3.8649 nan 0.03 5.3982e-05 3.6833e-05 0.000300109 0.000216559 -1 -1 -1 -1 1 3 1 59253.6 29626.8 -1 -1 0.00 0.00165523 0.00149952 72 304 -1 3 1 3 3 37 15 3.69193 nan -3.69193 -3.69193 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00144261 0.0014006 + equivalent.xml equivalent.blif common 0.33 vpr 58.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60324 1 1 3 4 0 3 4 4 4 16 io_site_1 auto 20.4 MiB 0.00 9 9 3 6 0 58.9 MiB 0.00 0.00 3.8649 -3.8649 -3.8649 nan 0.00 1.5162e-05 1.0275e-05 0.00029282 0.000262472 -1 -1 -1 -1 1 3 1 59253.6 29626.8 -1 -1 0.00 0.00144752 0.00135246 72 304 -1 3 1 3 3 37 15 3.69193 nan -3.69193 -3.69193 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00148764 0.00144592 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fc_abs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fc_abs/config/golden_results.txt index 05df18fd944..f0909e951de 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fc_abs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fc_abs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm_fc_abs.xml stereovision3.v common 3.12 vpr 63.56 MiB -1 -1 0.88 23644 5 0.18 -1 -1 33408 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65088 10 2 181 183 1 35 24 6 6 36 clb auto 24.1 MiB 0.14 146 398 73 297 28 63.6 MiB 0.03 0.00 2.15218 -93.1951 -2.15218 2.15218 0.05 0.000531567 0.000499904 0.0102703 0.00975502 -1 -1 -1 -1 8 178 24 646728 646728 33486.6 930.184 0.37 0.0711325 0.0621504 1588 8314 -1 187 26 302 650 19860 6525 2.46771 2.46771 -110.005 -2.46771 0 0 42482.2 1180.06 0.01 0.07 0.01 -1 -1 0.01 0.0278289 0.0226019 + k6_N10_mem32K_40nm_fc_abs.xml stereovision3.v common 2.12 vpr 65.83 MiB -1 -1 0.80 26828 5 0.17 -1 -1 36968 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67408 10 2 181 183 1 35 24 6 6 36 clb auto 26.9 MiB 0.03 152 432 67 335 30 65.8 MiB 0.01 0.00 2.15218 -93.3318 -2.15218 2.15218 0.04 0.000541794 0.000472344 0.00646942 0.00583397 -1 -1 -1 -1 8 206 22 646728 646728 33486.6 930.184 0.18 0.0650705 0.0566044 1588 8314 -1 169 20 235 523 16218 5641 2.44258 2.44258 -104.337 -2.44258 0 0 42482.2 1180.06 0.00 0.03 0.01 -1 -1 0.00 0.0191215 0.0169186 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fix_clusters/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fix_clusters/config/golden_results.txt index 35bd7aa8ea6..e41ab909d3a 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fix_clusters/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fix_clusters/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - fix_clusters_test_arch.xml apex2.blif common 24.18 vpr 72.64 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 132 38 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 74380 38 3 1916 1919 0 1054 173 7 7 49 clb auto 32.1 MiB 4.40 5572 10755 973 9191 591 72.6 MiB 0.34 0.01 5.10521 -15.0222 -5.10521 nan 0.24 0.00524309 0.00429013 0.156975 0.1351 -1 -1 -1 -1 162 7714 43 1.34735e+06 7.11401e+06 944075. 19266.8 15.81 3.57525 3.0646 18450 291720 -1 7029 18 5960 24809 1093324 352159 5.64405 nan -16.6537 -5.64405 0 0 1.17629e+06 24005.9 0.25 0.82 0.25 -1 -1 0.25 0.397625 0.36383 + fix_clusters_test_arch.xml apex2.blif common 14.77 vpr 75.11 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 132 38 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 76916 38 3 1916 1919 0 1054 173 7 7 49 clb auto 34.4 MiB 4.62 5572 1135 0 0 1135 75.1 MiB 0.08 0.01 5.10521 -15.0504 -5.10521 nan 0.19 0.00530639 0.00465724 0.0561264 0.0529208 -1 -1 -1 -1 164 7542 34 1.34735e+06 7.11401e+06 957298. 19536.7 7.09 2.13567 1.82713 18546 296938 -1 6979 21 5560 22630 961929 323712 5.65021 nan -16.5347 -5.65021 0 0 1.19720e+06 24432.6 0.05 0.66 0.37 -1 -1 0.05 0.343651 0.311264 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fix_pins_random/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fix_pins_random/config/golden_results.txt index 6e10a5ba903..c4013f9bc8c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fix_pins_random/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fix_pins_random/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 3.30 vpr 63.87 MiB -1 -1 0.94 23512 5 0.18 -1 -1 33344 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65404 10 2 181 183 1 35 24 6 6 36 clb auto 24.5 MiB 0.11 148 364 32 317 15 63.9 MiB 0.05 0.00 2.14643 -90.773 -2.14643 2.14643 0.03 0.000335395 0.000285078 0.00639243 0.00590769 -1 -1 -1 -1 12 177 21 646728 646728 19965.4 554.594 0.61 0.149391 0.122522 1696 3924 -1 153 14 202 474 9884 3007 2.16575 2.16575 -96.6802 -2.16575 0 0 25971.8 721.439 0.01 0.03 0.00 -1 -1 0.01 0.0144768 0.0129004 + k6_N10_mem32K_40nm.xml stereovision3.v common 2.09 vpr 66.02 MiB -1 -1 0.81 27020 5 0.18 -1 -1 36968 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67608 10 2 181 183 1 35 24 6 6 36 clb auto 26.9 MiB 0.06 152 364 33 322 9 66.0 MiB 0.01 0.00 2.14643 -90.9948 -2.14643 2.14643 0.04 0.000424487 0.000372936 0.00685813 0.00616631 -1 -1 -1 -1 12 186 21 646728 646728 19965.4 554.594 0.11 0.0652242 0.0564867 1696 3924 -1 174 15 217 480 10553 3153 2.17275 2.17275 -93.6282 -2.17275 0 0 25971.8 721.439 0.00 0.02 0.01 -1 -1 0.00 0.0180304 0.016231 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_placement/read_write/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_placement/read_write/config/config.txt new file mode 100644 index 00000000000..68fc81625c3 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_placement/read_write/config/config.txt @@ -0,0 +1,35 @@ +############################################## +# Configuration file for running experiments +############################################## + +# Path to directory of circuits to use +circuits_dir=benchmarks/blif/wiremap6 + +# Path to directory of architectures to use +archs_dir=arch/timing + +# Add circuits to list to sweep +circuit_list_add=alu4.pre-vpr.blif + +# Constrain the circuits to their devices +circuit_constraint_list_add=(alu4.pre-vpr.blif, device=mcnc_small) + +# Constrain the circuits to their channel widths +# 1.3 * minW +circuit_constraint_list_add=(alu4.pre-vpr.blif, route_chan_width=70) + +# Add architectures to list to sweep +arch_list_add=k6_frac_N10_40nm.xml + +# Parse info and how to parse +parse_file=vpr_standard.txt + +# How to parse QoR info +qor_parse_file=qor_standard.txt + +# Pass requirements +pass_requirements_file=pass_requirements.txt + +# Pass the script params while writing the vpr constraints. +script_params=-starting_stage vpr -track_memory_usage --read_flat_place ../../../../constraints/old_placement.fplace --write_flat_place placement.fplace + diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_placement/read_write/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_placement/read_write/config/golden_results.txt new file mode 100644 index 00000000000..ce66e9945a6 --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_placement/read_write/config/golden_results.txt @@ -0,0 +1,2 @@ + arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time + k6_frac_N10_40nm.xml alu4.pre-vpr.blif common 1.95 vpr 67.80 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 79 14 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69432 14 8 926 934 0 494 101 11 11 121 -1 mcnc_small 28.1 MiB 0.87 4705 3156 292 2673 191 67.8 MiB 0.15 0.01 4.69669 -33.5098 -4.69669 nan 0.00 0.00334751 0.00291356 0.0814872 0.072816 -1 -1 -1 -1 -1 6609 17 4.36541e+06 4.25763e+06 511363. 4226.14 0.32 0.279395 0.254136 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_placement/read_write/constraints/old_placement.fplace b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_placement/read_write/constraints/old_placement.fplace new file mode 100644 index 00000000000..6104318972c --- /dev/null +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_placement/read_write/constraints/old_placement.fplace @@ -0,0 +1,941 @@ +# Flat Placement File +# Auto-generated by VPR 9.0.0-dev+v8.0.0-12075-g53e90e62b-dirty +# Created: 2025-01-28T09:59:25 +# +# This file prints the following information for each atom in the netlist: +# # + +n523 6 8 0 0 3 #0 lut +n522 6 8 0 0 5 #0 lut +n520 6 8 0 0 2 #0 lut +n518 6 8 0 0 16 #0 lut +n454 6 8 0 0 4 #0 lut +n298 6 8 0 0 14 #0 lut +n214 6 8 0 0 2 #0 lut +n517 6 8 0 0 0 #0 lut +n49 6 8 0 0 9 #0 lut +n516 6 8 0 0 6 #0 lut +n48 6 8 0 0 15 #0 lut +o_4_ 6 8 0 0 1 #0 lut +n47 6 8 0 0 17 #0 lut +o_3_ 3 2 0 0 17 #1 lut +n168 3 2 0 0 2 #1 lut +n158 3 2 0 0 3 #1 lut +n157 3 2 0 0 2 #1 lut +n156 3 2 0 0 4 #1 lut +n154 3 2 0 0 5 #1 lut +n165 3 2 0 0 0 #1 lut +n113 3 2 0 0 13 #1 lut +n112 3 2 0 0 16 #1 lut +n108 3 2 0 0 15 #1 lut +n159 3 2 0 0 12 #1 lut +n107 3 2 0 0 9 #1 lut +n292 6 9 0 0 12 #2 lut +n291 6 9 0 0 5 #2 lut +n288 6 9 0 0 3 #2 lut +n287 6 9 0 0 4 #2 lut +n286 6 9 0 0 0 #2 lut +n285 6 9 0 0 7 #2 lut +n293 6 9 0 0 13 #2 lut +n215 6 9 0 0 4 #2 lut +n295 6 9 0 0 8 #2 lut +n178 6 9 0 0 3 #2 lut +n290 6 9 0 0 2 #2 lut +n121 6 9 0 0 9 #2 lut +n739 3 6 0 0 5 #3 lut +n726 3 6 0 0 4 #3 lut +n725 3 6 0 0 8 #3 lut +n735 3 6 0 0 4 #3 lut +n722 3 6 0 0 2 #3 lut +n721 3 6 0 0 0 #3 lut +n737 3 6 0 0 13 #3 lut +n334 3 6 0 0 3 #3 lut +n720 3 6 0 0 7 #3 lut +n122 3 6 0 0 9 #3 lut +n727 3 6 0 0 6 #3 lut +n77 3 6 0 0 7 #3 lut +n719 2 8 0 0 0 #4 lut +n717 2 8 0 0 4 #4 lut +n716 2 8 0 0 1 #4 lut +n714 2 8 0 0 6 #4 lut +n711 2 8 0 0 4 #4 lut +n710 2 8 0 0 2 #4 lut +n707 2 8 0 0 5 #4 lut +n713 2 8 0 0 8 #4 lut +n700 2 8 0 0 7 #4 lut +n718 2 8 0 0 3 #4 lut +n133 2 8 0 0 9 #4 lut +n114 2 8 0 0 3 #4 lut +n926 6 6 0 0 6 #5 lut +n915 6 6 0 0 5 #5 lut +n41 6 6 0 0 0 #5 lut +n119 6 6 0 0 13 #5 lut +n118 6 6 0 0 7 #5 lut +n123 6 6 0 0 5 #5 lut +n124 6 6 0 0 4 #5 lut +n126 6 6 0 0 1 #5 lut +n129 6 6 0 0 17 #5 lut +n134 6 6 0 0 9 #5 lut +n238 6 6 0 0 3 #5 lut +n131 6 6 0 0 12 #5 lut +n914 6 6 0 0 4 #5 lut +n120 6 6 0 0 2 #5 lut +n439 6 6 0 0 7 #5 lut +n844 5 7 0 0 5 #6 lut +n29 5 7 0 0 2 #6 lut +n96 5 7 0 0 3 #6 lut +n135 5 7 0 0 6 #6 lut +n137 5 7 0 0 1 #6 lut +n839 5 7 0 0 4 #6 lut +n136 5 7 0 0 0 #6 lut +n138 5 7 0 0 8 #6 lut +n139 5 7 0 0 9 #6 lut +n111 5 7 0 0 4 #6 lut +n140 5 7 0 0 15 #6 lut +n842 5 7 0 0 5 #6 lut +n142 5 7 0 0 7 #6 lut +n843 5 7 0 0 6 #6 lut +n797 3 5 0 0 4 #7 lut +n793 3 5 0 0 0 #7 lut +n799 3 5 0 0 6 #7 lut +n786 3 5 0 0 5 #7 lut +n800 3 5 0 0 8 #7 lut +n696 3 5 0 0 1 #7 lut +n153 3 5 0 0 7 #7 lut +n789 3 5 0 0 13 #7 lut +n152 3 5 0 0 15 #7 lut +n796 3 5 0 0 2 #7 lut +n146 3 5 0 0 9 #7 lut +n144 3 5 0 0 8 #7 lut +n898 7 6 0 0 2 #8 lut +n896 7 6 0 0 5 #8 lut +n893 7 6 0 0 7 #8 lut +n899 7 6 0 0 17 #8 lut +n886 7 6 0 0 6 #8 lut +n884 7 6 0 0 4 #8 lut +n895 7 6 0 0 13 #8 lut +n882 7 6 0 0 1 #8 lut +n881 7 6 0 0 4 #8 lut +n406 7 6 0 0 0 #8 lut +n239 7 6 0 0 7 #8 lut +n150 7 6 0 0 9 #8 lut +n275 8 9 0 0 3 #9 lut +n272 8 9 0 0 6 #9 lut +n266 8 9 0 0 2 #9 lut +n264 8 9 0 0 0 #9 lut +n274 8 9 0 0 5 #9 lut +n261 8 9 0 0 16 #9 lut +n260 8 9 0 0 4 #9 lut +n164 8 9 0 0 7 #9 lut +n163 8 9 0 0 9 #9 lut +n276 8 9 0 0 2 #9 lut +n55 8 9 0 0 17 #9 lut +n44 8 9 0 0 1 #9 lut +n604 5 1 0 0 8 #10 lut +n582 5 1 0 0 0 #10 lut +n595 5 1 0 0 17 #10 lut +n346 5 1 0 0 14 #10 lut +n541 5 1 0 0 7 #10 lut +n526 5 1 0 0 3 #10 lut +n583 5 1 0 0 2 #10 lut +n596 5 1 0 0 13 #10 lut +n192 5 1 0 0 12 #10 lut +n598 5 1 0 0 15 #10 lut +n600 5 1 0 0 4 #10 lut +n166 5 1 0 0 9 #10 lut +n601 5 1 0 0 5 #10 lut +n602 5 1 0 0 6 #10 lut +n423 4 9 0 0 6 #11 lut +n421 4 9 0 0 4 #11 lut +n419 4 9 0 0 0 #11 lut +n415 4 9 0 0 4 #11 lut +n413 4 9 0 0 2 #11 lut +n412 4 9 0 0 13 #11 lut +n411 4 9 0 0 5 #11 lut +n171 4 9 0 0 9 #11 lut +n417 4 9 0 0 14 #11 lut +n235 4 9 0 0 5 #11 lut +n170 4 9 0 0 8 #11 lut +n130 4 9 0 0 15 #11 lut +n244 7 9 0 0 3 #12 lut +n243 7 9 0 0 17 #12 lut +n242 7 9 0 0 5 #12 lut +n241 7 9 0 0 7 #12 lut +n240 7 9 0 0 0 #12 lut +n458 7 9 0 0 4 #12 lut +n237 7 9 0 0 4 #12 lut +n236 7 9 0 0 1 #12 lut +n233 7 9 0 0 2 #12 lut +n232 7 9 0 0 9 #12 lut +n209 7 9 0 0 3 #12 lut +n234 7 9 0 0 6 #12 lut +n182 7 9 0 0 5 #12 lut +n557 2 1 0 0 4 #13 lut +n556 2 1 0 0 0 #13 lut +n554 2 1 0 0 6 #13 lut +n550 2 1 0 0 10 #13 lut +n549 2 1 0 0 8 #13 lut +n548 2 1 0 0 2 #13 lut +n547 2 1 0 0 13 #13 lut +n546 2 1 0 0 8 #13 lut +n545 2 1 0 0 7 #13 lut +n540 2 1 0 0 5 #13 lut +n500 2 1 0 0 3 #13 lut +n555 2 1 0 0 12 #13 lut +n308 2 1 0 0 9 #13 lut +n574 6 5 0 0 14 #14 lut +n31 6 5 0 0 7 #14 lut +n395 6 5 0 0 1 #14 lut +n393 6 5 0 0 9 #14 lut +n394 6 5 0 0 4 #14 lut +n407 6 5 0 0 3 #14 lut +n397 6 5 0 0 6 #14 lut +n410 6 5 0 0 2 #14 lut +n398 6 5 0 0 17 #14 lut +n399 6 5 0 0 5 #14 lut +n401 6 5 0 0 4 #14 lut +n404 6 5 0 0 15 #14 lut +n405 6 5 0 0 13 #14 lut +n409 6 5 0 0 0 #14 lut +n913 2 9 0 0 5 #15 lut +n912 2 9 0 0 13 #15 lut +n911 2 9 0 0 8 #15 lut +n909 2 9 0 0 6 #15 lut +n908 2 9 0 0 2 #15 lut +n906 2 9 0 0 15 #15 lut +n529 2 9 0 0 9 #15 lut +n422 2 9 0 0 9 #15 lut +n420 2 9 0 0 8 #15 lut +n907 2 9 0 0 0 #15 lut +n283 2 9 0 0 3 #15 lut +n910 2 9 0 0 4 #15 lut +n91 2 9 0 0 7 #15 lut +n466 7 7 0 0 4 #16 lut +n465 7 7 0 0 2 #16 lut +n467 7 7 0 0 6 #16 lut +n32 7 7 0 0 14 #16 lut +n461 7 7 0 0 4 #16 lut +n69 7 7 0 0 1 #16 lut +n459 7 7 0 0 13 #16 lut +n99 7 7 0 0 7 #16 lut +n297 7 7 0 0 16 #16 lut +n336 7 7 0 0 3 #16 lut +n371 7 7 0 0 5 #16 lut +n455 7 7 0 0 9 #16 lut +n456 7 7 0 0 15 #16 lut +n457 7 7 0 0 17 #16 lut +n460 7 7 0 0 0 #16 lut +n464 7 7 0 0 5 #16 lut +n463 8 7 0 0 7 #17 lut +n462 8 7 0 0 9 #17 lut +n375 8 7 0 0 2 #17 lut +n370 8 7 0 0 13 #17 lut +n366 8 7 0 0 4 #17 lut +n468 8 7 0 0 8 #17 lut +n364 8 7 0 0 6 #17 lut +n363 8 7 0 0 5 #17 lut +n367 8 7 0 0 8 #17 lut +n328 8 7 0 0 0 #17 lut +n304 8 7 0 0 9 #17 lut +n199 8 7 0 0 7 #17 lut +n565 3 1 0 0 10 #18 lut +n543 3 1 0 0 8 #18 lut +n564 3 1 0 0 4 #18 lut +n538 3 1 0 0 16 #18 lut +n533 3 1 0 0 0 #18 lut +n558 3 1 0 0 2 #18 lut +n532 3 1 0 0 13 #18 lut +n531 3 1 0 0 7 #18 lut +n524 3 1 0 0 9 #18 lut +o_5_ 3 1 0 0 17 #18 lut +n542 3 1 0 0 6 #18 lut +n373 3 1 0 0 12 #18 lut +n54 3 1 0 0 9 #18 lut +n757 6 3 0 0 6 #19 lut +n756 6 3 0 0 4 #19 lut +n552 6 3 0 0 7 #19 lut +n551 6 3 0 0 9 #19 lut +n764 6 3 0 0 2 #19 lut +n504 6 3 0 0 12 #19 lut +n763 6 3 0 0 4 #19 lut +n503 6 3 0 0 5 #19 lut +n416 6 3 0 0 5 #19 lut +n761 6 3 0 0 0 #19 lut +n553 6 3 0 0 17 #19 lut +n280 6 3 0 0 16 #19 lut +n25 6 3 0 0 13 #19 lut +o_7_ 5 4 0 0 17 #20 lut +n838 5 4 0 0 16 #20 lut +n38 5 4 0 0 6 #20 lut +n785 5 4 0 0 4 #20 lut +n327 5 4 0 0 12 #20 lut +n365 5 4 0 0 9 #20 lut +n628 5 4 0 0 8 #20 lut +n57 5 4 0 0 7 #20 lut +n782 5 4 0 0 2 #20 lut +n666 5 4 0 0 1 #20 lut +n674 5 4 0 0 9 #20 lut +n752 5 4 0 0 7 #20 lut +n778 5 4 0 0 5 #20 lut +n783 5 4 0 0 0 #20 lut +n754 5 4 0 0 13 #20 lut +n742 2 5 0 0 5 #21 lut +n686 2 5 0 0 4 #21 lut +n684 2 5 0 0 1 #21 lut +n683 2 5 0 0 7 #21 lut +n682 2 5 0 0 2 #21 lut +n680 2 5 0 0 4 #21 lut +n744 2 5 0 0 0 #21 lut +n679 2 5 0 0 3 #21 lut +n676 2 5 0 0 17 #21 lut +n675 2 5 0 0 9 #21 lut +n687 2 5 0 0 13 #21 lut +n414 2 5 0 0 3 #21 lut +n807 4 8 0 0 6 #22 lut +n804 4 8 0 0 2 #22 lut +n803 4 8 0 0 0 #22 lut +n801 4 8 0 0 5 #22 lut +n805 4 8 0 0 8 #22 lut +n688 4 8 0 0 9 #22 lut +n472 4 8 0 0 8 #22 lut +n808 4 8 0 0 6 #22 lut +n379 4 8 0 0 7 #22 lut +n806 4 8 0 0 15 #22 lut +n338 4 8 0 0 1 #22 lut +n802 4 8 0 0 4 #22 lut +n230 4 8 0 0 3 #22 lut +n347 4 5 0 0 4 #23 lut +n689 4 5 0 0 0 #23 lut +n919 4 5 0 0 8 #23 lut +n832 4 5 0 0 6 #23 lut +n489 4 5 0 0 12 #23 lut +n690 4 5 0 0 9 #23 lut +n920 4 5 0 0 15 #23 lut +n369 4 5 0 0 3 #23 lut +n833 4 5 0 0 5 #23 lut +n442 4 5 0 0 1 #23 lut +n790 4 5 0 0 5 #23 lut +n894 4 5 0 0 4 #23 lut +n792 4 5 0 0 2 #23 lut +n791 4 5 0 0 14 #23 lut +n849 4 5 0 0 13 #23 lut +n829 1 4 0 0 6 #24 lut +n828 1 4 0 0 2 #24 lut +n827 1 4 0 0 4 #24 lut +n826 1 4 0 0 0 #24 lut +n825 1 4 0 0 4 #24 lut +n743 1 4 0 0 12 #24 lut +n706 1 4 0 0 5 #24 lut +n705 1 4 0 0 8 #24 lut +n702 1 4 0 0 13 #24 lut +n701 1 4 0 0 9 #24 lut +n703 1 4 0 0 15 #24 lut +n196 1 4 0 0 14 #24 lut +n862 3 4 0 0 4 #25 lut +n859 3 4 0 0 5 #25 lut +n857 3 4 0 0 3 #25 lut +n856 3 4 0 0 4 #25 lut +n854 3 4 0 0 0 #25 lut +n853 3 4 0 0 17 #25 lut +n852 3 4 0 0 16 #25 lut +n851 3 4 0 0 7 #25 lut +n860 3 4 0 0 2 #25 lut +n704 3 4 0 0 9 #25 lut +n858 3 4 0 0 13 #25 lut +n195 3 4 0 0 5 #25 lut +n869 1 8 0 0 6 #26 lut +n868 1 8 0 0 17 #26 lut +n867 1 8 0 0 0 #26 lut +n866 1 8 0 0 5 #26 lut +n865 1 8 0 0 4 #26 lut +n863 1 8 0 0 7 #26 lut +n746 1 8 0 0 2 #26 lut +n355 1 8 0 0 3 #26 lut +n864 1 8 0 0 13 #26 lut +n708 1 8 0 0 9 #26 lut +n305 1 8 0 0 5 #26 lut +n835 1 8 0 0 4 #26 lut +n302 1 8 0 0 7 #26 lut +n712 5 5 0 0 8 #27 lut +n148 5 5 0 0 3 #27 lut +n330 5 5 0 0 4 #27 lut +n343 5 5 0 0 13 #27 lut +n329 5 5 0 0 5 #27 lut +n342 5 5 0 0 7 #27 lut +n331 5 5 0 0 6 #27 lut +n332 5 5 0 0 0 #27 lut +n709 5 5 0 0 9 #27 lut +n333 5 5 0 0 7 #27 lut +n174 5 5 0 0 12 #27 lut +n580 5 5 0 0 1 #27 lut +n337 5 5 0 0 2 #27 lut +n339 5 5 0 0 4 #27 lut +n870 9 6 0 0 4 #28 lut +n877 9 6 0 0 2 #28 lut +n734 9 6 0 0 15 #28 lut +n876 9 6 0 0 6 #28 lut +n733 9 6 0 0 16 #28 lut +n732 9 6 0 0 13 #28 lut +n730 9 6 0 0 5 #28 lut +n729 9 6 0 0 0 #28 lut +n871 9 6 0 0 4 #28 lut +n728 9 6 0 0 9 #28 lut +n731 9 6 0 0 17 #28 lut +n68 9 6 0 0 1 #28 lut +n818 4 7 0 0 8 #29 lut +n815 4 7 0 0 4 #29 lut +n812 4 7 0 0 5 #29 lut +n846 4 7 0 0 15 #29 lut +n508 4 7 0 0 12 #29 lut +n736 4 7 0 0 9 #29 lut +n294 4 7 0 0 0 #29 lut +n738 4 7 0 0 13 #29 lut +n270 4 7 0 0 3 #29 lut +n845 4 7 0 0 17 #29 lut +n819 4 7 0 0 6 #29 lut +n247 4 7 0 0 2 #29 lut +n831 2 6 0 0 4 #30 lut +n820 2 6 0 0 0 #30 lut +n509 2 6 0 0 12 #30 lut +n821 2 6 0 0 4 #30 lut +n724 2 6 0 0 14 #30 lut +n750 2 6 0 0 13 #30 lut +n745 2 6 0 0 9 #30 lut +n747 2 6 0 0 16 #30 lut +n748 2 6 0 0 17 #30 lut +n53 2 6 0 0 1 #30 lut +n749 2 6 0 0 15 #30 lut +n751 2 6 0 0 5 #30 lut +n809 2 6 0 0 3 #30 lut +n822 2 6 0 0 2 #30 lut +n699 4 2 0 0 14 #31 lut +n698 4 2 0 0 5 #31 lut +n697 4 2 0 0 4 #31 lut +n695 4 2 0 0 15 #31 lut +n758 4 2 0 0 9 #31 lut +n693 4 2 0 0 0 #31 lut +n692 4 2 0 0 6 #31 lut +n612 4 2 0 0 6 #31 lut +n759 4 2 0 0 8 #31 lut +n694 4 2 0 0 4 #31 lut +n382 4 2 0 0 3 #31 lut +n180 4 2 0 0 2 #31 lut +n46 4 2 0 0 5 #31 lut +n128 6 4 0 0 15 #32 lut +n491 6 4 0 0 4 #32 lut +n779 6 4 0 0 9 #32 lut +n431 6 4 0 0 1 #32 lut +n483 6 4 0 0 3 #32 lut +n482 6 4 0 0 5 #32 lut +n492 6 4 0 0 13 #32 lut +n521 6 4 0 0 7 #32 lut +n426 6 4 0 0 14 #32 lut +n484 6 4 0 0 6 #32 lut +n485 6 4 0 0 2 #32 lut +n486 6 4 0 0 0 #32 lut +n488 6 4 0 0 4 #32 lut +n780 6 4 0 0 17 #32 lut +n490 6 4 0 0 16 #32 lut +n905 7 2 0 0 0 #33 lut +n904 7 2 0 0 5 #33 lut +n59 7 2 0 0 1 #33 lut +n537 7 2 0 0 7 #33 lut +n589 7 2 0 0 2 #33 lut +n901 7 2 0 0 8 #33 lut +n587 7 2 0 0 4 #33 lut +n588 7 2 0 0 4 #33 lut +n590 7 2 0 0 14 #33 lut +n61 7 2 0 0 5 #33 lut +n902 7 2 0 0 13 #33 lut +n475 7 2 0 0 12 #33 lut +n591 7 2 0 0 6 #33 lut +n903 7 2 0 0 15 #33 lut +n897 7 2 0 0 9 #33 lut +n85 8 6 0 0 16 #34 lut +n81 8 6 0 0 2 #34 lut +n79 8 6 0 0 0 #34 lut +n73 8 6 0 0 5 #34 lut +o_2_ 8 6 0 0 17 #34 lut +n84 8 6 0 0 3 #34 lut +n71 8 6 0 0 13 #34 lut +n83 8 6 0 0 4 #34 lut +n70 8 6 0 0 7 #34 lut +n64 8 6 0 0 4 #34 lut +n51 8 6 0 0 9 #34 lut +n39 8 6 0 0 5 #34 lut +n228 3 8 0 0 2 #35 lut +n225 3 8 0 0 13 #35 lut +n224 3 8 0 0 4 #35 lut +n219 3 8 0 0 5 #35 lut +n231 3 8 0 0 4 #35 lut +n218 3 8 0 0 0 #35 lut +n217 3 8 0 0 3 #35 lut +n229 3 8 0 0 8 #35 lut +n216 3 8 0 0 15 #35 lut +n145 3 8 0 0 9 #35 lut +n221 3 8 0 0 6 #35 lut +n78 3 8 0 0 5 #35 lut +n663 1 2 0 0 5 #36 lut +n35 1 2 0 0 1 #36 lut +n161 1 2 0 0 4 #36 lut +n578 1 2 0 0 8 #36 lut +n162 1 2 0 0 9 #36 lut +n220 1 2 0 0 14 #36 lut +n662 1 2 0 0 7 #36 lut +n310 1 2 0 0 5 #36 lut +n309 1 2 0 0 4 #36 lut +n570 1 2 0 0 6 #36 lut +n311 1 2 0 0 6 #36 lut +n572 1 2 0 0 0 #36 lut +n573 1 2 0 0 2 #36 lut +n579 1 2 0 0 15 #36 lut +n210 5 2 0 0 17 #37 lut +n207 5 2 0 0 0 #37 lut +n194 5 2 0 0 9 #37 lut +n206 5 2 0 0 15 #37 lut +n193 5 2 0 0 6 #37 lut +n191 5 2 0 0 5 #37 lut +n189 5 2 0 0 4 #37 lut +n188 5 2 0 0 2 #37 lut +n213 5 2 0 0 5 #37 lut +n187 5 2 0 0 9 #37 lut +n208 5 2 0 0 13 #37 lut +n117 5 2 0 0 8 #37 lut +n248 6 2 0 0 1 #38 lut +n246 6 2 0 0 4 #38 lut +n374 6 2 0 0 3 #38 lut +n205 6 2 0 0 0 #38 lut +n204 6 2 0 0 15 #38 lut +n372 6 2 0 0 4 #38 lut +n203 6 2 0 0 2 #38 lut +n202 6 2 0 0 16 #38 lut +n201 6 2 0 0 13 #38 lut +n200 6 2 0 0 5 #38 lut +n198 6 2 0 0 17 #38 lut +n249 6 2 0 0 3 #38 lut +n197 6 2 0 0 9 #38 lut +n627 5 3 0 0 0 #39 lut +n626 5 3 0 0 17 #39 lut +n625 5 3 0 0 2 #39 lut +n623 5 3 0 0 15 #39 lut +n620 5 3 0 0 6 #39 lut +n619 5 3 0 0 4 #39 lut +n606 5 3 0 0 8 #39 lut +n605 5 3 0 0 5 #39 lut +n212 5 3 0 0 9 #39 lut +n624 5 3 0 0 13 #39 lut +n325 5 3 0 0 12 #39 lut +n26 5 3 0 0 1 #39 lut +n501 2 3 0 0 2 #40 lut +n837 2 3 0 0 13 #40 lut +n577 2 3 0 0 8 #40 lut +n499 2 3 0 0 0 #40 lut +n498 2 3 0 0 4 #40 lut +n497 2 3 0 0 4 #40 lut +n494 2 3 0 0 5 #40 lut +n227 2 3 0 0 7 #40 lut +n495 2 3 0 0 3 #40 lut +n222 2 3 0 0 9 #40 lut +n24 2 3 0 0 3 #40 lut +n634 3 7 0 0 0 #41 lut +n633 3 7 0 0 6 #41 lut +n631 3 7 0 0 4 #41 lut +n630 3 7 0 0 2 #41 lut +n226 3 7 0 0 8 #41 lut +n223 3 7 0 0 9 #41 lut +n151 3 7 0 0 7 #41 lut +n507 3 7 0 0 12 #41 lut +n143 3 7 0 0 13 #41 lut +n635 3 7 0 0 4 #41 lut +n141 3 7 0 0 5 #41 lut +n259 7 4 0 0 0 #42 lut +n28 7 4 0 0 3 #42 lut +n250 7 4 0 0 9 #42 lut +n75 7 4 0 0 1 #42 lut +n257 7 4 0 0 14 #42 lut +n251 7 4 0 0 4 #42 lut +n42 7 4 0 0 5 #42 lut +n245 7 4 0 0 17 #42 lut +n258 7 4 0 0 2 #42 lut +n252 7 4 0 0 5 #42 lut +n253 7 4 0 0 4 #42 lut +n255 7 4 0 0 15 #42 lut +n82 7 4 0 0 6 #42 lut +n256 7 4 0 0 13 #42 lut +n480 5 9 0 0 0 #43 lut +n479 5 9 0 0 4 #43 lut +n478 5 9 0 0 6 #43 lut +n476 5 9 0 0 17 #43 lut +n474 5 9 0 0 13 #43 lut +n471 5 9 0 0 7 #43 lut +n469 5 9 0 0 7 #43 lut +n477 5 9 0 0 2 #43 lut +n360 5 9 0 0 16 #43 lut +n481 5 9 0 0 10 #43 lut +n273 5 9 0 0 5 #43 lut +n470 5 9 0 0 8 #43 lut +n262 5 9 0 0 9 #43 lut +n449 9 7 0 0 6 #44 lut +n444 9 7 0 0 10 #44 lut +n435 9 7 0 0 0 #44 lut +n434 9 7 0 0 6 #44 lut +n437 9 7 0 0 2 #44 lut +n424 9 7 0 0 4 #44 lut +n440 9 7 0 0 8 #44 lut +n388 9 7 0 0 16 #44 lut +n271 9 7 0 0 17 #44 lut +n438 9 7 0 0 7 #44 lut +n269 9 7 0 0 9 #44 lut +n87 9 7 0 0 11 #44 lut +n387 8 8 0 0 4 #45 lut +n377 8 8 0 0 4 #45 lut +n284 8 8 0 0 0 #45 lut +n281 8 8 0 0 6 #45 lut +n279 8 8 0 0 5 #45 lut +n381 8 8 0 0 6 #45 lut +n277 8 8 0 0 17 #45 lut +n278 8 8 0 0 15 #45 lut +n265 8 8 0 0 2 #45 lut +n289 8 8 0 0 9 #45 lut +n263 8 8 0 0 1 #45 lut +n105 8 8 0 0 3 #45 lut +o_6_ 9 3 0 0 16 #46 lut +n636 9 3 0 0 2 #46 lut +n320 9 3 0 0 17 #46 lut +n657 9 3 0 0 5 #46 lut +n644 9 3 0 0 2 #46 lut +n319 9 3 0 0 0 #46 lut +n318 9 3 0 0 7 #46 lut +n655 9 3 0 0 4 #46 lut +n317 9 3 0 0 6 #46 lut +n654 9 3 0 0 3 #46 lut +n316 9 3 0 0 9 #46 lut +n380 1 6 0 0 3 #47 lut +n326 1 6 0 0 6 #47 lut +n922 1 6 0 0 1 #47 lut +n324 1 6 0 0 7 #47 lut +n921 1 6 0 0 6 #47 lut +n323 1 6 0 0 2 #47 lut +n312 1 6 0 0 5 #47 lut +n569 1 6 0 0 4 #47 lut +n322 1 6 0 0 4 #47 lut +n296 1 6 0 0 17 #47 lut +n568 1 6 0 0 5 #47 lut +n321 1 6 0 0 9 #47 lut +n282 1 6 0 0 0 #47 lut +n850 6 7 0 0 7 #48 lut +n847 6 7 0 0 17 #48 lut +n514 6 7 0 0 4 #48 lut +n848 6 7 0 0 6 #48 lut +n510 6 7 0 0 4 #48 lut +n506 6 7 0 0 0 #48 lut +n505 6 7 0 0 2 #48 lut +n515 6 7 0 0 6 #48 lut +n502 6 7 0 0 5 #48 lut +n446 6 7 0 0 1 #48 lut +n400 6 7 0 0 9 #48 lut +n104 7 8 0 0 13 #49 lut +n103 7 8 0 0 3 #49 lut +n101 7 8 0 0 7 #49 lut +n100 7 8 0 0 6 #49 lut +n98 7 8 0 0 4 #49 lut +n95 7 8 0 0 2 #49 lut +n94 7 8 0 0 8 #49 lut +n418 7 8 0 0 9 #49 lut +n106 7 8 0 0 9 #49 lut +n93 7 8 0 0 0 #49 lut +n102 7 8 0 0 17 #49 lut +n89 7 8 0 0 5 #49 lut +n433 8 5 0 0 7 #50 lut +n430 8 5 0 0 4 #50 lut +n427 8 5 0 0 4 #50 lut +n425 8 5 0 0 9 #50 lut +n429 8 5 0 0 6 #50 lut +n351 8 5 0 0 5 #50 lut +n428 8 5 0 0 5 #50 lut +n376 8 5 0 0 2 #50 lut +n350 8 5 0 0 3 #50 lut +n432 8 5 0 0 8 #50 lut +n341 8 5 0 0 0 #50 lut +n917 5 6 0 0 3 #51 lut +n918 5 6 0 0 4 #51 lut +n840 5 6 0 0 2 #51 lut +n621 5 6 0 0 13 #51 lut +n677 5 6 0 0 2 #51 lut +n586 5 6 0 0 3 #51 lut +n916 5 6 0 0 0 #51 lut +n513 5 6 0 0 17 #51 lut +n512 5 6 0 0 15 #51 lut +n511 5 6 0 0 9 #51 lut +n622 5 6 0 0 5 #51 lut +n63 5 6 0 0 14 #51 lut +o_1_ 7 1 0 0 14 #52 lut +n519 7 1 0 0 9 #52 lut +n149 7 1 0 0 15 #52 lut +n45 7 1 0 0 5 #52 lut +n147 7 1 0 0 13 #52 lut +n43 7 1 0 0 4 #52 lut +n40 7 1 0 0 0 #52 lut +n50 7 1 0 0 5 #52 lut +n37 7 1 0 0 4 #52 lut +n36 7 1 0 0 3 #52 lut +n592 7 1 0 0 17 #52 lut +n33 7 1 0 0 2 #52 lut +n661 1 3 0 0 2 #53 lut +n658 1 3 0 0 4 #53 lut +n571 1 3 0 0 0 #53 lut +n563 1 3 0 0 8 #53 lut +n562 1 3 0 0 7 #53 lut +n665 1 3 0 0 3 #53 lut +n561 1 3 0 0 5 #53 lut +n664 1 3 0 0 4 #53 lut +n560 1 3 0 0 6 #53 lut +n559 1 3 0 0 9 #53 lut +n776 4 4 0 0 2 #54 lut +n775 4 4 0 0 12 #54 lut +n773 4 4 0 0 6 #54 lut +n772 4 4 0 0 13 #54 lut +n771 4 4 0 0 15 #54 lut +n770 4 4 0 0 0 #54 lut +n769 4 4 0 0 4 #54 lut +n767 4 4 0 0 4 #54 lut +n609 4 4 0 0 9 #54 lut +n766 4 4 0 0 5 #54 lut +n610 4 4 0 0 8 #54 lut +n441 4 4 0 0 1 #54 lut +n651 4 3 0 0 0 #55 lut +n650 4 3 0 0 3 #55 lut +n617 4 3 0 0 17 #55 lut +n616 4 3 0 0 15 #55 lut +n823 4 3 0 0 5 #55 lut +n615 4 3 0 0 9 #55 lut +n613 4 3 0 0 4 #55 lut +n608 4 3 0 0 3 #55 lut +n567 4 3 0 0 2 #55 lut +n618 4 3 0 0 6 #55 lut +n566 4 3 0 0 4 #55 lut +n669 2 4 0 0 9 #56 lut +n668 2 4 0 0 17 #56 lut +n184 2 4 0 0 13 #56 lut +n183 2 4 0 0 14 #56 lut +n181 2 4 0 0 2 #56 lut +n836 2 4 0 0 5 #56 lut +n186 2 4 0 0 6 #56 lut +n173 2 4 0 0 8 #56 lut +n185 2 4 0 0 0 #56 lut +n172 2 4 0 0 4 #56 lut +n127 2 4 0 0 15 #56 lut +n880 2 7 0 0 6 #57 lut +n810 2 7 0 0 15 #57 lut +n448 2 7 0 0 10 #57 lut +n811 2 7 0 0 8 #57 lut +n681 2 7 0 0 9 #57 lut +n447 2 7 0 0 8 #57 lut +n392 2 7 0 0 4 #57 lut +n534 2 7 0 0 6 #57 lut +n391 2 7 0 0 0 #57 lut +n390 2 7 0 0 2 #57 lut +n784 5 8 0 0 13 #58 lut +n753 5 8 0 0 7 #58 lut +n645 5 8 0 0 2 #58 lut +n685 5 8 0 0 9 #58 lut +n74 5 8 0 0 8 #58 lut +n80 5 8 0 0 17 #58 lut +n67 5 8 0 0 5 #58 lut +n378 5 8 0 0 0 #58 lut +n66 5 8 0 0 4 #58 lut +n65 5 8 0 0 6 #58 lut +n740 3 9 0 0 7 #59 lut +n723 3 9 0 0 9 #59 lut +n741 3 9 0 0 8 #59 lut +n715 3 9 0 0 12 #59 lut +n453 3 9 0 0 4 #59 lut +n452 3 9 0 0 5 #59 lut +n357 3 9 0 0 0 #59 lut +n356 3 9 0 0 6 #59 lut +n354 3 9 0 0 2 #59 lut +n358 3 9 0 0 4 #59 lut +n267 3 9 0 0 13 #59 lut +n649 8 4 0 0 13 #60 lut +n765 8 4 0 0 3 #60 lut +n648 8 4 0 0 0 #60 lut +n359 8 4 0 0 6 #60 lut +n353 8 4 0 0 2 #60 lut +n755 8 4 0 0 8 #60 lut +n352 8 4 0 0 4 #60 lut +n647 8 4 0 0 7 #60 lut +n348 8 4 0 0 8 #60 lut +n760 8 4 0 0 9 #60 lut +n344 8 4 0 0 5 #60 lut +n889 7 3 0 0 2 #61 lut +n892 7 3 0 0 2 #61 lut +n762 7 3 0 0 9 #61 lut +n175 7 3 0 0 17 #61 lut +n891 7 3 0 0 3 #61 lut +n72 7 3 0 0 15 #61 lut +o_0_ 7 3 0 0 14 #61 lut +n888 7 3 0 0 4 #61 lut +n30 7 3 0 0 5 #61 lut +n27 7 3 0 0 0 #61 lut +n23 7 3 0 0 6 #61 lut +n861 3 3 0 0 0 #62 lut +n774 3 3 0 0 9 #62 lut +n768 3 3 0 0 15 #62 lut +n691 3 3 0 0 13 #62 lut +n678 3 3 0 0 4 #62 lut +n659 3 3 0 0 2 #62 lut +n607 3 3 0 0 4 #62 lut +n855 3 3 0 0 5 #62 lut +n777 3 3 0 0 17 #62 lut +n62 3 3 0 0 6 #62 lut +n788 9 5 0 0 8 #63 lut +n787 9 5 0 0 9 #63 lut +n643 9 5 0 0 2 #63 lut +n642 9 5 0 0 4 #63 lut +n638 9 5 0 0 6 #63 lut +n90 9 5 0 0 0 #63 lut +n641 9 5 0 0 4 #63 lut +n56 9 5 0 0 6 #63 lut +n637 9 5 0 0 5 #63 lut +n52 9 5 0 0 7 #63 lut +n925 1 5 0 0 3 #64 lut +n795 1 5 0 0 8 #64 lut +n924 1 5 0 0 2 #64 lut +n794 1 5 0 0 9 #64 lut +n386 1 5 0 0 2 #64 lut +n385 1 5 0 0 5 #64 lut +n307 1 5 0 0 0 #64 lut +n306 1 5 0 0 6 #64 lut +n576 1 5 0 0 4 #64 lut +n303 1 5 0 0 7 #64 lut +n824 4 6 0 0 2 #65 lut +n813 4 6 0 0 9 #65 lut +n670 4 6 0 0 3 #65 lut +n384 4 6 0 0 5 #65 lut +n132 4 6 0 0 4 #65 lut +n443 4 6 0 0 4 #65 lut +n92 4 6 0 0 6 #65 lut +n814 4 6 0 0 17 #65 lut +n177 4 6 0 0 0 #65 lut +n60 4 6 0 0 15 #65 lut +n817 1 7 0 0 8 #66 lut +n672 1 7 0 0 3 #66 lut +n671 1 7 0 0 2 #66 lut +n816 1 7 0 0 9 #66 lut +n673 1 7 0 0 4 #66 lut +n530 1 7 0 0 2 #66 lut +n834 1 7 0 0 5 #66 lut +n301 1 7 0 0 13 #66 lut +n300 1 7 0 0 0 #66 lut +n299 1 7 0 0 15 #66 lut +n830 4 1 0 0 9 #67 lut +n653 4 1 0 0 0 #67 lut +n614 4 1 0 0 4 #67 lut +n611 4 1 0 0 4 #67 lut +n585 4 1 0 0 3 #67 lut +n652 4 1 0 0 5 #67 lut +n496 4 1 0 0 13 #67 lut +n539 4 1 0 0 7 #67 lut +n396 4 1 0 0 2 #67 lut +n155 4 1 0 0 17 #67 lut +n781 8 3 0 0 0 #68 lut +n841 8 3 0 0 9 #68 lut +n646 8 3 0 0 8 #68 lut +n640 8 3 0 0 15 #68 lut +n493 8 3 0 0 2 #68 lut +n362 8 3 0 0 3 #68 lut +n345 8 3 0 0 2 #68 lut +n160 8 3 0 0 13 #68 lut +n656 8 3 0 0 4 #68 lut +n58 8 3 0 0 5 #68 lut +n900 7 5 0 0 8 #69 lut +n887 7 5 0 0 7 #69 lut +n885 7 5 0 0 9 #69 lut +n883 7 5 0 0 6 #69 lut +n890 7 5 0 0 0 #69 lut +n487 7 5 0 0 2 #69 lut +n368 7 5 0 0 5 #69 lut +n335 7 5 0 0 4 #69 lut +n179 7 5 0 0 3 #69 lut +n34 7 5 0 0 2 #69 lut +n599 6 1 0 0 3 #70 lut +n603 6 1 0 0 4 #70 lut +n408 6 1 0 0 7 #70 lut +n403 6 1 0 0 13 #70 lut +n597 6 1 0 0 2 #70 lut +n402 6 1 0 0 5 #70 lut +n873 6 1 0 0 12 #70 lut +n340 6 1 0 0 2 #70 lut +n575 6 1 0 0 8 #70 lut +n211 6 1 0 0 9 #70 lut +n190 6 1 0 0 0 #70 lut +n667 1 1 0 0 0 #71 lut +n660 1 1 0 0 2 #71 lut +n593 1 1 0 0 5 #71 lut +n584 1 1 0 0 3 #71 lut +n594 1 1 0 0 4 #71 lut +n581 1 1 0 0 8 #71 lut +n525 1 1 0 0 4 #71 lut +n315 1 1 0 0 7 #71 lut +n314 1 1 0 0 6 #71 lut +n313 1 1 0 0 9 #71 lut +n878 9 8 0 0 6 #72 lut +n875 9 8 0 0 2 #72 lut +n874 9 8 0 0 0 #72 lut +n872 9 8 0 0 4 #72 lut +n389 9 8 0 0 8 #72 lut +n383 9 8 0 0 9 #72 lut +n97 9 8 0 0 5 #72 lut +n88 9 8 0 0 8 #72 lut +n879 9 8 0 0 7 #72 lut +n86 9 8 0 0 6 #72 lut +n451 9 9 0 0 8 #73 lut +n632 9 9 0 0 5 #73 lut +n450 9 9 0 0 7 #73 lut +n445 9 9 0 0 6 #73 lut +n436 9 9 0 0 9 #73 lut +n536 2 2 0 0 17 #74 lut +n535 2 2 0 0 9 #74 lut +n169 2 2 0 0 4 #74 lut +n528 2 2 0 0 13 #74 lut +n125 2 2 0 0 0 #74 lut +n116 2 2 0 0 5 #74 lut +n544 2 2 0 0 7 #74 lut +n167 2 2 0 0 2 #74 lut +n115 2 2 0 0 5 #74 lut +n110 2 2 0 0 6 #74 lut +n473 2 2 0 0 1 #74 lut +n109 2 2 0 0 4 #74 lut +n639 9 4 0 0 5 #75 lut +n798 9 4 0 0 6 #75 lut +n629 9 4 0 0 9 #75 lut +n361 9 4 0 0 15 #75 lut +n349 9 4 0 0 17 #75 lut +n527 8 2 0 0 6 #76 lut +n254 8 2 0 0 17 #76 lut +n176 8 2 0 0 15 #76 lut +n76 8 2 0 0 9 #76 lut +n923 1 9 0 0 17 #77 lut +n268 1 9 0 0 19 #77 lut +out:o_3_ 3 0 0 4 0 #78 outpad +out:o_4_ 10 8 0 7 0 #79 outpad +out:o_5_ 3 0 0 3 0 #80 outpad +out:o_7_ 5 0 0 5 0 #81 outpad +out:o_2_ 8 0 0 3 0 #82 outpad +out:o_6_ 10 3 0 6 0 #83 outpad +out:o_1_ 7 0 0 5 0 #84 outpad +out:o_0_ 7 0 0 2 0 #85 outpad +i_0_ 5 0 0 0 0 #86 inpad +i_10_ 5 0 0 1 0 #87 inpad +i_11_ 6 0 0 0 0 #88 inpad +i_12_ 5 0 0 3 0 #89 inpad +i_13_ 4 0 0 1 0 #90 inpad +i_1_ 3 0 0 0 0 #91 inpad +i_2_ 5 0 0 2 0 #92 inpad +i_3_ 4 0 0 3 0 #93 inpad +i_4_ 5 0 0 6 0 #94 inpad +i_5_ 5 10 0 0 0 #95 inpad +i_6_ 5 0 0 4 0 #96 inpad +i_7_ 4 0 0 6 0 #97 inpad +i_8_ 5 0 0 7 0 #98 inpad +i_9_ 6 0 0 4 0 #99 inpad diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_router/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_router/config/golden_results.txt index f954a2b1702..e37401667f7 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_router/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flat_router/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 13.56 vpr 77.04 MiB -1 -1 3.92 32680 16 0.60 -1 -1 34972 -1 -1 60 45 3 1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 78892 45 32 1192 1151 1 782 141 14 14 196 memory auto 38.2 MiB 2.96 6987 30885 8485 19109 3291 77.0 MiB 1.25 0.02 10.7872 -7159.4 -10.7872 10.7872 0.01 0.00497106 0.00453777 0.461478 0.422614 -1 -1 -1 -1 -1 10741 14 9.20055e+06 5.27364e+06 1.47691e+06 7535.23 2.03 0.580473 0.527495 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common_--router_algorithm_parallel_--num_workers_4 13.38 vpr 77.06 MiB -1 -1 3.60 32516 16 0.58 -1 -1 34992 -1 -1 60 45 3 1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 78912 45 32 1192 1151 1 782 141 14 14 196 memory auto 38.2 MiB 2.94 6987 30885 8485 19109 3291 77.1 MiB 1.04 0.01 10.7872 -7159.4 -10.7872 10.7872 0.00 0.00222077 0.00193155 0.339907 0.301027 -1 -1 -1 -1 -1 10825 14 9.20055e+06 5.27364e+06 1.47691e+06 7535.23 2.22 0.417402 0.352766 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common 11.85 vpr 79.08 MiB -1 -1 3.58 35500 16 0.65 -1 -1 38580 -1 -1 60 45 3 1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 80980 45 32 1192 1151 1 782 141 14 14 196 memory auto 40.0 MiB 3.23 6742 28689 8224 17037 3428 79.1 MiB 0.65 0.01 10.7103 -7090.32 -10.7103 10.7103 0.00 0.00310914 0.00279648 0.314019 0.270375 -1 -1 -1 -1 -1 10349 13 9.20055e+06 5.27364e+06 1.47691e+06 7535.23 1.50 0.423776 0.367585 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_frac_chain_mem32K_40nm.xml spree.v common_--router_algorithm_parallel_--num_workers_4 12.82 vpr 78.98 MiB -1 -1 3.48 35500 16 0.73 -1 -1 38088 -1 -1 60 45 3 1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 80880 45 32 1192 1151 1 782 141 14 14 196 memory auto 40.1 MiB 3.28 6742 28689 8224 17037 3428 79.0 MiB 0.59 0.01 10.7103 -7090.32 -10.7103 10.7103 0.00 0.00230907 0.0018852 0.209392 0.171163 -1 -1 -1 -1 -1 10313 15 9.20055e+06 5.27364e+06 1.47691e+06 7535.23 2.42 0.342057 0.287674 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flyover_wires/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flyover_wires/config/golden_results.txt index 24daf2d2e35..120190057e8 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flyover_wires/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_flyover_wires/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - shorted_flyover_wires.xml raygentop.v common 32.00 vpr 84.00 MiB -1 -1 4.94 43052 3 0.95 -1 -1 38056 -1 -1 129 236 1 6 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 86016 236 305 3199 3011 1 1520 677 19 19 361 io clb auto 43.4 MiB 3.67 13419 262125 90999 150942 20184 84.0 MiB 2.78 0.03 4.69737 -2831.91 -4.69737 4.69737 1.01 0.00832504 0.00776365 0.932353 0.847983 -1 -1 -1 -1 66 27201 39 1.65001e+07 9.87633e+06 1.15238e+06 3192.19 11.80 3.82946 3.48711 36241 234685 -1 22898 17 6143 16341 1829326 503243 5.28065 5.28065 -3148.98 -5.28065 0 0 1.43513e+06 3975.42 0.58 0.95 0.26 -1 -1 0.58 0.436495 0.407813 - buffered_flyover_wires.xml raygentop.v common 32.17 vpr 84.02 MiB -1 -1 4.60 43460 3 0.83 -1 -1 38072 -1 -1 129 236 1 6 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 86032 236 305 3199 3011 1 1520 677 19 19 361 io clb auto 43.3 MiB 3.46 14176 262125 95409 146838 19878 84.0 MiB 2.60 0.03 5.30111 -3035.02 -5.30111 5.30111 0.96 0.0108245 0.0101036 0.99455 0.909375 -1 -1 -1 -1 68 26718 29 1.65001e+07 9.87633e+06 1.22105e+06 3382.40 12.62 4.02255 3.63163 36601 236909 -1 22839 20 6287 16443 1625103 418922 5.52369 5.52369 -3272.68 -5.52369 0 0 1.52022e+06 4211.15 0.57 1.21 0.35 -1 -1 0.57 0.599325 0.559459 + shorted_flyover_wires.xml raygentop.v common 28.09 vpr 86.75 MiB -1 -1 4.22 45380 3 0.89 -1 -1 40652 -1 -1 129 236 1 6 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 88832 236 305 3199 3011 1 1520 677 19 19 361 io clb auto 45.9 MiB 3.72 13488 259154 85177 151229 22748 86.8 MiB 1.98 0.02 4.96832 -2863.05 -4.96832 4.96832 0.58 0.00616009 0.0056108 0.73686 0.651724 -1 -1 -1 -1 70 25183 26 1.65001e+07 9.87633e+06 1.20853e+06 3347.73 11.82 3.63311 3.252 37321 249029 -1 22818 16 6009 15172 1561129 440571 5.14889 5.14889 -3166.68 -5.14889 0 0 1.52253e+06 4217.55 0.11 0.96 0.44 -1 -1 0.11 0.466679 0.43649 + buffered_flyover_wires.xml raygentop.v common 23.51 vpr 86.14 MiB -1 -1 4.32 45316 3 0.90 -1 -1 40936 -1 -1 129 236 1 6 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 88212 236 305 3199 3011 1 1520 677 19 19 361 io clb auto 45.3 MiB 3.23 13888 238357 80681 139370 18306 86.1 MiB 2.42 0.04 5.12299 -3013.43 -5.12299 5.12299 0.55 0.0104225 0.00890059 0.853806 0.753587 -1 -1 -1 -1 68 27200 39 1.65001e+07 9.87633e+06 1.22105e+06 3382.40 7.94 3.27933 2.93318 36601 236909 -1 22538 20 6241 16122 1654804 449740 5.13382 5.13382 -3162.81 -5.13382 0 0 1.52022e+06 4211.15 0.06 0.81 0.27 -1 -1 0.06 0.458331 0.421893 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fpu_hard_block_arch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fpu_hard_block_arch/config/golden_results.txt index d0dca393ab5..dabc7597d44 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fpu_hard_block_arch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fpu_hard_block_arch/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - hard_fpu_arch_timing.xml mm3.v common 7.03 vpr 62.24 MiB -1 -1 0.19 18436 1 0.05 -1 -1 30832 -1 -1 0 193 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 63736 193 32 545 422 1 386 228 22 22 484 block_FPU auto 22.7 MiB 4.82 4750 57300 25032 31924 344 62.2 MiB 0.33 0.00 2.985 -849.007 -2.985 2.985 0.00 0.00155598 0.00146459 0.152665 0.144051 -1 -1 -1 -1 6282 16.3169 1670 4.33766 556 556 206642 56826 882498 103149 1.07647e+06 2224.11 4 26490 217099 -1 2.985 2.985 -872.623 -2.985 -13.6498 -0.0851 0.35 -1 -1 62.2 MiB 0.08 0.182075 0.172086 62.2 MiB -1 0.64 + hard_fpu_arch_timing.xml mm3.v common 6.82 vpr 64.38 MiB -1 -1 0.19 22024 1 0.04 -1 -1 33832 -1 -1 0 193 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 65924 193 32 545 422 1 386 228 22 22 484 block_FPU auto 25.0 MiB 5.38 4984 53124 22938 29850 336 64.4 MiB 0.31 0.00 2.985 -851.626 -2.985 2.985 0.00 0.00244064 0.00235262 0.159831 0.149979 -1 -1 -1 -1 6456 16.7688 1716 4.45714 553 553 191807 53335 882498 103149 1.07647e+06 2224.11 4 26490 217099 -1 2.985 2.985 -877.692 -2.985 -13.5705 -0.0851 0.36 -1 -1 64.4 MiB 0.06 0.186546 0.175569 64.4 MiB -1 0.10 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fracturable_luts/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fracturable_luts/config/golden_results.txt index 6ed66139c02..e0477400548 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fracturable_luts/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_fracturable_luts/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time - k6_N8_I80_fleI10_fleO2_ff2_nmodes_2.xml ch_intrinsics.v common 5.17 vpr 65.73 MiB -1 -1 0.40 18728 3 0.11 -1 -1 33272 -1 -1 67 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67312 99 130 344 474 1 216 297 13 13 169 clb auto 26.0 MiB 1.28 607 28017 3739 9020 15258 65.7 MiB 0.15 0.02 36 1079 9 0 0 481804. 2850.91 1.81 + k6_N8_I80_fleI10_fleO2_ff2_nmodes_2.xml ch_intrinsics.v common 4.15 vpr 68.14 MiB -1 -1 0.41 22436 3 0.11 -1 -1 37108 -1 -1 67 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69772 99 130 344 474 1 216 297 13 13 169 clb auto 28.7 MiB 1.30 640 27027 4243 10587 12197 68.1 MiB 0.05 0.00 34 1346 6 0 0 460544. 2725.11 1.01 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_full_stats/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_full_stats/config/golden_results.txt index 2c9a5886623..cf73f2ff4e0 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_full_stats/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_full_stats/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 2.10 vpr 63.56 MiB -1 -1 0.72 23528 5 0.17 -1 -1 33348 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65088 10 2 181 183 1 35 24 6 6 36 clb auto 24.1 MiB 0.05 146 398 72 298 28 63.6 MiB 0.05 0.00 2.14835 -92.8998 -2.14835 2.14835 0.00 0.00155607 0.00152058 0.00827603 0.00776724 -1 -1 -1 -1 -1 136 16 646728 646728 60312.4 1675.34 0.04 0.0269483 0.0244827 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.78 vpr 66.14 MiB -1 -1 0.81 27148 5 0.18 -1 -1 37096 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67724 10 2 181 183 1 35 24 6 6 36 clb auto 27.0 MiB 0.04 152 432 67 335 30 66.1 MiB 0.01 0.00 2.14835 -93.0339 -2.14835 2.14835 0.00 0.000401166 0.00034964 0.00709766 0.00632609 -1 -1 -1 -1 -1 145 18 646728 646728 60312.4 1675.34 0.03 0.027091 0.0241271 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_func_formal_flow/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_func_formal_flow/config/golden_results.txt index f44d6074687..f56e6001d52 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_func_formal_flow/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_func_formal_flow/config/golden_results.txt @@ -1,21 +1,21 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml const_true.blif common 0.57 vpr 58.03 MiB -1 -1 -1 -1 0 0.02 -1 -1 30200 -1 -1 1 0 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59420 -1 1 1 2 0 1 2 3 3 9 -1 auto 19.3 MiB 0.01 0 3 0 0 3 58.0 MiB 0.00 0.00 nan 0 0 nan 0.00 1.2173e-05 7.189e-06 7.7955e-05 5.3274e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00145604 0.00138979 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml const_false.blif common 0.57 vpr 58.07 MiB -1 -1 -1 -1 0 0.02 -1 -1 30092 -1 -1 1 0 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59460 -1 1 1 2 0 1 2 3 3 9 -1 auto 19.3 MiB 0.01 0 3 0 0 3 58.1 MiB 0.00 0.00 nan 0 0 nan 0.00 1.3368e-05 7.369e-06 8.1255e-05 5.3678e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00262216 0.00254632 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml always_true.blif common 0.53 vpr 58.11 MiB -1 -1 -1 -1 0 0.02 -1 -1 30152 -1 -1 1 0 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59500 6 1 1 8 0 1 8 3 3 9 -1 auto 19.7 MiB 0.00 0 21 0 10 11 58.1 MiB 0.05 0.00 nan 0 0 nan 0.00 1.3668e-05 8.295e-06 0.000103636 7.3136e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00159632 0.00148892 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml always_false.blif common 0.50 vpr 58.05 MiB -1 -1 -1 -1 0 0.02 -1 -1 30136 -1 -1 1 0 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59444 6 1 1 8 0 1 8 3 3 9 -1 auto 19.6 MiB 0.00 0 21 0 10 11 58.1 MiB 0.00 0.00 nan 0 0 nan 0.00 1.2503e-05 7.349e-06 8.2367e-05 5.6036e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.0011854 0.00111648 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml and.blif common 0.51 vpr 57.86 MiB -1 -1 -1 -1 1 0.02 -1 -1 30056 -1 -1 1 2 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59248 2 1 3 4 0 3 4 3 3 9 -1 auto 19.4 MiB 0.00 9 9 3 3 3 57.9 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 1.5257e-05 1.0806e-05 0.000104525 7.8851e-05 -1 -1 -1 -1 -1 4 1 53894 53894 38783.3 4309.26 0.00 0.00149985 0.00142771 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml multiconnected_lut.blif common 0.62 vpr 58.23 MiB -1 -1 -1 -1 1 0.07 -1 -1 31688 -1 -1 1 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59624 5 1 6 7 0 6 7 3 3 9 -1 auto 19.8 MiB 0.00 18 18 13 5 0 58.2 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 2.178e-05 1.692e-05 0.000145091 0.000118424 -1 -1 -1 -1 -1 7 11 53894 53894 38783.3 4309.26 0.01 0.00188984 0.00172965 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml multiconnected_lut2.blif common 0.63 vpr 58.03 MiB -1 -1 -1 -1 1 0.05 -1 -1 31992 -1 -1 1 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59424 5 1 6 7 0 6 7 3 3 9 -1 auto 19.6 MiB 0.00 18 18 13 5 0 58.0 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 1.9821e-05 1.4657e-05 0.000155383 0.000123574 -1 -1 -1 -1 -1 7 11 53894 53894 38783.3 4309.26 0.00 0.00187385 0.00170894 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml and_latch.blif common 0.50 vpr 58.12 MiB -1 -1 -1 -1 1 0.02 -1 -1 29964 -1 -1 1 3 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59512 3 1 5 6 1 4 5 3 3 9 -1 auto 19.7 MiB 0.00 9 12 7 1 4 58.1 MiB 0.00 0.00 0.52647 -0.88231 -0.52647 0.52647 0.00 1.7793e-05 1.322e-05 0.000136996 0.00010784 -1 -1 -1 -1 -1 4 1 53894 53894 38783.3 4309.26 0.00 0.00148392 0.00140511 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml false_path_mux.blif common 0.73 vpr 58.16 MiB -1 -1 -1 -1 1 0.06 -1 -1 32060 -1 -1 1 3 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59560 4 1 4 6 0 4 6 3 3 9 -1 auto 19.7 MiB 0.00 12 15 9 3 3 58.2 MiB 0.01 0.00 0.67231 -0.67231 -0.67231 nan 0.00 1.7949e-05 1.3119e-05 0.000162269 0.000130701 -1 -1 -1 -1 -1 6 11 53894 53894 38783.3 4309.26 0.05 0.00213137 0.0019323 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml mult_2x2.blif common 0.66 vpr 58.12 MiB -1 -1 -1 -1 1 0.06 -1 -1 31696 -1 -1 1 4 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59516 4 4 8 12 0 8 9 3 3 9 -1 auto 19.6 MiB 0.02 24 27 18 6 3 58.1 MiB 0.01 0.00 0.67231 -2.68924 -0.67231 nan 0.00 3.3252e-05 2.5214e-05 0.000329053 0.000286288 -1 -1 -1 -1 -1 10 9 53894 53894 38783.3 4309.26 0.01 0.0025787 0.00235869 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml mult_3x3.blif common 0.64 vpr 58.02 MiB -1 -1 -1 -1 1 0.07 -1 -1 32544 -1 -1 1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59416 6 6 12 18 0 12 13 3 3 9 -1 auto 19.3 MiB 0.01 36 43 32 7 4 58.0 MiB 0.00 0.00 0.69831 -4.13786 -0.69831 nan 0.00 4.6521e-05 3.9728e-05 0.000369889 0.000331245 -1 -1 -1 -1 -1 17 11 53894 53894 38783.3 4309.26 0.01 0.00298797 0.00272803 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml mult_3x4.blif common 0.66 vpr 58.34 MiB -1 -1 -1 -1 2 0.07 -1 -1 32236 -1 -1 3 7 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59736 7 8 22 30 0 15 18 4 4 16 clb auto 19.4 MiB 0.03 55 64 20 42 2 58.3 MiB 0.00 0.00 1.29035 -7.83841 -1.29035 nan 0.00 9.6441e-05 8.6405e-05 0.000852072 0.000777197 -1 -1 -1 -1 -1 46 5 215576 161682 99039.1 6189.95 0.01 0.00426632 0.00394149 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml mult_4x4.blif common 0.79 vpr 58.35 MiB -1 -1 -1 -1 4 0.11 -1 -1 32244 -1 -1 2 8 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59748 8 8 29 37 0 21 18 4 4 16 clb auto 19.3 MiB 0.02 76 64 16 48 0 58.3 MiB 0.00 0.00 2.08631 -12.2832 -2.08631 nan 0.00 0.000108854 9.6252e-05 0.00109712 0.00103669 -1 -1 -1 -1 -1 58 14 215576 107788 99039.1 6189.95 0.02 0.0074153 0.00672694 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml mult_5x5.blif common 0.88 vpr 58.55 MiB -1 -1 -1 -1 4 0.09 -1 -1 32528 -1 -1 4 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59956 10 10 47 57 0 39 24 4 4 16 clb auto 19.3 MiB 0.07 146 364 62 302 0 58.6 MiB 0.01 0.00 2.72561 -18.4747 -2.72561 nan 0.00 0.000183832 0.000161369 0.00323039 0.00299858 -1 -1 -1 -1 -1 114 16 215576 215576 99039.1 6189.95 0.04 0.0131249 0.0113376 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml mult_5x6.blif common 1.01 vpr 58.59 MiB -1 -1 -1 -1 5 0.10 -1 -1 33056 -1 -1 5 11 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60000 11 11 61 72 0 51 27 5 5 25 clb auto 19.4 MiB 0.09 211 227 56 171 0 58.6 MiB 0.01 0.00 3.36952 -22.7724 -3.36952 nan 0.00 0.000218455 0.000198138 0.00305021 0.00288592 -1 -1 -1 -1 -1 198 15 485046 269470 186194. 7447.77 0.04 0.0152614 0.0138722 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml rca_1bit.blif common 0.68 vpr 58.17 MiB -1 -1 -1 -1 1 0.06 -1 -1 30964 -1 -1 1 3 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59564 3 2 5 7 0 5 6 3 3 9 -1 auto 19.8 MiB 0.02 15 15 9 5 1 58.2 MiB 0.02 0.00 0.67231 -1.34462 -0.67231 nan 0.00 4.2469e-05 3.5733e-05 0.000234737 0.000196759 -1 -1 -1 -1 -1 6 11 53894 53894 38783.3 4309.26 0.04 0.00229256 0.00206423 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml rca_2bit.blif common 0.69 vpr 58.11 MiB -1 -1 -1 -1 1 0.06 -1 -1 32032 -1 -1 1 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59504 5 3 8 11 0 8 9 3 3 9 -1 auto 19.6 MiB 0.02 24 27 21 6 0 58.1 MiB 0.00 0.00 0.67231 -2.01693 -0.67231 nan 0.00 3.1916e-05 2.4692e-05 0.000228474 0.000195724 -1 -1 -1 -1 -1 10 15 53894 53894 38783.3 4309.26 0.05 0.0028077 0.00250969 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml rca_3bit.blif common 0.71 vpr 57.98 MiB -1 -1 -1 -1 2 0.06 -1 -1 32232 -1 -1 1 7 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59372 7 4 12 16 0 11 12 3 3 9 -1 auto 19.2 MiB 0.01 33 38 24 11 3 58.0 MiB 0.03 0.00 1.08437 -4.00246 -1.08437 nan 0.00 3.3373e-05 2.6711e-05 0.000361598 0.000322342 -1 -1 -1 -1 -1 17 4 53894 53894 38783.3 4309.26 0.01 0.00265807 0.00249199 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml rca_4bit.blif common 0.80 vpr 58.10 MiB -1 -1 -1 -1 2 0.06 -1 -1 32140 -1 -1 1 9 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59496 9 5 15 20 0 14 15 3 3 9 -1 auto 19.3 MiB 0.01 42 51 29 17 5 58.1 MiB 0.05 0.00 1.00731 -4.36655 -1.00731 nan 0.00 0.000109467 9.3436e-05 0.000510054 0.000457852 -1 -1 -1 -1 -1 17 14 53894 53894 38783.3 4309.26 0.04 0.00547721 0.00381473 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml rca_5bit.blif common 0.76 vpr 58.15 MiB -1 -1 -1 -1 3 0.06 -1 -1 32224 -1 -1 1 11 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59544 11 6 19 25 0 17 18 3 3 9 -1 auto 19.3 MiB 0.05 51 64 33 24 7 58.1 MiB 0.00 0.00 1.34231 -6.71386 -1.34231 nan 0.00 5.7237e-05 4.7813e-05 0.000509654 0.00046822 -1 -1 -1 -1 -1 25 11 53894 53894 38783.3 4309.26 0.01 0.00390363 0.00359446 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml const_true.blif common 0.43 vpr 60.44 MiB -1 -1 -1 -1 0 0.02 -1 -1 33044 -1 -1 1 0 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61892 -1 1 1 2 0 1 2 3 3 9 -1 auto 22.1 MiB 0.00 0 3 0 0 3 60.4 MiB 0.00 0.00 nan 0 0 nan 0.00 1.4987e-05 8.361e-06 8.9733e-05 6.0433e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00144709 0.00137547 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml const_false.blif common 0.48 vpr 60.32 MiB -1 -1 -1 -1 0 0.02 -1 -1 33032 -1 -1 1 0 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61768 -1 1 1 2 0 1 2 3 3 9 -1 auto 22.0 MiB 0.00 0 3 0 0 3 60.3 MiB 0.00 0.00 nan 0 0 nan 0.00 1.3826e-05 7.652e-06 8.7137e-05 5.7527e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00164227 0.00157106 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml always_true.blif common 0.51 vpr 60.30 MiB -1 -1 -1 -1 0 0.02 -1 -1 33252 -1 -1 1 0 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61752 6 1 1 8 0 1 8 3 3 9 -1 auto 22.1 MiB 0.00 0 21 0 10 11 60.3 MiB 0.00 0.00 nan 0 0 nan 0.00 1.2976e-05 6.744e-06 7.713e-05 4.9652e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00173371 0.00166423 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml always_false.blif common 0.39 vpr 60.55 MiB -1 -1 -1 -1 0 0.02 -1 -1 33080 -1 -1 1 0 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62004 6 1 1 8 0 1 8 3 3 9 -1 auto 22.1 MiB 0.00 0 21 0 10 11 60.6 MiB 0.00 0.00 nan 0 0 nan 0.00 1.2909e-05 6.758e-06 8.8443e-05 5.844e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00166613 0.00149072 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml and.blif common 0.39 vpr 60.46 MiB -1 -1 -1 -1 1 0.02 -1 -1 33424 -1 -1 1 2 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61908 2 1 3 4 0 3 4 3 3 9 -1 auto 22.1 MiB 0.00 9 9 3 3 3 60.5 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 1.603e-05 1.0932e-05 0.000113667 8.4174e-05 -1 -1 -1 -1 -1 4 1 53894 53894 38783.3 4309.26 0.00 0.00156248 0.00148561 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml multiconnected_lut.blif common 0.53 vpr 60.38 MiB -1 -1 -1 -1 1 0.06 -1 -1 35020 -1 -1 1 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61832 5 1 6 7 0 6 7 3 3 9 -1 auto 22.0 MiB 0.00 18 18 13 5 0 60.4 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 2.1156e-05 1.5721e-05 0.000164706 0.000132685 -1 -1 -1 -1 -1 7 12 53894 53894 38783.3 4309.26 0.00 0.0021653 0.00196366 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml multiconnected_lut2.blif common 0.54 vpr 60.58 MiB -1 -1 -1 -1 1 0.06 -1 -1 35532 -1 -1 1 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62036 5 1 6 7 0 6 7 3 3 9 -1 auto 22.3 MiB 0.00 18 18 13 5 0 60.6 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 2.769e-05 2.1131e-05 0.000172602 0.000136847 -1 -1 -1 -1 -1 7 12 53894 53894 38783.3 4309.26 0.00 0.00216675 0.00196156 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml and_latch.blif common 0.35 vpr 60.45 MiB -1 -1 -1 -1 1 0.02 -1 -1 33200 -1 -1 1 3 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61900 3 1 5 6 1 4 5 3 3 9 -1 auto 22.1 MiB 0.00 9 12 7 1 4 60.4 MiB 0.00 0.00 0.52647 -0.88231 -0.52647 0.52647 0.00 2.0212e-05 1.4682e-05 0.000132923 0.000102253 -1 -1 -1 -1 -1 4 1 53894 53894 38783.3 4309.26 0.00 0.00163341 0.00154977 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml false_path_mux.blif common 0.52 vpr 60.54 MiB -1 -1 -1 -1 1 0.06 -1 -1 35376 -1 -1 1 3 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61992 4 1 4 6 0 4 6 3 3 9 -1 auto 22.1 MiB 0.00 12 15 9 3 3 60.5 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 1.9387e-05 1.4052e-05 0.000137513 0.000106148 -1 -1 -1 -1 -1 6 12 53894 53894 38783.3 4309.26 0.00 0.00195643 0.00179437 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_2x2.blif common 0.50 vpr 60.48 MiB -1 -1 -1 -1 1 0.05 -1 -1 35232 -1 -1 1 4 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61928 4 4 8 12 0 8 9 3 3 9 -1 auto 22.1 MiB 0.00 24 27 18 6 3 60.5 MiB 0.00 0.00 0.67231 -2.68924 -0.67231 nan 0.00 5.0286e-05 3.8471e-05 0.00028724 0.000243111 -1 -1 -1 -1 -1 10 10 53894 53894 38783.3 4309.26 0.00 0.00268807 0.00242566 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_3x3.blif common 0.52 vpr 60.40 MiB -1 -1 -1 -1 1 0.07 -1 -1 36088 -1 -1 1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61848 6 6 12 18 0 12 13 3 3 9 -1 auto 22.1 MiB 0.01 36 43 32 7 4 60.4 MiB 0.00 0.00 0.69831 -4.13786 -0.69831 nan 0.00 5.0007e-05 4.1034e-05 0.000382344 0.000335402 -1 -1 -1 -1 -1 17 12 53894 53894 38783.3 4309.26 0.00 0.00342842 0.00312147 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_3x4.blif common 0.46 vpr 60.50 MiB -1 -1 -1 -1 2 0.06 -1 -1 35480 -1 -1 3 7 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61952 7 8 22 30 0 15 18 4 4 16 clb auto 22.0 MiB 0.01 51 64 26 37 1 60.5 MiB 0.00 0.00 1.24888 -7.62396 -1.24888 nan 0.00 9.577e-05 8.3665e-05 0.00076909 0.000710256 -1 -1 -1 -1 -1 37 6 215576 161682 99039.1 6189.95 0.00 0.00462233 0.00417537 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_4x4.blif common 0.59 vpr 60.60 MiB -1 -1 -1 -1 4 0.09 -1 -1 35628 -1 -1 2 8 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62056 8 8 29 37 0 21 18 4 4 16 clb auto 22.1 MiB 0.02 74 64 20 44 0 60.6 MiB 0.00 0.00 2.04839 -11.7951 -2.04839 nan 0.00 0.000130354 0.000112521 0.00109012 0.00100934 -1 -1 -1 -1 -1 53 12 215576 107788 99039.1 6189.95 0.01 0.00751475 0.0068714 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_5x5.blif common 0.62 vpr 61.08 MiB -1 -1 -1 -1 4 0.10 -1 -1 36048 -1 -1 4 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62548 10 10 47 57 0 39 24 4 4 16 clb auto 22.1 MiB 0.02 149 92 35 57 0 61.1 MiB 0.00 0.00 2.73035 -18.1288 -2.73035 nan 0.00 0.000192825 0.000170363 0.0016493 0.0015433 -1 -1 -1 -1 -1 123 10 215576 215576 99039.1 6189.95 0.01 0.00945092 0.00871858 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_5x6.blif common 0.78 vpr 61.08 MiB -1 -1 -1 -1 5 0.12 -1 -1 36408 -1 -1 5 11 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62544 11 11 61 72 0 51 27 5 5 25 clb auto 22.1 MiB 0.04 192 547 116 431 0 61.1 MiB 0.01 0.00 3.17925 -21.2667 -3.17925 nan 0.00 0.000440575 0.000406236 0.00673609 0.00616031 -1 -1 -1 -1 -1 163 16 485046 269470 186194. 7447.77 0.02 0.0214973 0.0195896 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml rca_1bit.blif common 0.44 vpr 60.18 MiB -1 -1 -1 -1 1 0.05 -1 -1 34452 -1 -1 1 3 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61628 3 2 5 7 0 5 6 3 3 9 -1 auto 22.0 MiB 0.00 15 15 9 5 1 60.2 MiB 0.00 0.00 0.67231 -1.34462 -0.67231 nan 0.00 1.5359e-05 1.1242e-05 0.000119111 9.5167e-05 -1 -1 -1 -1 -1 6 12 53894 53894 38783.3 4309.26 0.00 0.00191506 0.00178438 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml rca_2bit.blif common 0.51 vpr 60.47 MiB -1 -1 -1 -1 1 0.06 -1 -1 35224 -1 -1 1 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61920 5 3 8 11 0 8 9 3 3 9 -1 auto 22.0 MiB 0.00 24 27 21 6 0 60.5 MiB 0.00 0.00 0.67231 -2.01693 -0.67231 nan 0.00 5.5301e-05 4.4627e-05 0.000313259 0.000267198 -1 -1 -1 -1 -1 10 16 53894 53894 38783.3 4309.26 0.00 0.00296269 0.00261801 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml rca_3bit.blif common 0.50 vpr 60.56 MiB -1 -1 -1 -1 2 0.05 -1 -1 35444 -1 -1 1 7 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62016 7 4 12 16 0 11 12 3 3 9 -1 auto 22.1 MiB 0.01 33 38 24 11 3 60.6 MiB 0.00 0.00 1.08437 -4.00246 -1.08437 nan 0.00 2.6083e-05 2.0859e-05 0.000215587 0.000188913 -1 -1 -1 -1 -1 17 4 53894 53894 38783.3 4309.26 0.00 0.00234895 0.0022029 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml rca_4bit.blif common 0.54 vpr 60.64 MiB -1 -1 -1 -1 2 0.06 -1 -1 35364 -1 -1 1 9 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62096 9 5 15 20 0 14 15 3 3 9 -1 auto 22.1 MiB 0.01 42 51 29 17 5 60.6 MiB 0.00 0.00 1.00731 -4.36655 -1.00731 nan 0.00 0.000111332 9.9634e-05 0.000559539 0.000502391 -1 -1 -1 -1 -1 17 14 53894 53894 38783.3 4309.26 0.00 0.00351338 0.00318651 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml rca_5bit.blif common 0.52 vpr 60.46 MiB -1 -1 -1 -1 3 0.07 -1 -1 35520 -1 -1 1 11 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61912 11 6 19 25 0 17 18 3 3 9 -1 auto 22.0 MiB 0.01 51 64 33 24 7 60.5 MiB 0.00 0.00 1.34231 -6.71386 -1.34231 nan 0.00 0.000697205 8.3358e-05 0.00115444 0.000499005 -1 -1 -1 -1 -1 25 11 53894 53894 38783.3 4309.26 0.00 0.00500091 0.00398839 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_func_formal_vpr/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_func_formal_vpr/config/golden_results.txt index e60168a24fc..b020b50a0e5 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_func_formal_vpr/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_func_formal_vpr/config/golden_results.txt @@ -1,7 +1,7 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml const_true.blif common 0.48 vpr 58.12 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 0 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59516 -1 1 1 2 0 1 2 3 3 9 -1 auto 19.4 MiB 0.01 0 3 0 0 3 58.1 MiB 0.03 0.00 nan 0 0 nan 0.00 2.8167e-05 2.1374e-05 0.000138034 0.000104949 -1 -1 -1 -1 -1 0 1 53894 53894 20487.3 2276.37 0.02 0.00164424 0.00155782 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml const_false.blif common 0.44 vpr 58.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 0 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59464 -1 1 1 2 0 1 2 3 3 9 -1 auto 19.4 MiB 0.02 0 3 0 0 3 58.1 MiB 0.01 0.00 nan 0 0 nan 0.00 1.4897e-05 9.304e-06 0.000144669 0.000111339 -1 -1 -1 -1 -1 0 1 53894 53894 20487.3 2276.37 0.00 0.001404 0.00131834 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml always_true.blif common 0.50 vpr 58.16 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59556 6 1 7 8 0 7 8 3 3 9 -1 auto 19.7 MiB 0.04 21 21 14 7 0 58.2 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.4462e-05 1.7786e-05 0.000174928 0.000147981 -1 -1 -1 -1 -1 10 1 53894 53894 20487.3 2276.37 0.00 0.00186761 0.00178528 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml always_false.blif common 0.36 vpr 58.11 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59504 6 1 7 8 0 7 8 3 3 9 -1 auto 19.7 MiB 0.01 21 21 14 7 0 58.1 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 3.4347e-05 2.5128e-05 0.000218139 0.000181445 -1 -1 -1 -1 -1 10 1 53894 53894 20487.3 2276.37 0.01 0.00178448 0.00167632 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml multiconnected_lut.blif common 0.46 vpr 58.14 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59532 5 1 6 7 0 6 7 3 3 9 -1 auto 19.7 MiB 0.03 18 18 13 5 0 58.1 MiB 0.01 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.2294e-05 1.7287e-05 0.000170669 0.000137343 -1 -1 -1 -1 -1 7 1 53894 53894 20487.3 2276.37 0.00 0.00177813 0.00167987 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml multiconnected_lut2.blif common 0.46 vpr 57.96 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59356 5 1 6 7 0 6 7 3 3 9 -1 auto 19.5 MiB 0.01 18 18 13 5 0 58.0 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.6482e-05 2.0958e-05 0.000167987 0.000138916 -1 -1 -1 -1 -1 7 1 53894 53894 20487.3 2276.37 0.00 0.00184976 0.00175645 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml const_true.blif common 0.27 vpr 60.45 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 0 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61896 -1 1 1 2 0 1 2 3 3 9 -1 auto 22.1 MiB 0.00 0 3 0 0 3 60.4 MiB 0.00 0.00 nan 0 0 nan 0.00 1.1967e-05 6.442e-06 7.5021e-05 4.7762e-05 -1 -1 -1 -1 -1 0 1 53894 53894 20487.3 2276.37 0.00 0.0014839 0.00141592 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml const_false.blif common 0.27 vpr 60.57 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 0 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62024 -1 1 1 2 0 1 2 3 3 9 -1 auto 22.3 MiB 0.00 0 3 0 0 3 60.6 MiB 0.00 0.00 nan 0 0 nan 0.00 1.2368e-05 6.553e-06 8.0604e-05 5.2726e-05 -1 -1 -1 -1 -1 0 1 53894 53894 20487.3 2276.37 0.00 0.00150763 0.00143643 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml always_true.blif common 0.26 vpr 60.59 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62040 6 1 7 8 0 7 8 3 3 9 -1 auto 22.1 MiB 0.00 21 21 14 7 0 60.6 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.4901e-05 1.736e-05 0.000158286 0.000127589 -1 -1 -1 -1 -1 10 1 53894 53894 20487.3 2276.37 0.00 0.00189837 0.00180984 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml always_false.blif common 0.27 vpr 60.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61912 6 1 7 8 0 7 8 3 3 9 -1 auto 22.1 MiB 0.00 21 21 14 7 0 60.5 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.4603e-05 1.7125e-05 0.000156465 0.000123185 -1 -1 -1 -1 -1 10 1 53894 53894 20487.3 2276.37 0.00 0.00157342 0.00148859 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml multiconnected_lut.blif common 0.34 vpr 60.58 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62036 5 1 6 7 0 6 7 3 3 9 -1 auto 22.3 MiB 0.00 18 18 13 5 0 60.6 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.3071e-05 1.7468e-05 0.00015565 0.000122418 -1 -1 -1 -1 -1 7 1 53894 53894 20487.3 2276.37 0.00 0.00131998 0.0012364 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml multiconnected_lut2.blif common 0.34 vpr 60.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61908 5 1 6 7 0 6 7 3 3 9 -1 auto 22.0 MiB 0.00 18 18 13 5 0 60.5 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.4504e-05 1.843e-05 0.0003637 0.000327179 -1 -1 -1 -1 -1 7 1 53894 53894 20487.3 2276.37 0.00 0.00295184 0.00278863 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_global_nonuniform/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_global_nonuniform/config/golden_results.txt index f1456d39f78..0122eef07c9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_global_nonuniform/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_global_nonuniform/config/golden_results.txt @@ -1,7 +1,7 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - x_gaussian_y_uniform.xml stereovision3.v common 2.50 vpr 64.46 MiB -1 -1 0.75 23544 5 0.17 -1 -1 33432 -1 -1 7 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66008 10 2 181 183 1 37 19 6 6 36 clb auto 24.9 MiB 0.12 134 94 36 51 7 64.5 MiB 0.01 0.00 1.78694 -71.1304 -1.78694 1.78694 0.01 0.000419945 0.000386338 0.00436349 0.00416636 -1 -1 -1 -1 8 103 5 646728 377258 -1 -1 0.16 0.0505805 0.044298 1804 2280 -1 90 3 63 87 2113 862 1.78694 1.78694 -71.1304 -1.78694 0 0 -1 -1 0.00 0.02 0.00 -1 -1 0.00 0.0115138 0.0109218 - x_uniform_y_gaussian.xml stereovision3.v common 2.93 vpr 64.41 MiB -1 -1 0.95 23552 5 0.18 -1 -1 33464 -1 -1 7 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65960 10 2 181 183 1 37 19 6 6 36 clb auto 25.0 MiB 0.12 118 444 110 303 31 64.4 MiB 0.10 0.00 1.78694 -71.1304 -1.78694 1.78694 0.01 0.000424537 0.000389976 0.0151343 0.0142881 -1 -1 -1 -1 6 94 8 646728 377258 -1 -1 0.27 0.0803322 0.0718366 1804 2280 -1 80 4 81 108 2550 1058 1.78694 1.78694 -71.1304 -1.78694 0 0 -1 -1 0.00 0.04 0.00 -1 -1 0.00 0.0129948 0.0121942 - x_gaussian_y_gaussian.xml stereovision3.v common 2.83 vpr 64.50 MiB -1 -1 0.72 23552 5 0.18 -1 -1 33328 -1 -1 7 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66048 10 2 181 183 1 37 19 6 6 36 clb auto 25.0 MiB 0.11 136 619 179 400 40 64.5 MiB 0.04 0.00 1.78694 -71.1304 -1.78694 1.78694 0.01 0.000378245 0.00034659 0.012211 0.0113438 -1 -1 -1 -1 6 97 4 646728 377258 -1 -1 0.43 0.0657561 0.0581881 1804 2280 -1 98 4 73 101 2523 1078 1.78694 1.78694 -71.1304 -1.78694 0 0 -1 -1 0.00 0.03 0.00 -1 -1 0.00 0.0125128 0.0117068 - x_delta_y_uniform.xml stereovision3.v common 2.97 vpr 64.45 MiB -1 -1 0.81 23464 5 0.16 -1 -1 33468 -1 -1 7 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66000 10 2 181 183 1 37 19 6 6 36 clb auto 25.0 MiB 0.11 134 594 154 411 29 64.5 MiB 0.04 0.00 1.78694 -71.1304 -1.78694 1.78694 0.01 0.000357443 0.000325029 0.012514 0.0116263 -1 -1 -1 -1 10 110 10 646728 377258 -1 -1 0.50 0.0892176 0.0778872 1804 2280 -1 97 3 69 90 2241 1045 1.78694 1.78694 -71.1304 -1.78694 0 0 -1 -1 0.00 0.02 0.00 -1 -1 0.00 0.0105891 0.0100407 - x_delta_y_delta.xml stereovision3.v common 3.05 vpr 64.40 MiB -1 -1 0.95 23336 5 0.17 -1 -1 33436 -1 -1 7 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65948 10 2 181 183 1 37 19 6 6 36 clb auto 24.8 MiB 0.13 134 719 153 522 44 64.4 MiB 0.03 0.00 1.78694 -71.1304 -1.78694 1.78694 0.01 0.00034865 0.000319263 0.012511 0.0115452 -1 -1 -1 -1 28 92 3 646728 377258 -1 -1 0.31 0.0600241 0.0529562 1804 2280 -1 92 3 65 87 2209 903 1.78694 1.78694 -71.1304 -1.78694 0 0 -1 -1 0.00 0.02 0.00 -1 -1 0.00 0.00975621 0.00926591 - x_uniform_y_delta.xml stereovision3.v common 3.23 vpr 64.80 MiB -1 -1 0.91 23548 5 0.16 -1 -1 33324 -1 -1 7 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66352 10 2 181 183 1 37 19 6 6 36 clb auto 25.3 MiB 0.17 122 594 108 447 39 64.8 MiB 0.05 0.00 1.78694 -71.1304 -1.78694 1.78694 0.01 0.000523952 0.000483044 0.0169912 0.0158055 -1 -1 -1 -1 8 96 8 646728 377258 -1 -1 0.55 0.0887084 0.0783823 1804 2280 -1 82 4 82 115 2881 1166 1.78694 1.78694 -71.1304 -1.78694 0 0 -1 -1 0.00 0.03 0.00 -1 -1 0.00 0.0137495 0.0129694 + x_gaussian_y_uniform.xml stereovision3.v common 2.14 vpr 66.94 MiB -1 -1 0.82 26648 5 0.18 -1 -1 36964 -1 -1 7 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68548 10 2 181 183 1 37 19 6 6 36 clb auto 27.8 MiB 0.08 154 69 23 41 5 66.9 MiB 0.01 0.00 1.78694 -71.1304 -1.78694 1.78694 0.00 0.000368162 0.000336195 0.00306832 0.0028942 -1 -1 -1 -1 8 112 5 646728 377258 -1 -1 0.14 0.0630721 0.0541641 1804 2280 -1 112 3 60 81 2140 1007 1.78694 1.78694 -71.1304 -1.78694 0 0 -1 -1 0.00 0.03 0.01 -1 -1 0.00 0.0123441 0.0115171 + x_uniform_y_gaussian.xml stereovision3.v common 2.28 vpr 66.56 MiB -1 -1 0.87 27028 5 0.18 -1 -1 36836 -1 -1 7 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68156 10 2 181 183 1 37 19 6 6 36 clb auto 27.6 MiB 0.06 139 119 44 63 12 66.6 MiB 0.01 0.00 1.78694 -71.1304 -1.78694 1.78694 0.01 0.000434392 0.000379213 0.00478977 0.00443186 -1 -1 -1 -1 8 108 4 646728 377258 -1 -1 0.14 0.0614636 0.053576 1804 2280 -1 92 5 93 129 3144 1427 1.78694 1.78694 -71.1304 -1.78694 0 0 -1 -1 0.00 0.02 0.00 -1 -1 0.00 0.0131686 0.0124064 + x_gaussian_y_gaussian.xml stereovision3.v common 1.95 vpr 66.73 MiB -1 -1 0.78 27032 5 0.16 -1 -1 36964 -1 -1 7 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68336 10 2 181 183 1 37 19 6 6 36 clb auto 27.7 MiB 0.07 141 69 21 42 6 66.7 MiB 0.01 0.00 1.78694 -71.1304 -1.78694 1.78694 0.01 0.000231421 0.000200473 0.00279286 0.00262007 -1 -1 -1 -1 6 107 4 646728 377258 -1 -1 0.13 0.0525266 0.046082 1804 2280 -1 105 4 77 102 2777 1152 1.78694 1.78694 -71.1304 -1.78694 0 0 -1 -1 0.00 0.02 0.01 -1 -1 0.00 0.0109087 0.0101911 + x_delta_y_uniform.xml stereovision3.v common 2.13 vpr 66.94 MiB -1 -1 0.67 26768 5 0.15 -1 -1 36648 -1 -1 7 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68548 10 2 181 183 1 37 19 6 6 36 clb auto 27.8 MiB 0.07 154 369 96 253 20 66.9 MiB 0.02 0.00 1.78694 -71.1304 -1.78694 1.78694 0.01 0.000435432 0.00038302 0.00911423 0.00823072 -1 -1 -1 -1 24 117 4 646728 377258 -1 -1 0.31 0.174316 0.150618 1804 2280 -1 116 2 59 79 2150 954 1.78694 1.78694 -71.1304 -1.78694 0 0 -1 -1 0.00 0.03 0.00 -1 -1 0.00 0.0153537 0.0147732 + x_delta_y_delta.xml stereovision3.v common 2.28 vpr 66.92 MiB -1 -1 0.81 26892 5 0.18 -1 -1 36968 -1 -1 7 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68524 10 2 181 183 1 37 19 6 6 36 clb auto 27.7 MiB 0.10 140 544 127 376 41 66.9 MiB 0.02 0.00 1.78694 -71.1304 -1.78694 1.78694 0.01 0.000465798 0.000412818 0.0124105 0.0110487 -1 -1 -1 -1 48 106 2 646728 377258 -1 -1 0.23 0.117282 0.102085 1804 2280 -1 106 2 57 77 1975 772 1.78694 1.78694 -71.1304 -1.78694 0 0 -1 -1 0.00 0.02 0.00 -1 -1 0.00 0.00952273 0.00912406 + x_uniform_y_delta.xml stereovision3.v common 2.20 vpr 66.74 MiB -1 -1 0.80 27028 5 0.22 -1 -1 36648 -1 -1 7 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68344 10 2 181 183 1 37 19 6 6 36 clb auto 27.7 MiB 0.07 127 494 89 373 32 66.7 MiB 0.02 0.00 1.78694 -71.1304 -1.78694 1.78694 0.01 0.000426768 0.000373257 0.0117897 0.0105633 -1 -1 -1 -1 14 88 2 646728 377258 -1 -1 0.16 0.10372 0.0914305 1804 2280 -1 88 2 57 77 1819 773 1.78694 1.78694 -71.1304 -1.78694 0 0 -1 -1 0.00 0.01 0.00 -1 -1 0.00 0.00845179 0.00814396 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_global_routing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_global_routing/config/golden_results.txt index 5deaea47b22..93fc1046440 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_global_routing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_global_routing/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - timing/k6_N10_mem32K_40nm.xml stereovision3.v common 3.07 vpr 63.59 MiB -1 -1 0.86 23288 5 0.17 -1 -1 33328 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65116 10 2 181 183 1 35 24 6 6 36 clb auto 24.1 MiB 0.19 146 296 74 208 14 63.6 MiB 0.05 0.00 1.83894 -73.7881 -1.83894 1.83894 0.01 0.000559275 0.000518705 0.00642835 0.00601498 -1 -1 -1 -1 6 101 15 646728 646728 -1 -1 0.25 0.0569513 0.0489151 1456 2040 -1 103 15 135 292 9517 3756 1.83894 1.83894 -73.7881 -1.83894 0 0 -1 -1 0.00 0.08 0.00 -1 -1 0.00 0.020878 0.0186817 - nonuniform_chan_width/k6_N10_mem32K_40nm_nonuniform.xml stereovision3.v common 3.02 vpr 63.67 MiB -1 -1 0.85 23532 5 0.17 -1 -1 33404 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65196 10 2 181 183 1 35 24 6 6 36 clb auto 24.2 MiB 0.17 168 92 36 52 4 63.7 MiB 0.03 0.00 1.83894 -73.7881 -1.83894 1.83894 0.01 0.000555491 0.000512753 0.00380765 0.00362071 -1 -1 -1 -1 8 118 19 646728 646728 -1 -1 0.31 0.0661579 0.056778 1456 2040 -1 117 17 137 275 9561 3757 1.83894 1.83894 -73.7881 -1.83894 0 0 -1 -1 0.00 0.07 0.00 -1 -1 0.00 0.019218 0.0171455 - nonuniform_chan_width/k6_N10_mem32K_40nm_pulse.xml stereovision3.v common 3.34 vpr 63.68 MiB -1 -1 0.88 23640 5 0.17 -1 -1 33452 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65212 10 2 181 183 1 35 24 6 6 36 clb auto 24.2 MiB 0.11 166 92 33 54 5 63.7 MiB 0.01 0.00 1.83894 -73.7881 -1.83894 1.83894 0.01 0.000388369 0.000355547 0.00307054 0.00291066 -1 -1 -1 -1 6 122 17 646728 646728 -1 -1 0.56 0.0873244 0.0750472 1456 2040 -1 119 17 156 331 12004 4413 1.83894 1.83894 -73.7881 -1.83894 0 0 -1 -1 0.01 0.09 0.00 -1 -1 0.01 0.0185307 0.0163935 + timing/k6_N10_mem32K_40nm.xml stereovision3.v common 1.95 vpr 65.89 MiB -1 -1 0.73 26760 5 0.17 -1 -1 36900 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67476 10 2 181 183 1 35 24 6 6 36 clb auto 26.8 MiB 0.04 153 500 90 382 28 65.9 MiB 0.02 0.00 1.83894 -73.7881 -1.83894 1.83894 0.00 0.000660248 0.000574242 0.010203 0.00905969 -1 -1 -1 -1 6 103 13 646728 646728 -1 -1 0.12 0.059948 0.0525698 1456 2040 -1 101 16 136 266 9131 3659 1.83894 1.83894 -73.7881 -1.83894 0 0 -1 -1 0.00 0.03 0.00 -1 -1 0.00 0.0191372 0.0164753 + nonuniform_chan_width/k6_N10_mem32K_40nm_nonuniform.xml stereovision3.v common 1.99 vpr 66.10 MiB -1 -1 0.79 27276 5 0.17 -1 -1 36840 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67688 10 2 181 183 1 35 24 6 6 36 clb auto 27.0 MiB 0.03 148 466 75 365 26 66.1 MiB 0.01 0.00 1.83894 -73.7881 -1.83894 1.83894 0.00 0.000394392 0.000346251 0.00742774 0.00666175 -1 -1 -1 -1 8 100 16 646728 646728 -1 -1 0.14 0.0718777 0.0632492 1456 2040 -1 101 19 134 278 9113 3613 1.83894 1.83894 -73.7881 -1.83894 0 0 -1 -1 0.00 0.02 0.00 -1 -1 0.00 0.0163481 0.014725 + nonuniform_chan_width/k6_N10_mem32K_40nm_pulse.xml stereovision3.v common 2.10 vpr 66.14 MiB -1 -1 0.85 26896 5 0.16 -1 -1 36840 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67728 10 2 181 183 1 35 24 6 6 36 clb auto 27.1 MiB 0.05 142 500 108 364 28 66.1 MiB 0.02 0.00 1.83894 -73.7881 -1.83894 1.83894 0.00 0.000538248 0.000486042 0.00902515 0.00805903 -1 -1 -1 -1 4 86 10 646728 646728 -1 -1 0.05 0.0281105 0.0249862 1456 2040 -1 87 9 108 188 5936 2196 1.83894 1.83894 -73.7881 -1.83894 0 0 -1 -1 0.00 0.03 0.00 -1 -1 0.00 0.0153203 0.0141626 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_graphics_commands/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_graphics_commands/config/golden_results.txt index e3c0e629bee..afb5b419a6b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_graphics_commands/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_graphics_commands/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 6.64 vpr 63.57 MiB -1 -1 0.94 23536 5 0.18 -1 -1 33400 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65096 10 2 181 183 1 35 24 6 6 36 clb auto 24.1 MiB 0.12 146 398 72 298 28 63.6 MiB 2.11 0.00 2.14835 -92.8998 -2.14835 2.14835 0.00 0.000561388 0.000519811 0.00818763 0.0076223 -1 -1 -1 -1 -1 130 24 646728 646728 138825. 3856.24 1.07 0.0306154 0.0272041 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common 5.23 vpr 66.07 MiB -1 -1 0.81 27256 5 0.19 -1 -1 36672 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67656 10 2 181 183 1 35 24 6 6 36 clb auto 27.0 MiB 0.04 152 432 67 335 30 66.1 MiB 1.88 0.00 2.14835 -93.0339 -2.14835 2.14835 0.00 0.000389361 0.000337837 0.00712682 0.00635643 -1 -1 -1 -1 -1 138 15 646728 646728 138825. 3856.24 0.83 0.023313 0.0208517 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_manual_annealing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_manual_annealing/config/golden_results.txt index e14d1bfdf94..0cf367e9bdb 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_manual_annealing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_manual_annealing/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml stereovision3.v common 3.07 vpr 59.20 MiB -1 -1 0.88 23388 5 0.18 -1 -1 33556 -1 -1 7 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60616 10 2 181 183 1 37 19 5 5 25 clb auto 19.7 MiB 0.15 121 1025 805 184 36 59.2 MiB 0.09 0.00 2.09443 -87.1664 -2.09443 2.09443 0.03 0.000344616 0.000312911 0.0199094 0.0182425 -1 -1 -1 -1 26 127 8 485046 377258 31702.9 1268.11 0.17 0.0666235 0.0582058 1731 5512 -1 104 4 50 68 870 379 1.97939 1.97939 -86.3228 -1.97939 0 0 38596.5 1543.86 0.01 0.02 0.01 -1 -1 0.01 0.0131087 0.0124132 + k6_frac_N10_40nm.xml stereovision3.v common 1.89 vpr 61.52 MiB -1 -1 0.73 27008 5 0.16 -1 -1 36840 -1 -1 7 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63000 10 2 181 183 1 37 19 5 5 25 clb auto 22.0 MiB 0.06 123 1025 767 190 68 61.5 MiB 0.03 0.00 2.0306 -84.8829 -2.0306 2.0306 0.02 0.000393487 0.000346106 0.0203419 0.0179997 -1 -1 -1 -1 24 106 9 485046 377258 28445.8 1137.83 0.08 0.0705347 0.0617863 1707 5297 -1 110 10 80 114 1470 618 1.99984 1.99984 -90.3874 -1.99984 0 0 37126.9 1485.07 0.00 0.02 0.01 -1 -1 0.00 0.0158626 0.0146013 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_mcnc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_mcnc/config/golden_results.txt index 9aed323e6ef..891302c2b5a 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_mcnc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_mcnc/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k4_N4_90nm.xml diffeq.blif common 16.80 vpr 68.97 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 438 64 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70624 64 39 1935 1974 1 1077 541 23 23 529 clb auto 28.7 MiB 0.57 10085 137127 36539 98027 2561 69.0 MiB 1.34 0.02 7.41831 -1418.64 -7.41831 7.41831 0.90 0.00601444 0.00513019 0.406605 0.350409 -1 -1 -1 -1 22 12754 28 983127 976439 735934. 1391.18 8.73 1.26384 1.10229 35322 121345 -1 11109 19 6608 23845 1462488 382373 7.14816 7.14816 -1474.13 -7.14816 0 0 927497. 1753.30 0.22 1.14 0.18 -1 -1 0.22 0.297141 0.268933 - k4_N4_90nm.xml ex5p.blif common 33.10 vpr 64.58 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 366 8 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66128 8 63 1072 1135 0 894 437 22 22 484 clb auto 25.2 MiB 0.53 11802 104828 31007 71723 2098 64.6 MiB 1.03 0.01 6.54351 -290.193 -6.54351 nan 0.84 0.00302532 0.00273057 0.23164 0.202551 -1 -1 -1 -1 34 15886 35 891726 815929 1.00654e+06 2079.64 26.00 1.17739 1.02035 45600 169672 -1 13479 18 7616 26985 2968727 818222 6.43932 nan -293.77 -6.43932 0 0 1.27783e+06 2640.15 0.27 1.08 0.23 -1 -1 0.27 0.174257 0.159401 - k4_N4_90nm.xml s298.blif common 59.37 vpr 70.87 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 580 4 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 72572 4 6 1942 1948 1 1169 590 27 27 729 clb auto 30.8 MiB 0.71 13579 163808 47993 114862 953 70.9 MiB 1.54 0.02 12.0403 -94.3066 -12.0403 12.0403 1.19 0.00712795 0.00624279 0.42831 0.361816 -1 -1 -1 -1 24 19358 45 1.39333e+06 1.29301e+06 1.12265e+06 1539.99 49.71 2.08623 1.76329 54650 192211 -1 16862 23 9147 49878 4855038 894292 11.751 11.751 -96.5615 -11.751 0 0 1.47093e+06 2017.74 0.23 1.67 0.23 -1 -1 0.23 0.291349 0.252446 + k4_N4_90nm.xml diffeq.blif common 17.21 vpr 71.17 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 438 64 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 72880 64 39 1935 1974 1 1077 541 23 23 529 clb auto 31.3 MiB 0.39 10472 141533 36950 100839 3744 71.2 MiB 1.37 0.02 7.46482 -1369.01 -7.46482 7.46482 0.56 0.00521343 0.00460525 0.3928 0.329697 -1 -1 -1 -1 24 13068 28 983127 976439 797780. 1508.09 11.35 2.1497 1.85535 39018 137339 -1 11478 18 6600 23331 1479297 381870 7.27304 7.27304 -1454.66 -7.27304 0 0 1.04508e+06 1975.57 0.04 0.84 0.19 -1 -1 0.04 0.261179 0.233132 + k4_N4_90nm.xml ex5p.blif common 19.31 vpr 67.02 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 366 8 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68632 8 63 1072 1135 0 894 437 22 22 484 clb auto 27.6 MiB 0.35 12004 99857 28319 69545 1993 67.0 MiB 0.94 0.02 6.86459 -313.968 -6.86459 nan 0.53 0.00337095 0.00291084 0.218826 0.187023 -1 -1 -1 -1 32 16530 34 891726 815929 949946. 1962.70 13.54 0.813128 0.698644 43920 162796 -1 14048 22 8455 31174 3329435 847924 6.8764 nan -316.234 -6.8764 0 0 1.22393e+06 2528.78 0.07 1.22 0.29 -1 -1 0.07 0.185657 0.165735 + k4_N4_90nm.xml s298.blif common 16.74 vpr 73.31 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 580 4 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 75068 4 6 1942 1948 1 1169 590 27 27 729 clb auto 33.1 MiB 0.44 13813 156389 45768 109723 898 73.3 MiB 1.71 0.02 12.2682 -96.384 -12.2682 12.2682 0.97 0.00611806 0.00498358 0.468986 0.387941 -1 -1 -1 -1 26 17490 32 1.39333e+06 1.29301e+06 1.22387e+06 1678.84 9.00 1.38473 1.15574 57250 204657 -1 16420 17 8603 42614 3232268 684840 12.0598 12.0598 -95.4975 -12.0598 0 0 1.55812e+06 2137.34 0.09 1.18 0.31 -1 -1 0.09 0.19019 0.169418 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_minimax_budgets/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_minimax_budgets/config/golden_results.txt index 7488fc485ca..639ae9a9ce5 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_minimax_budgets/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_minimax_budgets/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 3.06 vpr 66.74 MiB -1 -1 0.84 23300 4 0.16 -1 -1 33000 -1 -1 15 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68340 11 2 303 283 2 81 28 7 7 49 clb auto 27.1 MiB 0.31 332 112 32 50 30 66.7 MiB 0.08 0.00 4.11769 0 0 3.94108 0.00 0.000680828 0.000618524 0.00645732 0.00621036 -1 -1 -1 -1 376 5.01333 137 1.82667 145 200 4055 1170 1.07788e+06 808410 219490. 4479.39 3 5100 32136 -1 4.1682 4.01568 0 0 -197.816 -1.707 0.03 -1 -1 66.7 MiB 0.25 0.145887 0.141249 66.7 MiB -1 0.06 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.46 vpr 69.17 MiB -1 -1 0.83 26540 4 0.20 -1 -1 36184 -1 -1 15 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70832 11 2 303 283 2 81 28 7 7 49 clb auto 29.7 MiB 0.25 337 112 35 48 29 69.2 MiB 0.02 0.00 4.0728 0 0 3.92737 0.00 0.00065953 0.000573934 0.00619824 0.00583905 -1 -1 -1 -1 399 5.32000 131 1.74667 151 217 4511 1215 1.07788e+06 808410 219490. 4479.39 3 5100 32136 -1 4.15796 4.01977 0 0 -197.842 -1.707 0.05 -1 -1 69.2 MiB 0.12 0.1152 0.11141 69.2 MiB -1 0.01 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_multiclock/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_multiclock/config/golden_results.txt index d1daf36ffe7..7e566048732 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_multiclock/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_multiclock/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params crit_path_delay_mcw clk_to_clk_cpd clk_to_clk2_cpd clk_to_input_cpd clk_to_output_cpd clk2_to_clk2_cpd clk2_to_clk_cpd clk2_to_input_cpd clk2_to_output_cpd input_to_input_cpd input_to_clk_cpd input_to_clk2_cpd input_to_output_cpd output_to_output_cpd output_to_clk_cpd output_to_clk2_cpd output_to_input_cpd clk_to_clk_setup_slack clk_to_clk2_setup_slack clk_to_input_setup_slack clk_to_output_setup_slack clk2_to_clk2_setup_slack clk2_to_clk_setup_slack clk2_to_input_setup_slack clk2_to_output_setup_slack input_to_input_setup_slack input_to_clk_setup_slack input_to_clk2_setup_slack input_to_output_setup_slack output_to_output_setup_slack output_to_clk_setup_slack output_to_clk2_setup_slack output_to_input_setup_slack clk_to_clk_hold_slack clk_to_clk2_hold_slack clk_to_input_hold_slack clk_to_output_hold_slack clk2_to_clk2_hold_slack clk2_to_clk_hold_slack clk2_to_input_hold_slack clk2_to_output_hold_slack input_to_input_hold_slack input_to_clk_hold_slack input_to_clk2_hold_slack input_to_output_hold_slack output_to_output_hold_slack output_to_clk_hold_slack output_to_clk2_hold_slack output_to_input_hold_slack - k6_frac_N10_mem32K_40nm.xml multiclock.blif common 1.59919 0.595 0.841581 -1 -1 0.57 0.814813 -1 1.59919 -1 1.07141 -1 1.37913 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.243 1.71958 -1 -1 0.268 3.24281 -1 1.44782 -1 3.30941 -1 -1.86724 -1 -1 -1 -1 - k6_frac_N10_mem32K_40nm.xml multiclock.blif common_--router_algorithm_parallel_--num_workers_4 1.59919 0.595 0.841581 -1 -1 0.57 0.814813 -1 1.59919 -1 1.07141 -1 1.37913 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.243 1.71958 -1 -1 0.268 3.24281 -1 1.44782 -1 3.30941 -1 -1.86724 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml multiclock.blif common 1.59919 0.595 0.841581 -1 -1 0.57 0.814813 -1 1.59919 -1 1.1662 -1 1.8371 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.243 1.71958 -1 -1 0.268 3.24281 -1 1.44782 -1 3.4042 -1 -1.40928 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml multiclock.blif common_--router_algorithm_parallel_--num_workers_4 1.59919 0.595 0.841581 -1 -1 0.57 0.814813 -1 1.59919 -1 1.14847 -1 1.95678 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.243 1.71958 -1 -1 0.268 3.24281 -1 1.44782 -1 3.38647 -1 -1.28959 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_no_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_no_timing/config/golden_results.txt index 3c39979a687..4db4b05c471 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_no_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_no_timing/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml ch_intrinsics.v common 4.77 vpr 65.80 MiB -1 -1 0.46 18964 3 0.10 -1 -1 33220 -1 -1 65 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67380 99 130 344 474 1 215 295 12 12 144 clb auto 26.4 MiB 0.23 697 24820 2926 7450 14444 65.8 MiB 0.12 0.00 34 1759 11 5.66058e+06 4.05111e+06 317980. 2208.19 1.87 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml ch_intrinsics.v common 3.05 vpr 68.36 MiB -1 -1 0.39 22432 3 0.12 -1 -1 36928 -1 -1 65 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70000 99 130 344 474 1 215 295 12 12 144 clb auto 29.2 MiB 0.19 685 24820 3391 8404 13025 68.4 MiB 0.05 0.00 32 1772 8 5.66058e+06 4.05111e+06 305575. 2122.05 1.00 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_noc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_noc/config/golden_results.txt index 5c92701e534..59b02c3fd0e 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_noc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_noc/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit noc_flow script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time NoC_agg_bandwidth NoC_latency NoC_n_met_latency_constraints NoC_latency_overrun NoC_congested_bw NoC_congestion_ratio NoC_n_congested_links SAT_agg_bandwidth SAT_latency SAT_n_met_latency_constraints SAT_latency_overrun SAT_congested_bw SAT_congestion_ratio SAT_n_congested_links - stratixiv_arch.timing_small_with_a_embedded_mesh_noc_toplogy.xml complex_2_noc_1D_chain.blif complex_2_noc_1D_chain.flows common 136.64 vpr 1.09 GiB -1 2 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 1147416 2 32 2204 1661 1 1102 107 36 20 720 -1 EP4SGX110 953.4 MiB 4.30 6502 9974 2102 7079 793 1120.5 MiB 0.78 0.01 7.29374 -4454.07 -7.29374 7.29374 29.53 0.00640333 0.00571002 0.281115 0.249799 150 8929 16 0 0 6.74655e+06 9370.21 54.95 2.8097 2.42985 174956 1462490 -1 8744 15 2461 4687 960144 259555 7.40783 7.40783 -4699.44 -7.40783 0 0 8.72662e+06 12120.3 4.44 0.55 3.61 -1 -1 4.44 0.254443 0.230607 400000 3e-09 1 4.1359e-25 0 0 0 -1 -1 -1 -1 -1 -1 -1 + stratixiv_arch.timing_small_with_a_embedded_mesh_noc_toplogy.xml complex_2_noc_1D_chain.blif complex_2_noc_1D_chain.flows common 104.06 vpr 1.07 GiB -1 2 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1126272 2 32 2204 1661 1 1102 107 36 20 720 -1 EP4SGX110 955.6 MiB 4.04 6649 10733 2374 7396 963 1099.9 MiB 0.85 0.01 7.22684 -4978.81 -7.22684 7.22684 14.03 0.00387297 0.00336653 0.329346 0.282395 154 8599 14 0 0 6.94291e+06 9642.93 42.85 2.6403 2.32523 176404 1494154 -1 8630 10 2443 4554 1083511 308854 7.50808 7.50808 -5329.84 -7.50808 0 0 8.91809e+06 12386.2 1.07 0.65 2.72 -1 -1 1.07 0.27806 0.251316 400000 3e-09 1 4.1359e-25 0 0 0 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack/config/golden_results.txt index 09ce4f7b64a..b67a185d189 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 2.38 vpr 63.50 MiB -1 -1 0.79 23456 5 0.18 -1 -1 33376 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65028 10 2 181 183 1 35 24 6 6 36 clb auto 24.1 MiB 0.16 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00186374 0.00181229 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.76 vpr 66.03 MiB -1 -1 0.86 26892 5 0.18 -1 -1 37096 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67612 10 2 181 183 1 35 24 6 6 36 clb auto 27.0 MiB 0.05 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00186164 0.0017947 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack_and_place/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack_and_place/config/golden_results.txt index 2f29f949e72..153be88f8d6 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack_and_place/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack_and_place/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 2.49 vpr 63.62 MiB -1 -1 0.85 23572 5 0.18 -1 -1 33320 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65152 10 2 181 183 1 35 24 6 6 36 clb auto 24.2 MiB 0.25 146 398 72 298 28 63.6 MiB 0.11 0.00 2.14643 -92.7521 -2.14643 2.14643 0.05 0.000375851 0.000345018 0.00764954 0.00711987 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00935215 0.00877834 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.90 vpr 66.02 MiB -1 -1 0.83 26896 5 0.19 -1 -1 36968 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67604 10 2 181 183 1 35 24 6 6 36 clb auto 26.9 MiB 0.05 152 432 67 335 30 66.0 MiB 0.01 0.00 2.14643 -92.8849 -2.14643 2.14643 0.04 0.000402396 0.000353615 0.00726063 0.00647248 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00909673 0.00824277 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack_disable/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack_disable/config/golden_results.txt index 52d01e58538..6e6ab2e273c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack_disable/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack_disable/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml mult_5x6.blif common 2.12 vpr 58.71 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 11 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60124 11 11 59 70 0 48 26 4 4 16 clb auto 19.3 MiB 0.07 179 634 146 488 0 58.7 MiB 0.01 0.00 2.51353 -20.6332 -2.51353 nan 0.01 0.00020518 0.000188419 0.00473406 0.00439686 -1 -1 -1 -1 30 199 15 215576 215576 18771.3 1173.21 1.07 0.0644809 0.0550317 1016 3020 -1 198 17 257 591 8958 4396 2.73234 nan -23.1489 -2.73234 0 0 22855.5 1428.47 0.00 0.22 0.00 -1 -1 0.00 0.0209741 0.0195805 - k6_frac_N10_40nm_disable_packing.xml mult_5x6.blif common 0.15 vpr 21.43 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 21948 11 11 59 70 0 -1 -1 -1 -1 -1 -1 -1 19.0 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_5x6.blif common 0.60 vpr 60.79 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 11 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62244 11 11 59 70 0 48 26 4 4 16 clb auto 22.1 MiB 0.03 179 862 260 602 0 60.8 MiB 0.02 0.00 2.46139 -19.889 -2.46139 nan 0.01 0.000312912 0.000279273 0.00803541 0.00727675 -1 -1 -1 -1 28 244 41 215576 215576 17602.3 1100.14 0.11 0.0569851 0.0502764 984 2821 -1 165 13 220 476 6314 3099 2.61613 nan -21.1174 -2.61613 0 0 21084.5 1317.78 0.00 0.01 0.00 -1 -1 0.00 0.0102047 0.00933765 + k6_frac_N10_40nm_disable_packing.xml mult_5x6.blif common 0.06 vpr 23.38 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 23944 11 11 59 70 0 -1 -1 -1 -1 -1 -1 -1 22.3 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack_modes/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack_modes/config/golden_results.txt index 1a1be87df70..12efb65ec8c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack_modes/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_pack_modes/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k4_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm.xml reg_4x32.blif common 2.20 vpr 75.33 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 32 33 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 77140 33 32 161 193 1 65 97 34 34 1156 -1 32x32 18.7 MiB 0.13 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00189505 0.0018502 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_tileable_reset_softadder_register_scan_chain_nonLR_caravel_io_skywater130nm.xml reg_4x32.blif common 2.54 vpr 77.00 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 32 33 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 78852 33 32 161 193 1 65 97 34 34 1156 -1 32x32 21.4 MiB 0.02 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00178122 0.0017245 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place/config/golden_results.txt index 93476cb3715..87ace76c192 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml multiclock.blif common 0.28 vpr 62.35 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 63844 5 3 11 14 2 9 10 4 4 16 clb auto -1 -1 20 30 10 17 3 62.3 MiB 0.01 0.00 0.645658 -3.51726 -0.645658 0.571 0.02 7.06e-05 5.5105e-05 0.00193417 0.00186411 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00193417 0.00186411 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml multiclock.blif common 0.20 vpr 64.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66472 5 3 11 14 2 9 10 4 4 16 clb auto -1 -1 21 30 9 19 2 64.9 MiB 0.00 0.00 0.646042 -3.51892 -0.646042 0.571 0.01 4.3045e-05 2.9263e-05 0.00159366 0.001524 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00159366 0.001524 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_delay_calc_method/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_delay_calc_method/config/golden_results.txt index 9c0fd9e92e6..8361bf1bfe6 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_delay_calc_method/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_delay_calc_method/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_--place_delta_delay_matrix_calculation_method_astar 38.42 vpr 976.37 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 999804 10 10 168 178 1 68 30 11 8 88 io auto 953.4 MiB 0.55 370 858 95 697 66 976.4 MiB 0.06 0.00 6.45248 -69.1493 -6.45248 6.45248 3.16 0.00053133 0.000484838 0.0148989 0.0138589 -1 -1 -1 -1 32 693 33 0 0 122746. 1394.84 1.72 0.145798 0.129504 11830 34246 -1 570 10 235 725 56242 26416 6.94346 6.94346 -73.9579 -6.94346 0 0 164688. 1871.45 0.06 0.06 0.09 -1 -1 0.06 0.0256172 0.0239212 - stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_override_--place_delta_delay_matrix_calculation_method_astar 37.07 vpr 976.44 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 999876 10 10 168 178 1 68 30 11 8 88 io auto 953.4 MiB 0.61 369 812 82 656 74 976.4 MiB 0.07 0.00 6.45248 -69.2479 -6.45248 6.45248 3.14 0.000419744 0.000381717 0.0118947 0.0110676 -1 -1 -1 -1 32 691 29 0 0 153433. 1743.56 1.12 0.12258 0.110164 11830 34246 -1 553 12 224 697 51846 24062 6.94346 6.94346 -73.4811 -6.94346 0 0 205860. 2339.32 0.05 0.07 0.09 -1 -1 0.05 0.0274519 0.0254462 - stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_--place_delta_delay_matrix_calculation_method_dijkstra 41.11 vpr 976.35 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 999784 10 10 168 178 1 68 30 11 8 88 io auto 953.4 MiB 0.79 370 812 89 663 60 976.4 MiB 0.12 0.00 6.52191 -68.7563 -6.52191 6.52191 4.09 0.000672013 0.000608225 0.017378 0.0162156 -1 -1 -1 -1 22 809 21 0 0 110609. 1256.92 2.28 0.128845 0.11551 11258 24748 -1 663 14 329 1173 67735 35710 7.04515 7.04515 -76.4932 -7.04515 0 0 134428. 1527.59 0.03 0.08 0.06 -1 -1 0.03 0.0372941 0.0337267 - stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_override_--place_delta_delay_matrix_calculation_method_dijkstra 42.24 vpr 976.56 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 1000000 10 10 168 178 1 68 30 11 8 88 io auto 953.4 MiB 0.76 368 812 95 656 61 976.6 MiB 0.15 0.00 6.34478 -68.8031 -6.34478 6.34478 4.44 0.000492867 0.000449805 0.0183566 0.017188 -1 -1 -1 -1 28 753 22 0 0 134428. 1527.59 1.92 0.132649 0.118834 11590 29630 -1 624 15 260 959 55378 26467 6.64742 6.64742 -72.827 -6.64742 0 0 173354. 1969.93 0.03 0.07 0.08 -1 -1 0.03 0.0270531 0.0242418 + stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_--place_delta_delay_matrix_calculation_method_astar 38.75 vpr 978.28 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1001760 10 10 168 178 1 68 30 11 8 88 io auto 955.3 MiB 0.46 364 858 131 680 47 978.3 MiB 0.06 0.00 6.37129 -69.6808 -6.37129 6.37129 1.81 0.000551403 0.000481676 0.0153225 0.013705 -1 -1 -1 -1 22 874 22 0 0 110609. 1256.92 1.54 0.247666 0.215864 11258 24748 -1 728 16 428 1746 95453 49745 6.73416 6.73416 -75.7525 -6.73416 0 0 134428. 1527.59 0.01 0.08 0.07 -1 -1 0.01 0.0332471 0.0304495 + stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_override_--place_delta_delay_matrix_calculation_method_astar 37.57 vpr 978.39 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1001868 10 10 168 178 1 68 30 11 8 88 io auto 955.5 MiB 0.66 371 950 121 778 51 978.4 MiB 0.07 0.00 6.34606 -69.4373 -6.34606 6.34606 2.32 0.000744808 0.000651566 0.0166971 0.0148799 -1 -1 -1 -1 32 654 12 0 0 153433. 1743.56 0.90 0.149648 0.129506 11830 34246 -1 601 15 249 896 54680 24076 6.61838 6.61838 -74.0379 -6.61838 0 0 205860. 2339.32 0.01 0.07 0.09 -1 -1 0.01 0.0346715 0.0320467 + stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_--place_delta_delay_matrix_calculation_method_dijkstra 34.09 vpr 978.18 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1001652 10 10 168 178 1 68 30 11 8 88 io auto 955.4 MiB 0.44 376 582 74 468 40 978.2 MiB 0.07 0.00 6.26487 -68.7007 -6.26487 6.26487 2.74 0.000593656 0.000520243 0.0126605 0.0115382 -1 -1 -1 -1 28 858 45 0 0 134428. 1527.59 1.21 0.206409 0.180557 11590 29630 -1 614 14 305 1283 69506 33247 6.72367 6.72367 -73.5822 -6.72367 0 0 173354. 1969.93 0.01 0.08 0.06 -1 -1 0.01 0.0327372 0.0302784 + stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_override_--place_delta_delay_matrix_calculation_method_dijkstra 41.01 vpr 978.76 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1002252 10 10 168 178 1 68 30 11 8 88 io auto 955.6 MiB 0.60 352 582 88 454 40 978.8 MiB 0.05 0.00 6.37106 -69.2764 -6.37106 6.37106 3.17 0.000446168 0.000388088 0.0115458 0.0104844 -1 -1 -1 -1 22 778 22 0 0 110609. 1256.92 1.84 0.253098 0.220545 11258 24748 -1 690 15 386 1546 88347 46120 6.75259 6.75259 -75.6874 -6.75259 0 0 134428. 1527.59 0.01 0.08 0.06 -1 -1 0.01 0.0310233 0.0286671 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_delay_model/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_delay_model/config/golden_results.txt index c5f45b0d4e3..10a6cf257aa 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_delay_model/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_delay_model/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta 42.58 vpr 976.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 999900 10 10 168 178 1 68 30 11 8 88 io auto 953.3 MiB 0.70 393 628 105 491 32 976.5 MiB 0.15 0.00 6.51193 -69.1178 -6.51193 6.51193 3.23 0.00078609 0.000724519 0.016967 0.01616 -1 -1 -1 -1 20 893 28 0 0 100248. 1139.18 2.16 0.139573 0.124569 11180 23751 -1 831 19 496 1987 121384 60113 6.91414 6.91414 -78.1319 -6.91414 0 0 150556. 1710.86 0.03 0.09 0.06 -1 -1 0.03 0.0325178 0.0296648 - stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_override 41.59 vpr 976.45 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 999880 10 10 168 178 1 68 30 11 8 88 io auto 953.4 MiB 0.80 380 628 91 496 41 976.4 MiB 0.26 0.02 6.52338 -69.1003 -6.52338 6.52338 3.25 0.000777298 0.000711005 0.0177546 0.0168625 -1 -1 -1 -1 30 673 12 0 0 144567. 1642.81 1.66 0.128557 0.114566 11730 32605 -1 585 9 216 698 45031 21119 6.8993 6.8993 -73.7008 -6.8993 0 0 194014. 2204.70 0.05 0.06 0.09 -1 -1 0.05 0.0224124 0.0206008 + stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta 36.65 vpr 978.54 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1002024 10 10 168 178 1 68 30 11 8 88 io auto 955.6 MiB 0.61 385 628 76 517 35 978.5 MiB 0.06 0.00 6.37842 -68.9926 -6.37842 6.37842 2.33 0.000579422 0.00050489 0.0121495 0.01103 -1 -1 -1 -1 28 740 24 0 0 134428. 1527.59 1.00 0.197686 0.174013 11590 29630 -1 638 15 260 898 57405 28552 6.7547 6.7547 -73.7765 -6.7547 0 0 173354. 1969.93 0.01 0.07 0.08 -1 -1 0.01 0.0316604 0.0292377 + stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_override 28.63 vpr 978.48 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1001964 10 10 168 178 1 68 30 11 8 88 io auto 955.5 MiB 0.43 356 628 86 501 41 978.5 MiB 0.06 0.00 6.32784 -69.1369 -6.32784 6.32784 1.45 0.000300815 0.000260189 0.00775385 0.00704586 -1 -1 -1 -1 26 696 13 0 0 125464. 1425.72 0.78 0.12183 0.106239 11500 28430 -1 625 14 346 1342 78096 38981 6.62332 6.62332 -73.8789 -6.62332 0 0 163463. 1857.53 0.01 0.07 0.04 -1 -1 0.01 0.0278034 0.0259211 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_effort_scaling/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_effort_scaling/config/golden_results.txt index 4d6fe9605d7..bee9bf5e15f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_effort_scaling/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_effort_scaling/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - EArch.xml ex5p.blif common_--place_effort_scaling_circuit 4.34 vpr 74.30 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 76080 8 63 1072 1135 0 619 135 12 12 144 clb auto 34.4 MiB 2.45 6183 12245 2318 9041 886 74.3 MiB 0.46 0.01 4.99539 -218.829 -4.99539 nan 0.40 0.00339822 0.00308909 0.174455 0.155138 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.182343 0.1625 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - EArch.xml ex5p.blif common_--place_effort_scaling_device_circuit 4.15 vpr 74.22 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 76000 8 63 1072 1135 0 619 135 12 12 144 clb auto 34.1 MiB 2.35 6325 11326 2120 8412 794 74.2 MiB 0.45 0.01 4.96391 -216.681 -4.96391 nan 0.40 0.00300248 0.00261681 0.177754 0.159055 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.182191 0.163076 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - EArch.xml ex5p.blif common_--place_effort_scaling_circuit_--target_utilization_0.1 9.98 vpr 77.94 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 79808 8 63 1072 1135 0 619 135 27 27 729 -1 auto 34.4 MiB 2.36 6780 22625 6869 14375 1381 77.7 MiB 0.66 0.01 5.57619 -254.596 -5.57619 nan 3.15 0.00374551 0.00316871 0.28525 0.251728 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.292957 0.259025 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - EArch.xml ex5p.blif common_--place_effort_scaling_device_circuit_--target_utilization_0.1 10.88 vpr 77.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 79852 8 63 1072 1135 0 619 135 27 27 729 -1 auto 34.5 MiB 2.52 6916 70425 20372 45422 4631 77.9 MiB 1.04 0.02 5.61138 -254.037 -5.61138 nan 3.20 0.00355764 0.00324002 0.259461 0.229263 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.264477 0.233757 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml ex5p.blif common_--place_effort_scaling_circuit 3.66 vpr 76.81 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 78656 8 63 1072 1135 0 619 135 12 12 144 clb auto 36.7 MiB 2.32 6246 12245 2336 8854 1055 76.8 MiB 0.39 0.01 4.93521 -218.151 -4.93521 nan 0.22 0.00367856 0.00299064 0.169598 0.144286 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.174334 0.148491 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml ex5p.blif common_--place_effort_scaling_device_circuit 3.50 vpr 76.56 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 78400 8 63 1072 1135 0 619 135 12 12 144 clb auto 36.4 MiB 2.21 6248 12409 2316 9051 1042 76.6 MiB 0.36 0.01 5.00015 -217.921 -5.00015 nan 0.26 0.00350625 0.00296092 0.150187 0.130251 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.154752 0.13448 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml ex5p.blif common_--place_effort_scaling_circuit_--target_utilization_0.1 4.86 vpr 76.73 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 78576 8 63 1072 1135 0 619 135 27 27 729 -1 auto 36.5 MiB 1.80 6557 16051 3559 11939 553 76.7 MiB 0.46 0.01 5.39652 -231.823 -5.39652 nan 1.19 0.00333577 0.00278218 0.186781 0.161087 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.19137 0.165152 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml ex5p.blif common_--place_effort_scaling_device_circuit_--target_utilization_0.1 7.27 vpr 76.75 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 78592 8 63 1072 1135 0 619 135 27 27 729 -1 auto 36.7 MiB 2.48 6642 53385 10847 39555 2983 76.8 MiB 0.94 0.01 5.30857 -236.309 -5.30857 nan 1.66 0.00199214 0.00171649 0.207463 0.177518 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.212761 0.182102 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_quench_slack/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_quench_slack/config/golden_results.txt index 8ddf2cd268a..344063856f9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_quench_slack/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_place_quench_slack/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 4.32 vpr 63.63 MiB -1 -1 0.91 23516 5 0.18 -1 -1 33320 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65160 10 2 181 183 1 35 24 6 6 36 clb auto 24.2 MiB 0.14 146 398 72 298 28 63.6 MiB 0.15 0.00 2.14643 -92.7521 -2.14643 2.14643 0.05 0.000721287 0.000665617 0.0100883 0.00940276 -1 -1 -1 -1 14 201 20 646728 646728 22986.6 638.518 0.96 0.149763 0.124804 1728 4488 -1 171 15 208 442 9451 2845 2.12882 2.12882 -98.7664 -2.12882 0 0 30529.5 848.041 0.01 0.05 0.01 -1 -1 0.01 0.0189304 0.0169003 + k6_N10_mem32K_40nm.xml stereovision3.v common 2.31 vpr 66.14 MiB -1 -1 0.81 26892 5 0.20 -1 -1 36924 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67732 10 2 181 183 1 35 24 6 6 36 clb auto 27.0 MiB 0.05 152 432 67 335 30 66.1 MiB 0.02 0.00 2.14643 -92.8849 -2.14643 2.14643 0.04 0.000410176 0.000357432 0.00947888 0.00721552 -1 -1 -1 -1 12 196 16 646728 646728 19965.4 554.594 0.10 0.0626682 0.052856 1696 3924 -1 174 13 186 392 8874 2604 2.14935 2.14935 -96.0816 -2.14935 0 0 25971.8 721.439 0.00 0.02 0.01 -1 -1 0.00 0.0168161 0.0151469 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_post_routing_sync/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_post_routing_sync/config/golden_results.txt index 9a08c839075..a4fadd34b2c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_post_routing_sync/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_post_routing_sync/config/golden_results.txt @@ -1,21 +1,21 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml const_true.blif common 0.68 vpr 60.19 MiB -1 -1 -1 -1 0 0.02 -1 -1 30040 -1 -1 1 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61636 -1 1 1 2 0 1 2 3 3 9 -1 auto 21.4 MiB 0.03 0 3 0 0 3 60.2 MiB 0.01 0.00 nan 0 0 nan 0.00 1.2311e-05 7.387e-06 0.000105834 7.5869e-05 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.00 0.00147816 0.00140463 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml const_false.blif common 0.71 vpr 60.08 MiB -1 -1 -1 -1 0 0.02 -1 -1 30088 -1 -1 1 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61524 -1 1 1 2 0 1 2 3 3 9 -1 auto 21.4 MiB 0.04 0 3 0 0 3 60.1 MiB 0.03 0.00 nan 0 0 nan 0.00 3.6044e-05 2.4593e-05 0.000145604 0.000105582 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.02 0.00162472 0.00153314 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml always_true.blif common 0.73 vpr 60.18 MiB -1 -1 -1 -1 0 0.02 -1 -1 30176 -1 -1 1 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61624 6 1 1 8 0 1 8 3 3 9 -1 auto 21.4 MiB 0.04 0 21 0 11 10 60.2 MiB 0.04 0.00 nan 0 0 nan 0.00 3.8303e-05 2.7095e-05 0.000158699 0.000117087 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.02 0.00160603 0.0015131 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml always_false.blif common 0.66 vpr 60.16 MiB -1 -1 -1 -1 0 0.02 -1 -1 30096 -1 -1 1 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61604 6 1 1 8 0 1 8 3 3 9 -1 auto 21.5 MiB 0.03 0 21 0 11 10 60.2 MiB 0.02 0.00 nan 0 0 nan 0.00 3.1614e-05 2.36e-05 0.000126831 9.3717e-05 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.02 0.00140564 0.0013257 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml and.blif common 0.74 vpr 60.46 MiB -1 -1 -1 -1 1 0.02 -1 -1 30012 -1 -1 1 2 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61912 2 1 3 4 0 3 4 3 3 9 -1 auto 21.8 MiB 0.03 9 9 5 0 4 60.5 MiB 0.03 0.00 0.443777 -0.443777 -0.443777 nan 0.00 2.6924e-05 2.1285e-05 0.000155957 0.000124557 -1 -1 -1 -1 -1 6 9 3900 3900 7855.82 872.868 0.04 0.00180691 0.00163332 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml multiconnected_lut.blif common 0.92 vpr 60.15 MiB -1 -1 -1 -1 2 0.06 -1 -1 31604 -1 -1 1 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61592 5 1 7 8 0 7 7 3 3 9 -1 auto 21.4 MiB 0.05 20 18 12 0 6 60.1 MiB 0.05 0.00 0.70303 -0.70303 -0.70303 nan 0.00 4.3879e-05 3.664e-05 0.000222358 0.000185667 -1 -1 -1 -1 -1 8 6 3900 3900 7855.82 872.868 0.06 0.00211615 0.00192656 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml multiconnected_lut2.blif common 1.01 vpr 60.18 MiB -1 -1 -1 -1 2 0.06 -1 -1 31700 -1 -1 1 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61628 5 1 7 8 0 7 7 3 3 9 -1 auto 21.5 MiB 0.03 20 18 13 0 5 60.2 MiB 0.04 0.00 0.70303 -0.70303 -0.70303 nan 0.00 3.5381e-05 2.8816e-05 0.000203736 0.000168971 -1 -1 -1 -1 -1 11 12 3900 3900 7855.82 872.868 0.11 0.00246619 0.00216395 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml and_latch.blif common 0.87 vpr 60.48 MiB -1 -1 -1 -1 1 0.03 -1 -1 30164 -1 -1 1 3 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61928 3 1 5 6 1 4 5 3 3 9 -1 auto 21.8 MiB 0.04 9 12 9 0 3 60.5 MiB 0.05 0.00 0.274843 -0.536407 -0.274843 0.274843 0.00 4.4055e-05 3.6531e-05 0.00021973 0.000180261 -1 -1 -1 -1 -1 5 8 3900 3900 7855.82 872.868 0.07 0.0021248 0.00193396 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml false_path_mux.blif common 0.90 vpr 60.20 MiB -1 -1 -1 -1 1 0.05 -1 -1 31836 -1 -1 1 3 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61640 4 1 4 6 0 4 6 3 3 9 -1 auto 21.4 MiB 0.03 12 15 11 0 4 60.2 MiB 0.03 0.00 0.443777 -0.443777 -0.443777 nan 0.00 2.0841e-05 1.5222e-05 0.000192491 0.000153992 -1 -1 -1 -1 -1 7 16 3900 3900 7855.82 872.868 0.10 0.00238512 0.00206432 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_2x2.blif common 1.11 vpr 60.11 MiB -1 -1 -1 -1 1 0.07 -1 -1 31800 -1 -1 1 4 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61548 4 4 8 12 0 8 9 3 3 9 -1 auto 21.4 MiB 0.04 25 27 23 0 4 60.1 MiB 0.07 0.00 0.443777 -1.77511 -0.443777 nan 0.00 4.9827e-05 4.1535e-05 0.000407965 0.000351743 -1 -1 -1 -1 -1 27 13 3900 3900 7855.82 872.868 0.11 0.00374472 0.00335722 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_3x3.blif common 1.20 vpr 60.43 MiB -1 -1 -1 -1 3 0.06 -1 -1 32200 -1 -1 3 6 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61884 6 6 28 34 0 28 15 5 5 25 clb auto 22.0 MiB 0.07 103 51 13 38 0 60.4 MiB 0.06 0.00 1.2267 -5.62618 -1.2267 nan 0.00 0.000167356 0.00015066 0.000901624 0.000832866 -1 -1 -1 -1 -1 193 16 23400 11700 33739.5 1349.58 0.15 0.00707982 0.00624618 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_3x4.blif common 1.18 vpr 60.43 MiB -1 -1 -1 -1 4 0.07 -1 -1 32216 -1 -1 5 7 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61884 7 8 39 47 0 39 20 5 5 25 clb auto 21.9 MiB 0.10 172 74 13 56 5 60.4 MiB 0.06 0.00 1.56314 -7.84574 -1.56314 nan 0.00 0.000160264 0.000142619 0.00116065 0.0010838 -1 -1 -1 -1 -1 327 16 23400 19500 33739.5 1349.58 0.15 0.00898169 0.00794478 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_4x4.blif common 1.18 vpr 60.40 MiB -1 -1 -1 -1 8 0.07 -1 -1 32208 -1 -1 7 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61852 8 8 51 59 0 51 23 6 6 36 clb auto 21.8 MiB 0.05 214 503 64 428 11 60.4 MiB 0.09 0.00 2.63385 -12.7463 -2.63385 nan 0.00 0.000177584 0.000161757 0.00423755 0.00390688 -1 -1 -1 -1 -1 520 19 165600 27300 61410.5 1705.85 0.22 0.0150687 0.0131936 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_5x5.blif common 1.43 vpr 60.70 MiB -1 -1 -1 -1 7 0.09 -1 -1 32696 -1 -1 11 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 62156 10 10 95 105 0 95 31 6 6 36 clb auto 21.4 MiB 0.06 451 655 100 526 29 60.7 MiB 0.09 0.00 2.57174 -18.2179 -2.57174 nan 0.00 0.00035514 0.000326891 0.00608822 0.00564107 -1 -1 -1 -1 -1 1075 30 165600 42900 61410.5 1705.85 0.38 0.0302852 0.0267502 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_5x6.blif common 1.43 vpr 60.61 MiB -1 -1 -1 -1 8 0.10 -1 -1 32900 -1 -1 11 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 62064 11 11 94 105 0 94 33 6 6 36 clb auto 21.4 MiB 0.06 445 709 84 587 38 60.6 MiB 0.01 0.00 2.8791 -21.3962 -2.8791 nan 0.00 0.000245371 0.000216385 0.0044853 0.00411137 -1 -1 -1 -1 -1 1004 29 165600 42900 61410.5 1705.85 0.32 0.0287668 0.0253979 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_1bit.blif common 0.67 vpr 60.14 MiB -1 -1 -1 -1 1 0.05 -1 -1 30884 -1 -1 1 3 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61588 3 2 5 7 0 5 6 3 3 9 -1 auto 21.4 MiB 0.01 15 15 11 0 4 60.1 MiB 0.00 0.00 0.443777 -0.887553 -0.443777 nan 0.00 1.9951e-05 1.4849e-05 0.000164121 0.000125521 -1 -1 -1 -1 -1 12 16 3900 3900 7855.82 872.868 0.01 0.00219507 0.00196019 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_2bit.blif common 0.73 vpr 60.16 MiB -1 -1 -1 -1 2 0.05 -1 -1 31860 -1 -1 1 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61600 5 3 9 12 0 9 9 3 3 9 -1 auto 21.4 MiB 0.03 26 27 24 0 3 60.2 MiB 0.00 0.00 0.70303 -1.84984 -0.70303 nan 0.00 2.8254e-05 2.3107e-05 0.000190345 0.000162041 -1 -1 -1 -1 -1 19 17 3900 3900 7855.82 872.868 0.01 0.00246508 0.00221947 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_3bit.blif common 0.71 vpr 60.18 MiB -1 -1 -1 -1 3 0.06 -1 -1 31972 -1 -1 1 7 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61628 7 4 13 17 0 13 12 3 3 9 -1 auto 21.5 MiB 0.01 37 38 34 0 4 60.2 MiB 0.00 0.00 0.962283 -3.07137 -0.962283 nan 0.00 3.418e-05 2.8219e-05 0.000302318 0.000266511 -1 -1 -1 -1 -1 42 18 3900 3900 7855.82 872.868 0.02 0.00309555 0.00273229 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_4bit.blif common 0.68 vpr 60.22 MiB -1 -1 -1 -1 4 0.05 -1 -1 31940 -1 -1 1 9 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61668 9 5 17 22 0 17 15 3 3 9 -1 auto 21.5 MiB 0.01 48 51 43 0 8 60.2 MiB 0.00 0.00 1.22154 -4.55216 -1.22154 nan 0.00 4.7618e-05 4.1095e-05 0.000313513 0.000280762 -1 -1 -1 -1 -1 65 19 3900 3900 7855.82 872.868 0.01 0.00380867 0.00333062 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_5bit.blif common 0.68 vpr 60.16 MiB -1 -1 -1 -1 4 0.06 -1 -1 31924 -1 -1 2 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61608 11 6 24 30 0 24 19 4 4 16 clb auto 21.7 MiB 0.01 83 69 18 40 11 60.2 MiB 0.00 0.00 1.35387 -6.69849 -1.35387 nan 0.00 5.2391e-05 4.166e-05 0.000438046 0.000390075 -1 -1 -1 -1 -1 125 12 7800 7800 17482.0 1092.63 0.01 0.00329075 0.00296207 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml const_true.blif common 0.35 vpr 62.50 MiB -1 -1 -1 -1 0 0.02 -1 -1 33168 -1 -1 1 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64000 -1 1 1 2 0 1 2 3 3 9 -1 auto 24.3 MiB 0.00 0 3 0 0 3 62.5 MiB 0.00 0.00 nan 0 0 nan 0.00 1.2148e-05 6.319e-06 7.9011e-05 5.1305e-05 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.00 0.00149016 0.00141935 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml const_false.blif common 0.45 vpr 62.59 MiB -1 -1 -1 -1 0 0.03 -1 -1 33140 -1 -1 1 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64092 -1 1 1 2 0 1 2 3 3 9 -1 auto 24.3 MiB 0.00 0 3 0 0 3 62.6 MiB 0.00 0.00 nan 0 0 nan 0.00 1.2883e-05 7.145e-06 8.5494e-05 5.1608e-05 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.00 0.00156787 0.00149125 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml always_true.blif common 0.37 vpr 62.62 MiB -1 -1 -1 -1 0 0.02 -1 -1 33248 -1 -1 1 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64128 6 1 1 8 0 1 8 3 3 9 -1 auto 24.3 MiB 0.00 0 21 0 11 10 62.6 MiB 0.00 0.00 nan 0 0 nan 0.00 1.4288e-05 8.001e-06 8.7045e-05 5.7557e-05 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.00 0.0015153 0.00144219 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml always_false.blif common 0.39 vpr 62.59 MiB -1 -1 -1 -1 0 0.02 -1 -1 33208 -1 -1 1 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64096 6 1 1 8 0 1 8 3 3 9 -1 auto 24.2 MiB 0.00 0 21 0 11 10 62.6 MiB 0.00 0.00 nan 0 0 nan 0.00 1.2682e-05 6.827e-06 7.4747e-05 4.546e-05 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.00 0.00148015 0.0014067 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml and.blif common 0.37 vpr 62.59 MiB -1 -1 -1 -1 1 0.02 -1 -1 32904 -1 -1 1 2 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64092 2 1 3 4 0 3 4 3 3 9 -1 auto 24.2 MiB 0.00 9 9 5 0 4 62.6 MiB 0.00 0.00 0.443777 -0.443777 -0.443777 nan 0.00 1.5556e-05 1.071e-05 9.7598e-05 7.1292e-05 -1 -1 -1 -1 -1 6 9 3900 3900 7855.82 872.868 0.00 0.00159844 0.00147185 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml multiconnected_lut.blif common 0.47 vpr 62.71 MiB -1 -1 -1 -1 2 0.05 -1 -1 34804 -1 -1 1 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64216 5 1 7 8 0 7 7 3 3 9 -1 auto 24.3 MiB 0.00 20 18 12 0 6 62.7 MiB 0.00 0.00 0.70303 -0.70303 -0.70303 nan 0.00 2.4161e-05 1.7898e-05 0.000147057 0.000117193 -1 -1 -1 -1 -1 8 6 3900 3900 7855.82 872.868 0.00 0.00183362 0.0017084 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml multiconnected_lut2.blif common 0.53 vpr 62.71 MiB -1 -1 -1 -1 2 0.06 -1 -1 35320 -1 -1 1 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64216 5 1 7 8 0 7 7 3 3 9 -1 auto 24.3 MiB 0.00 20 18 13 0 5 62.7 MiB 0.00 0.00 0.70303 -0.70303 -0.70303 nan 0.00 2.4929e-05 1.9146e-05 0.000149053 0.000119002 -1 -1 -1 -1 -1 11 12 3900 3900 7855.82 872.868 0.00 0.00207292 0.001883 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml and_latch.blif common 0.42 vpr 62.59 MiB -1 -1 -1 -1 1 0.03 -1 -1 33204 -1 -1 1 3 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64092 3 1 5 6 1 4 5 3 3 9 -1 auto 24.2 MiB 0.00 9 12 9 0 3 62.6 MiB 0.00 0.00 0.274843 -0.536407 -0.274843 0.274843 0.00 2.0225e-05 1.4435e-05 0.000138329 0.000106209 -1 -1 -1 -1 -1 5 8 3900 3900 7855.82 872.868 0.00 0.00194055 0.00179435 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml false_path_mux.blif common 0.55 vpr 62.71 MiB -1 -1 -1 -1 1 0.05 -1 -1 35156 -1 -1 1 3 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64220 4 1 4 6 0 4 6 3 3 9 -1 auto 24.2 MiB 0.00 12 15 11 0 4 62.7 MiB 0.00 0.00 0.443777 -0.443777 -0.443777 nan 0.00 1.8428e-05 1.2806e-05 0.000110516 8.1976e-05 -1 -1 -1 -1 -1 7 16 3900 3900 7855.82 872.868 0.00 0.00192067 0.00172939 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_2x2.blif common 0.46 vpr 62.61 MiB -1 -1 -1 -1 1 0.04 -1 -1 34976 -1 -1 1 4 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64116 4 4 8 12 0 8 9 3 3 9 -1 auto 24.2 MiB 0.00 25 27 23 0 4 62.6 MiB 0.00 0.00 0.443777 -1.77511 -0.443777 nan 0.00 3.5532e-05 2.8723e-05 0.000236043 0.000198004 -1 -1 -1 -1 -1 27 13 3900 3900 7855.82 872.868 0.01 0.00398568 0.00368261 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_3x3.blif common 0.61 vpr 62.75 MiB -1 -1 -1 -1 3 0.07 -1 -1 36472 -1 -1 3 6 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64252 6 6 28 34 0 28 15 5 5 25 clb auto 24.3 MiB 0.01 107 51 16 35 0 62.7 MiB 0.00 0.00 1.19848 -5.43061 -1.19848 nan 0.00 0.000100657 8.7627e-05 0.000667635 0.000607608 -1 -1 -1 -1 -1 194 14 23400 11700 33739.5 1349.58 0.01 0.00536054 0.00480663 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_3x4.blif common 0.75 vpr 62.83 MiB -1 -1 -1 -1 4 0.08 -1 -1 35812 -1 -1 5 7 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64336 7 8 39 47 0 39 20 5 5 25 clb auto 24.3 MiB 0.01 166 236 59 163 14 62.8 MiB 0.01 0.00 1.46514 -7.47508 -1.46514 nan 0.00 0.000142827 0.000124431 0.00201267 0.00182384 -1 -1 -1 -1 -1 357 19 23400 19500 33739.5 1349.58 0.07 0.00974199 0.0081496 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_4x4.blif common 0.67 vpr 62.86 MiB -1 -1 -1 -1 8 0.09 -1 -1 36008 -1 -1 7 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64372 8 8 51 59 0 51 23 6 6 36 clb auto 24.3 MiB 0.02 202 311 50 255 6 62.9 MiB 0.02 0.00 2.65433 -12.8801 -2.65433 nan 0.00 0.000156562 0.000133883 0.00249164 0.00221647 -1 -1 -1 -1 -1 478 20 165600 27300 61410.5 1705.85 0.05 0.0170085 0.00970498 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_5x5.blif common 0.77 vpr 63.19 MiB -1 -1 -1 -1 7 0.11 -1 -1 36176 -1 -1 11 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64708 10 10 95 105 0 95 31 6 6 36 clb auto 24.3 MiB 0.02 440 559 101 432 26 63.2 MiB 0.01 0.00 2.57669 -18.1473 -2.57669 nan 0.00 0.000278847 0.000243412 0.00448766 0.00402238 -1 -1 -1 -1 -1 952 23 165600 42900 61410.5 1705.85 0.09 0.0224337 0.0198151 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_5x6.blif common 0.93 vpr 63.20 MiB -1 -1 -1 -1 8 0.11 -1 -1 36276 -1 -1 11 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64716 11 11 94 105 0 94 33 6 6 36 clb auto 24.3 MiB 0.02 429 397 56 319 22 63.2 MiB 0.01 0.00 2.82654 -21.1346 -2.82654 nan 0.00 0.000270538 0.000239054 0.003281 0.00285871 -1 -1 -1 -1 -1 949 23 165600 42900 61410.5 1705.85 0.17 0.0231325 0.0204271 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_1bit.blif common 0.47 vpr 62.71 MiB -1 -1 -1 -1 1 0.06 -1 -1 34320 -1 -1 1 3 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64220 3 2 5 7 0 5 6 3 3 9 -1 auto 24.3 MiB 0.00 15 15 11 0 4 62.7 MiB 0.00 0.00 0.443777 -0.887553 -0.443777 nan 0.00 2.4709e-05 1.8183e-05 0.000141351 0.000109437 -1 -1 -1 -1 -1 12 16 3900 3900 7855.82 872.868 0.00 0.00207041 0.0018628 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_2bit.blif common 0.46 vpr 62.58 MiB -1 -1 -1 -1 2 0.06 -1 -1 35476 -1 -1 1 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64084 5 3 9 12 0 9 9 3 3 9 -1 auto 24.2 MiB 0.00 26 27 24 0 3 62.6 MiB 0.00 0.00 0.70303 -1.84984 -0.70303 nan 0.00 3.6085e-05 2.9105e-05 0.000215176 0.00018047 -1 -1 -1 -1 -1 19 17 3900 3900 7855.82 872.868 0.00 0.0027146 0.00242632 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_3bit.blif common 0.58 vpr 62.59 MiB -1 -1 -1 -1 3 0.05 -1 -1 35528 -1 -1 1 7 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64092 7 4 13 17 0 13 12 3 3 9 -1 auto 24.2 MiB 0.01 37 38 34 0 4 62.6 MiB 0.00 0.00 0.962283 -3.07137 -0.962283 nan 0.00 5.1242e-05 4.3365e-05 0.000310909 0.00026784 -1 -1 -1 -1 -1 42 19 3900 3900 7855.82 872.868 0.01 0.00339296 0.00299342 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_4bit.blif common 0.56 vpr 62.59 MiB -1 -1 -1 -1 4 0.06 -1 -1 35528 -1 -1 1 9 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64092 9 5 17 22 0 17 15 3 3 9 -1 auto 24.2 MiB 0.01 48 51 43 0 8 62.6 MiB 0.00 0.00 1.22154 -4.55216 -1.22154 nan 0.00 5.8362e-05 4.9699e-05 0.000357643 0.000314843 -1 -1 -1 -1 -1 65 18 3900 3900 7855.82 872.868 0.01 0.00442103 0.00396409 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_5bit.blif common 0.68 vpr 62.61 MiB -1 -1 -1 -1 4 0.06 -1 -1 35388 -1 -1 2 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64108 11 6 24 30 0 24 19 4 4 16 clb auto 24.2 MiB 0.02 81 219 59 138 22 62.6 MiB 0.00 0.00 1.3375 -6.59285 -1.3375 nan 0.00 7.7083e-05 6.6497e-05 0.00098279 0.000856632 -1 -1 -1 -1 -1 132 15 7800 7800 17482.0 1092.63 0.01 0.00598903 0.00545622 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_power/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_power/config/golden_results.txt index d710f9093cf..def1a137d22 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_power/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_power/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 7.34 vpr 66.08 MiB -1 -1 0.43 18936 3 0.11 -1 -1 33284 -1 53192 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67664 99 130 344 474 1 227 298 12 12 144 clb auto 26.4 MiB 0.38 717 72933 22876 34411 15646 66.1 MiB 0.54 0.01 1.84343 -118.171 -1.84343 1.84343 0.36 0.0010848 0.00101841 0.0913793 0.0860775 -1 -1 -1 -1 38 1552 14 5.66058e+06 4.21279e+06 319130. 2216.18 2.91 0.450493 0.414934 12522 62564 -1 1267 9 391 595 24204 7116 1.90841 1.90841 -134.254 -1.90841 -1.28606 -0.31945 406292. 2821.48 0.13 0.07 0.08 -1 -1 0.13 0.0328333 0.030834 0.0117 0.2242 0.06563 0.7102 - k6_frac_N10_mem32K_40nm.xml diffeq1.v common 17.64 vpr 68.80 MiB -1 -1 0.70 23848 15 0.45 -1 -1 34524 -1 54788 39 162 0 5 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70452 162 96 1009 950 1 701 302 16 16 256 mult_36 auto 29.4 MiB 0.77 5637 94418 33826 53640 6952 68.8 MiB 0.97 0.01 21.0535 -1600.99 -21.0535 21.0535 0.77 0.00355871 0.00332925 0.362999 0.339534 -1 -1 -1 -1 50 13462 45 1.21132e+07 4.08187e+06 780512. 3048.87 8.60 1.322 1.21904 25484 153448 -1 9971 18 3407 6810 971785 294758 22.3056 22.3056 -1729.57 -22.3056 0 0 1.00276e+06 3917.05 0.33 0.44 0.17 -1 -1 0.33 0.14631 0.136022 0.007875 0.3522 0.01635 0.6315 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 4.05 vpr 68.39 MiB -1 -1 0.40 21908 3 0.11 -1 -1 37048 -1 54888 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70028 99 130 344 474 1 227 298 12 12 144 clb auto 29.0 MiB 0.23 673 63978 19550 30341 14087 68.4 MiB 0.26 0.00 1.86472 -118.834 -1.86472 1.86472 0.28 0.000886976 0.000801272 0.0813306 0.0745016 -1 -1 -1 -1 38 1393 12 5.66058e+06 4.21279e+06 319130. 2216.18 0.68 0.238994 0.21577 12522 62564 -1 1106 10 397 647 21454 6807 1.90702 1.90702 -131.595 -1.90702 -1.20917 -0.320482 406292. 2821.48 0.03 0.05 0.11 -1 -1 0.03 0.0347348 0.0326652 0.01152 0.2117 0.0667 0.7216 + k6_frac_N10_mem32K_40nm.xml diffeq1.v common 11.51 vpr 71.63 MiB -1 -1 0.57 27156 15 0.44 -1 -1 38000 -1 56764 39 162 0 5 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73348 162 96 1009 950 1 701 302 16 16 256 mult_36 auto 32.3 MiB 0.47 5553 86322 27524 51152 7646 71.6 MiB 0.85 0.01 20.9417 -1607.93 -20.9417 20.9417 0.48 0.003704 0.00340296 0.38455 0.354826 -1 -1 -1 -1 50 10993 26 1.21132e+07 4.08187e+06 780512. 3048.87 3.85 1.2672 1.17071 25484 153448 -1 9617 17 3054 6060 825747 253645 22.1678 22.1678 -1734.75 -22.1678 0 0 1.00276e+06 3917.05 0.06 0.43 0.25 -1 -1 0.06 0.181388 0.170418 0.007894 0.3513 0.0164 0.6323 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_route_only/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_route_only/config/golden_results.txt index 0b0e8c245c9..82620e51799 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_route_only/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_route_only/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_N10_mem32K_40nm.xml stereovision3.v common 2.71 vpr 63.64 MiB -1 -1 0.89 23420 5 0.17 -1 -1 33392 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65172 10 2 181 183 1 35 24 6 6 36 clb auto 24.2 MiB 0.10 146 398 72 298 28 63.6 MiB 0.06 0.00 2.14835 -92.8998 -2.14835 2.14835 0.00 0.000418181 0.00038256 0.0070167 0.00650899 -1 -1 -1 -1 130 4.06250 54 1.68750 215 509 15144 2919 646728 646728 138825. 3856.24 24 3164 19284 -1 2.05191 2.05191 -93.8814 -2.05191 0 0 0.02 -1 -1 63.6 MiB 0.24 0.0355426 0.0317424 63.6 MiB -1 0.03 - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.97 vpr 66.65 MiB -1 -1 0.84 23052 4 0.17 -1 -1 33056 -1 -1 15 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68248 11 2 303 283 2 78 28 7 7 49 clb auto 27.1 MiB 0.31 264 1036 209 767 60 66.6 MiB 0.17 0.00 2.03811 -163.536 -2.03811 1.90043 0.00 0.0010174 0.000936861 0.0267115 0.0245008 -1 -1 -1 -1 252 3.50000 103 1.43056 122 199 4265 1218 1.07788e+06 808410 219490. 4479.39 12 5100 32136 -1 2.11264 1.93889 -160.659 -2.11264 0 0 0.04 -1 -1 66.6 MiB 0.15 0.0627365 0.0575288 66.6 MiB -1 0.04 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.71 vpr 65.87 MiB -1 -1 0.78 26896 5 0.18 -1 -1 36624 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67448 10 2 181 183 1 35 24 6 6 36 clb auto 26.9 MiB 0.05 152 432 67 335 30 65.9 MiB 0.01 0.00 2.14835 -93.0339 -2.14835 2.14835 0.00 0.00039706 0.000346093 0.00713489 0.00637234 -1 -1 -1 -1 138 4.31250 57 1.78125 181 343 11634 2077 646728 646728 138825. 3856.24 15 3164 19284 -1 2.14648 2.14648 -94.9192 -2.14648 0 0 0.03 -1 -1 65.9 MiB 0.02 0.0245431 0.0219785 65.9 MiB -1 0.00 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 1.90 vpr 68.91 MiB -1 -1 0.73 26796 4 0.18 -1 -1 36100 -1 -1 15 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70560 11 2 303 283 2 78 28 7 7 49 clb auto 29.2 MiB 0.18 285 784 175 539 70 68.9 MiB 0.03 0.00 2.03811 -163.686 -2.03811 1.90043 0.00 0.000657098 0.000563918 0.0210266 0.0187872 -1 -1 -1 -1 313 4.34722 112 1.55556 114 177 3842 1019 1.07788e+06 808410 219490. 4479.39 6 5100 32136 -1 2.07112 1.86791 -165.31 -2.07112 0 0 0.05 -1 -1 68.9 MiB 0.03 0.0456598 0.0418503 68.9 MiB -1 0.01 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_route_reconverge/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_route_reconverge/config/golden_results.txt index 447a074d109..b3939ae8bad 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_route_reconverge/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_route_reconverge/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 46.36 vpr 82.59 MiB -1 -1 8.22 52832 5 2.16 -1 -1 39584 -1 -1 153 193 5 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 84572 193 205 2718 2652 1 1312 556 20 20 400 memory auto 41.4 MiB 2.16 10365 229056 86247 118235 24574 82.3 MiB 2.34 0.03 4.93042 -2712.69 -4.93042 4.93042 1.49 0.00671843 0.00610927 0.880709 0.785571 -1 -1 -1 -1 82 19408 37 2.07112e+07 1.09858e+07 2.14661e+06 5366.52 23.21 5.08072 4.56727 53670 456392 -1 17785 16 4760 12593 961685 215487 5.25964 5.25964 -2873.25 -5.25964 -10.2812 -0.29768 2.68822e+06 6720.56 0.74 0.58 0.45 -1 -1 0.74 0.348182 0.32009 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 40.08 vpr 84.36 MiB -1 -1 7.36 54308 5 2.17 -1 -1 42700 -1 -1 153 193 5 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 86380 193 205 2718 2652 1 1312 556 20 20 400 memory auto 43.4 MiB 2.10 10543 233626 82676 126206 24744 84.4 MiB 2.58 0.04 4.85425 -2733.64 -4.85425 4.85425 0.83 0.0094896 0.008538 0.955143 0.814553 -1 -1 -1 -1 76 20844 34 2.07112e+07 1.09858e+07 2.02110e+06 5052.76 19.63 4.86995 4.26704 52074 423490 -1 18742 17 4982 13549 1088379 246430 5.27071 5.27071 -2903.22 -5.27071 -6.49744 -0.292146 2.51807e+06 6295.18 0.11 0.70 0.57 -1 -1 0.11 0.429237 0.387696 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_router_init_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_router_init_timing/config/golden_results.txt index 3029284bb73..b2a77a6f0e1 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_router_init_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_router_init_timing/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_initial_timing_all_critical 2.47 vpr 69.09 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70748 8 63 748 811 0 455 160 14 14 196 clb auto 29.6 MiB 0.54 4989 14482 2605 10909 968 69.1 MiB 0.33 0.01 4.15324 -188.164 -4.15324 nan 0.00 0.00355218 0.00311968 0.123812 0.109233 -1 -1 -1 -1 6805 14.9560 1830 4.02198 3625 14263 570126 87469 9.20055e+06 4.79657e+06 867065. 4423.80 19 18088 133656 -1 4.17843 nan -185.467 -4.17843 0 0 0.15 -1 -1 69.1 MiB 0.41 0.278836 0.250118 69.1 MiB -1 0.28 - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_initial_timing_lookahead 2.71 vpr 69.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70728 8 63 748 811 0 455 160 14 14 196 clb auto 29.5 MiB 0.58 4989 14482 2605 10909 968 69.1 MiB 0.30 0.01 4.15324 -188.164 -4.15324 nan 0.00 0.00262291 0.00213676 0.106954 0.095917 -1 -1 -1 -1 6877 15.1143 1848 4.06154 3712 14523 564432 88116 9.20055e+06 4.79657e+06 867065. 4423.80 19 18088 133656 -1 4.14924 nan -185.7 -4.14924 0 0 0.14 -1 -1 69.1 MiB 0.44 0.258375 0.232966 69.1 MiB -1 0.34 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_initial_timing_all_critical 1.71 vpr 71.59 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73312 8 63 748 811 0 455 160 14 14 196 clb auto 32.0 MiB 0.45 4992 14048 2664 10357 1027 71.6 MiB 0.29 0.01 4.19211 -186.67 -4.19211 nan 0.00 0.00333844 0.00278407 0.128199 0.109732 -1 -1 -1 -1 6642 14.5978 1787 3.92747 3214 12750 489499 77791 9.20055e+06 4.79657e+06 867065. 4423.80 16 18088 133656 -1 4.47188 nan -188.808 -4.47188 0 0 0.21 -1 -1 71.6 MiB 0.30 0.276888 0.244984 71.6 MiB -1 0.05 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_initial_timing_lookahead 1.81 vpr 71.54 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73256 8 63 748 811 0 455 160 14 14 196 clb auto 31.9 MiB 0.47 4992 14048 2664 10357 1027 71.5 MiB 0.31 0.01 4.19211 -186.67 -4.19211 nan 0.00 0.00291779 0.00252096 0.133598 0.1169 -1 -1 -1 -1 6701 14.7275 1794 3.94286 3137 12291 459530 73860 9.20055e+06 4.79657e+06 867065. 4423.80 18 18088 133656 -1 4.41143 nan -186.654 -4.41143 0 0 0.20 -1 -1 71.5 MiB 0.31 0.289515 0.258703 71.5 MiB -1 0.06 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_router_lookahead/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_router_lookahead/config/golden_results.txt index 15eaa3bf41e..f0bed076f05 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_router_lookahead/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_router_lookahead/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_classic 2.55 vpr 69.12 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70784 8 63 748 811 0 455 160 14 14 196 clb auto 29.6 MiB 0.60 4981 18388 4106 12671 1611 69.1 MiB 0.49 0.01 3.67827 -162.703 -3.67827 nan 0.04 0.00317376 0.00278185 0.166614 0.146475 -1 -1 -1 -1 6929 15.2286 1856 4.07912 4031 16057 1191599 209386 9.20055e+06 4.79657e+06 701736. 3580.29 21 16332 105598 -1 4.26894 nan -186.127 -4.26894 0 0 0.09 -1 -1 69.1 MiB 0.54 0.351918 0.315357 -1 -1 -1 - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_map 2.62 vpr 69.03 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70684 8 63 748 811 0 455 160 14 14 196 clb auto 29.5 MiB 0.61 4947 14048 2843 10376 829 69.0 MiB 0.36 0.01 4.36787 -194.851 -4.36787 nan 0.00 0.00347735 0.00296792 0.131799 0.115232 -1 -1 -1 -1 7013 15.4132 1882 4.13626 4368 18266 702728 114564 9.20055e+06 4.79657e+06 701736. 3580.29 22 16332 105598 -1 4.35011 nan -200.403 -4.35011 0 0 0.11 -1 -1 69.0 MiB 0.50 0.320884 0.285698 69.0 MiB -1 0.23 - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_extended_map 3.96 vpr 69.21 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70868 8 63 748 811 0 455 160 14 14 196 clb auto 29.7 MiB 0.63 4953 17954 4036 12536 1382 69.2 MiB 0.51 0.01 3.75278 -163.938 -3.75278 nan 0.07 0.00355287 0.00313746 0.160864 0.141994 -1 -1 -1 -1 7096 15.5956 1936 4.25495 3839 15635 1250449 222869 9.20055e+06 4.79657e+06 701736. 3580.29 22 16332 105598 -1 4.31984 nan -190.626 -4.31984 0 0 0.09 -1 -1 69.2 MiB 0.68 0.350893 0.31266 -1 -1 -1 - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_extended_map_--reorder_rr_graph_nodes_algorithm_random_shuffle 3.84 vpr 69.14 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70800 8 63 748 811 0 455 160 14 14 196 clb auto 29.6 MiB 0.60 4953 17954 4036 12536 1382 69.1 MiB 0.45 0.01 3.75278 -163.938 -3.75278 nan 0.07 0.00233095 0.00207468 0.141454 0.125813 -1 -1 -1 -1 7096 15.5956 1936 4.25495 3839 15635 1250449 222869 9.20055e+06 4.79657e+06 701736. 3580.29 22 16332 105598 -1 4.31984 nan -190.626 -4.31984 0 0 0.12 -1 -1 69.1 MiB 0.66 0.321273 0.286755 -1 -1 -1 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_classic 1.89 vpr 71.62 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73344 8 63 748 811 0 455 160 14 14 196 clb auto 32.0 MiB 0.50 4993 17086 3593 12286 1207 71.6 MiB 0.32 0.01 3.65588 -160.421 -3.65588 nan 0.04 0.00302942 0.00252731 0.141328 0.121812 -1 -1 -1 -1 7077 15.5538 1900 4.17582 3821 15130 1125339 197021 9.20055e+06 4.79657e+06 701736. 3580.29 19 16332 105598 -1 4.24547 nan -186.357 -4.24547 0 0 0.15 -1 -1 71.6 MiB 0.43 0.306494 0.271472 -1 -1 -1 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_map 1.75 vpr 71.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73176 8 63 748 811 0 455 160 14 14 196 clb auto 32.0 MiB 0.38 4933 15350 2970 11325 1055 71.5 MiB 0.31 0.01 4.27873 -192.837 -4.27873 nan 0.00 0.00317678 0.00277359 0.137596 0.118868 -1 -1 -1 -1 7099 15.6022 1898 4.17143 3600 14045 536072 90036 9.20055e+06 4.79657e+06 701736. 3580.29 22 16332 105598 -1 4.46795 nan -200.148 -4.46795 0 0 0.16 -1 -1 71.5 MiB 0.37 0.319312 0.282053 71.5 MiB -1 0.04 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_extended_map 3.41 vpr 71.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73128 8 63 748 811 0 455 160 14 14 196 clb auto 31.8 MiB 0.50 5048 17520 3917 12196 1407 71.4 MiB 0.28 0.01 3.77945 -168.167 -3.77945 nan 0.06 0.00517556 0.0043803 0.123856 0.107999 -1 -1 -1 -1 7182 15.7846 1920 4.21978 4190 17148 1255046 221662 9.20055e+06 4.79657e+06 701736. 3580.29 29 16332 105598 -1 4.52207 nan -194.42 -4.52207 0 0 0.14 -1 -1 71.4 MiB 0.56 0.3503 0.312891 -1 -1 -1 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_extended_map_--reorder_rr_graph_nodes_algorithm_random_shuffle 3.58 vpr 71.47 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73184 8 63 748 811 0 455 160 14 14 196 clb auto 31.7 MiB 0.45 5048 17520 3917 12196 1407 71.5 MiB 0.35 0.01 3.77945 -168.167 -3.77945 nan 0.08 0.00283082 0.00243406 0.152931 0.13159 -1 -1 -1 -1 7182 15.7846 1920 4.21978 4190 17148 1255046 221662 9.20055e+06 4.79657e+06 701736. 3580.29 29 16332 105598 -1 4.52207 nan -194.42 -4.52207 0 0 0.10 -1 -1 71.5 MiB 0.60 0.372321 0.328664 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_router_update_lb_delays/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_router_update_lb_delays/config/golden_results.txt index 85f2b790a31..2e384423539 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_router_update_lb_delays/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_router_update_lb_delays/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_update_lower_bound_delays_off 2.44 vpr 69.01 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70664 8 63 748 811 0 455 160 14 14 196 clb auto 29.5 MiB 0.60 5081 14916 3009 10977 930 69.0 MiB 0.47 0.01 4.4281 -198.501 -4.4281 nan 0.00 0.00389538 0.00357453 0.140004 0.12435 -1 -1 -1 -1 6741 14.8154 1803 3.96264 3315 13570 497205 81432 9.20055e+06 4.79657e+06 787177. 4016.21 19 17112 118924 -1 4.40099 nan -202.066 -4.40099 0 0 0.12 -1 -1 69.0 MiB 0.33 0.283749 0.254966 69.0 MiB -1 0.26 - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_update_lower_bound_delays_on 2.38 vpr 69.02 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70672 8 63 748 811 0 455 160 14 14 196 clb auto 29.5 MiB 0.61 5081 14916 3009 10977 930 69.0 MiB 0.41 0.01 4.4281 -198.501 -4.4281 nan 0.00 0.00305314 0.00262997 0.138499 0.118452 -1 -1 -1 -1 6767 14.8725 1813 3.98462 3295 13377 489418 80231 9.20055e+06 4.79657e+06 787177. 4016.21 18 17112 118924 -1 4.40099 nan -201.997 -4.40099 0 0 0.13 -1 -1 69.0 MiB 0.39 0.297323 0.263941 69.0 MiB -1 0.24 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_update_lower_bound_delays_off 1.81 vpr 71.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 72996 8 63 748 811 0 455 160 14 14 196 clb auto 31.8 MiB 0.46 5066 14916 2828 10927 1161 71.3 MiB 0.27 0.01 4.20607 -183.516 -4.20607 nan 0.00 0.00329282 0.00274638 0.115858 0.0987687 -1 -1 -1 -1 6988 15.3582 1874 4.11868 3892 16491 596262 97679 9.20055e+06 4.79657e+06 787177. 4016.21 23 17112 118924 -1 4.23403 nan -187.789 -4.23403 0 0 0.17 -1 -1 71.3 MiB 0.40 0.297064 0.262289 71.3 MiB -1 0.03 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_update_lower_bound_delays_on 1.90 vpr 71.22 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 72932 8 63 748 811 0 455 160 14 14 196 clb auto 31.8 MiB 0.47 5066 14916 2828 10927 1161 71.2 MiB 0.34 0.01 4.20607 -183.516 -4.20607 nan 0.00 0.00295504 0.00249967 0.137157 0.115922 -1 -1 -1 -1 6949 15.2725 1858 4.08352 3794 15906 573229 94207 9.20055e+06 4.79657e+06 787177. 4016.21 23 17112 118924 -1 4.30087 nan -188.544 -4.30087 0 0 0.16 -1 -1 71.2 MiB 0.41 0.334676 0.294068 71.2 MiB -1 0.04 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/config/golden_results.txt index cee3d6a8fdb..dda3cef9fb9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/config/golden_results.txt @@ -1,7 +1,7 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_global_nets num_routed_nets - timing/k6_frac_N10_frac_chain_mem32K_htree0_40nm.xml verilog/multiclock_output_and_latch.v common_--target_utilization_0.01_--two_stage_clock_routing_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/multi_clock_routing_constraints.xml_--clock_modeling_dedicated_network 4.82 vpr 64.82 MiB -1 -1 0.15 17544 1 0.06 -1 -1 32228 -1 -1 2 6 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66372 6 1 16 17 2 10 9 17 17 289 -1 auto 26.1 MiB 0.03 30 162 45 109 8 64.8 MiB 0.09 0.00 1.4327 -4.13089 -1.4327 0.805 0.87 0.000186474 0.000145053 0.00220998 0.00182998 -1 -1 -1 -1 20 95 2 1.34605e+07 107788 411619. 1424.29 0.70 0.00469902 0.00412949 24098 82050 -1 103 2 14 14 8039 3790 2.67718 0.805 -5.78255 -2.67718 -1.39285 -0.696976 535376. 1852.51 0.22 0.33 0.08 -1 -1 0.22 0.00213527 0.00203038 1 9 - timing/k6_frac_N10_frac_chain_mem32K_htree0_40nm.xml verilog/and_latch.v common_--target_utilization_0.01_--two_stage_clock_routing_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/multi_clock_routing_constraints.xml_--clock_modeling_dedicated_network 3.09 vpr 64.87 MiB -1 -1 0.11 17384 1 0.02 -1 -1 29996 -1 -1 1 3 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66428 3 1 5 6 1 4 5 13 13 169 -1 auto 26.1 MiB 0.03 35 12 3 8 1 64.9 MiB 0.01 0.00 1.12186 -1.54831 -1.12186 1.12186 0.48 2.8473e-05 2.3109e-05 0.000199018 0.000164788 -1 -1 -1 -1 20 62 1 6.63067e+06 53894 227243. 1344.63 0.37 0.00185586 0.00172162 13251 44387 -1 55 1 4 4 2056 1112 1.77078 1.77078 -1.77078 -1.77078 -0.365681 -0.365681 294987. 1745.49 0.10 0.18 0.05 -1 -1 0.10 0.00158341 0.00153323 0 4 - timing/k6_frac_N10_frac_chain_mem32K_htree0_routedCLK_40nm.xml verilog/multiclock_output_and_latch.v common_--target_utilization_0.01_--two_stage_clock_routing_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/multi_clock_routing_constraints.xml_--clock_modeling_dedicated_network 4.83 vpr 64.72 MiB -1 -1 0.13 17592 1 0.07 -1 -1 32164 -1 -1 2 6 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66272 6 1 16 17 2 10 9 17 17 289 -1 auto 25.9 MiB 0.02 30 162 45 109 8 64.7 MiB 0.09 0.00 1.43377 -4.13192 -1.43377 0.805 0.93 6.1161e-05 4.7651e-05 0.00173334 0.0014267 -1 -1 -1 -1 20 96 2 1.34605e+07 107788 424167. 1467.71 0.63 0.00414802 0.00366881 24098 84646 -1 93 2 14 14 7618 3614 2.36211 0.805 -5.14799 -2.36211 -1.39063 -0.695869 547923. 1895.93 0.21 0.32 0.08 -1 -1 0.21 0.00216645 0.00203322 1 9 - timing/k6_frac_N10_frac_chain_mem32K_htree0_routedCLK_40nm.xml verilog/and_latch.v common_--target_utilization_0.01_--two_stage_clock_routing_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/multi_clock_routing_constraints.xml_--clock_modeling_dedicated_network 3.02 vpr 64.86 MiB -1 -1 0.13 17428 1 0.02 -1 -1 30016 -1 -1 1 3 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66416 3 1 5 6 1 4 5 13 13 169 -1 auto 26.2 MiB 0.03 35 12 3 8 1 64.9 MiB 0.00 0.00 1.12186 -1.54831 -1.12186 1.12186 0.48 2.3262e-05 1.8246e-05 0.000166554 0.000134906 -1 -1 -1 -1 20 58 1 6.63067e+06 53894 235789. 1395.20 0.40 0.00173302 0.00160946 13251 46155 -1 59 1 4 4 2248 1144 1.92085 1.92085 -1.92085 -1.92085 -0.365681 -0.365681 303533. 1796.05 0.09 0.18 0.05 -1 -1 0.09 0.00168955 0.00163685 0 4 - timing/k6_frac_N10_frac_chain_mem32K_htree0short_40nm.xml verilog/multiclock_output_and_latch.v common_--target_utilization_0.01_--two_stage_clock_routing_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/multi_clock_routing_constraints.xml_--clock_modeling_dedicated_network 4.88 vpr 64.75 MiB -1 -1 0.14 17432 1 0.06 -1 -1 32172 -1 -1 2 6 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66304 6 1 16 17 2 10 9 17 17 289 -1 auto 26.0 MiB 0.03 30 162 45 109 8 64.8 MiB 0.09 0.00 1.4327 -4.13089 -1.4327 0.805 0.92 0.000151459 0.00012099 0.0026464 0.00223102 -1 -1 -1 -1 20 573 2 1.34605e+07 107788 408865. 1414.76 0.67 0.00496297 0.0043779 24098 82150 -1 581 2 13 13 6255 3245 3.57936 0.805 -7.58692 -3.57936 -3.19721 -1.59916 532630. 1843.01 0.22 0.36 0.10 -1 -1 0.22 0.00223048 0.00210515 1 9 - timing/k6_frac_N10_frac_chain_mem32K_htree0short_40nm.xml verilog/and_latch.v common_--target_utilization_0.01_--two_stage_clock_routing_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/multi_clock_routing_constraints.xml_--clock_modeling_dedicated_network 3.10 vpr 64.75 MiB -1 -1 0.15 17324 1 0.02 -1 -1 29932 -1 -1 1 3 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66308 3 1 5 6 1 4 5 13 13 169 -1 auto 26.0 MiB 0.03 35 12 3 8 1 64.8 MiB 0.00 0.00 1.12186 -1.54831 -1.12186 1.12186 0.46 2.059e-05 1.5632e-05 0.000148678 0.000118416 -1 -1 -1 -1 20 193 1 6.63067e+06 53894 225153. 1332.26 0.49 0.00184377 0.00171779 13251 44463 -1 186 1 4 4 914 327 2.39001 2.39001 -2.39001 -2.39001 -0.984912 -0.984912 292904. 1733.16 0.11 0.20 0.07 -1 -1 0.11 0.00185429 0.00178578 0 4 + timing/k6_frac_N10_frac_chain_mem32K_htree0_40nm.xml verilog/multiclock_output_and_latch.v common_--target_utilization_0.01_--two_stage_clock_routing_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/multi_clock_routing_constraints.xml_--clock_modeling_dedicated_network 2.94 vpr 67.28 MiB -1 -1 0.14 21160 1 0.06 -1 -1 35568 -1 -1 2 6 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68892 6 1 16 17 2 10 9 17 17 289 -1 auto 28.8 MiB 0.03 30 162 45 109 8 67.3 MiB 0.00 0.00 1.4327 -4.13089 -1.4327 0.805 0.60 4.7388e-05 3.614e-05 0.00109694 0.000865862 -1 -1 -1 -1 20 95 2 1.34605e+07 107788 411619. 1424.29 0.37 0.00363015 0.00323679 24098 82050 -1 103 2 14 14 8045 3790 2.67718 0.805 -5.78255 -2.67718 -1.39285 -0.696976 535376. 1852.51 0.04 0.16 0.10 -1 -1 0.04 0.00205247 0.00194107 1 9 + timing/k6_frac_N10_frac_chain_mem32K_htree0_40nm.xml verilog/and_latch.v common_--target_utilization_0.01_--two_stage_clock_routing_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/multi_clock_routing_constraints.xml_--clock_modeling_dedicated_network 1.71 vpr 67.09 MiB -1 -1 0.11 20776 1 0.02 -1 -1 33508 -1 -1 1 3 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68696 3 1 5 6 1 4 5 13 13 169 -1 auto 28.7 MiB 0.01 35 12 3 8 1 67.1 MiB 0.00 0.00 1.12186 -1.54831 -1.12186 1.12186 0.29 2.6273e-05 2.0281e-05 0.000147698 0.000116195 -1 -1 -1 -1 20 62 1 6.63067e+06 53894 227243. 1344.63 0.21 0.00195838 0.00183532 13251 44387 -1 55 1 4 4 2060 1116 1.77078 1.77078 -1.77078 -1.77078 -0.365681 -0.365681 294987. 1745.49 0.02 0.09 0.06 -1 -1 0.02 0.00158307 0.00153637 0 4 + timing/k6_frac_N10_frac_chain_mem32K_htree0_routedCLK_40nm.xml verilog/multiclock_output_and_latch.v common_--target_utilization_0.01_--two_stage_clock_routing_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/multi_clock_routing_constraints.xml_--clock_modeling_dedicated_network 2.80 vpr 67.12 MiB -1 -1 0.13 21160 1 0.05 -1 -1 35572 -1 -1 2 6 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68728 6 1 16 17 2 10 9 17 17 289 -1 auto 28.7 MiB 0.01 30 162 45 109 8 67.1 MiB 0.00 0.00 1.43377 -4.13192 -1.43377 0.805 0.60 4.8373e-05 3.7154e-05 0.00108209 0.000859607 -1 -1 -1 -1 20 96 2 1.34605e+07 107788 424167. 1467.71 0.36 0.00311589 0.00272737 24098 84646 -1 93 2 14 14 7618 3614 2.36211 0.805 -5.14799 -2.36211 -1.39063 -0.695869 547923. 1895.93 0.04 0.17 0.12 -1 -1 0.04 0.00220953 0.00209751 1 9 + timing/k6_frac_N10_frac_chain_mem32K_htree0_routedCLK_40nm.xml verilog/and_latch.v common_--target_utilization_0.01_--two_stage_clock_routing_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/multi_clock_routing_constraints.xml_--clock_modeling_dedicated_network 1.66 vpr 67.09 MiB -1 -1 0.12 20904 1 0.02 -1 -1 33532 -1 -1 1 3 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68696 3 1 5 6 1 4 5 13 13 169 -1 auto 28.7 MiB 0.01 35 12 3 8 1 67.1 MiB 0.00 0.00 1.12186 -1.54831 -1.12186 1.12186 0.23 2.2312e-05 1.624e-05 0.000140559 0.000108632 -1 -1 -1 -1 20 58 1 6.63067e+06 53894 235789. 1395.20 0.22 0.00172037 0.00159801 13251 46155 -1 59 1 4 4 2248 1144 1.92085 1.92085 -1.92085 -1.92085 -0.365681 -0.365681 303533. 1796.05 0.02 0.10 0.07 -1 -1 0.02 0.00161749 0.00156481 0 4 + timing/k6_frac_N10_frac_chain_mem32K_htree0short_40nm.xml verilog/multiclock_output_and_latch.v common_--target_utilization_0.01_--two_stage_clock_routing_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/multi_clock_routing_constraints.xml_--clock_modeling_dedicated_network 2.79 vpr 67.18 MiB -1 -1 0.14 20780 1 0.06 -1 -1 35568 -1 -1 2 6 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68788 6 1 16 17 2 10 9 17 17 289 -1 auto 28.9 MiB 0.02 30 162 45 109 8 67.2 MiB 0.00 0.00 1.4327 -4.13089 -1.4327 0.805 0.52 4.3023e-05 3.3348e-05 0.0010817 0.000870707 -1 -1 -1 -1 20 573 2 1.34605e+07 107788 408865. 1414.76 0.27 0.00353152 0.00316566 24098 82150 -1 581 2 13 13 6290 3262 3.57936 0.805 -7.58692 -3.57936 -3.19721 -1.59916 532630. 1843.01 0.04 0.17 0.11 -1 -1 0.04 0.00234323 0.00223031 1 9 + timing/k6_frac_N10_frac_chain_mem32K_htree0short_40nm.xml verilog/and_latch.v common_--target_utilization_0.01_--two_stage_clock_routing_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_routing_constraints/multi_clock_routing_constraints.xml_--clock_modeling_dedicated_network 1.63 vpr 67.17 MiB -1 -1 0.08 21164 1 0.02 -1 -1 33664 -1 -1 1 3 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68780 3 1 5 6 1 4 5 13 13 169 -1 auto 28.7 MiB 0.01 35 12 3 8 1 67.2 MiB 0.00 0.00 1.12186 -1.54831 -1.12186 1.12186 0.27 1.6733e-05 1.1353e-05 0.00024751 0.000102039 -1 -1 -1 -1 20 193 1 6.63067e+06 53894 225153. 1332.26 0.23 0.00204227 0.00181801 13251 44463 -1 186 1 4 4 914 327 2.39001 2.39001 -2.39001 -2.39001 -0.984912 -0.984912 292904. 1733.16 0.02 0.07 0.05 -1 -1 0.02 0.00162703 0.00157897 0 4 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_routing_differing_modes/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_routing_differing_modes/config/golden_results.txt index b0a1541d4c4..5c6245f2fa3 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_routing_differing_modes/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_routing_differing_modes/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - slicem.xml carry_chain.blif common 1.93 vpr 57.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58880 1 -1 48 34 1 35 6 5 5 25 BLK_IG-SLICEM auto 18.4 MiB 0.26 70 15 2 12 1 57.5 MiB 0.04 0.00 0.645672 -5.8162 -0.645672 0.645672 0.02 0.000258504 0.000238009 0.00107156 0.000998425 -1 -1 -1 -1 25 294 14 133321 74067 -1 -1 0.87 0.0364979 0.0304333 1252 5405 -1 287 14 116 116 21465 12891 1.98076 1.98076 -20.8107 -1.98076 0 0 -1 -1 0.01 0.05 0.01 -1 -1 0.01 0.00555954 0.00497644 + slicem.xml carry_chain.blif common 0.74 vpr 59.82 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61260 1 -1 48 34 1 35 6 5 5 25 BLK_IG-SLICEM auto 21.1 MiB 0.24 70 15 4 10 1 59.8 MiB 0.00 0.00 0.532448 -5.19346 -0.532448 0.532448 0.00 0.000194851 0.000170942 0.00110293 0.00100939 -1 -1 -1 -1 27 263 12 133321 74067 -1 -1 0.15 0.0230545 0.019405 1284 5874 -1 260 8 79 79 17257 10064 1.64234 1.64234 -16.7917 -1.64234 0 0 -1 -1 0.00 0.01 0.01 -1 -1 0.00 0.0047943 0.00439499 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_routing_modes/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_routing_modes/config/golden_results.txt index ee663247acc..bcdd78ccdb6 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_routing_modes/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_routing_modes/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - arch.xml ndff.blif common 0.79 vpr 56.75 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58108 4 4 10 14 1 10 11 4 4 16 ff_tile io_tile auto 17.9 MiB 0.01 31 35 6 27 2 56.7 MiB 0.00 0.00 0.212927 -2.22016 -0.212927 0.212927 0.00 3.8012e-05 2.9599e-05 0.000219444 0.000180809 -1 -1 -1 -1 4 28 4 59253.6 44440.2 -1 -1 0.12 0.00506904 0.00414914 184 632 -1 29 2 13 18 795 367 0.309802 0.309802 -2.85512 -0.309802 0 0 -1 -1 0.00 0.03 0.00 -1 -1 0.00 0.00167546 0.00160294 + arch.xml ndff.blif common 0.33 vpr 58.84 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60252 4 4 10 14 1 10 11 4 4 16 ff_tile io_tile auto 20.2 MiB 0.00 31 59 13 43 3 58.8 MiB 0.00 0.00 0.247067 -2.25231 -0.247067 0.247067 0.00 3.7056e-05 2.9732e-05 0.000307367 0.000251846 -1 -1 -1 -1 3 28 27 59253.6 44440.2 -1 -1 0.01 0.00402393 0.00331535 160 440 -1 25 3 17 25 782 371 0.259819 0.259819 -2.4911 -0.259819 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00212051 0.00200433 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_scale_delay_budgets/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_scale_delay_budgets/config/golden_results.txt index 71d0a37b2c3..07d413f9696 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_scale_delay_budgets/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_scale_delay_budgets/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.29 vpr 66.64 MiB -1 -1 0.68 22960 4 0.18 -1 -1 33204 -1 -1 15 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68244 11 2 303 283 2 81 28 7 7 49 clb auto 27.0 MiB 0.32 332 112 32 50 30 66.6 MiB 0.02 0.00 4.11769 0 0 3.94108 0.00 0.000730069 0.000683064 0.00633623 0.00608273 -1 -1 -1 -1 376 5.01333 137 1.82667 100 150 2999 863 1.07788e+06 808410 219490. 4479.39 3 5100 32136 -1 4.1682 4.01568 0 0 -197.816 -1.707 0.04 -1 -1 66.6 MiB 0.02 0.0267422 0.0255335 66.6 MiB -1 0.04 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 1.89 vpr 69.17 MiB -1 -1 0.74 26544 4 0.19 -1 -1 36136 -1 -1 15 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70832 11 2 303 283 2 81 28 7 7 49 clb auto 29.7 MiB 0.19 337 112 35 48 29 69.2 MiB 0.02 0.00 4.0728 0 0 3.92737 0.00 0.000817884 0.000697618 0.00655739 0.00606976 -1 -1 -1 -1 398 5.30667 131 1.74667 104 164 3400 907 1.07788e+06 808410 219490. 4479.39 3 5100 32136 -1 4.15796 4.01977 0 0 -197.842 -1.707 0.05 -1 -1 69.2 MiB 0.01 0.0209255 0.0197757 69.2 MiB -1 0.01 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sdc/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sdc/config/config.txt index 3aafacaeaa9..440149973b7 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sdc/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sdc/config/config.txt @@ -24,7 +24,7 @@ qor_parse_file=qor_standard.txt pass_requirements_file=pass_requirements.txt # Script parameters -script_params_common = -starting_stage vpr --seed 1 +script_params_common = -starting_stage vpr --seed 6 script_params_list_add = -sdc_file sdc/samples/A.sdc script_params_list_add = -sdc_file sdc/samples/B.sdc script_params_list_add = -sdc_file sdc/samples/C.sdc diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sdc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sdc/config/golden_results.txt index b4860612047..4625b2401ff 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sdc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sdc/config/golden_results.txt @@ -1,7 +1,7 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/A.sdc 0.62 vpr 62.88 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64392 5 3 11 14 2 9 10 4 4 16 clb auto 24.2 MiB 0.01 22 30 9 14 7 62.9 MiB 0.01 0.00 0.814339 -2.77068 -0.814339 0.571 0.01 3.5189e-05 2.7933e-05 0.00027775 0.00023103 -1 -1 -1 -1 8 18 2 107788 107788 4794.78 299.674 0.02 0.00211257 0.00195587 564 862 -1 18 4 10 10 199 87 0.757297 0.571 -2.63894 -0.757297 0 0 5401.54 337.596 0.01 0.05 0.00 -1 -1 0.01 0.00199426 0.00185344 - k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/B.sdc 0.74 vpr 62.97 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64480 5 3 11 14 2 9 10 4 4 16 clb auto 24.2 MiB 0.01 23 30 6 15 9 63.0 MiB 0.00 0.00 0.571 0 0 0.571 0.01 2.8611e-05 2.2607e-05 0.000202208 0.00017077 -1 -1 -1 -1 8 26 3 107788 107788 4794.78 299.674 0.01 0.0028111 0.00267833 564 862 -1 25 5 13 13 435 272 0.571 0.571 0 0 0 0 5401.54 337.596 0.00 0.05 0.01 -1 -1 0.00 0.00202607 0.00188727 - k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/C.sdc 0.80 vpr 63.17 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64684 5 3 11 14 2 9 10 4 4 16 clb auto 24.5 MiB 0.05 20 30 10 18 2 63.2 MiB 0.01 0.00 0.645658 -2.18842 -0.645658 0.571 0.02 4.2927e-05 3.0224e-05 0.000271182 0.000205133 -1 -1 -1 -1 8 17 3 107788 107788 4794.78 299.674 0.01 0.00218974 0.00197452 564 862 -1 14 5 15 15 285 110 0.571526 0.571 -1.89284 -0.571526 0 0 5401.54 337.596 0.00 0.02 0.00 -1 -1 0.00 0.00202523 0.00186469 - k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/D.sdc 0.73 vpr 62.84 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64348 5 3 11 14 2 9 10 4 4 16 clb auto 24.1 MiB 0.04 20 30 12 17 1 62.8 MiB 0.05 0.00 1.64534 -5.31677 -1.64534 0.571 0.02 9.4962e-05 7.3986e-05 0.000396852 0.000314184 -1 -1 -1 -1 8 19 8 107788 107788 4794.78 299.674 0.06 0.00289715 0.00253727 564 862 -1 15 2 8 8 156 74 1.57153 0.571 -4.92067 -1.57153 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00169004 0.00159575 - k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/E.sdc 1.04 vpr 62.90 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64408 5 3 11 14 2 9 10 4 4 16 clb auto 24.1 MiB 0.04 20 30 8 18 4 62.9 MiB 0.05 0.00 1.44871 -2.90839 -1.44871 0.571 0.02 7.5837e-05 6.5211e-05 0.000364341 0.000304084 -1 -1 -1 -1 8 33 10 107788 107788 4794.78 299.674 0.16 0.00341365 0.00298684 564 862 -1 19 2 11 11 275 141 1.39454 0.571 -2.72425 -1.39454 0 0 5401.54 337.596 0.00 0.03 0.00 -1 -1 0.00 0.00194921 0.0018382 - k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/F.sdc 0.93 vpr 62.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64424 5 3 11 14 2 9 10 4 4 16 clb auto 24.2 MiB 0.02 20 110 34 46 30 62.9 MiB 0.08 0.00 0.145339 0 0 0.571 0.04 5.4987e-05 4.5804e-05 0.00111315 0.000934972 -1 -1 -1 -1 8 25 4 107788 107788 4794.78 299.674 0.02 0.00324582 0.00292657 564 862 -1 36 5 15 15 690 511 0.0724097 0.571 0 0 0 0 5401.54 337.596 0.00 0.05 0.00 -1 -1 0.00 0.0020967 0.00194205 + k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/A.sdc 0.45 vpr 65.16 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66728 5 3 11 14 2 9 10 4 4 16 clb auto 26.9 MiB 0.01 21 30 5 21 4 65.2 MiB 0.00 0.00 0.814658 -2.77132 -0.814658 0.571 0.03 3.7635e-05 2.9892e-05 0.000225074 0.00018278 -1 -1 -1 -1 8 19 2 107788 107788 4794.78 299.674 0.02 0.00833787 0.0081741 564 862 -1 18 4 13 13 306 148 0.739641 0.571 -2.62128 -0.739641 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00218203 0.00181006 + k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/B.sdc 0.44 vpr 65.25 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66812 5 3 11 14 2 9 10 4 4 16 clb auto 26.9 MiB 0.01 22 30 6 14 10 65.2 MiB 0.00 0.00 0.571 0 0 0.571 0.01 3.1854e-05 2.4534e-05 0.000226572 0.000187065 -1 -1 -1 -1 8 30 5 107788 107788 4794.78 299.674 0.03 0.00709054 0.0068829 564 862 -1 22 5 17 17 362 153 0.571 0.571 0 0 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00198245 0.00170015 + k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/C.sdc 0.39 vpr 65.27 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66832 5 3 11 14 2 9 10 4 4 16 clb auto 26.9 MiB 0.01 21 30 5 22 3 65.3 MiB 0.00 0.00 0.646297 -2.19033 -0.646297 0.571 0.01 3.5201e-05 2.6601e-05 0.00022707 0.000179701 -1 -1 -1 -1 8 20 3 107788 107788 4794.78 299.674 0.01 0.00304545 0.00286146 564 862 -1 19 5 16 16 356 157 0.57241 0.571 -2.00713 -0.57241 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00175316 0.00162725 + k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/D.sdc 0.41 vpr 65.38 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66952 5 3 11 14 2 9 10 4 4 16 clb auto 27.0 MiB 0.01 21 30 7 16 7 65.4 MiB 0.00 0.00 1.6463 -5.31965 -1.6463 0.571 0.01 4.0901e-05 3.1228e-05 0.000248936 0.000196898 -1 -1 -1 -1 8 19 2 107788 107788 4794.78 299.674 0.01 0.00216389 0.0019624 564 862 -1 18 4 13 13 292 139 1.57153 0.571 -4.99677 -1.57153 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00684314 0.00669963 + k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/E.sdc 0.43 vpr 65.31 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66876 5 3 11 14 2 9 10 4 4 16 clb auto 27.0 MiB 0.01 22 30 8 15 7 65.3 MiB 0.00 0.00 1.44967 -2.9103 -1.44967 0.571 0.01 3.9504e-05 2.6238e-05 0.000255838 0.000203867 -1 -1 -1 -1 8 20 11 107788 107788 4794.78 299.674 0.01 0.00256208 0.00226692 564 862 -1 25 5 17 17 497 261 1.46961 0.571 -2.77989 -1.46961 0 0 5401.54 337.596 0.00 0.01 0.00 -1 -1 0.00 0.00410374 0.00385942 + k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/F.sdc 0.30 vpr 65.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66632 5 3 11 14 2 9 10 4 4 16 clb auto 26.7 MiB 0.00 21 30 5 23 2 65.1 MiB 0.00 0.00 0.146298 0 0 0.571 0.01 2.4424e-05 1.8925e-05 0.00016567 0.000135551 -1 -1 -1 -1 8 20 2 107788 107788 4794.78 299.674 0.00 0.00149783 0.00138533 564 862 -1 19 5 16 16 368 166 0.0724097 0.571 0 0 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00190216 0.00178073 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_soft_multipliers/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_soft_multipliers/config/golden_results.txt index 98fbf8c8bd7..3373ba9d87f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_soft_multipliers/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_soft_multipliers/config/golden_results.txt @@ -1,7 +1,7 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_4x4.v common 3.08 vpr 63.73 MiB -1 -1 0.13 17836 1 0.04 -1 -1 30188 -1 -1 3 9 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65260 9 8 75 70 1 34 20 5 5 25 clb auto 24.3 MiB 0.77 86 452 135 313 4 63.7 MiB 0.06 0.00 2.64007 -28.8002 -2.64007 2.64007 0.04 0.000176675 0.000162569 0.00460188 0.00429403 -1 -1 -1 -1 26 211 21 151211 75605.7 37105.9 1484.24 0.81 0.0541272 0.0457299 1908 5841 -1 127 12 86 111 2724 1535 2.42625 2.42625 -30.8606 -2.42625 0 0 45067.1 1802.68 0.01 0.05 0.01 -1 -1 0.01 0.00806965 0.00737112 13 18 -1 -1 -1 -1 - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_5x5.v common 4.46 vpr 63.92 MiB -1 -1 0.11 17900 1 0.03 -1 -1 30160 -1 -1 2 11 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65452 11 10 108 97 1 49 23 4 4 16 clb auto 24.7 MiB 2.73 138 87 33 42 12 63.9 MiB 0.01 0.00 3.45122 -43.3524 -3.45122 3.45122 0.01 0.000201429 0.000183002 0.00257604 0.00248464 -1 -1 -1 -1 34 217 45 50403.8 50403.8 21558.4 1347.40 0.20 0.0492626 0.0420827 1020 3049 -1 176 15 182 199 5640 3466 3.92522 3.92522 -51.7346 -3.92522 0 0 26343.3 1646.46 0.01 0.15 0.00 -1 -1 0.01 0.0136853 0.0123259 15 27 -1 -1 -1 -1 - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_6x6.v common 8.63 vpr 64.31 MiB -1 -1 0.14 17828 1 0.03 -1 -1 30240 -1 -1 7 13 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65856 13 12 149 129 1 68 32 6 6 36 clb auto 24.9 MiB 5.01 198 932 296 626 10 64.3 MiB 0.07 0.00 3.49758 -52.5769 -3.49758 3.49758 0.05 0.000575762 0.000545987 0.00855835 0.00805083 -1 -1 -1 -1 40 371 22 403230 176413 88484.8 2457.91 1.86 0.1398 0.120669 3734 16003 -1 302 13 290 358 12780 5849 3.44595 3.44595 -57.0613 -3.44595 0 0 110337. 3064.92 0.03 0.04 0.02 -1 -1 0.03 0.0197264 0.0176 25 38 -1 -1 -1 -1 - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_7x7.v common 6.05 vpr 64.62 MiB -1 -1 0.14 18180 1 0.03 -1 -1 30148 -1 -1 7 15 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66176 15 14 196 165 1 92 36 6 6 36 clb auto 24.8 MiB 2.57 301 980 184 766 30 64.6 MiB 0.04 0.00 3.62628 -64.321 -3.62628 3.62628 0.05 0.000340747 0.000313302 0.00984916 0.00930715 -1 -1 -1 -1 52 612 36 403230 176413 110337. 3064.92 1.44 0.161491 0.139542 4014 20275 -1 519 17 441 623 24147 10156 4.0567 4.0567 -75.6699 -4.0567 0 0 143382. 3982.83 0.04 0.21 0.02 -1 -1 0.04 0.022191 0.0203236 37 51 -1 -1 -1 -1 - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_8x8.v common 9.18 vpr 64.91 MiB -1 -1 0.13 18156 1 0.03 -1 -1 30520 -1 -1 5 17 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66468 17 16 251 206 1 119 38 5 5 25 clb auto 25.1 MiB 6.50 399 2117 534 1560 23 64.9 MiB 0.09 0.00 4.01364 -77.6621 -4.01364 4.01364 0.03 0.000417359 0.000385331 0.0217452 0.020383 -1 -1 -1 -1 46 659 28 151211 126010 57775.2 2311.01 0.98 0.133566 0.118166 2220 9391 -1 557 21 689 1063 30471 14107 5.4787 5.4787 -101.9 -5.4787 0 0 73020.3 2920.81 0.01 0.10 0.01 -1 -1 0.01 0.0322818 0.0292918 44 66 -1 -1 -1 -1 - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_9x9.v common 8.82 vpr 65.02 MiB -1 -1 0.16 18400 1 0.04 -1 -1 30676 -1 -1 6 19 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66576 19 18 308 249 1 133 43 5 5 25 clb auto 25.4 MiB 5.91 453 1993 498 1475 20 65.0 MiB 0.14 0.00 4.85986 -99.1517 -4.85986 4.85986 0.04 0.000524482 0.000482714 0.0388578 0.0376049 -1 -1 -1 -1 48 705 25 151211 151211 59785.0 2391.40 0.96 0.168776 0.152151 2244 9614 -1 614 16 592 999 32515 15691 4.9757 4.9757 -106.674 -4.9757 0 0 75076.4 3003.05 0.01 0.17 0.01 -1 -1 0.01 0.0312715 0.028896 53 83 -1 -1 -1 -1 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_4x4.v common 1.57 vpr 66.20 MiB -1 -1 0.12 21572 1 0.03 -1 -1 33720 -1 -1 3 9 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67792 9 8 75 70 1 34 20 5 5 25 clb auto 27.2 MiB 0.67 85 398 116 276 6 66.2 MiB 0.01 0.00 2.48207 -27.4234 -2.48207 2.48207 0.03 0.000168115 0.000148552 0.00382732 0.00348451 -1 -1 -1 -1 26 186 18 151211 75605.7 37105.9 1484.24 0.07 0.0274796 0.0239744 1908 5841 -1 144 14 104 128 3783 2136 2.42625 2.42625 -32.7566 -2.42625 0 0 45067.1 1802.68 0.00 0.01 0.01 -1 -1 0.00 0.00858616 0.00782966 13 18 -1 -1 -1 -1 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_5x5.v common 2.85 vpr 66.12 MiB -1 -1 0.12 21064 1 0.03 -1 -1 33420 -1 -1 2 11 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67704 11 10 108 97 1 49 23 4 4 16 clb auto 26.9 MiB 2.02 135 87 35 39 13 66.1 MiB 0.00 0.00 3.45122 -42.4992 -3.45122 3.45122 0.01 0.000185565 0.000169189 0.00161119 0.00153779 -1 -1 -1 -1 34 225 26 50403.8 50403.8 21558.4 1347.40 0.10 0.0502132 0.0405962 1020 3049 -1 158 14 151 165 4063 2532 3.88646 3.88646 -47.5118 -3.88646 0 0 26343.3 1646.46 0.00 0.01 0.00 -1 -1 0.00 0.00719488 0.00664889 15 27 -1 -1 -1 -1 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_6x6.v common 6.48 vpr 66.58 MiB -1 -1 0.14 21316 1 0.03 -1 -1 33560 -1 -1 7 13 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68180 13 12 149 129 1 68 32 6 6 36 clb auto 27.2 MiB 5.18 196 882 281 588 13 66.6 MiB 0.01 0.00 3.49758 -52.6333 -3.49758 3.49758 0.04 0.000188427 0.000167514 0.00680212 0.00627325 -1 -1 -1 -1 40 395 29 403230 176413 88484.8 2457.91 0.24 0.0901214 0.0785509 3734 16003 -1 328 14 283 356 13658 6213 3.44595 3.44595 -58.2463 -3.44595 0 0 110337. 3064.92 0.00 0.04 0.03 -1 -1 0.00 0.0323499 0.0245182 25 38 -1 -1 -1 -1 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_7x7.v common 4.00 vpr 66.86 MiB -1 -1 0.14 21572 1 0.03 -1 -1 33512 -1 -1 7 15 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68460 15 14 196 165 1 92 36 6 6 36 clb auto 27.2 MiB 2.65 304 744 159 567 18 66.9 MiB 0.02 0.00 3.62628 -64.4645 -3.62628 3.62628 0.05 0.000406252 0.000363743 0.00800716 0.00737412 -1 -1 -1 -1 52 651 42 403230 176413 110337. 3064.92 0.29 0.109536 0.0955888 4014 20275 -1 496 16 373 551 19804 8423 3.5903 3.5903 -70.6456 -3.5903 0 0 143382. 3982.83 0.00 0.03 0.03 -1 -1 0.00 0.0200523 0.0184119 37 51 -1 -1 -1 -1 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_8x8.v common 8.34 vpr 67.21 MiB -1 -1 0.16 21320 1 0.03 -1 -1 33716 -1 -1 5 17 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68824 17 16 251 206 1 119 38 5 5 25 clb auto 27.6 MiB 6.96 396 2495 611 1868 16 67.2 MiB 0.04 0.00 3.8369 -73.5721 -3.8369 3.8369 0.03 0.000487285 0.000432143 0.0227482 0.0204679 -1 -1 -1 -1 46 672 23 151211 126010 57775.2 2311.01 0.27 0.134818 0.118379 2220 9391 -1 565 21 712 1067 32893 15487 5.93712 5.93712 -106.904 -5.93712 0 0 73020.3 2920.81 0.00 0.04 0.01 -1 -1 0.00 0.0286301 0.0260985 44 66 -1 -1 -1 -1 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_9x9.v common 7.49 vpr 67.34 MiB -1 -1 0.15 21572 1 0.03 -1 -1 33768 -1 -1 6 19 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68960 19 18 308 249 1 133 43 5 5 25 clb auto 27.8 MiB 6.09 448 2143 525 1607 11 67.3 MiB 0.04 0.00 4.70186 -94.0493 -4.70186 4.70186 0.03 0.000586405 0.000522924 0.0211391 0.0191781 -1 -1 -1 -1 46 706 50 151211 151211 57775.2 2311.01 0.36 0.18155 0.16007 2220 9391 -1 599 18 697 1112 32896 15750 4.84188 4.84188 -104.71 -4.84188 0 0 73020.3 2920.81 0.00 0.04 0.01 -1 -1 0.00 0.0301574 0.0276463 53 83 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sub_tiles/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sub_tiles/config/golden_results.txt index b2a92d253ed..900ba99d8f4 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sub_tiles/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sub_tiles/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - sub_tiles.xml sub_tiles.blif common 7.44 vpr 56.45 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57804 6 7 19 26 0 19 26 3 3 9 -1 auto 17.7 MiB 0.04 51 216 43 63 110 56.4 MiB 0.19 0.01 3.682 -25.774 -3.682 nan 5.70 9.9275e-05 8.7239e-05 0.00080976 0.000700914 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.17 0.00664803 0.00634555 1370 14749 -1 19 3 36 39 5809 2843 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.01 0.05 0.04 -1 -1 0.01 0.00241331 0.00228144 + sub_tiles.xml sub_tiles.blif common 17.03 vpr 59.06 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60480 6 7 19 26 0 19 26 3 3 9 -1 auto 20.6 MiB 0.00 51 216 43 63 110 59.1 MiB 0.00 0.00 3.682 -25.774 -3.682 nan 15.49 3.9173e-05 3.1698e-05 0.000338068 0.000271828 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.12 0.00193749 0.00171766 1370 14749 -1 19 3 36 39 5813 2852 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.00 0.06 -1 -1 0.00 0.00201882 0.00189534 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sub_tiles_directs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sub_tiles_directs/config/golden_results.txt index 7566db0b692..160cbfe1388 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sub_tiles_directs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sub_tiles_directs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - heterogeneous_tile.xml sub_tile_directs.blif common 0.62 vpr 56.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57764 2 2 4 5 0 4 5 3 3 9 -1 auto 17.7 MiB 0.04 8 12 0 0 12 56.4 MiB 0.03 0.00 1.899 -3.798 -1.899 nan 0.03 2.0146e-05 1.5134e-05 0.000136441 0.000104751 -1 -1 -1 -1 3 8 1 0 0 -1 -1 0.06 0.00289731 0.0027087 132 326 -1 8 1 4 4 200 164 2.09013 nan -4.05732 -2.09013 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00137522 0.00133686 + heterogeneous_tile.xml sub_tile_directs.blif common 0.33 vpr 59.00 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60416 2 2 4 5 0 4 5 3 3 9 -1 auto 20.7 MiB 0.00 8 12 0 0 12 59.0 MiB 0.00 0.00 1.899 -3.798 -1.899 nan 0.03 1.7245e-05 1.217e-05 9.686e-05 7.1239e-05 -1 -1 -1 -1 3 8 1 0 0 -1 -1 0.01 0.0017081 0.00158045 132 326 -1 8 1 4 4 200 164 2.09013 nan -4.05732 -2.09013 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00139703 0.00135574 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sweep_constant_outputs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sweep_constant_outputs/config/golden_results.txt index df06df92e99..6303f27bd50 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sweep_constant_outputs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_sweep_constant_outputs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml ch_intrinsics.v common 4.39 vpr 64.02 MiB -1 -1 0.45 18932 3 0.10 -1 -1 33272 -1 -1 19 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65552 99 73 292 365 1 173 192 8 8 64 io memory auto 24.8 MiB 0.19 683 14570 2226 10547 1797 64.0 MiB 0.07 0.00 2.10913 -115.89 -2.10913 2.10913 0.14 0.000747466 0.000694634 0.0201045 0.0187259 -1 -1 -1 -1 32 1229 14 2.23746e+06 1.57199e+06 106908. 1670.44 2.05 0.296954 0.265952 4378 18911 -1 1110 9 491 754 35474 12126 1.99714 1.99714 -132.662 -1.99714 -0.34191 -0.0876569 130676. 2041.82 0.05 0.13 0.03 -1 -1 0.05 0.027581 0.0256138 + k6_N10_mem32K_40nm.xml ch_intrinsics.v common 1.72 vpr 66.86 MiB -1 -1 0.36 22284 3 0.10 -1 -1 36712 -1 -1 19 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68460 99 73 292 365 1 173 192 8 8 64 io memory auto 27.1 MiB 0.06 704 10699 1176 8237 1286 66.9 MiB 0.06 0.00 2.09255 -114.438 -2.09255 2.09255 0.09 0.000494971 0.000445037 0.0176268 0.0158319 -1 -1 -1 -1 32 1440 34 2.23746e+06 1.57199e+06 106908. 1670.44 0.32 0.14258 0.127902 4378 18911 -1 1142 12 555 876 46439 15775 1.9226 1.9226 -129.963 -1.9226 -0.449924 -0.248875 130676. 2041.82 0.01 0.04 0.02 -1 -1 0.01 0.0280032 0.0259551 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_target_pin_util/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_target_pin_util/config/golden_results.txt index e92c164cb11..9a4d84cf163 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_target_pin_util/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_target_pin_util/config/golden_results.txt @@ -1,14 +1,14 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - EArch.xml styr.blif common_--target_ext_pin_util_1 3.33 vpr 66.12 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67708 10 10 168 178 1 73 31 6 6 36 clb auto 26.5 MiB 0.25 396 511 91 400 20 66.1 MiB 0.09 0.00 2.39024 -27.2311 -2.39024 2.39024 0.06 0.000971201 0.000900811 0.0143984 0.0134813 -1 -1 -1 -1 28 809 33 646728 592834 52494.1 1458.17 1.65 0.234894 0.204034 2620 9165 -1 829 25 747 2300 88210 34485 2.99961 2.99961 -36.9596 -2.99961 0 0 62803.0 1744.53 0.01 0.17 0.01 -1 -1 0.01 0.0431247 0.0391487 - EArch.xml styr.blif common_--target_ext_pin_util_0.7 3.34 vpr 66.22 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67808 10 10 168 178 1 73 31 6 6 36 clb auto 26.6 MiB 0.22 396 511 91 400 20 66.2 MiB 0.08 0.00 2.39024 -27.2311 -2.39024 2.39024 0.06 0.00063912 0.000574742 0.0133256 0.0125205 -1 -1 -1 -1 28 809 33 646728 592834 52494.1 1458.17 1.99 0.279091 0.24285 2620 9165 -1 829 25 747 2300 88210 34485 2.99961 2.99961 -36.9596 -2.99961 0 0 62803.0 1744.53 0.01 0.08 0.01 -1 -1 0.01 0.0417208 0.0376776 - EArch.xml styr.blif common_--target_ext_pin_util_0.1,0.5 6.02 vpr 66.53 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 91 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68128 10 10 168 178 1 162 111 14 14 196 clb auto 26.8 MiB 0.95 1456 5963 865 4880 218 66.5 MiB 0.13 0.00 3.05524 -37.9348 -3.05524 3.05524 0.59 0.000646566 0.000596261 0.02071 0.019112 -1 -1 -1 -1 26 2865 15 9.20055e+06 4.90435e+06 387483. 1976.95 2.42 0.211695 0.185383 18784 74779 -1 2696 13 472 1947 107713 24081 3.50167 3.50167 -42.0838 -3.50167 0 0 467681. 2386.13 0.16 0.11 0.07 -1 -1 0.16 0.0279753 0.0255829 - EArch.xml styr.blif common_--target_ext_pin_util_0.5,0.3 2.84 vpr 66.18 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 13 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67764 10 10 168 178 1 75 33 7 7 49 clb auto 26.6 MiB 0.23 404 813 125 661 27 66.2 MiB 0.10 0.00 2.45517 -27.3027 -2.45517 2.45517 0.09 0.000647339 0.000599538 0.0197487 0.0185137 -1 -1 -1 -1 26 1116 28 1.07788e+06 700622 75813.7 1547.22 1.14 0.148701 0.13165 3816 13734 -1 925 18 487 1699 71725 25249 2.97305 2.97305 -35.2593 -2.97305 0 0 91376.6 1864.83 0.02 0.24 0.01 -1 -1 0.02 0.0460889 0.0423163 - EArch.xml styr.blif common_--target_ext_pin_util_0.0 5.34 vpr 66.64 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 104 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68236 10 10 168 178 1 163 124 14 14 196 clb auto 26.9 MiB 1.00 1516 7540 1142 6103 295 66.6 MiB 0.21 0.00 3.06133 -37.7953 -3.06133 3.06133 0.60 0.000630456 0.00057306 0.0281512 0.0261658 -1 -1 -1 -1 20 2911 18 9.20055e+06 5.60498e+06 354876. 1810.58 1.60 0.106074 0.094968 18004 60473 -1 2874 12 603 2265 131794 29163 3.74152 3.74152 -44.1586 -3.74152 0 0 387483. 1976.95 0.14 0.17 0.06 -1 -1 0.14 0.0262615 0.0240198 - EArch.xml styr.blif common_--target_ext_pin_util_clb_0.7 3.16 vpr 66.17 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67760 10 10 168 178 1 73 31 6 6 36 clb auto 26.5 MiB 0.20 396 511 91 400 20 66.2 MiB 0.02 0.00 2.39024 -27.2311 -2.39024 2.39024 0.05 0.000653728 0.000608322 0.012902 0.0121572 -1 -1 -1 -1 28 809 33 646728 592834 52494.1 1458.17 1.78 0.26636 0.230807 2620 9165 -1 829 25 747 2300 88210 34485 2.99961 2.99961 -36.9596 -2.99961 0 0 62803.0 1744.53 0.01 0.17 0.01 -1 -1 0.01 0.0463537 0.0422043 - EArch.xml styr.blif common_--target_ext_pin_util_clb_0.7_0.8 3.43 vpr 66.35 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67944 10 10 168 178 1 73 31 6 6 36 clb auto 26.8 MiB 0.22 396 511 91 400 20 66.4 MiB 0.02 0.00 2.39024 -27.2311 -2.39024 2.39024 0.04 0.000508161 0.000456687 0.0109247 0.0102847 -1 -1 -1 -1 28 809 33 646728 592834 52494.1 1458.17 1.85 0.27407 0.239119 2620 9165 -1 829 25 747 2300 88210 34485 2.99961 2.99961 -36.9596 -2.99961 0 0 62803.0 1744.53 0.01 0.27 0.01 -1 -1 0.01 0.0493788 0.0449139 - EArch.xml styr.blif common_--target_ext_pin_util_clb_0.1_0.8 6.33 vpr 66.61 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 91 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68212 10 10 168 178 1 162 111 14 14 196 clb auto 26.8 MiB 0.96 1456 5963 865 4880 218 66.6 MiB 0.14 0.00 3.05524 -37.9348 -3.05524 3.05524 0.62 0.000637905 0.00057522 0.0218552 0.0201449 -1 -1 -1 -1 26 2865 15 9.20055e+06 4.90435e+06 387483. 1976.95 2.71 0.215189 0.189178 18784 74779 -1 2696 13 472 1947 107713 24081 3.50167 3.50167 -42.0838 -3.50167 0 0 467681. 2386.13 0.18 0.11 0.06 -1 -1 0.18 0.0286783 0.0262735 - EArch.xml styr.blif common_--target_ext_pin_util_io_0.1,0.1_clb_0.7_0.8,1.0 3.51 vpr 66.18 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67772 10 10 168 178 1 73 31 6 6 36 clb auto 26.5 MiB 0.24 396 511 91 400 20 66.2 MiB 0.02 0.00 2.39024 -27.2311 -2.39024 2.39024 0.05 0.000503086 0.00045843 0.00994809 0.00934025 -1 -1 -1 -1 28 809 33 646728 592834 52494.1 1458.17 1.86 0.256569 0.222631 2620 9165 -1 829 25 747 2300 88210 34485 2.99961 2.99961 -36.9596 -2.99961 0 0 62803.0 1744.53 0.01 0.28 0.01 -1 -1 0.01 0.0490284 0.0446 - EArch.xml styr.blif common_--target_ext_pin_util_-0.1 0.19 vpr 27.92 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 28592 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 25.6 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - EArch.xml styr.blif common_--target_ext_pin_util_1.1 0.19 vpr 27.94 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 28608 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 25.5 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - EArch.xml styr.blif common_--target_ext_pin_util_io_0.1,0.1_clb_0.7_0.8,1.0_1.0 0.13 vpr 27.93 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 28604 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 25.6 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - EArch.xml styr.blif common_--target_ext_pin_util_io_0.1,0.1_clb_0.7_0.8,1.0_clb_1.0 0.26 vpr 28.03 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 28700 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 25.6 MiB 0.01 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml styr.blif common_--target_ext_pin_util_1 1.36 vpr 68.55 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70192 10 10 168 178 1 73 31 6 6 36 clb auto 28.9 MiB 0.18 399 703 140 536 27 68.5 MiB 0.02 0.00 2.34639 -26.9899 -2.34639 2.34639 0.04 0.000585274 0.000508741 0.0133922 0.0121686 -1 -1 -1 -1 30 794 18 646728 592834 55714.4 1547.62 0.49 0.183472 0.161021 2692 9921 -1 727 18 505 1726 58085 22424 2.63063 2.63063 -33.1038 -2.63063 0 0 68154.2 1893.17 0.00 0.05 0.01 -1 -1 0.00 0.0299915 0.0274705 + EArch.xml styr.blif common_--target_ext_pin_util_0.7 1.08 vpr 68.67 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70320 10 10 168 178 1 73 31 6 6 36 clb auto 28.9 MiB 0.19 399 703 140 536 27 68.7 MiB 0.01 0.00 2.34639 -26.9899 -2.34639 2.34639 0.02 0.000329127 0.000280288 0.00811479 0.00735661 -1 -1 -1 -1 30 794 18 646728 592834 55714.4 1547.62 0.35 0.13039 0.113608 2692 9921 -1 727 18 505 1726 58085 22424 2.63063 2.63063 -33.1038 -2.63063 0 0 68154.2 1893.17 0.00 0.03 0.01 -1 -1 0.00 0.0216396 0.0198831 + EArch.xml styr.blif common_--target_ext_pin_util_0.1,0.5 3.83 vpr 69.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 91 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70724 10 10 168 178 1 162 111 14 14 196 clb auto 29.5 MiB 0.87 1467 5165 686 4267 212 69.1 MiB 0.06 0.00 2.95542 -36.8348 -2.95542 2.95542 0.33 0.000607935 0.000523594 0.0180811 0.0161249 -1 -1 -1 -1 24 2876 16 9.20055e+06 4.90435e+06 355930. 1815.97 1.49 0.224715 0.196946 18592 71249 -1 2738 14 605 2492 132798 29734 3.39858 3.39858 -42.8555 -3.39858 0 0 449262. 2292.15 0.03 0.07 0.10 -1 -1 0.03 0.0292402 0.0269351 + EArch.xml styr.blif common_--target_ext_pin_util_0.5,0.3 0.85 vpr 68.33 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 13 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69972 10 10 168 178 1 75 33 7 7 49 clb auto 28.8 MiB 0.15 414 605 98 486 21 68.3 MiB 0.01 0.00 2.40687 -27.3475 -2.40687 2.40687 0.04 0.000340986 0.000290037 0.00724905 0.00664099 -1 -1 -1 -1 26 1062 27 1.07788e+06 700622 75813.7 1547.22 0.16 0.0618811 0.0547109 3816 13734 -1 940 18 540 1691 67850 23781 2.86939 2.86939 -35.5441 -2.86939 0 0 91376.6 1864.83 0.00 0.03 0.01 -1 -1 0.00 0.0207833 0.0191052 + EArch.xml styr.blif common_--target_ext_pin_util_0.0 2.40 vpr 69.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 104 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70700 10 10 168 178 1 163 124 14 14 196 clb auto 29.4 MiB 0.95 1526 7540 1144 6026 370 69.0 MiB 0.04 0.00 3.12689 -38.2571 -3.12689 3.12689 0.22 0.000345985 0.000292911 0.012717 0.0113191 -1 -1 -1 -1 20 3129 15 9.20055e+06 5.60498e+06 295730. 1508.82 0.21 0.0326189 0.0295477 18004 60473 -1 3052 13 680 3211 188673 40435 3.88935 3.88935 -46.4141 -3.88935 0 0 387483. 1976.95 0.03 0.08 0.08 -1 -1 0.03 0.0265139 0.024324 + EArch.xml styr.blif common_--target_ext_pin_util_clb_0.7 1.40 vpr 68.59 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70232 10 10 168 178 1 73 31 6 6 36 clb auto 29.0 MiB 0.19 399 703 140 536 27 68.6 MiB 0.02 0.00 2.34639 -26.9899 -2.34639 2.34639 0.04 0.000587109 0.000509454 0.0135198 0.0122638 -1 -1 -1 -1 30 794 18 646728 592834 55714.4 1547.62 0.49 0.183086 0.160678 2692 9921 -1 727 18 505 1726 58085 22424 2.63063 2.63063 -33.1038 -2.63063 0 0 68154.2 1893.17 0.00 0.05 0.01 -1 -1 0.00 0.0347629 0.0319856 + EArch.xml styr.blif common_--target_ext_pin_util_clb_0.7_0.8 1.32 vpr 68.59 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70240 10 10 168 178 1 73 31 6 6 36 clb auto 29.0 MiB 0.16 399 703 140 536 27 68.6 MiB 0.03 0.00 2.34639 -26.9899 -2.34639 2.34639 0.03 0.000756907 0.000658585 0.016978 0.0153763 -1 -1 -1 -1 30 794 18 646728 592834 55714.4 1547.62 0.44 0.169468 0.148387 2692 9921 -1 727 18 505 1726 58085 22424 2.63063 2.63063 -33.1038 -2.63063 0 0 68154.2 1893.17 0.00 0.05 0.01 -1 -1 0.00 0.03477 0.0320116 + EArch.xml styr.blif common_--target_ext_pin_util_clb_0.1_0.8 3.38 vpr 68.85 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 91 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70504 10 10 168 178 1 162 111 14 14 196 clb auto 29.2 MiB 0.88 1467 5165 686 4267 212 68.9 MiB 0.04 0.00 2.95542 -36.8348 -2.95542 2.95542 0.28 0.000322881 0.000275771 0.0115436 0.0102519 -1 -1 -1 -1 24 2876 16 9.20055e+06 4.90435e+06 355930. 1815.97 1.09 0.158857 0.137775 18592 71249 -1 2738 14 605 2492 132798 29734 3.39858 3.39858 -42.8555 -3.39858 0 0 449262. 2292.15 0.02 0.05 0.05 -1 -1 0.02 0.0172724 0.0158752 + EArch.xml styr.blif common_--target_ext_pin_util_io_0.1,0.1_clb_0.7_0.8,1.0 1.48 vpr 68.53 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70176 10 10 168 178 1 73 31 6 6 36 clb auto 29.0 MiB 0.19 399 703 140 536 27 68.5 MiB 0.02 0.00 2.34639 -26.9899 -2.34639 2.34639 0.04 0.000502609 0.00043998 0.0125423 0.0114378 -1 -1 -1 -1 30 794 18 646728 592834 55714.4 1547.62 0.54 0.190745 0.166737 2692 9921 -1 727 18 505 1726 58085 22424 2.63063 2.63063 -33.1038 -2.63063 0 0 68154.2 1893.17 0.00 0.07 0.02 -1 -1 0.00 0.0474457 0.0433946 + EArch.xml styr.blif common_--target_ext_pin_util_-0.1 0.10 vpr 30.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 30760 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 28.9 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml styr.blif common_--target_ext_pin_util_1.1 0.10 vpr 29.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 30632 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 28.9 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml styr.blif common_--target_ext_pin_util_io_0.1,0.1_clb_0.7_0.8,1.0_1.0 0.09 vpr 30.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 31144 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 29.2 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml styr.blif common_--target_ext_pin_util_io_0.1,0.1_clb_0.7_0.8,1.0_clb_1.0 0.09 vpr 30.54 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 31272 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 29.0 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_tight_floorplan/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_tight_floorplan/config/golden_results.txt index 070dd53f47f..b99a452bc00 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_tight_floorplan/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_tight_floorplan/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml bigkey.blif common_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_tight_floorplan/bigkey_tight.xml 9.14 vpr 72.92 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 150 229 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 74668 229 197 2152 2349 1 1013 576 16 16 256 io auto 33.4 MiB 3.18 8848 180201 52690 111830 15681 72.9 MiB 1.51 0.02 2.99388 -664.24 -2.99388 2.99388 0.00 0.00626795 0.00568046 0.542629 0.481854 -1 -1 -1 -1 -1 11423 9 1.05632e+07 8.0841e+06 4.24953e+06 16599.7 0.27 0.752915 0.676781 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml bigkey.blif common_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_tight_floorplan/bigkey_tight.xml 8.05 vpr 75.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 150 229 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 76868 229 197 2152 2349 1 1013 576 16 16 256 io auto 35.4 MiB 3.87 8858 177806 51921 111135 14750 75.1 MiB 1.04 0.02 2.93018 -671.396 -2.93018 2.93018 0.00 0.00614227 0.00545306 0.382618 0.335662 -1 -1 -1 -1 -1 11350 10 1.05632e+07 8.0841e+06 4.24953e+06 16599.7 0.30 0.645332 0.579161 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing/config/golden_results.txt index e837cb2407d..b003134057c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 6.33 vpr 65.84 MiB -1 -1 0.42 18744 3 0.10 -1 -1 33376 -1 -1 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67424 99 130 344 474 1 227 298 12 12 144 clb auto 26.1 MiB 0.24 717 72933 22876 34411 15646 65.8 MiB 0.50 0.01 1.84343 -118.171 -1.84343 1.84343 0.34 0.000999398 0.000934504 0.0982577 0.092362 -1 -1 -1 -1 38 1540 14 5.66058e+06 4.21279e+06 319130. 2216.18 2.76 0.374935 0.344885 12522 62564 -1 1261 9 399 607 24533 7188 1.90841 1.90841 -134.095 -1.90841 -1.28606 -0.31945 406292. 2821.48 0.14 0.13 0.07 -1 -1 0.14 0.0314453 0.0295015 - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common_--router_algorithm_parallel_--num_workers_4 6.28 vpr 65.81 MiB -1 -1 0.35 18932 3 0.11 -1 -1 33264 -1 -1 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67392 99 130 344 474 1 227 298 12 12 144 clb auto 26.0 MiB 0.23 717 72933 22876 34411 15646 65.8 MiB 0.47 0.00 1.84343 -118.171 -1.84343 1.84343 0.37 0.000579812 0.000531042 0.0759736 0.0701905 -1 -1 -1 -1 38 1540 14 5.66058e+06 4.21279e+06 319130. 2216.18 2.68 0.230578 0.208922 12522 62564 -1 1261 9 399 607 24533 7188 1.90841 1.90841 -134.095 -1.90841 -1.28606 -0.31945 406292. 2821.48 0.13 0.14 0.07 -1 -1 0.13 0.0211432 0.0195748 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 2.63 vpr 68.02 MiB -1 -1 0.39 22168 3 0.11 -1 -1 36800 -1 -1 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69656 99 130 344 474 1 227 298 12 12 144 clb auto 28.7 MiB 0.20 673 63978 19550 30341 14087 68.0 MiB 0.23 0.00 1.86472 -118.834 -1.86472 1.86472 0.15 0.000594963 0.000540506 0.0732034 0.0668337 -1 -1 -1 -1 38 1389 12 5.66058e+06 4.21279e+06 319130. 2216.18 0.54 0.213559 0.195205 12522 62564 -1 1116 11 409 682 22304 6997 1.90702 1.90702 -133.281 -1.90702 -1.20917 -0.320482 406292. 2821.48 0.02 0.04 0.08 -1 -1 0.02 0.0300207 0.027912 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common_--router_algorithm_parallel_--num_workers_4 2.86 vpr 68.12 MiB -1 -1 0.35 22168 3 0.11 -1 -1 36740 -1 -1 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69760 99 130 344 474 1 227 298 12 12 144 clb auto 28.7 MiB 0.20 673 63978 19550 30341 14087 68.1 MiB 0.27 0.00 1.86472 -118.834 -1.86472 1.86472 0.21 0.000644886 0.000574461 0.100184 0.0946805 -1 -1 -1 -1 38 1379 12 5.66058e+06 4.21279e+06 319130. 2216.18 0.64 0.202724 0.187418 12522 62564 -1 1115 10 390 630 21561 6939 1.90702 1.90702 -131.117 -1.90702 -1.20917 -0.320482 406292. 2821.48 0.02 0.04 0.10 -1 -1 0.02 0.021384 0.0193317 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_fail/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_fail/config/golden_results.txt index 0caf65de4b1..7b4fc76c6e6 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_fail/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_fail/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common_-sdc_file_sdc/samples/impossible_pass_timing.sdc 6.52 vpr 66.06 MiB -1 -1 0.44 19044 3 0.11 -1 -1 33268 -1 -1 68 99 1 0 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67644 99 130 344 474 1 225 298 12 12 144 clb auto 26.2 MiB 0.22 734 75918 23628 39360 12930 66.1 MiB 0.49 0.01 1.84343 -75.0087 -1.84343 1.84343 0.33 0.000857681 0.000789515 0.0812026 0.0751694 -1 -1 -1 -1 36 1518 6 5.66058e+06 4.21279e+06 305235. 2119.69 3.85 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common_-sdc_file_sdc/samples/impossible_pass_timing.sdc 3.55 vpr 67.97 MiB -1 -1 0.42 22420 3 0.14 -1 -1 36800 -1 -1 68 99 1 0 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69600 99 130 344 474 1 225 298 12 12 144 clb auto 28.5 MiB 0.19 695 57013 16754 28454 11805 68.0 MiB 0.25 0.00 1.84453 -73.0907 -1.84453 1.84453 0.29 0.000572985 0.000494317 0.0593261 0.049655 -1 -1 -1 -1 32 1551 10 5.66058e+06 4.21279e+06 281316. 1953.58 1.47 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_no_fail/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_no_fail/config/golden_results.txt index 120a1a483e0..50b6703de2b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_no_fail/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_no_fail/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common_-sdc_file_sdc/samples/easy_pass_timing.sdc 6.29 vpr 65.84 MiB -1 -1 0.44 18932 3 0.10 -1 -1 33272 -1 -1 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67416 99 130 344 474 1 218 298 12 12 144 clb auto 26.1 MiB 0.29 706 75918 21357 39248 15313 65.8 MiB 0.45 0.00 2.17824 0 0 2.17824 0.39 0.000858537 0.000799712 0.0715997 0.0663405 -1 -1 -1 -1 38 1473 11 5.66058e+06 4.21279e+06 319130. 2216.18 2.60 0.302049 0.26108 12522 62564 -1 1300 5 274 392 20827 6674 2.27647 2.27647 0 0 0 0 406292. 2821.48 0.14 0.10 0.06 -1 -1 0.14 0.0177896 0.0166081 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common_-sdc_file_sdc/samples/easy_pass_timing.sdc 3.13 vpr 67.88 MiB -1 -1 0.41 22284 3 0.13 -1 -1 36924 -1 -1 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69508 99 130 344 474 1 218 298 12 12 144 clb auto 28.4 MiB 0.23 632 70943 19608 36161 15174 67.9 MiB 0.23 0.00 2.24009 0 0 2.24009 0.25 0.000717536 0.00062496 0.0508972 0.0435443 -1 -1 -1 -1 32 1480 8 5.66058e+06 4.21279e+06 281316. 1953.58 0.55 0.227253 0.196073 11950 52952 -1 1327 7 304 419 24960 8371 2.42926 2.42926 0 0 0 0 345702. 2400.71 0.03 0.05 0.08 -1 -1 0.03 0.020098 0.0186968 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_report_detail/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_report_detail/config/golden_results.txt index 2d3ef814bc3..a5bee947840 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_report_detail/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_report_detail/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_mem32K_40nm.xml multiclock.blif common_--timing_report_detail_netlist 0.99 vpr 64.89 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66448 5 3 11 14 2 9 10 4 4 16 clb auto 26.2 MiB 0.03 20 30 10 17 3 64.9 MiB 0.05 0.00 0.619658 -3.41326 -0.619658 0.545 0.02 8.2559e-05 6.5087e-05 0.000398248 0.000321879 -1 -1 -1 -1 20 15 1 107788 107788 10441.3 652.579 0.06 0.00241051 0.0022076 750 1675 -1 15 1 7 7 94 62 0.562699 0.545 -3.33969 -0.562699 0 0 13752.8 859.551 0.00 0.00 0.00 -1 -1 0.00 0.00164607 0.00157893 - k6_frac_N10_frac_chain_mem32K_40nm.xml multiclock.blif common_--timing_report_detail_aggregated 1.08 vpr 64.95 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66508 5 3 11 14 2 9 10 4 4 16 clb auto 26.2 MiB 0.04 20 30 10 17 3 64.9 MiB 0.05 0.00 0.619658 -3.41326 -0.619658 0.545 0.03 4.7357e-05 3.5078e-05 0.000316498 0.00025661 -1 -1 -1 -1 20 15 1 107788 107788 10441.3 652.579 0.07 0.00236598 0.00217158 750 1675 -1 15 1 7 7 94 62 0.562699 0.545 -3.33969 -0.562699 0 0 13752.8 859.551 0.01 0.02 0.00 -1 -1 0.01 0.00296841 0.00285153 - k6_frac_N10_frac_chain_mem32K_40nm.xml multiclock.blif common_--timing_report_detail_detailed 0.91 vpr 64.79 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66344 5 3 11 14 2 9 10 4 4 16 clb auto 26.0 MiB 0.03 20 30 10 17 3 64.8 MiB 0.05 0.00 0.619658 -3.41326 -0.619658 0.545 0.01 5.2206e-05 3.8166e-05 0.000401216 0.000334324 -1 -1 -1 -1 20 15 1 107788 107788 10441.3 652.579 0.08 0.003085 0.00285493 750 1675 -1 15 1 7 7 94 62 0.562699 0.545 -3.33969 -0.562699 0 0 13752.8 859.551 0.00 0.00 0.00 -1 -1 0.00 0.00168486 0.00161817 + k6_frac_N10_frac_chain_mem32K_40nm.xml multiclock.blif common_--timing_report_detail_netlist 0.50 vpr 67.05 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68660 5 3 11 14 2 9 10 4 4 16 clb auto 28.8 MiB 0.01 21 30 9 19 2 67.1 MiB 0.00 0.00 0.620042 -3.41492 -0.620042 0.545 0.01 4.6443e-05 3.2529e-05 0.000274786 0.000214986 -1 -1 -1 -1 20 24 1 107788 107788 10441.3 652.579 0.01 0.00211509 0.0019009 750 1675 -1 23 1 7 7 146 95 0.563256 0.545 -3.71515 -0.563256 0 0 13752.8 859.551 0.00 0.00 0.00 -1 -1 0.00 0.0017763 0.00169895 + k6_frac_N10_frac_chain_mem32K_40nm.xml multiclock.blif common_--timing_report_detail_aggregated 0.49 vpr 67.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68680 5 3 11 14 2 9 10 4 4 16 clb auto 28.8 MiB 0.01 21 30 9 19 2 67.1 MiB 0.00 0.00 0.620042 -3.41492 -0.620042 0.545 0.01 4.8016e-05 3.4218e-05 0.000283686 0.000224427 -1 -1 -1 -1 20 24 1 107788 107788 10441.3 652.579 0.01 0.0022472 0.00206472 750 1675 -1 23 1 7 7 146 95 0.563256 0.545 -3.71515 -0.563256 0 0 13752.8 859.551 0.00 0.00 0.00 -1 -1 0.00 0.00179634 0.00171755 + k6_frac_N10_frac_chain_mem32K_40nm.xml multiclock.blif common_--timing_report_detail_detailed 0.51 vpr 67.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68932 5 3 11 14 2 9 10 4 4 16 clb auto 28.9 MiB 0.01 21 30 9 19 2 67.3 MiB 0.00 0.00 0.620042 -3.41492 -0.620042 0.545 0.01 6.2523e-05 4.6425e-05 0.000366128 0.000294026 -1 -1 -1 -1 20 24 1 107788 107788 10441.3 652.579 0.01 0.00236124 0.00216436 750 1675 -1 23 1 7 7 146 95 0.563256 0.545 -3.71515 -0.563256 0 0 13752.8 859.551 0.00 0.00 0.00 -1 -1 0.00 0.00189537 0.00181322 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_update_diff/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_update_diff/config/golden_results.txt index f51e39ff90c..db634e1dc04 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_update_diff/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_update_diff/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 4.53 vpr 66.47 MiB -1 -1 0.88 23160 4 0.16 -1 -1 33060 -1 -1 15 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68068 11 2 303 283 2 78 28 7 7 49 clb auto 26.9 MiB 0.44 264 1036 209 767 60 66.5 MiB 0.10 0.00 2.03811 -163.536 -2.03811 1.90043 0.00 0.000562272 0.000493381 0.0211263 0.0192484 -1 -1 -1 -1 -1 252 12 1.07788e+06 808410 219490. 4479.39 0.11 0.0624165 0.0568117 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.81 vpr 69.01 MiB -1 -1 0.66 26668 4 0.21 -1 -1 35972 -1 -1 15 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70664 11 2 303 283 2 78 28 7 7 49 clb auto 29.4 MiB 0.25 285 784 175 539 70 69.0 MiB 0.04 0.00 2.03811 -163.686 -2.03811 1.90043 0.00 0.000759025 0.000652417 0.0254764 0.023241 -1 -1 -1 -1 -1 313 6 1.07788e+06 808410 219490. 4479.39 0.03 0.050656 0.046841 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_update_type/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_update_type/config/golden_results.txt index 9362353dbf8..e65df342f6a 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_update_type/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_timing_update_type/config/golden_results.txt @@ -1,7 +1,7 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_auto 2.80 vpr 63.72 MiB -1 -1 0.89 23420 5 0.16 -1 -1 33496 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65252 10 2 181 183 1 35 24 6 6 36 clb auto 24.3 MiB 0.18 146 398 72 298 28 63.7 MiB 0.11 0.00 2.14835 -92.8998 -2.14835 2.14835 0.00 0.000499863 0.00046066 0.00865418 0.0080588 -1 -1 -1 -1 -1 130 24 646728 646728 138825. 3856.24 0.24 0.0402973 0.0330423 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_full 2.83 vpr 63.66 MiB -1 -1 0.80 23512 5 0.19 -1 -1 33392 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65184 10 2 181 183 1 35 24 6 6 36 clb auto 24.2 MiB 0.21 146 398 72 298 28 63.7 MiB 0.10 0.00 2.14835 -92.8998 -2.14835 2.14835 0.00 0.000520313 0.000485956 0.0108727 0.0101292 -1 -1 -1 -1 -1 130 24 646728 646728 138825. 3856.24 0.21 0.0390899 0.0350474 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_incremental 2.77 vpr 63.91 MiB -1 -1 0.93 23472 5 0.18 -1 -1 33404 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65448 10 2 181 183 1 35 24 6 6 36 clb auto 24.4 MiB 0.20 146 398 72 298 28 63.9 MiB 0.09 0.00 2.14835 -92.8998 -2.14835 2.14835 0.00 2.0662e-05 7.982e-06 0.00379409 0.00346778 -1 -1 -1 -1 -1 130 24 646728 646728 138825. 3856.24 0.15 0.0197222 0.0139137 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_incremental_--quench_recompute_divider_999999999 2.83 vpr 63.69 MiB -1 -1 0.94 23484 5 0.18 -1 -1 33404 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65220 10 2 181 183 1 35 24 6 6 36 clb auto 24.3 MiB 0.24 146 398 72 298 28 63.7 MiB 0.08 0.00 2.14835 -92.8998 -2.14835 2.14835 0.00 0.000152071 3.7143e-05 0.0036681 0.00317106 -1 -1 -1 -1 -1 130 24 646728 646728 138825. 3856.24 0.15 0.0186284 0.0137477 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_incremental_--router_algorithm_parallel_--num_workers_4 2.80 vpr 63.70 MiB -1 -1 0.90 23532 5 0.15 -1 -1 33352 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65228 10 2 181 183 1 35 24 6 6 36 clb auto 24.3 MiB 0.17 146 398 72 298 28 63.7 MiB 0.10 0.00 2.14835 -92.8998 -2.14835 2.14835 0.00 2.2661e-05 4.617e-06 0.00351006 0.00294492 -1 -1 -1 -1 -1 130 24 646728 646728 138825. 3856.24 0.25 0.0171787 0.012971 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_full_--router_algorithm_parallel_--num_workers_4 2.92 vpr 63.56 MiB -1 -1 0.89 23528 5 0.16 -1 -1 33396 -1 -1 12 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65088 10 2 181 183 1 35 24 6 6 36 clb auto 24.4 MiB 0.25 146 398 72 298 28 63.6 MiB 0.08 0.00 2.14835 -92.8998 -2.14835 2.14835 0.00 0.00212777 0.00209414 0.00814338 0.00754222 -1 -1 -1 -1 -1 130 24 646728 646728 138825. 3856.24 0.25 0.0344922 0.0314797 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_auto 1.06 vpr 65.94 MiB -1 -1 0.49 27024 5 0.12 -1 -1 36972 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67520 10 2 181 183 1 35 24 6 6 36 clb auto 27.0 MiB 0.03 152 432 67 335 30 65.9 MiB 0.01 0.00 2.14835 -93.0339 -2.14835 2.14835 0.00 0.000242517 0.000210755 0.00440565 0.00392683 -1 -1 -1 -1 -1 138 15 646728 646728 138825. 3856.24 0.01 0.0164282 0.0146736 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_full 1.57 vpr 66.14 MiB -1 -1 0.70 27020 5 0.18 -1 -1 36968 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67732 10 2 181 183 1 35 24 6 6 36 clb auto 27.0 MiB 0.04 152 432 67 335 30 66.1 MiB 0.01 0.00 2.14835 -93.0339 -2.14835 2.14835 0.00 0.000439525 0.000384869 0.00748551 0.00667971 -1 -1 -1 -1 -1 138 15 646728 646728 138825. 3856.24 0.02 0.0256815 0.0230005 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_incremental 1.67 vpr 66.16 MiB -1 -1 0.82 27152 5 0.18 -1 -1 36840 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67748 10 2 181 183 1 35 24 6 6 36 clb auto 27.0 MiB 0.04 152 432 67 335 30 66.2 MiB 0.01 0.00 2.14835 -93.0339 -2.14835 2.14835 0.00 3.5959e-05 2.737e-05 0.00308117 0.00275747 -1 -1 -1 -1 -1 138 15 646728 646728 138825. 3856.24 0.01 0.0135928 0.0105523 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_incremental_--quench_recompute_divider_999999999 1.25 vpr 66.16 MiB -1 -1 0.65 27036 5 0.12 -1 -1 36840 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67748 10 2 181 183 1 35 24 6 6 36 clb auto 27.0 MiB 0.03 152 432 67 335 30 66.2 MiB 0.01 0.00 2.14835 -93.0339 -2.14835 2.14835 0.00 0.000221863 9.7941e-05 0.00222519 0.00187901 -1 -1 -1 -1 -1 138 15 646728 646728 138825. 3856.24 0.01 0.00928043 0.00694563 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_incremental_--router_algorithm_parallel_--num_workers_4 1.74 vpr 66.05 MiB -1 -1 0.84 26784 5 0.18 -1 -1 36840 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67632 10 2 181 183 1 35 24 6 6 36 clb auto 26.9 MiB 0.04 152 432 67 335 30 66.0 MiB 0.01 0.00 2.14835 -93.0339 -2.14835 2.14835 0.00 5.1198e-05 3.2395e-05 0.002938 0.00248994 -1 -1 -1 -1 -1 137 16 646728 646728 138825. 3856.24 0.02 0.0136494 0.00987341 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_full_--router_algorithm_parallel_--num_workers_4 2.01 vpr 66.03 MiB -1 -1 0.85 27040 5 0.19 -1 -1 36968 -1 -1 12 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67616 10 2 181 183 1 35 24 6 6 36 clb auto 26.9 MiB 0.05 152 432 67 335 30 66.0 MiB 0.03 0.00 2.14835 -93.0339 -2.14835 2.14835 0.00 0.00150082 0.00142957 0.0169996 0.0159511 -1 -1 -1 -1 -1 137 16 646728 646728 138825. 3856.24 0.07 0.0553928 0.0438556 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_titan/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_titan/config/golden_results.txt index cbf1d188143..e84a1129700 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_titan/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_titan/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 79.71 vpr 1.16 GiB 42 758 0 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 1213252 13 29 26295 20086 1 12439 800 39 29 1131 LAB auto 1062.8 MiB 17.41 70903 253216 51547 191577 10092 1176.1 MiB 11.03 0.15 4.99319 -5223.26 -3.99319 2.64446 0.01 0.0404503 0.0335948 3.08815 2.57709 83183 6.68835 19827 1.59419 25954 36248 10076288 1815088 0 0 2.05929e+07 18207.7 15 331560 3499109 -1 5.28806 2.7363 -5589.94 -4.28806 0 0 7.56 -1 -1 1176.1 MiB 4.03 4.74901 4.02053 1176.1 MiB -1 19.41 + stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 66.79 vpr 1.16 GiB 42 758 0 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1215864 13 29 26295 20086 1 12439 800 39 29 1131 LAB auto 1063.3 MiB 15.63 75097 245792 47628 188491 9673 1158.7 MiB 16.87 0.21 4.99421 -5497.03 -3.99421 2.87584 0.01 0.0552629 0.0482483 4.22516 3.43532 87123 7.00515 21186 1.70347 25964 36365 9630576 1720385 0 0 2.05929e+07 18207.7 13 331560 3499109 -1 5.30154 2.77187 -5700.98 -4.30154 0 0 5.27 -1 -1 1158.7 MiB 5.35 6.14131 5.12726 1158.7 MiB -1 3.33 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_titan_s10/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_titan_s10/config/golden_results.txt index 159ae69716e..7d3888ea0e0 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_titan_s10/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_titan_s10/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_MLAB num_DSP num_M20K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - stratix10_arch.timing.xml murax_stratix10_arch_timing.blif common 20.78 vpr 383.20 MiB 35 93 0 0 8 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 392392 18 17 2338 2195 1 2035 136 17 13 221 io_cell auto 341.0 MiB 8.88 11702 14096 2182 10467 1447 383.2 MiB 0.99 0.02 3.767 -3201.39 -2.767 3.767 0.00 0.00771503 0.0062784 0.427042 0.368396 12703 6.25148 3920 1.92913 6529 15398 3929307 843439 0 0 3.37726e+06 15281.7 12 52540 541133 -1 3.447 3.447 -2841.9 -2.447 0 0 1.26 -1 -1 383.2 MiB 1.58 0.852394 0.762998 383.2 MiB -1 2.05 + stratix10_arch.timing.xml murax_stratix10_arch_timing.blif common 19.43 vpr 384.88 MiB 35 93 0 0 8 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 394112 18 17 2338 2195 1 2035 136 17 13 221 io_cell auto 342.6 MiB 9.23 11597 14096 2127 10583 1386 384.9 MiB 0.99 0.03 3.78594 -3334.96 -2.78594 3.78594 0.00 0.0127693 0.0106573 0.428449 0.35312 12754 6.27657 3971 1.95423 6857 16497 4298918 925978 0 0 3.37726e+06 15281.7 12 52540 541133 -1 3.215 3.215 -2910.24 -2.215 0 0 1.33 -1 -1 384.9 MiB 1.91 0.811838 0.706005 384.9 MiB -1 0.27 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_two_chains/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_two_chains/config/golden_results.txt index 05a82915baf..6ff0e8d886f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_two_chains/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_two_chains/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml diffeq2.v common 17.29 vpr 67.58 MiB -1 -1 0.41 22592 5 0.17 -1 -1 34192 -1 -1 17 66 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 69200 66 96 983 697 1 557 191 16 16 256 mult_27 auto 28.6 MiB 2.01 4754 40817 12099 23524 5194 67.6 MiB 0.65 0.01 16.4391 -978.659 -16.4391 16.4391 0.88 0.00273549 0.00258048 0.232507 0.218951 -1 -1 -1 -1 64 11429 28 4.83877e+06 1.03328e+06 1.35562e+06 5295.38 9.13 0.948515 0.877899 40360 280887 -1 10218 18 3342 7052 1691763 500137 17.028 17.028 -1086.21 -17.028 0 0 1.67258e+06 6533.53 0.58 0.52 0.31 -1 -1 0.58 0.136104 0.128889 138 202 -1 -1 -1 -1 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml diffeq2.v common 22.01 vpr 69.98 MiB -1 -1 0.42 25672 5 0.18 -1 -1 37676 -1 -1 17 66 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 71656 66 96 983 697 1 557 191 16 16 256 mult_27 auto 30.8 MiB 2.52 4520 41915 13784 24449 3682 70.0 MiB 0.47 0.01 16.7771 -983.813 -16.7771 16.7771 0.51 0.00380097 0.00356084 0.223806 0.20783 -1 -1 -1 -1 82 9891 30 4.83877e+06 1.03328e+06 1.63760e+06 6396.87 14.79 1.72784 1.60303 43164 348864 -1 8812 16 2703 5592 1100371 345017 16.7238 16.7238 -1023.47 -16.7238 0 0 2.03272e+06 7940.32 0.12 0.37 0.48 -1 -1 0.12 0.123499 0.117943 138 202 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_unroute_analysis/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_unroute_analysis/config/golden_results.txt index c6d02a55f5a..8a9769fe6bb 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_unroute_analysis/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_unroute_analysis/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_20 1.14 vpr 62.96 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64472 6 8 39 47 1 20 17 5 5 25 clb auto 24.5 MiB 0.06 69 227 71 153 3 63.0 MiB 0.06 0.00 1.42251 -15.9524 -1.42251 1.42251 0.00 0.00015298 0.000138828 0.00249541 0.00229004 -1 -1 -1 -1 86 4.52632 45 2.36842 140 253 6063 2435 323364 161682 20103.2 804.128 19 1140 2762 -1 1.32969 1.32969 -16.56 -1.32969 0 0 0.00 -1 -1 63.0 MiB 0.12 0.0136734 0.0121602 63.0 MiB -1 0.01 - k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_20_--analysis 1.13 vpr 62.89 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64404 6 8 39 47 1 20 17 5 5 25 clb auto 24.5 MiB 0.06 69 227 71 153 3 62.9 MiB 0.07 0.00 1.42251 -15.9524 -1.42251 1.42251 0.00 0.000157491 0.000141647 0.00278966 0.00257008 -1 -1 -1 -1 86 4.52632 45 2.36842 140 253 6063 2435 323364 161682 20103.2 804.128 19 1140 2762 -1 1.32969 1.32969 -16.56 -1.32969 0 0 0.00 -1 -1 62.9 MiB 0.10 0.0124481 0.0108976 62.9 MiB -1 0.01 - k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_8 0.72 vpr 62.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 exited with return code 2 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64488 6 8 39 47 1 20 17 5 5 25 clb auto 24.6 MiB 0.06 69 227 71 153 3 63.0 MiB 0.07 0.00 1.42347 -15.9604 -1.42347 1.42347 0.00 0.000217166 0.000199351 0.00282597 0.00262228 -1 -1 -1 -1 -1 -1 -1 -1 723 1098 45498 29013 -1 -1 -1 -1 -1 996 1634 -1 -1 -1 -1 -1 -1 -1 0.00 -1 -1 63.0 MiB 0.25 -1 -1 63.0 MiB -1 0.00 - k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_8_--analysis 0.77 vpr 62.84 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 exited with return code 2 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64344 6 8 39 47 1 20 17 5 5 25 clb auto 24.4 MiB 0.08 69 227 71 153 3 62.8 MiB 0.05 0.00 1.42347 -15.9604 -1.42347 1.42347 0.00 0.000206522 0.000185386 0.00269457 0.0024909 -1 -1 -1 -1 142 7.47368 68 3.57895 723 1098 45498 29013 323364 161682 9037.03 361.481 -1 996 1634 -1 1.87665 1.87665 -21.7004 -1.87665 0 0 0.00 -1 -1 62.8 MiB 0.28 -1 -1 62.8 MiB -1 0.00 + k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_20 0.48 vpr 65.33 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66896 6 8 39 47 1 20 17 5 5 25 clb auto 27.0 MiB 0.02 88 59 31 28 0 65.3 MiB 0.00 0.00 1.35996 -15.7932 -1.35996 1.35996 0.00 0.000116029 0.000100823 0.0010942 0.00101432 -1 -1 -1 -1 77 4.05263 38 2.00000 131 232 5197 2020 323364 161682 20103.2 804.128 18 1140 2762 -1 1.30886 1.30886 -16.2255 -1.30886 0 0 0.00 -1 -1 65.3 MiB 0.01 0.00766251 0.00688033 65.3 MiB -1 0.00 + k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_20_--analysis 0.51 vpr 65.23 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66796 6 8 39 47 1 20 17 5 5 25 clb auto 27.0 MiB 0.02 88 59 31 28 0 65.2 MiB 0.00 0.00 1.35996 -15.7932 -1.35996 1.35996 0.00 0.000165834 0.000146968 0.00119076 0.00110326 -1 -1 -1 -1 77 4.05263 38 2.00000 131 232 5197 2020 323364 161682 20103.2 804.128 18 1140 2762 -1 1.30886 1.30886 -16.2255 -1.30886 0 0 0.00 -1 -1 65.2 MiB 0.01 0.00909464 0.00816836 65.2 MiB -1 0.00 + k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_8 0.24 vpr 65.30 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 exited with return code 2 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66872 6 8 39 47 1 20 17 5 5 25 clb auto 27.0 MiB 0.02 88 59 31 28 0 65.3 MiB 0.00 0.00 1.36028 -15.8 -1.36028 1.36028 0.00 0.000113726 9.7512e-05 0.00114825 0.00106423 -1 -1 -1 -1 -1 -1 -1 -1 654 1027 31303 15229 -1 -1 -1 -1 -1 996 1634 -1 -1 -1 -1 -1 -1 -1 0.00 -1 -1 65.3 MiB 0.03 -1 -1 65.3 MiB -1 0.00 + k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_8_--analysis 0.24 vpr 65.06 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 exited with return code 2 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66624 6 8 39 47 1 20 17 5 5 25 clb auto 26.8 MiB 0.02 88 59 31 28 0 65.1 MiB 0.00 0.00 1.36028 -15.8 -1.36028 1.36028 0.00 0.00013832 0.000115541 0.000955845 0.00087775 -1 -1 -1 -1 142 7.47368 66 3.47368 654 1027 31303 15229 323364 161682 9037.03 361.481 -1 996 1634 -1 1.84852 1.84852 -21.9824 -1.84852 0 0 0.00 -1 -1 65.1 MiB 0.03 -1 -1 65.1 MiB -1 0.00 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_verify_rr_graph/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_verify_rr_graph/config/golden_results.txt index 311f7681759..cb597e00427 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_verify_rr_graph/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_verify_rr_graph/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k4_N4_90nm.xml stereovision3.v common 4.21 vpr 57.70 MiB -1 -1 0.80 23328 6 0.19 -1 -1 33384 -1 -1 28 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59088 10 2 186 188 1 48 40 8 8 64 clb auto 17.8 MiB 0.19 230 1196 187 947 62 57.7 MiB 0.08 0.00 2.65254 -112.238 -2.65254 2.65254 0.00 0.000511628 0.000471787 0.00947363 0.00865334 -1 -1 -1 -1 214 4.75556 214 4.75556 166 391 15410 3128 80255.5 62421 276194. 4315.53 14 9480 40228 -1 2.5901 2.5901 -115.226 -2.5901 -0.0734 -0.0734 0.06 -1 -1 57.7 MiB 0.11 0.0294044 0.0261959 57.7 MiB -1 0.04 - k6_frac_N10_40nm.xml stereovision3.v common 3.65 vpr 59.24 MiB -1 -1 0.83 23296 5 0.20 -1 -1 33392 -1 -1 7 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60664 10 2 181 183 1 37 19 5 5 25 clb auto 19.7 MiB 0.25 118 444 83 324 37 59.2 MiB 0.10 0.00 2.09635 -87.6091 -2.09635 2.09635 0.00 0.000727323 0.000670846 0.0133214 0.0124002 -1 -1 -1 -1 93 2.73529 48 1.41176 48 64 1145 344 485046 377258 99699.4 3987.98 3 2523 14238 -1 1.97843 1.97843 -87.1605 -1.97843 0 0 0.02 -1 -1 59.2 MiB 0.05 0.0264495 0.0247146 59.2 MiB -1 0.01 + k4_N4_90nm.xml stereovision3.v common 2.77 vpr 60.00 MiB -1 -1 0.91 26856 6 0.21 -1 -1 36836 -1 -1 28 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61436 10 2 186 188 1 48 40 8 8 64 clb auto 20.5 MiB 0.04 230 992 145 785 62 60.0 MiB 0.03 0.00 2.71052 -113.21 -2.71052 2.71052 0.00 0.000447321 0.000382714 0.00843147 0.00745792 -1 -1 -1 -1 199 4.42222 199 4.42222 158 387 13661 2934 80255.5 62421 276194. 4315.53 9 9480 40228 -1 2.65254 2.65254 -117.366 -2.65254 -0.0734 -0.0734 0.09 -1 -1 60.0 MiB 0.03 0.0220189 0.0194464 60.0 MiB -1 0.01 + k6_frac_N10_40nm.xml stereovision3.v common 2.04 vpr 61.65 MiB -1 -1 0.81 26884 5 0.16 -1 -1 36968 -1 -1 7 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63132 10 2 181 183 1 37 19 5 5 25 clb auto 22.0 MiB 0.07 127 144 32 99 13 61.7 MiB 0.01 0.00 2.03188 -84.9427 -2.03188 2.03188 0.00 0.000403252 0.000354056 0.00494195 0.00440924 -1 -1 -1 -1 107 3.14706 52 1.52941 43 59 1032 320 485046 377258 99699.4 3987.98 3 2523 14238 -1 1.97747 1.97747 -86.276 -1.97747 0 0 0.03 -1 -1 61.7 MiB 0.01 0.0168116 0.0156639 61.7 MiB -1 0.00 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_verify_rr_graph_bin/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_verify_rr_graph_bin/config/golden_results.txt index 108c515e439..0fde75bd1ed 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_verify_rr_graph_bin/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_verify_rr_graph_bin/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k4_N4_90nm.xml stereovision3.v common 3.18 vpr 57.75 MiB -1 -1 0.67 23320 6 0.16 -1 -1 33560 -1 -1 28 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59132 10 2 186 188 1 48 40 8 8 64 clb auto 17.9 MiB 0.03 230 1196 187 947 62 57.7 MiB 0.04 0.00 2.65254 -112.238 -2.65254 2.65254 0.00 0.00041108 0.00037781 0.00872984 0.0079342 -1 -1 -1 -1 214 4.75556 214 4.75556 166 391 15410 3128 80255.5 62421 276194. 4315.53 14 9480 40228 -1 2.5901 2.5901 -115.226 -2.5901 -0.0734 -0.0734 0.05 -1 -1 57.7 MiB 0.05 0.025576 0.0229291 57.7 MiB -1 0.05 - k6_frac_N10_40nm.xml stereovision3.v common 3.35 vpr 59.19 MiB -1 -1 0.94 23284 5 0.18 -1 -1 33436 -1 -1 7 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60608 10 2 181 183 1 37 19 5 5 25 clb auto 19.7 MiB 0.17 118 444 83 324 37 59.2 MiB 0.12 0.00 2.09635 -87.6091 -2.09635 2.09635 0.00 0.000568296 0.000529924 0.0148823 0.0140252 -1 -1 -1 -1 93 2.73529 48 1.41176 48 64 1145 344 485046 377258 99699.4 3987.98 3 2523 14238 -1 1.97843 1.97843 -87.1605 -1.97843 0 0 0.01 -1 -1 59.2 MiB 0.07 0.0262726 0.0248022 59.2 MiB -1 0.02 + k4_N4_90nm.xml stereovision3.v common 2.09 vpr 60.07 MiB -1 -1 0.81 26980 6 0.15 -1 -1 36756 -1 -1 28 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61512 10 2 186 188 1 48 40 8 8 64 clb auto 20.6 MiB 0.03 230 992 145 785 62 60.1 MiB 0.02 0.00 2.71052 -113.21 -2.71052 2.71052 0.00 0.000430706 0.00037483 0.00785446 0.00688801 -1 -1 -1 -1 199 4.42222 199 4.42222 158 387 13661 2934 80255.5 62421 276194. 4315.53 9 9480 40228 -1 2.65254 2.65254 -117.366 -2.65254 -0.0734 -0.0734 0.08 -1 -1 60.1 MiB 0.02 0.0211284 0.0188358 60.1 MiB -1 0.01 + k6_frac_N10_40nm.xml stereovision3.v common 1.94 vpr 61.65 MiB -1 -1 0.77 26880 5 0.18 -1 -1 36968 -1 -1 7 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63132 10 2 181 183 1 37 19 5 5 25 clb auto 22.1 MiB 0.06 127 144 32 99 13 61.7 MiB 0.01 0.00 2.03188 -84.9427 -2.03188 2.03188 0.00 0.000420371 0.000366917 0.00494209 0.00453968 -1 -1 -1 -1 107 3.14706 52 1.52941 43 59 1032 320 485046 377258 99699.4 3987.98 3 2523 14238 -1 1.97747 1.97747 -86.276 -1.97747 0 0 0.02 -1 -1 61.7 MiB 0.01 0.0164382 0.0153894 61.7 MiB -1 0.00 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_verify_rr_graph_titan/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_verify_rr_graph_titan/config/golden_results.txt index 9a1ccef4106..9a8744d436c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_verify_rr_graph_titan/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/strong_verify_rr_graph_titan/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - stratixiv_arch.timing.xml styr.blif common 35.89 vpr 976.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 999840 10 10 168 178 1 68 30 11 8 88 io auto 953.2 MiB 0.64 354 536 67 434 35 976.4 MiB 0.09 0.00 6.57169 -72.0462 -6.57169 6.57169 0.00 0.000503078 0.000459561 0.0104853 0.00985191 -1 -1 -1 -1 586 8.74627 178 2.65672 259 971 58705 26468 0 0 194014. 2204.70 13 11730 32605 -1 6.82307 6.82307 -73.1617 -6.82307 0 0 0.07 -1 -1 976.4 MiB 0.07 0.0420166 0.0389946 976.4 MiB -1 0.08 + stratixiv_arch.timing.xml styr.blif common 32.55 vpr 978.44 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1001924 10 10 168 178 1 68 30 11 8 88 io auto 955.5 MiB 0.50 371 490 69 397 24 978.4 MiB 0.08 0.00 6.66046 -72.2933 -6.66046 6.66046 0.00 0.000745164 0.00064564 0.0121362 0.0109717 -1 -1 -1 -1 549 8.19403 169 2.52239 264 964 62268 28521 0 0 194014. 2204.70 13 11730 32605 -1 6.70864 6.70864 -73.3171 -6.70864 0 0 0.08 -1 -1 978.4 MiB 0.14 0.0524157 0.0489871 978.4 MiB -1 0.02 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong/task_list.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong/task_list.txt index 0301285b4ba..a8628ef89af 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong/task_list.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong/task_list.txt @@ -1,6 +1,16 @@ regression_tests/vtr_reg_strong/basic_ap regression_tests/vtr_reg_strong/strong_ap/mcnc regression_tests/vtr_reg_strong/strong_ap/vtr_chain +regression_tests/vtr_reg_strong/strong_ap/bipartitioning_partial_legalizer +regression_tests/vtr_reg_strong/strong_ap/flowbased_partial_legalizer +regression_tests/vtr_reg_strong/strong_ap/naive_full_legalizer +regression_tests/vtr_reg_strong/strong_ap/appack_full_legalizer +regression_tests/vtr_reg_strong/strong_ap/annealer_detailed_placer +regression_tests/vtr_reg_strong/strong_ap/none_detailed_placer +regression_tests/vtr_reg_strong/strong_ap/no_fixed_blocks +regression_tests/vtr_reg_strong/strong_ap/unrelated_clustering +regression_tests/vtr_reg_strong/strong_ap/qp_hybrid_analytical_solver +regression_tests/vtr_reg_strong/strong_ap/lp_b2b_analytical_solver regression_tests/vtr_reg_strong/strong_absorb_buffers regression_tests/vtr_reg_strong/strong_analysis_only regression_tests/vtr_reg_strong/strong_analytic_placer @@ -33,6 +43,7 @@ regression_tests/vtr_reg_strong/strong_equivalent_sites regression_tests/vtr_reg_strong/strong_fc_abs regression_tests/vtr_reg_strong/strong_fix_clusters regression_tests/vtr_reg_strong/strong_fix_pins_random +regression_tests/vtr_reg_strong/strong_flat_placement/read_write regression_tests/vtr_reg_strong/strong_flyover_wires regression_tests/vtr_reg_strong/strong_fpu_hard_block_arch regression_tests/vtr_reg_strong/strong_fracturable_luts diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/koios_test/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/koios_test/config/golden_results.txt index a5aa1efe307..7b5437463d7 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/koios_test/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/koios_test/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml test.v common 6.81 vpr 74.85 MiB 0.09 7796 -1 -1 1 0.08 -1 -1 32232 -1 -1 12 130 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 76648 130 40 596 562 1 356 185 14 14 196 dsp_top auto 35.9 MiB 0.18 1873 36479 12233 19905 4341 74.9 MiB 0.21 0.00 5.12303 -652.04 -5.12303 5.12303 0.82 0.00140021 0.00130659 0.105265 0.098455 -1 -1 -1 -1 64 3939 11 4.93594e+06 1.0962e+06 976140. 4980.31 2.47 0.398489 0.366481 31408 195022 -1 3669 7 846 891 204483 79938 4.57723 4.57723 -704.235 -4.57723 0 0 1.23909e+06 6321.90 0.32 0.09 0.28 -1 -1 0.32 0.0391394 0.037187 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml test.v common 5.51 vpr 77.59 MiB 0.04 8576 -1 -1 1 0.07 -1 -1 35240 -1 -1 12 130 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 79456 130 40 596 562 1 356 185 14 14 196 dsp_top auto 38.5 MiB 0.13 1890 35427 11493 18934 5000 77.6 MiB 0.27 0.00 5.12303 -647.058 -5.12303 5.12303 0.48 0.00206617 0.00189672 0.14394 0.133207 -1 -1 -1 -1 64 3873 16 4.93594e+06 1.0962e+06 976140. 4980.31 2.20 0.636996 0.595296 31408 195022 -1 3500 9 851 887 209984 82943 4.57723 4.57723 -694.457 -4.57723 0 0 1.23909e+06 6321.90 0.09 0.15 0.39 -1 -1 0.09 0.0903946 0.0866517 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/koios_test_no_hb/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/koios_test_no_hb/config/golden_results.txt index 314721e6341..23cf7b0b85f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/koios_test_no_hb/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/koios_test_no_hb/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml test.v common 17.10 vpr 78.69 MiB 0.17 10856 -1 -1 1 0.12 -1 -1 34140 -1 -1 23 130 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 80580 130 40 1203 1030 1 586 196 14 14 196 dsp_top auto 38.7 MiB 0.49 2582 41733 12251 23272 6210 78.7 MiB 0.33 0.01 6.49756 -686.499 -6.49756 6.49756 0.76 0.00167814 0.00153244 0.158674 0.146165 -1 -1 -1 -1 108 5040 43 4.93594e+06 1.40315e+06 1.55765e+06 7947.21 11.29 1.3413 1.19961 36552 325092 -1 4509 22 2486 2554 274402 92406 6.62048 6.62048 -743.865 -6.62048 0 0 1.93951e+06 9895.46 0.56 0.19 0.69 -1 -1 0.56 0.107178 0.0988592 + k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml test.v common 14.85 vpr 81.40 MiB 0.10 11392 -1 -1 1 0.12 -1 -1 37676 -1 -1 23 130 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 83352 130 40 1203 1030 1 586 196 14 14 196 dsp_top auto 41.3 MiB 0.63 2691 46285 14724 25599 5962 81.4 MiB 0.45 0.01 6.58999 -703.566 -6.58999 6.58999 0.48 0.00220042 0.00200207 0.214554 0.193316 -1 -1 -1 -1 108 5210 35 4.93594e+06 1.40315e+06 1.55765e+06 7947.21 10.07 1.93637 1.74105 36552 325092 -1 4641 23 2669 2746 326887 113256 6.77766 6.77766 -770.287 -6.77766 0 0 1.93951e+06 9895.46 0.12 0.27 0.65 -1 -1 0.12 0.138318 0.125698 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_absorb_buffers/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_absorb_buffers/config/golden_results.txt index 44b0c3fb49b..235e1da0107 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_absorb_buffers/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_absorb_buffers/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml riscv_core_lut6.blif common_--absorb_buffer_luts_on 1.85 vpr 70.16 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 83 130 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 71840 130 150 1169 1319 1 886 363 12 12 144 clb auto 30.0 MiB 1.25 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00639293 0.00599457 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml riscv_core_lut6.blif common_--absorb_buffer_luts_off 1.78 vpr 69.49 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 90 130 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 71156 130 150 1216 1366 1 933 370 12 12 144 clb auto 29.8 MiB 1.20 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.0055812 0.00507678 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml riscv_core_lut6.blif common_--absorb_buffer_luts_on 1.88 vpr 72.33 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 83 130 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 74068 130 150 1169 1319 1 886 363 12 12 144 clb auto 32.4 MiB 1.34 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00708147 0.00640458 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml riscv_core_lut6.blif common_--absorb_buffer_luts_off 2.22 vpr 72.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 90 130 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73804 130 150 1216 1366 1 933 370 12 12 144 clb auto 32.3 MiB 1.54 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00920908 0.00830291 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_analysis_only/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_analysis_only/config/golden_results.txt index 9750f0dd17a..d3c7d61af84 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_analysis_only/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_analysis_only/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_N10_mem32K_40nm.xml stereovision3.v common 1.31 vpr 64.46 MiB 0.07 9980 -1 -1 4 0.20 -1 -1 33464 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66008 11 30 262 292 2 99 60 7 7 49 clb auto 24.7 MiB 0.10 421 2049 269 1715 65 64.5 MiB 0.04 0.00 2.53105 -179.908 -2.53105 2.34917 0.00 0.000669291 0.000596999 0.0160309 0.0145218 -1 -1 -1 -1 424 4.46316 163 1.71579 617 1399 45810 10033 1.07788e+06 1.02399e+06 207176. 4228.08 16 4440 29880 -1 2.36464 2.27781 -179.43 -2.36464 0 0 0.04 -1 -1 64.5 MiB 0.05 0.0483486 0.0432361 64.5 MiB -1 0.04 - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 1.59 vpr 66.95 MiB 0.07 10224 -1 -1 5 0.19 -1 -1 33224 -1 -1 14 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68560 11 30 313 321 2 115 55 7 7 49 clb auto 27.2 MiB 0.33 466 2759 556 2108 95 67.0 MiB 0.05 0.00 2.67362 -172.647 -2.67362 2.30794 0.00 0.000721207 0.000645031 0.0268996 0.0245416 -1 -1 -1 -1 574 5.26606 231 2.11927 216 452 11450 3638 1.07788e+06 754516 219490. 4479.39 7 5100 32136 -1 2.71877 2.35385 -178.475 -2.71877 0 0 0.04 -1 -1 67.0 MiB 0.03 0.0557218 0.0513892 67.0 MiB -1 0.04 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.36 vpr 66.46 MiB 0.08 10496 -1 -1 4 0.21 -1 -1 36668 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68060 11 30 262 292 2 99 60 7 7 49 clb auto 27.4 MiB 0.08 425 2283 406 1804 73 66.5 MiB 0.05 0.00 2.45115 -182.341 -2.45115 2.3368 0.00 0.000768694 0.000638603 0.0252644 0.022728 -1 -1 -1 -1 414 4.35789 166 1.74737 630 1427 58282 13907 1.07788e+06 1.02399e+06 207176. 4228.08 20 4440 29880 -1 2.3823 2.2863 -180.577 -2.3823 0 0 0.05 -1 -1 66.5 MiB 0.08 0.0775573 0.0705898 66.5 MiB -1 0.01 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 1.76 vpr 69.14 MiB 0.09 10368 -1 -1 5 0.19 -1 -1 36576 -1 -1 14 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70804 11 30 313 321 2 115 55 7 7 49 clb auto 29.7 MiB 0.40 448 1927 352 1502 73 69.1 MiB 0.07 0.00 2.6627 -173.06 -2.6627 2.30313 0.00 0.000863635 0.000740182 0.0221309 0.0195205 -1 -1 -1 -1 595 5.45872 228 2.09174 234 449 14202 4622 1.07788e+06 754516 219490. 4479.39 8 5100 32136 -1 2.70461 2.28805 -176.84 -2.70461 0 0 0.05 -1 -1 69.1 MiB 0.06 0.0568064 0.051944 69.1 MiB -1 0.01 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_analytic_placer/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_analytic_placer/config/golden_results.txt index 297d9cc2e7d..df63a32e433 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_analytic_placer/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_analytic_placer/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 4.34 vpr 65.27 MiB 0.06 9400 -1 -1 3 0.30 -1 -1 34668 -1 -1 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66836 99 130 363 493 1 251 298 12 12 144 clb auto 26.0 MiB 0.14 973 1293 313 844 136 65.3 MiB 0.07 0.00 2.2425 -218.261 -2.2425 2.2425 0.39 0.000963196 0.000901211 0.00562254 0.00542751 -1 -1 -1 -1 36 1866 35 5.66058e+06 4.21279e+06 305235. 2119.69 1.87 0.362594 0.328428 12238 58442 -1 1566 9 567 738 58151 19831 2.59207 2.59207 -232.729 -2.59207 0 0 378970. 2631.74 0.12 0.05 0.07 -1 -1 0.12 0.0271537 0.0253871 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 4.27 vpr 67.81 MiB 0.06 9984 -1 -1 3 0.40 -1 -1 39908 -1 -1 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69436 99 130 363 493 1 251 298 12 12 144 clb auto 28.8 MiB 0.14 1080 1293 313 846 134 67.8 MiB 0.06 0.00 2.45187 -223.196 -2.45187 2.45187 0.31 0.000607122 0.000549979 0.00491114 0.00472929 -1 -1 -1 -1 34 2076 26 5.66058e+06 4.21279e+06 293002. 2034.74 1.92 0.386002 0.351306 12094 55633 -1 1662 10 540 720 43948 13958 2.71514 2.71514 -233.572 -2.71514 0 0 360780. 2505.42 0.02 0.06 0.08 -1 -1 0.02 0.0335019 0.0302667 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_bidir/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_bidir/config/golden_results.txt index 75b4dc8cff1..c57ad9bdb40 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_bidir/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_bidir/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k4_n4_v7_bidir.xml styr.blif common 2.49 vpr 58.58 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59988 10 10 253 263 1 165 89 11 11 121 clb auto 18.8 MiB 0.05 1298 4445 695 3556 194 58.6 MiB 0.05 0.00 5.53812 -72.6437 -5.53812 5.53812 0.17 0.000586889 0.000523181 0.0184266 0.016854 -1 -1 -1 -1 14 2029 36 2.43e+06 2.07e+06 -1 -1 1.20 0.252134 0.219147 3402 27531 -1 1944 19 1218 4569 249188 30978 7.47374 7.47374 -94.8537 -7.47374 0 0 -1 -1 0.06 0.11 0.02 -1 -1 0.06 0.0414153 0.0362194 - k4_n4_v7_longline_bidir.xml styr.blif common 2.81 vpr 58.10 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59496 10 10 253 263 1 165 89 11 11 121 clb auto 18.9 MiB 0.06 1243 3851 530 3175 146 58.1 MiB 0.04 0.00 4.42129 -53.6285 -4.42129 4.42129 0.23 0.000610037 0.000548673 0.0158349 0.0144995 -1 -1 -1 -1 19 2381 26 2.43e+06 2.07e+06 -1 -1 1.40 0.224268 0.192635 3282 34431 -1 2331 24 1499 5264 384444 46394 8.40637 8.40637 -105.933 -8.40637 0 0 -1 -1 0.07 0.11 0.02 -1 -1 0.07 0.0377239 0.0326406 - k4_n4_v7_l1_bidir.xml styr.blif common 4.06 vpr 58.64 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60052 10 10 253 263 1 165 89 11 11 121 clb auto 18.9 MiB 0.06 1249 6821 1452 5028 341 58.6 MiB 0.06 0.00 6.30077 -80.949 -6.30077 6.30077 0.22 0.000518755 0.000463783 0.0228285 0.0206741 -1 -1 -1 -1 10 1483 31 2.43e+06 2.07e+06 -1 -1 2.61 0.268076 0.234279 4482 22551 -1 1280 20 1321 4798 303501 58064 7.52318 7.52318 -89.7629 -7.52318 0 0 -1 -1 0.04 0.12 0.02 -1 -1 0.04 0.037522 0.0333295 - k4_n4_v7_bidir_pass_gate.xml styr.blif common 3.10 vpr 58.02 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59416 10 10 253 263 1 165 89 11 11 121 clb auto 18.8 MiB 0.05 1252 4247 601 3492 154 58.0 MiB 0.05 0.00 3.38007 -43.5291 -3.38007 3.38007 0.18 0.00064054 0.000577227 0.0176541 0.0161473 -1 -1 -1 -1 14 2047 30 2.43e+06 2.07e+06 -1 -1 1.68 0.248457 0.216641 3402 27531 -1 2099 29 1484 5383 889715 156716 22.7353 22.7353 -261.092 -22.7353 0 0 -1 -1 0.05 0.25 0.02 -1 -1 0.05 0.0493955 0.0438566 + k4_n4_v7_bidir.xml styr.blif common 1.89 vpr 61.17 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62636 10 10 253 263 1 165 89 11 11 121 clb auto 21.5 MiB 0.06 1288 4445 682 3619 144 61.2 MiB 0.05 0.00 5.46014 -72.9505 -5.46014 5.46014 0.09 0.000707997 0.000614185 0.0204147 0.0180597 -1 -1 -1 -1 14 2036 29 2.43e+06 2.07e+06 -1 -1 0.97 0.236366 0.209157 3402 27531 -1 1911 15 1185 4098 215222 27160 6.9309 6.9309 -92.2142 -6.9309 0 0 -1 -1 0.01 0.10 0.02 -1 -1 0.01 0.0335803 0.0304927 + k4_n4_v7_longline_bidir.xml styr.blif common 1.77 vpr 60.37 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61816 10 10 253 263 1 165 89 11 11 121 clb auto 21.3 MiB 0.05 1219 4247 600 3483 164 60.4 MiB 0.05 0.00 4.42494 -53.3169 -4.42494 4.42494 0.10 0.000681666 0.000592315 0.0189188 0.016758 -1 -1 -1 -1 18 2215 40 2.43e+06 2.07e+06 -1 -1 0.80 0.256847 0.227018 3282 34431 -1 2139 18 1151 3756 254207 31830 9.07319 9.07319 -108.035 -9.07319 0 0 -1 -1 0.02 0.10 0.03 -1 -1 0.02 0.0370258 0.033411 + k4_n4_v7_l1_bidir.xml styr.blif common 2.35 vpr 61.16 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62632 10 10 253 263 1 165 89 11 11 121 clb auto 21.5 MiB 0.05 1285 7613 1616 5547 450 61.2 MiB 0.12 0.00 6.9252 -85.9419 -6.9252 6.9252 0.14 0.000675324 0.000585254 0.0347554 0.0308708 -1 -1 -1 -1 10 1481 31 2.43e+06 2.07e+06 -1 -1 1.17 0.183607 0.16336 4482 22551 -1 1268 22 1168 4312 263452 47622 7.30329 7.30329 -93.8299 -7.30329 0 0 -1 -1 0.01 0.13 0.02 -1 -1 0.01 0.0396264 0.0357171 + k4_n4_v7_bidir_pass_gate.xml styr.blif common 3.49 vpr 60.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 69 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61772 10 10 253 263 1 165 89 11 11 121 clb auto 21.2 MiB 0.05 1234 4643 666 3821 156 60.3 MiB 0.09 0.01 3.51175 -43.7413 -3.51175 3.51175 0.09 0.000766831 0.000671887 0.0247522 0.0222268 -1 -1 -1 -1 14 2053 42 2.43e+06 2.07e+06 -1 -1 2.23 0.282741 0.249953 3402 27531 -1 1991 28 1438 5059 778762 132220 26.9853 26.9853 -248.248 -26.9853 0 0 -1 -1 0.01 0.37 0.03 -1 -1 0.01 0.0480187 0.0429407 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_binary/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_binary/config/golden_results.txt index 84fc98735c4..0bd2ba5a636 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_binary/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_binary/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common_--verify_binary_search_off 1.95 vpr 64.38 MiB 0.06 10036 -1 -1 4 0.21 -1 -1 33304 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65924 11 30 262 292 2 99 60 7 7 49 clb auto 24.7 MiB 0.09 439 1932 239 1639 54 64.4 MiB 0.03 0.00 2.45489 -180.196 -2.45489 2.33213 0.07 0.000611032 0.000541625 0.0144591 0.0130681 -1 -1 -1 -1 20 684 34 1.07788e+06 1.02399e+06 49980.0 1020.00 0.25 0.116805 0.09949 2664 9102 -1 585 25 992 2191 68660 23567 2.62928 2.46785 -187.223 -2.62928 0 0 65453.8 1335.79 0.02 0.07 0.01 -1 -1 0.02 0.0375678 0.0325723 - k6_N10_mem32K_40nm.xml stereovision3.v common_--verify_binary_search_on 2.27 vpr 64.50 MiB 0.06 9904 -1 -1 4 0.20 -1 -1 33384 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66052 11 30 262 292 2 99 60 7 7 49 clb auto 24.8 MiB 0.09 439 1932 239 1639 54 64.5 MiB 0.04 0.00 2.45489 -180.196 -2.45489 2.33213 0.08 0.000680402 0.00060982 0.017209 0.0157151 -1 -1 -1 -1 20 684 34 1.07788e+06 1.02399e+06 49980.0 1020.00 0.55 0.238978 0.201081 2664 9102 -1 585 25 992 2191 68660 23567 2.62928 2.46785 -187.223 -2.62928 0 0 65453.8 1335.79 0.02 0.07 0.01 -1 -1 0.02 0.0406365 0.0353306 + k6_N10_mem32K_40nm.xml stereovision3.v common_--verify_binary_search_off 1.92 vpr 66.34 MiB 0.06 10496 -1 -1 4 0.21 -1 -1 36540 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67936 11 30 262 292 2 99 60 7 7 49 clb auto 27.3 MiB 0.08 427 1815 293 1474 48 66.3 MiB 0.03 0.00 2.45489 -180.219 -2.45489 2.30757 0.06 0.000749649 0.00064239 0.0161237 0.0140852 -1 -1 -1 -1 18 637 26 1.07788e+06 1.02399e+06 45686.6 932.380 0.28 0.147245 0.127953 2616 8308 -1 528 22 686 1665 42264 14116 2.57724 2.36372 -184.812 -2.57724 0 0 59124.6 1206.62 0.00 0.08 0.01 -1 -1 0.00 0.047308 0.0404063 + k6_N10_mem32K_40nm.xml stereovision3.v common_--verify_binary_search_on 2.40 vpr 66.13 MiB 0.07 10368 -1 -1 4 0.23 -1 -1 36792 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67716 11 30 262 292 2 99 60 7 7 49 clb auto 27.2 MiB 0.08 427 1815 293 1474 48 66.1 MiB 0.04 0.00 2.45489 -180.219 -2.45489 2.30757 0.06 0.000744837 0.000636456 0.0185703 0.0149662 -1 -1 -1 -1 18 637 26 1.07788e+06 1.02399e+06 45686.6 932.380 0.64 0.298818 0.25277 2616 8308 -1 528 22 686 1665 42264 14116 2.57724 2.36372 -184.812 -2.57724 0 0 59124.6 1206.62 0.00 0.10 0.01 -1 -1 0.00 0.0500747 0.044978 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_blocks_with_no_inputs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_blocks_with_no_inputs/config/golden_results.txt index 46602a07176..7d75ebf7e22 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_blocks_with_no_inputs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_blocks_with_no_inputs/config/golden_results.txt @@ -1,9 +1,9 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml ch_intrinsics.v common 4.95 vpr 65.09 MiB 0.05 9384 -1 -1 3 0.35 -1 -1 34600 -1 -1 75 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66648 99 130 363 493 1 255 305 13 13 169 clb auto 25.3 MiB 0.09 908 74177 24418 37403 12356 65.1 MiB 0.21 0.00 2.24932 -227.778 -2.24932 2.24932 0.43 0.000870718 0.000814627 0.0677126 0.0632932 -1 -1 -1 -1 32 1516 16 6.63067e+06 4.59005e+06 323148. 1912.12 2.19 0.456283 0.413595 11612 59521 -1 1275 27 730 1142 95340 32482 2.40779 2.40779 -232.565 -2.40779 0 0 396943. 2348.77 0.14 0.10 0.06 -1 -1 0.14 0.055174 0.0502939 - k6_N10_mem32K_40nm.xml diffeq1.v common 10.90 vpr 68.98 MiB 0.04 9404 -1 -1 15 0.48 -1 -1 34660 -1 -1 60 162 0 5 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70636 162 96 999 932 1 661 323 16 16 256 mult_36 auto 28.8 MiB 0.31 5495 75599 21207 48608 5784 69.0 MiB 0.55 0.01 21.6615 -1879.46 -21.6615 21.6615 0.70 0.00319094 0.00302093 0.229143 0.215114 -1 -1 -1 -1 44 10097 29 1.21132e+07 5.21364e+06 665287. 2598.78 6.02 1.39802 1.29282 20656 131250 -1 8720 22 3466 7443 973330 268208 22.2123 22.2123 -1936.09 -22.2123 0 0 864808. 3378.16 0.31 0.42 0.14 -1 -1 0.31 0.180893 0.168332 - k6_N10_mem32K_40nm.xml single_wire.v common 0.55 vpr 63.05 MiB 0.01 6576 -1 -1 1 0.02 -1 -1 30004 -1 -1 0 1 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64568 1 1 1 2 0 1 2 3 3 9 -1 auto 24.3 MiB 0.00 2 3 0 3 0 63.1 MiB 0.00 0.00 0.18684 -0.18684 -0.18684 nan 0.00 1.1357e-05 6.922e-06 7.8063e-05 5.3338e-05 -1 -1 -1 -1 2 1 1 53894 0 1165.58 129.509 0.00 0.0015524 0.00147403 254 297 -1 1 1 1 1 19 15 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00228467 0.00224801 - k6_N10_mem32K_40nm.xml single_ff.v common 0.54 vpr 62.95 MiB 0.01 6420 -1 -1 1 0.02 -1 -1 30176 -1 -1 1 2 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64464 2 1 3 4 1 3 4 3 3 9 -1 auto 24.2 MiB 0.00 6 9 5 1 3 63.0 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.00 1.4537e-05 1.0143e-05 0.00010139 7.6283e-05 -1 -1 -1 -1 2 2 2 53894 53894 1165.58 129.509 0.00 0.00162892 0.00154661 254 297 -1 2 2 3 3 56 18 0.577715 0.577715 -0.9588 -0.577715 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00170661 0.00165742 - k6_N10_mem32K_40nm_i_or_o.xml ch_intrinsics.v common 9.72 vpr 65.00 MiB 0.06 9380 -1 -1 3 0.32 -1 -1 34636 -1 -1 75 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66556 99 130 363 493 1 255 305 19 19 361 o auto 25.3 MiB 0.09 1017 84437 21849 44018 18570 65.0 MiB 0.27 0.00 2.33845 -237.624 -2.33845 2.33845 2.83 0.00101195 0.000938816 0.0893341 0.0836814 -1 -1 -1 -1 36 1395 26 1.79173e+07 4.59005e+06 833707. 2309.44 3.44 0.417472 0.379057 24998 161561 -1 1299 23 891 1465 81711 22668 2.61943 2.61943 -244.023 -2.61943 0 0 1.02328e+06 2834.56 0.39 0.08 0.14 -1 -1 0.39 0.042041 0.0384715 - k6_N10_mem32K_40nm_i_or_o.xml diffeq1.v common 24.30 vpr 83.81 MiB 0.04 9376 -1 -1 15 0.46 -1 -1 34644 -1 -1 60 162 0 5 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 85820 162 96 999 932 1 661 323 24 24 576 i auto 28.7 MiB 0.31 7217 101060 28985 60644 11431 83.8 MiB 0.72 0.01 21.9602 -1888.96 -21.9602 21.9602 4.32 0.00297924 0.00279786 0.307712 0.28877 -1 -1 -1 -1 32 14521 46 3.08128e+07 5.21364e+06 1.24505e+06 2161.54 14.07 1.70494 1.57192 39974 242477 -1 11428 25 4677 10335 1474881 391876 23.1722 23.1722 -1992.17 -23.1722 0 0 1.54255e+06 2678.04 0.44 0.51 0.21 -1 -1 0.44 0.195655 0.181464 - k6_N10_mem32K_40nm_i_or_o.xml single_wire.v common 0.58 vpr 62.93 MiB 0.01 6452 -1 -1 1 0.02 -1 -1 30116 -1 -1 0 1 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64440 1 1 1 2 0 1 2 4 4 16 i auto 24.2 MiB 0.00 3 3 0 0 3 62.9 MiB 0.00 0.00 0.280667 -0.280667 -0.280667 nan 0.01 9.703e-06 5.942e-06 7.6566e-05 5.0557e-05 -1 -1 -1 -1 4 2 1 215576 0 2092.17 130.760 0.01 0.00148096 0.00139624 324 600 -1 2 1 1 1 16 6 0.229376 nan -0.229376 -0.229376 0 0 3281.68 205.105 0.00 0.00 0.00 -1 -1 0.00 0.00141364 0.00138107 - k6_N10_mem32K_40nm_i_or_o.xml single_ff.v common 0.64 vpr 62.77 MiB 0.01 6452 -1 -1 1 0.04 -1 -1 29928 -1 -1 1 2 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64280 2 1 3 4 1 3 4 4 4 16 i auto 24.0 MiB 0.00 7 9 0 2 7 62.8 MiB 0.00 0.00 0.647256 -1.07419 -0.647256 0.647256 0.01 1.9097e-05 1.4095e-05 0.000118864 8.987e-05 -1 -1 -1 -1 6 3 2 215576 53894 3281.68 205.105 0.01 0.00164593 0.00153585 340 760 -1 3 2 3 3 71 25 0.569757 0.569757 -0.969092 -0.569757 0 0 4601.64 287.602 0.00 0.00 0.00 -1 -1 0.00 0.00152593 0.00147741 + k6_N10_mem32K_40nm.xml ch_intrinsics.v common 3.32 vpr 67.44 MiB 0.07 9856 -1 -1 3 0.36 -1 -1 39552 -1 -1 75 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69060 99 130 363 493 1 255 305 13 13 169 clb auto 27.9 MiB 0.11 817 73151 23083 37131 12937 67.4 MiB 0.30 0.01 2.36834 -235.63 -2.36834 2.36834 0.29 0.00233393 0.00223993 0.0738859 0.066584 -1 -1 -1 -1 32 1352 17 6.63067e+06 4.59005e+06 323148. 1912.12 0.52 0.196726 0.178694 11612 59521 -1 1138 16 719 1086 65347 22389 2.48507 2.48507 -238.178 -2.48507 0 0 396943. 2348.77 0.02 0.13 0.12 -1 -1 0.02 0.0517727 0.0472555 + k6_N10_mem32K_40nm.xml diffeq1.v common 10.12 vpr 70.60 MiB 0.03 9856 -1 -1 15 0.44 -1 -1 38380 -1 -1 60 162 0 5 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 72292 162 96 999 932 1 661 323 16 16 256 mult_36 auto 31.3 MiB 0.38 5531 95525 26953 60139 8433 70.6 MiB 0.91 0.01 21.9361 -1891.35 -21.9361 21.9361 0.47 0.00396915 0.00366377 0.403824 0.374905 -1 -1 -1 -1 44 11294 43 1.21132e+07 5.21364e+06 665287. 2598.78 5.71 1.78116 1.66467 20656 131250 -1 8771 24 4066 8799 1047369 299882 22.5944 22.5944 -1935.68 -22.5944 0 0 864808. 3378.16 0.04 0.50 0.16 -1 -1 0.04 0.234899 0.221057 + k6_N10_mem32K_40nm.xml single_wire.v common 0.56 vpr 65.29 MiB 0.01 6912 -1 -1 1 0.02 -1 -1 32916 -1 -1 0 1 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66852 1 1 1 2 0 1 2 3 3 9 -1 auto 27.0 MiB 0.00 2 3 0 3 0 65.3 MiB 0.00 0.00 0.18684 -0.18684 -0.18684 nan 0.00 1.0231e-05 6.013e-06 7.2755e-05 4.8573e-05 -1 -1 -1 -1 2 1 1 53894 0 1165.58 129.509 0.00 0.00153872 0.00147005 254 297 -1 1 1 1 1 19 15 0.211201 nan -0.211201 -0.211201 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00109157 0.00106485 + k6_N10_mem32K_40nm.xml single_ff.v common 0.49 vpr 65.16 MiB 0.01 7040 -1 -1 1 0.02 -1 -1 33280 -1 -1 1 2 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66728 2 1 3 4 1 3 4 3 3 9 -1 auto 26.9 MiB 0.00 6 9 5 1 3 65.2 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.00 1.5008e-05 1.0331e-05 0.000105161 7.8059e-05 -1 -1 -1 -1 2 2 2 53894 53894 1165.58 129.509 0.00 0.0016194 0.0015365 254 297 -1 2 2 3 3 56 18 0.577715 0.577715 -0.9588 -0.577715 0 0 1165.58 129.509 0.00 0.00 0.00 -1 -1 0.00 0.00112886 0.00109032 + k6_N10_mem32K_40nm_i_or_o.xml ch_intrinsics.v common 5.85 vpr 67.48 MiB 0.06 9856 -1 -1 3 0.36 -1 -1 39692 -1 -1 75 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69100 99 130 363 493 1 255 305 19 19 361 o auto 27.9 MiB 0.10 1043 75203 18688 40447 16068 67.5 MiB 0.27 0.00 2.5827 -243.865 -2.5827 2.5827 1.92 0.000939884 0.00084584 0.0725048 0.0652395 -1 -1 -1 -1 36 1432 20 1.79173e+07 4.59005e+06 833707. 2309.44 1.36 0.302543 0.27272 24998 161561 -1 1342 23 802 1298 88966 26229 2.93129 2.93129 -249.701 -2.93129 0 0 1.02328e+06 2834.56 0.07 0.10 0.15 -1 -1 0.07 0.0554021 0.0511266 + k6_N10_mem32K_40nm_i_or_o.xml diffeq1.v common 12.40 vpr 79.29 MiB 0.04 9856 -1 -1 15 0.45 -1 -1 38032 -1 -1 60 162 0 5 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 81188 162 96 999 932 1 661 323 24 24 576 i auto 31.0 MiB 0.28 7114 95525 25526 57948 12051 79.3 MiB 0.94 0.01 21.4854 -1914.4 -21.4854 21.4854 3.35 0.00392701 0.00363416 0.415599 0.386608 -1 -1 -1 -1 32 12804 30 3.08128e+07 5.21364e+06 1.24505e+06 2161.54 3.94 1.18592 1.11276 39974 242477 -1 10817 26 4455 9936 1378660 349639 22.5193 22.5193 -2054.22 -22.5193 0 0 1.54255e+06 2678.04 0.12 0.65 0.37 -1 -1 0.12 0.246186 0.231211 + k6_N10_mem32K_40nm_i_or_o.xml single_wire.v common 0.47 vpr 65.28 MiB 0.02 6784 -1 -1 1 0.02 -1 -1 33172 -1 -1 0 1 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66848 1 1 1 2 0 1 2 4 4 16 i auto 26.9 MiB 0.00 3 3 0 0 3 65.3 MiB 0.00 0.00 0.18684 -0.18684 -0.18684 nan 0.00 1.1306e-05 5.604e-06 7.2139e-05 4.6493e-05 -1 -1 -1 -1 4 2 1 215576 0 2092.17 130.760 0.00 0.00155951 0.00148904 324 600 -1 2 1 1 1 17 7 0.229376 nan -0.229376 -0.229376 0 0 3281.68 205.105 0.00 0.00 0.00 -1 -1 0.00 0.0014785 0.00144447 + k6_N10_mem32K_40nm_i_or_o.xml single_ff.v common 0.49 vpr 65.16 MiB 0.02 7040 -1 -1 1 0.02 -1 -1 33288 -1 -1 1 2 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66724 2 1 3 4 1 3 4 4 4 16 i auto 26.9 MiB 0.00 7 9 0 2 7 65.2 MiB 0.00 0.00 0.55247 -0.955943 -0.55247 0.55247 0.00 1.6222e-05 1.0832e-05 0.00010458 7.8535e-05 -1 -1 -1 -1 6 3 2 215576 53894 3281.68 205.105 0.01 0.00166008 0.00157112 340 760 -1 3 2 3 3 59 19 0.569757 0.569757 -0.969092 -0.569757 0 0 4601.64 287.602 0.00 0.00 0.00 -1 -1 0.00 0.00159511 0.00154443 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_bounding_box/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_bounding_box/config/golden_results.txt index b913a54f802..a4f4578b4e4 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_bounding_box/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_bounding_box/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 2.03 vpr 64.66 MiB 0.05 9936 -1 -1 4 0.20 -1 -1 33284 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66212 11 30 262 292 2 99 60 7 7 49 clb auto 24.9 MiB 0.09 440 2400 452 1846 102 64.7 MiB 0.01 0.00 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 18 660 35 1.07788e+06 1.02399e+06 45686.6 932.380 0.48 0.165713 0.138611 2616 8308 -1 541 24 785 1721 49987 17392 2.55392 2.37233 -184.833 -2.55392 0 0 59124.6 1206.62 0.01 0.07 0.01 -1 -1 0.01 0.0397954 0.0348173 + k6_N10_mem32K_40nm.xml stereovision3.v common 2.22 vpr 66.21 MiB 0.06 10368 -1 -1 4 0.23 -1 -1 36792 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67796 11 30 262 292 2 99 60 7 7 49 clb auto 27.3 MiB 0.08 485 3687 781 2795 111 66.2 MiB 0.01 0.00 -1 -1 -1 -1 -1 0 0 0 0 -1 -1 -1 -1 18 734 39 1.07788e+06 1.02399e+06 45686.6 932.380 0.65 0.239065 0.204044 2616 8308 -1 583 23 761 1801 50764 16568 2.52485 2.36559 -186.102 -2.52485 0 0 59124.6 1206.62 0.00 0.07 0.01 -1 -1 0.00 0.0486043 0.0430292 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_check_route_options/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_check_route_options/config/golden_results.txt index eb38f9839e9..d3b62c629ad 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_check_route_options/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_check_route_options/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - sub_tiles.xml sub_tiles.blif common_--check_route_full 6.55 vpr 56.57 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57924 6 7 19 26 0 19 26 3 3 9 -1 auto 17.8 MiB 0.01 51 216 43 63 110 56.6 MiB 0.00 0.00 3.682 -25.774 -3.682 nan 5.46 4.4866e-05 3.8779e-05 0.000407849 0.000352025 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.09 0.0024538 0.00222966 1370 14749 -1 19 3 36 39 5809 2843 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.00 0.04 -1 -1 0.00 0.00174299 0.00165115 - sub_tiles.xml sub_tiles.blif common_--check_route_quick 7.53 vpr 56.73 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58092 6 7 19 26 0 19 26 3 3 9 -1 auto 18.1 MiB 0.00 51 216 43 63 110 56.7 MiB 0.00 0.00 3.682 -25.774 -3.682 nan 6.42 3.9294e-05 3.2838e-05 0.000360177 0.000299223 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.11 0.00240249 0.00218766 1370 14749 -1 19 3 36 39 5809 2843 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.00 0.04 -1 -1 0.00 0.00179459 0.00169524 - sub_tiles.xml sub_tiles.blif common_--check_route_off 6.86 vpr 56.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57856 6 7 19 26 0 19 26 3 3 9 -1 auto 17.8 MiB 0.00 51 216 43 63 110 56.5 MiB 0.00 0.00 3.682 -25.774 -3.682 nan 5.82 4.4091e-05 3.8299e-05 0.000449038 0.000394449 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.08 0.00230139 0.00207606 1370 14749 -1 19 3 36 39 5809 2843 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.00 0.04 -1 -1 0.00 0.00164487 0.00155669 + sub_tiles.xml sub_tiles.blif common_--check_route_full 14.36 vpr 58.80 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60212 6 7 19 26 0 19 26 3 3 9 -1 auto 20.5 MiB 0.00 51 216 43 63 110 58.8 MiB 0.00 0.00 3.682 -25.774 -3.682 nan 13.10 4.6187e-05 3.8396e-05 0.000395937 0.000323618 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.12 0.00202221 0.00179313 1370 14749 -1 19 3 36 39 5813 2852 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.00 0.06 -1 -1 0.00 0.00173217 0.0016394 + sub_tiles.xml sub_tiles.blif common_--check_route_quick 16.94 vpr 58.93 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60340 6 7 19 26 0 19 26 3 3 9 -1 auto 20.6 MiB 0.00 51 216 43 63 110 58.9 MiB 0.00 0.00 3.682 -25.774 -3.682 nan 15.28 4.6086e-05 3.7994e-05 0.000373556 0.000302701 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.13 0.00235088 0.00212378 1370 14749 -1 19 3 36 39 5813 2852 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.00 0.06 -1 -1 0.00 0.00221042 0.00197359 + sub_tiles.xml sub_tiles.blif common_--check_route_off 16.20 vpr 59.06 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60476 6 7 19 26 0 19 26 3 3 9 -1 auto 20.7 MiB 0.00 51 216 43 63 110 59.1 MiB 0.00 0.00 3.682 -25.774 -3.682 nan 14.72 4.2295e-05 3.5105e-05 0.000363474 0.000296118 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.12 0.00229484 0.00207762 1370 14749 -1 19 3 36 39 5813 2852 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.00 0.05 -1 -1 0.00 0.00174454 0.00165562 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_cin_tie_off/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_cin_tie_off/config/golden_results.txt index 573110a1f9d..7b6b29fbf31 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_cin_tie_off/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_cin_tie_off/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - k6_frac_N10_4add_2chains_tie_off_depop50_mem20K_22nm.xml mult_4x4.v common 1.67 vpr 63.84 MiB 0.01 6676 -1 -1 1 0.03 -1 -1 30204 -1 -1 3 9 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65376 9 8 71 66 1 35 20 5 5 25 clb auto 24.5 MiB 0.59 106 155 56 98 1 63.8 MiB 0.01 0.00 2.68643 -28.4691 -2.68643 2.68643 0.03 0.000140881 0.000128293 0.00202868 0.00191784 -1 -1 -1 -1 24 237 22 151211 75605.7 33517.4 1340.70 0.18 0.041091 0.034289 1884 5578 -1 191 17 213 274 8835 4764 3.58807 3.58807 -39.9558 -3.58807 0 0 43252.0 1730.08 0.00 0.02 0.01 -1 -1 0.00 0.00832021 0.00744946 14 17 16 6 0 0 - k6_frac_N10_4add_2chains_tie_off_depop50_mem20K_22nm.xml mult_9x9.v common 6.57 vpr 65.18 MiB 0.01 6688 -1 -1 1 0.04 -1 -1 30640 -1 -1 8 19 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66748 19 18 299 240 1 146 45 6 6 36 clb auto 25.6 MiB 4.69 492 845 209 626 10 65.2 MiB 0.02 0.00 4.85986 -99.0252 -4.85986 4.85986 0.05 0.000469766 0.000432273 0.00954877 0.00903161 -1 -1 -1 -1 50 1141 29 403230 201615 107229. 2978.57 0.79 0.20538 0.176607 3946 19047 -1 909 23 1044 1578 59654 24287 5.61482 5.61482 -122.009 -5.61482 0 0 134937. 3748.26 0.02 0.06 0.02 -1 -1 0.02 0.032608 0.0295156 62 82 85 13 0 0 + k6_frac_N10_4add_2chains_tie_off_depop50_mem20K_22nm.xml mult_4x4.v common 1.50 vpr 66.18 MiB 0.01 6912 -1 -1 1 0.03 -1 -1 33524 -1 -1 3 9 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67768 9 8 71 66 1 35 20 5 5 25 clb auto 27.2 MiB 0.61 102 641 211 420 10 66.2 MiB 0.01 0.00 2.52843 -27.3721 -2.52843 2.52843 0.02 0.000162932 0.000142933 0.00487777 0.00439017 -1 -1 -1 -1 32 152 12 151211 75605.7 43252.0 1730.08 0.15 0.05219 0.0443549 2004 6761 -1 170 13 131 173 5906 3259 2.68643 2.68643 -34.5837 -2.68643 0 0 52324.5 2092.98 0.00 0.01 0.01 -1 -1 0.00 0.00766663 0.00704697 14 17 16 6 0 0 + k6_frac_N10_4add_2chains_tie_off_depop50_mem20K_22nm.xml mult_9x9.v common 6.42 vpr 67.07 MiB 0.01 6912 -1 -1 1 0.04 -1 -1 33628 -1 -1 8 19 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68680 19 18 299 240 1 146 45 6 6 36 clb auto 27.6 MiB 4.94 477 2365 468 1860 37 67.1 MiB 0.04 0.00 4.92757 -99.6523 -4.92757 4.92757 0.05 0.000316619 0.0002807 0.0196432 0.0179661 -1 -1 -1 -1 54 1052 25 403230 201615 113905. 3164.04 0.53 0.17427 0.152991 4050 20995 -1 792 24 850 1349 48852 19559 4.89358 4.89358 -108.576 -4.89358 0 0 146644. 4073.44 0.00 0.05 0.03 -1 -1 0.00 0.0285954 0.0259387 62 82 85 13 0 0 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_aliases/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_aliases/config/golden_results.txt index bd8837584d4..82e16e68c58 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_aliases/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_aliases/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - timing/k6_N10_40nm.xml clock_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/clk.sdc 0.38 vpr 57.45 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 1 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58828 1 4 28 32 2 10 9 4 4 16 clb auto 18.5 MiB 0.01 21 27 11 8 8 57.4 MiB 0.00 0.00 2.44626 0 0 2.44626 0.01 6.9608e-05 6.1171e-05 0.000528542 0.000484521 -1 -1 -1 -1 8 12 5 72000 72000 5593.62 349.601 0.03 0.00817962 0.0068183 672 1128 -1 13 8 23 23 458 156 2.39017 2.39017 0 0 0 0 6492.02 405.751 0.00 0.01 0.00 -1 -1 0.00 0.00303766 0.0027903 - timing/k6_N10_40nm.xml clock_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/clk_assign.sdc 0.40 vpr 57.54 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 1 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58924 1 4 28 32 2 10 9 4 4 16 clb auto 18.6 MiB 0.01 21 27 11 8 8 57.5 MiB 0.00 0.00 2.44626 0 0 2.44626 0.01 6.7493e-05 5.9709e-05 0.00053006 0.00048841 -1 -1 -1 -1 8 12 5 72000 72000 5593.62 349.601 0.03 0.00837217 0.00702901 672 1128 -1 13 8 23 23 458 156 2.39017 2.39017 0 0 0 0 6492.02 405.751 0.00 0.00 0.00 -1 -1 0.00 0.00268284 0.00246934 - timing/k6_N10_40nm.xml clock_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/counter_clk.sdc 0.45 vpr 57.49 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 1 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58868 1 4 28 32 2 10 9 4 4 16 clb auto 18.4 MiB 0.01 21 27 11 8 8 57.5 MiB 0.00 0.00 2.44626 0 0 2.44626 0.01 6.8738e-05 6.0915e-05 0.000598804 0.000552999 -1 -1 -1 -1 8 12 5 72000 72000 5593.62 349.601 0.03 0.00819817 0.00689289 672 1128 -1 13 8 23 23 458 156 2.39017 2.39017 0 0 0 0 6492.02 405.751 0.00 0.01 0.00 -1 -1 0.00 0.00319689 0.00291899 + timing/k6_N10_40nm.xml clock_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/clk.sdc 0.35 vpr 59.81 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 1 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61244 1 4 28 32 2 10 9 4 4 16 clb auto 21.2 MiB 0.01 21 27 10 10 7 59.8 MiB 0.00 0.00 2.44626 0 0 2.44626 0.01 7.9876e-05 6.8917e-05 0.000564474 0.000511898 -1 -1 -1 -1 8 11 5 72000 72000 5593.62 349.601 0.02 0.00933145 0.00790294 672 1128 -1 21 6 21 21 561 284 2.37141 2.37141 0 0 0 0 6492.02 405.751 0.00 0.00 0.00 -1 -1 0.00 0.0020437 0.00190467 + timing/k6_N10_40nm.xml clock_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/clk_assign.sdc 0.33 vpr 60.00 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 1 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61444 1 4 28 32 2 10 9 4 4 16 clb auto 21.5 MiB 0.01 21 27 10 10 7 60.0 MiB 0.00 0.00 2.44626 0 0 2.44626 0.01 9.3379e-05 8.2596e-05 0.000566541 0.000512464 -1 -1 -1 -1 8 11 5 72000 72000 5593.62 349.601 0.02 0.00867291 0.00731794 672 1128 -1 21 6 21 21 561 284 2.37141 2.37141 0 0 0 0 6492.02 405.751 0.00 0.00 0.00 -1 -1 0.00 0.00253268 0.00233063 + timing/k6_N10_40nm.xml clock_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/counter_clk.sdc 0.35 vpr 59.83 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 1 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61264 1 4 28 32 2 10 9 4 4 16 clb auto 21.4 MiB 0.01 21 27 10 10 7 59.8 MiB 0.00 0.00 2.44626 0 0 2.44626 0.01 7.8562e-05 6.7163e-05 0.000561289 0.000507136 -1 -1 -1 -1 8 11 5 72000 72000 5593.62 349.601 0.02 0.00963983 0.0081025 672 1128 -1 21 6 21 21 561 284 2.37141 2.37141 0 0 0 0 6492.02 405.751 0.00 0.00 0.00 -1 -1 0.00 0.00296634 0.00273132 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_aliases_set_delay/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_aliases_set_delay/config/golden_results.txt index c24f6849f4e..17671e26cfa 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_aliases_set_delay/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_aliases_set_delay/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - timing/k6_N10_40nm.xml clock_set_delay_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/set_delay.sdc 0.46 vpr 57.33 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 2 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58704 2 2 22 24 2 4 6 4 4 16 clb auto 18.4 MiB 0.01 8 15 5 7 3 57.3 MiB 0.00 0.00 1.297 0 0 1.297 0.01 5.2494e-05 4.4634e-05 0.000448571 0.000405419 -1 -1 -1 -1 6 12 3 72000 36000 4025.56 251.598 0.01 0.00281216 0.00261739 660 1032 -1 15 4 8 8 614 487 1.297 1.297 0 0 0 0 5593.62 349.601 0.00 0.00 0.00 -1 -1 0.00 0.00226716 0.00212992 + timing/k6_N10_40nm.xml clock_set_delay_aliases.blif common_-sdc_file_sdc/samples/clock_aliases/set_delay.sdc 0.35 vpr 59.66 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 2 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61088 2 2 22 24 2 4 6 4 4 16 clb auto 21.2 MiB 0.01 8 15 5 7 3 59.7 MiB 0.00 0.00 1.297 0 0 1.297 0.01 6.7393e-05 5.6956e-05 0.000402551 0.000351966 -1 -1 -1 -1 6 12 3 72000 36000 4025.56 251.598 0.01 0.00291274 0.00268287 660 1032 -1 15 4 8 8 644 530 1.297 1.297 0 0 0 0 5593.62 349.601 0.00 0.00 0.00 -1 -1 0.00 0.00264613 0.00228889 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_buf/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_buf/config/golden_results.txt index 82ec46d8b99..55f3e1dd3ba 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_buf/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_buf/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params crit_path_delay_mcw clk_to_clk_cpd clk_to_clk2_cpd clk_to_input_cpd clk_to_output_cpd clk2_to_clk2_cpd clk2_to_clk_cpd clk2_to_input_cpd clk2_to_output_cpd input_to_input_cpd input_to_clk_cpd input_to_clk2_cpd input_to_output_cpd output_to_output_cpd output_to_clk_cpd output_to_clk2_cpd output_to_input_cpd clk_to_clk_setup_slack clk_to_clk2_setup_slack clk_to_input_setup_slack clk_to_output_setup_slack clk2_to_clk2_setup_slack clk2_to_clk_setup_slack clk2_to_input_setup_slack clk2_to_output_setup_slack input_to_input_setup_slack input_to_clk_setup_slack input_to_clk2_setup_slack input_to_output_setup_slack output_to_output_setup_slack output_to_clk_setup_slack output_to_clk2_setup_slack output_to_input_setup_slack clk_to_clk_hold_slack clk_to_clk2_hold_slack clk_to_input_hold_slack clk_to_output_hold_slack clk2_to_clk2_hold_slack clk2_to_clk_hold_slack clk2_to_input_hold_slack clk2_to_output_hold_slack input_to_input_hold_slack input_to_clk_hold_slack input_to_clk2_hold_slack input_to_output_hold_slack output_to_output_hold_slack output_to_clk_hold_slack output_to_clk2_hold_slack output_to_input_hold_slack - k6_frac_N10_mem32K_40nm_clk_buf.xml multiclock_buf.blif common 1.66039 0.545 -1 -1 -1 0.545 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.293 -1 -1 -1 0.293 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm_clk_buf.xml multiclock_buf.blif common 1.69449 0.545 -1 -1 -1 0.545 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.293 -1 -1 -1 0.293 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_modeling/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_modeling/config/golden_results.txt index cfd2d545cc8..c54c9279c53 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_modeling/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_modeling/config/golden_results.txt @@ -1,9 +1,9 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_global_nets num_routed_nets - timing/k6_N10_40nm.xml microbenchmarks/d_flip_flop.v common_-start_odin_--clock_modeling_ideal_--route_chan_width_60 0.41 vpr 57.28 MiB 0.00 6388 -1 -1 1 0.03 -1 -1 29960 -1 -1 1 2 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58652 2 1 3 4 1 3 4 3 3 9 -1 auto 18.6 MiB 0.00 6 9 3 5 1 57.3 MiB 0.04 0.00 0.55447 -0.91031 -0.55447 0.55447 0.00 2.1796e-05 1.6522e-05 0.000193754 0.00015861 -1 -1 -1 -1 -1 2 4 18000 18000 14049.7 1561.07 0.00 0.00168667 0.00157156 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 2 - timing/k6_N10_40nm.xml microbenchmarks/d_flip_flop.v common_-start_odin_--clock_modeling_route_--route_chan_width_60 0.39 vpr 57.19 MiB 0.00 6340 -1 -1 1 0.03 -1 -1 29884 -1 -1 1 2 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58560 2 1 3 4 1 3 4 3 3 9 -1 auto 18.5 MiB 0.00 9 9 3 3 3 57.2 MiB 0.00 0.00 0.48631 -0.91031 -0.48631 0.48631 0.00 1.7329e-05 1.1723e-05 0.000120696 9.1803e-05 -1 -1 -1 -1 -1 4 3 18000 18000 15707.9 1745.32 0.00 0.00149934 0.00140654 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 3 - timing/k6_N10_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_ideal_--route_chan_width_60 5.17 vpr 59.21 MiB 0.36 59164 -1 -1 2 1.59 -1 -1 50532 -1 -1 155 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60628 5 156 191 347 1 163 316 15 15 225 clb auto 19.6 MiB 0.04 29 84166 60542 3208 20416 59.2 MiB 0.13 0.00 1.49664 -15.1312 -1.49664 1.49664 0.00 0.000406843 0.000383604 0.0325033 0.0306122 -1 -1 -1 -1 -1 40 7 3.042e+06 2.79e+06 863192. 3836.41 0.01 0.0416902 0.0390742 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 154 9 - timing/k6_N10_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_route_--route_chan_width_60 5.29 vpr 59.23 MiB 0.44 59264 -1 -1 2 1.66 -1 -1 50664 -1 -1 155 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60656 5 156 191 347 1 163 316 15 15 225 clb auto 19.6 MiB 0.04 36 74491 53339 3165 17987 59.2 MiB 0.11 0.00 1.49775 -14.6149 -1.49775 1.49775 0.00 0.000376659 0.000354057 0.027722 0.0260055 -1 -1 -1 -1 -1 54 6 3.042e+06 2.79e+06 892591. 3967.07 0.01 0.0361212 0.0337603 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 153 10 - timing/k6_N10_mem32K_40nm.xml microbenchmarks/d_flip_flop.v common_-start_odin_--clock_modeling_ideal_--route_chan_width_60 0.34 vpr 62.88 MiB 0.01 6524 -1 -1 1 0.03 -1 -1 30032 -1 -1 1 2 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64384 2 1 3 4 1 3 4 3 3 9 -1 auto 24.1 MiB 0.00 6 9 3 5 1 62.9 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.00 1.8462e-05 1.3116e-05 0.000143184 0.000107932 -1 -1 -1 -1 -1 2 3 53894 53894 12370.0 1374.45 0.00 0.00154548 0.00142994 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 2 - timing/k6_N10_mem32K_40nm.xml microbenchmarks/d_flip_flop.v common_-start_odin_--clock_modeling_route_--route_chan_width_60 0.38 vpr 62.88 MiB 0.01 6520 -1 -1 1 0.02 -1 -1 29980 -1 -1 1 2 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64388 2 1 3 4 1 3 4 3 3 9 -1 auto 24.1 MiB 0.00 9 9 3 3 3 62.9 MiB 0.00 0.00 0.48631 -0.90831 -0.48631 0.48631 0.00 1.7389e-05 1.2439e-05 0.000110474 8.1262e-05 -1 -1 -1 -1 -1 4 2 53894 53894 14028.3 1558.70 0.00 0.00162603 0.00153736 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 3 - timing/k6_N10_mem32K_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_ideal_--route_chan_width_60 4.85 vpr 69.68 MiB 0.15 16496 -1 -1 2 0.15 -1 -1 33692 -1 -1 43 311 15 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 71352 311 156 972 1128 1 953 525 28 28 784 memory auto 30.0 MiB 0.53 9455 210108 77830 122308 9970 69.7 MiB 1.15 0.02 3.97422 -4336.45 -3.97422 3.97422 0.00 0.00487926 0.00437885 0.503553 0.447369 -1 -1 -1 -1 -1 13425 12 4.25198e+07 1.05374e+07 2.96205e+06 3778.13 0.40 0.699755 0.628908 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 15 938 - timing/k6_N10_mem32K_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_route_--route_chan_width_60 5.65 vpr 69.53 MiB 0.19 16512 -1 -1 2 0.16 -1 -1 33768 -1 -1 43 311 15 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 71200 311 156 972 1128 1 953 525 28 28 784 memory auto 29.9 MiB 0.55 9870 203757 68308 124561 10888 69.5 MiB 1.17 0.02 3.91483 -3854.15 -3.91483 3.91483 0.00 0.00501054 0.00442487 0.50283 0.44465 -1 -1 -1 -1 -1 13822 12 4.25198e+07 1.05374e+07 3.02951e+06 3864.17 0.47 0.720818 0.646017 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 14 939 + timing/k6_N10_40nm.xml microbenchmarks/d_flip_flop.v common_-start_odin_--clock_modeling_ideal_--route_chan_width_60 0.26 vpr 59.67 MiB 0.00 6912 -1 -1 1 0.02 -1 -1 33484 -1 -1 1 2 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61100 2 1 3 4 1 3 4 3 3 9 -1 auto 21.4 MiB 0.00 6 9 3 5 1 59.7 MiB 0.00 0.00 0.55447 -0.91031 -0.55447 0.55447 0.00 1.4271e-05 9.019e-06 0.000105429 7.7044e-05 -1 -1 -1 -1 -1 2 4 18000 18000 14049.7 1561.07 0.00 0.00167801 0.00157995 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 2 + timing/k6_N10_40nm.xml microbenchmarks/d_flip_flop.v common_-start_odin_--clock_modeling_route_--route_chan_width_60 0.26 vpr 59.67 MiB 0.00 6912 -1 -1 1 0.02 -1 -1 33216 -1 -1 1 2 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61100 2 1 3 4 1 3 4 3 3 9 -1 auto 21.4 MiB 0.00 9 9 3 3 3 59.7 MiB 0.00 0.00 0.48631 -0.91031 -0.48631 0.48631 0.00 1.5585e-05 1.0104e-05 0.000105029 7.6023e-05 -1 -1 -1 -1 -1 4 3 18000 18000 15707.9 1745.32 0.00 0.00153942 0.00144868 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 3 + timing/k6_N10_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_ideal_--route_chan_width_60 4.33 abc 63.01 MiB 0.24 59520 -1 -1 2 1.56 -1 -1 64520 -1 -1 155 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63024 5 156 191 347 1 163 316 15 15 225 clb auto 22.0 MiB 0.04 29 82016 58904 3157 19955 61.5 MiB 0.15 0.00 1.49664 -15.1312 -1.49664 1.49664 0.00 0.000408132 0.000370067 0.0341355 0.031078 -1 -1 -1 -1 -1 32 6 3.042e+06 2.79e+06 863192. 3836.41 0.01 0.0428942 0.0391583 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 154 9 + timing/k6_N10_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_route_--route_chan_width_60 4.51 abc 63.14 MiB 0.34 59776 -1 -1 2 1.51 -1 -1 64652 -1 -1 155 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63260 5 156 191 347 1 163 316 15 15 225 clb auto 22.2 MiB 0.02 41 76641 54775 3226 18640 61.8 MiB 0.14 0.00 1.49775 -14.6172 -1.49775 1.49775 0.00 0.000395712 0.000358237 0.0299271 0.0269791 -1 -1 -1 -1 -1 63 5 3.042e+06 2.79e+06 892591. 3967.07 0.01 0.0377601 0.0341837 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 153 10 + timing/k6_N10_mem32K_40nm.xml microbenchmarks/d_flip_flop.v common_-start_odin_--clock_modeling_ideal_--route_chan_width_60 0.33 vpr 65.29 MiB 0.01 7040 -1 -1 1 0.03 -1 -1 33412 -1 -1 1 2 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66856 2 1 3 4 1 3 4 3 3 9 -1 auto 26.8 MiB 0.00 6 9 3 5 1 65.3 MiB 0.00 0.00 0.55247 -0.90831 -0.55247 0.55247 0.00 1.5615e-05 1.0606e-05 0.000109326 8.0241e-05 -1 -1 -1 -1 -1 2 3 53894 53894 12370.0 1374.45 0.00 0.00165784 0.00156601 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 2 + timing/k6_N10_mem32K_40nm.xml microbenchmarks/d_flip_flop.v common_-start_odin_--clock_modeling_route_--route_chan_width_60 0.25 vpr 65.38 MiB 0.01 7040 -1 -1 1 0.02 -1 -1 33208 -1 -1 1 2 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66952 2 1 3 4 1 3 4 3 3 9 -1 auto 27.1 MiB 0.00 9 9 3 3 3 65.4 MiB 0.00 0.00 0.48631 -0.90831 -0.48631 0.48631 0.00 1.6671e-05 1.1297e-05 0.000111494 8.1284e-05 -1 -1 -1 -1 -1 4 2 53894 53894 14028.3 1558.70 0.00 0.00178932 0.0017001 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0 3 + timing/k6_N10_mem32K_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_ideal_--route_chan_width_60 4.46 vpr 71.98 MiB 0.15 16896 -1 -1 2 0.16 -1 -1 37600 -1 -1 43 311 15 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73704 311 156 972 1128 1 953 525 28 28 784 memory auto 32.4 MiB 0.58 8394 210108 78030 120895 11183 72.0 MiB 1.33 0.02 3.90475 -4339.03 -3.90475 3.90475 0.00 0.00537924 0.00456001 0.565099 0.476317 -1 -1 -1 -1 -1 12247 12 4.25198e+07 1.05374e+07 2.96205e+06 3778.13 0.38 0.731735 0.628685 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 15 938 + timing/k6_N10_mem32K_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_route_--route_chan_width_60 4.57 vpr 72.21 MiB 0.16 17152 -1 -1 2 0.16 -1 -1 37596 -1 -1 43 311 15 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73948 311 156 972 1128 1 953 525 28 28 784 memory auto 32.4 MiB 0.52 9639 203757 70988 121974 10795 72.2 MiB 1.36 0.02 4.05379 -3834.49 -4.05379 4.05379 0.00 0.00624609 0.00547423 0.627089 0.535493 -1 -1 -1 -1 -1 13797 11 4.25198e+07 1.05374e+07 3.02951e+06 3864.17 0.48 0.824983 0.713955 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 14 939 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_pll/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_pll/config/golden_results.txt index 0dd8c10602e..270c7d97d80 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_pll/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_clock_pll/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_frac_N10_mem32K_40nm_clk_pll_valid.xml multiclock_buf.blif common 0.74 vpr 63.63 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65156 8 4 25 28 5 19 19 6 6 36 clb auto 24.9 MiB 0.49 52 194 34 129 31 63.6 MiB 0.00 0.00 1.3678 -5.84519 -1.3678 0.545 0.00 5.5961e-05 4.3116e-05 0.000686942 0.000559692 -1 -1 -1 -1 94 6.71429 38 2.71429 16 16 1079 432 431152 215576 56755.0 1576.53 2 2184 7490 -1 1.70371 0.545 -7.0897 -1.70371 -0.508975 -0.416549 0.01 -1 -1 63.6 MiB 0.00 0.00293536 0.0026611 63.6 MiB -1 0.01 - k6_frac_N10_mem32K_40nm_clk_pll_invalid.xml multiclock_buf.blif common 0.04 vpr 18.31 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 18748 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm_clk_pll_valid.xml multiclock_buf.blif common 0.68 vpr 66.20 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67792 8 4 25 28 5 19 19 6 6 36 clb auto 27.9 MiB 0.43 51 194 39 119 36 66.2 MiB 0.00 0.00 1.41795 -5.85435 -1.41795 0.545 0.00 6.5511e-05 4.9348e-05 0.000956967 0.000802791 -1 -1 -1 -1 86 6.14286 35 2.50000 16 16 675 275 431152 215576 56755.0 1576.53 2 2184 7490 -1 1.6578 0.545 -6.7903 -1.6578 -0.42675 -0.369747 0.01 -1 -1 66.2 MiB 0.00 0.00312006 0.00280809 66.2 MiB -1 0.01 + k6_frac_N10_mem32K_40nm_clk_pll_invalid.xml multiclock_buf.blif common 0.03 vpr 20.92 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 21424 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_constant_outputs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_constant_outputs/config/golden_results.txt index 4ff0e8b463a..a8229d3cc5f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_constant_outputs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_constant_outputs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml constant_outputs_only.blif common 0.50 vpr 62.78 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64284 -1 2 2 4 0 2 4 4 4 16 clb auto 24.1 MiB 0.01 0 9 0 2 7 62.8 MiB 0.00 0.00 nan 0 0 nan 0.01 1.5871e-05 9.709e-06 0.000102284 7.0143e-05 -1 -1 -1 -1 2 0 1 107788 107788 1342.00 83.8749 0.00 0.00143641 0.00135809 504 462 -1 0 1 0 0 0 0 nan nan 0 0 0 0 1342.00 83.8749 0.00 0.00 0.00 -1 -1 0.00 0.00160323 0.00154708 + k6_N10_mem32K_40nm.xml constant_outputs_only.blif common 0.39 vpr 65.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66856 -1 2 2 4 0 2 4 4 4 16 clb auto 27.0 MiB 0.00 0 9 0 2 7 65.3 MiB 0.00 0.00 nan 0 0 nan 0.01 1.324e-05 7.342e-06 8.3458e-05 5.501e-05 -1 -1 -1 -1 2 0 1 107788 107788 1342.00 83.8749 0.00 0.00154065 0.00146112 504 462 -1 0 1 0 0 0 0 nan nan 0 0 0 0 1342.00 83.8749 0.00 0.00 0.00 -1 -1 0.00 0.00148898 0.00145049 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_custom_grid/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_custom_grid/config/golden_results.txt index be7b1d7e3c9..dedf8b436ab 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_custom_grid/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_custom_grid/config/golden_results.txt @@ -1,9 +1,9 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - fixed_grid.xml raygentop.v common 33.90 vpr 92.21 MiB 0.48 31696 -1 -1 3 1.32 -1 -1 40548 -1 -1 123 214 0 8 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 94428 214 305 2963 2869 1 1444 650 25 25 625 -1 25x25 44.0 MiB 3.82 12566 298934 100179 176792 21963 84.9 MiB 2.12 0.03 4.48882 -2692.89 -4.48882 4.48882 2.29 0.00709216 0.00653764 0.858961 0.793235 -1 -1 -1 -1 56 23237 32 3.19446e+07 9.79696e+06 2.27235e+06 3635.76 16.01 3.41745 3.12097 68115 457904 -1 20684 16 5341 11970 1408411 380795 4.73758 4.73758 -2976.98 -4.73758 0 0 2.89946e+06 4639.14 0.78 0.63 0.39 -1 -1 0.78 0.342569 0.318423 - column_io.xml raygentop.v common 38.50 vpr 84.88 MiB 0.44 31584 -1 -1 3 1.32 -1 -1 40468 -1 -1 123 214 0 8 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 86916 214 305 2963 2869 1 1444 650 25 25 625 io auto 44.1 MiB 3.74 11473 259538 92955 142257 24326 84.9 MiB 2.04 0.03 4.47884 -2622.54 -4.47884 4.47884 2.19 0.00782519 0.00725944 0.825246 0.760138 -1 -1 -1 -1 46 25888 44 2.82259e+07 9.79696e+06 1.74878e+06 2798.05 21.24 3.9598 3.60408 57264 344844 -1 20796 16 6055 13443 1912977 469313 4.80041 4.80041 -2970.49 -4.80041 0 0 2.25408e+06 3606.53 0.58 0.69 0.30 -1 -1 0.58 0.341447 0.317119 - multiwidth_blocks.xml raygentop.v common 25.55 vpr 84.75 MiB 0.46 31704 -1 -1 3 1.40 -1 -1 40456 -1 -1 123 214 0 8 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 86780 214 305 2963 2869 1 1444 650 19 19 361 io clb auto 44.0 MiB 3.78 11816 245468 79004 142595 23869 84.7 MiB 1.98 0.03 4.52802 -2725.19 -4.52802 4.52802 0.94 0.00949291 0.00850738 0.847864 0.781876 -1 -1 -1 -1 60 23563 42 1.65001e+07 9.79696e+06 1.13508e+06 3144.28 10.95 3.41073 3.11126 34801 210837 -1 19834 20 6107 14382 2085679 598371 5.05307 5.05307 -2966.13 -5.05307 0 0 1.43369e+06 3971.44 0.44 0.94 0.25 -1 -1 0.44 0.424155 0.39432 - non_column.xml raygentop.v common 47.61 vpr 105.35 MiB 0.62 32012 -1 -1 3 1.48 -1 -1 40516 -1 -1 123 214 0 8 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 107876 214 305 2963 2869 1 1444 650 33 33 1089 io auto 45.4 MiB 3.85 13747 248282 81945 142793 23544 103.0 MiB 1.76 0.02 4.81737 -2724.33 -4.81737 4.81737 3.97 0.0073023 0.00679977 0.722608 0.667884 -1 -1 -1 -1 44 27797 37 5.44432e+07 9.79696e+06 2.74036e+06 2516.40 25.74 3.75279 3.41577 93774 543488 -1 22309 17 5786 13251 1497600 404581 5.13958 5.13958 -3092.11 -5.13958 0 0 3.56397e+06 3272.70 1.02 0.65 0.61 -1 -1 1.02 0.349553 0.324591 - non_column_tall_aspect_ratio.xml raygentop.v common 49.55 vpr 102.16 MiB 0.66 31936 -1 -1 3 1.40 -1 -1 40480 -1 -1 123 214 0 8 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 104612 214 305 2963 2869 1 1444 650 23 46 1058 io auto 45.7 MiB 3.89 13776 239840 84223 119240 36377 99.2 MiB 1.69 0.03 4.68258 -2779.01 -4.68258 4.68258 3.29 0.00749757 0.00702299 0.684672 0.633229 -1 -1 -1 -1 42 31648 41 5.05849e+07 9.79696e+06 2.60561e+06 2462.77 28.66 3.51495 3.19945 89863 510592 -1 24152 20 7442 17660 2259951 590976 5.67716 5.67716 -3200.57 -5.67716 0 0 3.28516e+06 3105.07 0.91 0.87 0.58 -1 -1 0.91 0.399372 0.369203 - non_column_wide_aspect_ratio.xml raygentop.v common 41.85 vpr 98.60 MiB 0.69 31936 -1 -1 3 1.59 -1 -1 40616 -1 -1 123 214 0 8 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 100964 214 305 2963 2869 1 1444 650 43 22 946 io auto 45.4 MiB 3.73 14156 293306 98573 164570 30163 96.1 MiB 2.16 0.02 4.68152 -2812.57 -4.68152 4.68152 3.55 0.00724035 0.00673017 0.925677 0.848397 -1 -1 -1 -1 42 29613 38 4.55909e+07 9.79696e+06 2.29725e+06 2428.38 20.53 3.62206 3.28365 79978 445530 -1 24436 20 8003 18434 2464079 661230 4.86641 4.86641 -3242.4 -4.86641 0 0 2.89121e+06 3056.25 0.80 0.92 0.53 -1 -1 0.80 0.400872 0.370601 - custom_sbloc.xml raygentop.v common 22.72 vpr 84.88 MiB 0.60 31700 -1 -1 3 1.59 -1 -1 40544 -1 -1 123 214 0 8 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 86920 214 305 2963 2869 1 1444 650 19 19 361 io clb auto 44.0 MiB 3.96 11545 253910 83783 147052 23075 84.9 MiB 2.20 0.03 4.53013 -2682.92 -4.53013 4.53013 0.78 0.00994624 0.00941733 0.898398 0.823185 -1 -1 -1 -1 60 22190 29 1.65001e+07 9.79696e+06 1.11685e+06 3093.75 8.02 3.01302 2.74098 34801 214773 -1 19145 15 5739 13180 1453072 396713 4.70711 4.70711 -3011.51 -4.70711 0 0 1.41014e+06 3906.19 0.34 0.44 0.19 -1 -1 0.34 0.223232 0.212144 - multiple_io_types.xml raygentop.v common 134.08 vpr 493.00 MiB 0.37 31604 -1 -1 3 1.38 -1 -1 40464 -1 -1 123 214 0 8 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 504836 214 305 2963 2869 1 1444 650 67 67 4489 io_left auto 44.2 MiB 4.78 27628 90698 4403 23036 63259 493.0 MiB 0.77 0.02 4.46994 -3778.7 -4.46994 4.46994 30.74 0.00783736 0.0072251 0.300586 0.27767 -1 -1 -1 -1 56 40503 35 2.48753e+08 9.79696e+06 1.37773e+07 3069.12 66.77 3.49776 3.17473 415449 2586128 -1 37151 19 7409 16932 3284490 878151 5.12129 5.12129 -4069.29 -5.12129 0 0 1.75917e+07 3918.84 5.30 1.25 2.49 -1 -1 5.30 0.401056 0.370625 + fixed_grid.xml raygentop.v common 22.04 vpr 87.12 MiB 0.37 32000 -1 -1 3 1.37 -1 -1 43832 -1 -1 123 214 0 8 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 89216 214 305 2963 2869 1 1444 650 25 25 625 -1 25x25 45.9 MiB 3.49 12196 290492 92452 176193 21847 87.1 MiB 1.73 0.04 4.70145 -2687.49 -4.70145 4.70145 0.77 0.009062 0.00821446 0.664489 0.598586 -1 -1 -1 -1 50 24072 42 3.19446e+07 9.79696e+06 2.03477e+06 3255.63 9.38 3.04543 2.74886 65619 409230 -1 20090 15 5518 12429 1427524 369655 4.84691 4.84691 -2936.69 -4.84691 0 0 2.61863e+06 4189.80 0.13 0.72 0.40 -1 -1 0.13 0.405468 0.382168 + column_io.xml raygentop.v common 30.34 vpr 87.28 MiB 0.43 32000 -1 -1 3 1.83 -1 -1 43888 -1 -1 123 214 0 8 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 89376 214 305 2963 2869 1 1444 650 25 25 625 io auto 46.2 MiB 3.96 11325 239840 77339 132443 30058 87.3 MiB 2.09 0.03 4.40936 -2625.55 -4.40936 4.40936 1.20 0.0090281 0.0081843 0.836879 0.749323 -1 -1 -1 -1 48 24462 25 2.82259e+07 9.79696e+06 1.82181e+06 2914.90 14.53 3.9566 3.54503 57888 355703 -1 20518 17 5996 13599 1716937 426068 4.7409 4.7409 -2939.5 -4.7409 0 0 2.33544e+06 3736.71 0.17 0.92 0.52 -1 -1 0.17 0.442866 0.410518 + multiwidth_blocks.xml raygentop.v common 23.34 vpr 87.11 MiB 0.46 32000 -1 -1 3 1.66 -1 -1 43932 -1 -1 123 214 0 8 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 89196 214 305 2963 2869 1 1444 650 19 19 361 io clb auto 45.9 MiB 4.70 10825 234212 78128 135288 20796 87.1 MiB 2.20 0.03 4.45499 -2656.92 -4.45499 4.45499 0.58 0.00914429 0.00826611 0.84541 0.761865 -1 -1 -1 -1 60 22314 37 1.65001e+07 9.79696e+06 1.13508e+06 3144.28 8.15 3.5171 3.1499 34801 210837 -1 18718 16 6372 15066 2125910 636768 4.83864 4.83864 -2933.88 -4.83864 0 0 1.43369e+06 3971.44 0.09 1.02 0.34 -1 -1 0.09 0.423489 0.392785 + non_column.xml raygentop.v common 50.57 vpr 101.43 MiB 0.57 32128 -1 -1 3 1.64 -1 -1 43688 -1 -1 123 214 0 8 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 103864 214 305 2963 2869 1 1444 650 33 33 1089 io auto 47.6 MiB 3.38 13852 267980 91761 149229 26990 98.5 MiB 2.25 0.03 4.81737 -2748.68 -4.81737 4.81737 2.25 0.00871345 0.00790536 0.883704 0.789723 -1 -1 -1 -1 46 27889 41 5.44432e+07 9.79696e+06 2.87196e+06 2637.24 31.91 4.82411 4.34906 94862 558952 -1 23226 19 7179 17098 2136481 565014 5.00295 5.00295 -3094.61 -5.00295 0 0 3.68462e+06 3383.49 0.29 1.11 0.95 -1 -1 0.29 0.492691 0.457205 + non_column_tall_aspect_ratio.xml raygentop.v common 41.13 vpr 107.46 MiB 0.65 32128 -1 -1 3 2.07 -1 -1 43888 -1 -1 123 214 0 8 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 110040 214 305 2963 2869 1 1444 650 23 46 1058 io auto 47.5 MiB 4.75 12924 225770 77986 115287 32497 98.0 MiB 1.99 0.02 4.68258 -2746.61 -4.68258 4.68258 2.17 0.00617553 0.00548634 0.751525 0.668955 -1 -1 -1 -1 50 24702 35 5.05849e+07 9.79696e+06 3.07243e+06 2904.00 20.48 4.80846 4.28853 95149 595581 -1 21346 17 5714 12751 1591343 424414 4.99583 4.99583 -3024.29 -4.99583 0 0 3.91054e+06 3696.17 0.39 1.00 1.06 -1 -1 0.39 0.462953 0.424532 + non_column_wide_aspect_ratio.xml raygentop.v common 40.58 vpr 101.39 MiB 0.68 32000 -1 -1 3 1.80 -1 -1 43696 -1 -1 123 214 0 8 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 103820 214 305 2963 2869 1 1444 650 43 22 946 io auto 47.4 MiB 4.77 13982 276422 90498 164087 21837 95.1 MiB 2.48 0.03 4.68152 -2857.71 -4.68152 4.68152 2.06 0.0081203 0.0072676 0.94579 0.847056 -1 -1 -1 -1 50 26296 34 4.55909e+07 9.79696e+06 2.70028e+06 2854.41 19.21 5.11489 4.60481 84704 520009 -1 22872 18 6244 14195 1614167 427447 4.86473 4.86473 -3155.96 -4.86473 0 0 3.44953e+06 3646.44 0.30 1.16 1.00 -1 -1 0.30 0.501153 0.460019 + custom_sbloc.xml raygentop.v common 25.95 vpr 86.98 MiB 0.39 32000 -1 -1 3 1.58 -1 -1 43804 -1 -1 123 214 0 8 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 89072 214 305 2963 2869 1 1444 650 19 19 361 io clb auto 45.8 MiB 4.86 11696 245468 82823 140883 21762 87.0 MiB 2.50 0.04 4.53013 -2681.39 -4.53013 4.53013 0.61 0.00909806 0.00824441 0.931511 0.836258 -1 -1 -1 -1 62 22622 49 1.65001e+07 9.79696e+06 1.15634e+06 3203.15 10.71 4.10582 3.71488 35161 219597 -1 19429 17 6137 14618 1898349 506769 4.83748 4.83748 -2977.52 -4.83748 0 0 1.43990e+06 3988.64 0.05 0.92 0.41 -1 -1 0.05 0.399467 0.368878 + multiple_io_types.xml raygentop.v common 148.40 vpr 474.05 MiB 0.38 31872 -1 -1 3 1.46 -1 -1 43604 -1 -1 123 214 0 8 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 485424 214 305 2963 2869 1 1444 650 67 67 4489 io_left auto 46.2 MiB 5.36 26050 90698 4115 22648 63935 474.0 MiB 0.95 0.03 4.73667 -3563.79 -4.73667 4.73667 26.71 0.00792183 0.00702959 0.36772 0.321766 -1 -1 -1 -1 52 41451 45 2.48753e+08 9.79696e+06 1.27607e+07 2842.65 95.91 4.21007 3.80326 406473 2447650 -1 35770 21 7664 17455 3505363 891802 5.27395 5.27395 -3927.86 -5.27395 0 0 1.67786e+07 3737.72 0.99 1.06 2.69 -1 -1 0.99 0.318577 0.29589 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_custom_pin_locs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_custom_pin_locs/config/golden_results.txt index 348a34af9ba..032d95a320e 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_custom_pin_locs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_custom_pin_locs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm_custom_pins.xml ch_intrinsics.v common 5.22 vpr 65.48 MiB 0.07 9376 -1 -1 3 0.34 -1 -1 34576 -1 -1 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67052 99 130 363 493 1 251 298 12 12 144 clb auto 26.1 MiB 0.16 830 72933 24114 36385 12434 65.5 MiB 0.26 0.00 2.31523 -217.996 -2.31523 2.31523 0.32 0.00107013 0.00100915 0.0756674 0.0712994 -1 -1 -1 -1 38 1547 11 5.66058e+06 4.21279e+06 328943. 2284.32 2.50 0.428144 0.389705 12522 66188 -1 1392 8 487 648 34594 11334 2.74555 2.74555 -237.815 -2.74555 0 0 418267. 2904.63 0.13 0.05 0.07 -1 -1 0.13 0.0295936 0.0277122 + k6_frac_N10_mem32K_40nm_custom_pins.xml ch_intrinsics.v common 3.10 vpr 67.66 MiB 0.06 9856 -1 -1 3 0.38 -1 -1 39496 -1 -1 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69284 99 130 363 493 1 251 298 12 12 144 clb auto 28.7 MiB 0.15 804 66963 21682 33533 11748 67.7 MiB 0.23 0.00 2.23767 -220.613 -2.23767 2.23767 0.25 0.00122229 0.00116469 0.0742124 0.0677305 -1 -1 -1 -1 38 1639 12 5.66058e+06 4.21279e+06 328943. 2284.32 0.72 0.298961 0.271262 12522 66188 -1 1359 8 559 726 39339 13482 2.60043 2.60043 -237.265 -2.60043 0 0 418267. 2904.63 0.03 0.05 0.11 -1 -1 0.03 0.0322868 0.0303399 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_custom_switch_block/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_custom_switch_block/config/golden_results.txt index 57ef852f6b8..a65248b7f30 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_custom_switch_block/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_custom_switch_block/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml ch_intrinsics.v common 4.48 vpr 62.46 MiB 0.06 9372 -1 -1 4 0.34 -1 -1 34600 -1 -1 75 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 63964 99 130 378 508 1 307 305 15 15 225 memory auto 22.6 MiB 0.10 1111 75203 25546 36272 13385 62.5 MiB 0.26 0.00 1.73414 -174.802 -1.73414 1.73414 0.00 0.000976408 0.000916184 0.0779894 0.0732724 -1 -1 -1 -1 1512 6.17143 792 3.23265 713 1663 202968 51102 1.16234e+06 375248 2.18283e+06 9701.45 12 48952 428016 -1 1.89635 1.89635 -187.166 -1.89635 0 0 0.66 -1 -1 62.5 MiB 0.12 0.112709 0.105511 62.5 MiB -1 2.26 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm.xml ch_intrinsics.v common 2.43 vpr 64.82 MiB 0.05 9728 -1 -1 4 0.35 -1 -1 39692 -1 -1 75 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66380 99 130 378 508 1 307 305 15 15 225 memory auto 25.3 MiB 0.06 1083 69047 24301 32567 12179 64.8 MiB 0.22 0.01 1.63577 -172.755 -1.63577 1.63577 0.00 0.00106212 0.000958102 0.0684136 0.0626923 -1 -1 -1 -1 1479 6.03673 767 3.13061 797 1865 235419 59319 1.16234e+06 375248 2.18283e+06 9701.45 16 48952 428016 -1 1.89463 1.89463 -188.601 -1.89463 -0.194976 -0.108352 0.68 -1 -1 64.8 MiB 0.11 0.107667 0.0986163 64.8 MiB -1 0.37 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_dedicated_clock/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_dedicated_clock/config/golden_results.txt index d18183d9a08..c044fb36631 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_dedicated_clock/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_dedicated_clock/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_global_nets num_routed_nets - timing/k6_frac_N10_frac_chain_mem32K_htree0_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_dedicated_network 28.10 vpr 85.89 MiB 0.23 16788 -1 -1 2 0.16 -1 -1 33892 -1 -1 31 311 15 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 87952 311 156 1019 1160 1 965 513 28 28 784 memory auto 32.2 MiB 0.92 8945 195453 67462 117452 10539 83.1 MiB 1.14 0.02 4.24256 -3535.29 -4.24256 4.24256 3.03 0.0047266 0.00420892 0.495161 0.440444 -1 -1 -1 -1 46 14258 14 4.25198e+07 9.89071e+06 2.42825e+06 3097.26 14.01 2.26688 2.03164 81963 495902 -1 13674 12 2508 2888 1039968 435011 4.40824 4.40824 -4330.54 -4.40824 -371.448 -1.34258 3.12000e+06 3979.60 0.98 1.97 0.43 -1 -1 0.98 0.192689 0.174884 15 950 - timing/k6_frac_N10_frac_chain_mem32K_htree0_routedCLK_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_dedicated_network 28.61 vpr 89.27 MiB 0.19 16912 -1 -1 2 0.16 -1 -1 33728 -1 -1 31 311 15 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 91412 311 156 1019 1160 1 965 513 28 28 784 memory auto 32.3 MiB 1.00 8945 195453 67462 117452 10539 83.9 MiB 1.09 0.02 4.24256 -3535.29 -4.24256 4.24256 3.23 0.00439673 0.00387964 0.464219 0.409008 -1 -1 -1 -1 46 14278 13 4.25198e+07 9.89071e+06 2.47848e+06 3161.33 14.05 2.05809 1.83585 81963 509322 -1 13687 11 2477 2842 628309 183554 4.6903 4.6903 -4253.53 -4.6903 -195.104 -1.3767 3.17357e+06 4047.92 1.31 2.14 0.43 -1 -1 1.31 0.196452 0.182983 15 950 - timing/k6_frac_N10_frac_chain_mem32K_htree0short_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_dedicated_network 28.86 vpr 86.38 MiB 0.18 16924 -1 -1 2 0.18 -1 -1 33688 -1 -1 31 311 15 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 88452 311 156 1019 1160 1 965 513 28 28 784 memory auto 32.4 MiB 0.86 9287 195453 66686 117509 11258 82.3 MiB 1.11 0.02 4.12801 -3603.7 -4.12801 4.12801 2.98 0.00506386 0.00456663 0.488102 0.431794 -1 -1 -1 -1 46 15578 14 4.25198e+07 9.89071e+06 2.42368e+06 3091.42 14.99 2.15465 1.92472 81963 496068 -1 14973 12 2225 2503 1188709 769601 5.70473 5.70473 -4410.99 -5.70473 -1643.75 -3.31884 3.11542e+06 3973.75 0.91 2.07 0.43 -1 -1 0.91 0.187032 0.169642 15 950 + timing/k6_frac_N10_frac_chain_mem32K_htree0_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_dedicated_network 16.11 vpr 77.95 MiB 0.11 17024 -1 -1 2 0.10 -1 -1 37392 -1 -1 31 311 15 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 79820 311 156 1019 1160 1 965 513 28 28 784 memory auto 34.7 MiB 0.63 9390 201609 69489 120331 11789 76.8 MiB 1.40 0.02 4.09817 -3462.19 -4.09817 4.09817 1.75 0.00638402 0.00561878 0.639416 0.550497 -1 -1 -1 -1 36 15662 18 4.25198e+07 9.89071e+06 1.97160e+06 2514.80 6.39 2.29245 2.04057 76483 392267 -1 14444 15 3124 3650 1031496 356426 4.24327 4.24327 -4339.34 -4.24327 -405.202 -1.29702 2.42825e+06 3097.26 0.20 1.56 0.59 -1 -1 0.20 0.278119 0.255639 15 950 + timing/k6_frac_N10_frac_chain_mem32K_htree0_routedCLK_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_dedicated_network 12.94 vpr 83.35 MiB 0.18 17024 -1 -1 2 0.12 -1 -1 37644 -1 -1 31 311 15 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 85352 311 156 1019 1160 1 965 513 28 28 784 memory auto 35.0 MiB 0.95 9390 201609 69489 120331 11789 83.4 MiB 0.81 0.01 4.09817 -3462.19 -4.09817 4.09817 1.06 0.00320533 0.00273182 0.351431 0.298654 -1 -1 -1 -1 36 15777 15 4.25198e+07 9.89071e+06 2.00618e+06 2558.90 4.74 1.57738 1.39763 76483 403003 -1 14373 10 2886 3379 762706 219312 4.3954 4.3954 -4595.94 -4.3954 -153.524 -1.32288 2.47848e+06 3161.33 0.22 1.20 0.50 -1 -1 0.22 0.199455 0.182428 15 950 + timing/k6_frac_N10_frac_chain_mem32K_htree0short_40nm.xml verilog/mkPktMerge.v common_-start_odin_--clock_modeling_dedicated_network 20.64 vpr 78.23 MiB 0.14 17152 -1 -1 2 0.19 -1 -1 37392 -1 -1 31 311 15 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 80112 311 156 1019 1160 1 965 513 28 28 784 memory auto 35.0 MiB 0.82 8956 201609 71778 118284 11547 78.2 MiB 1.41 0.02 3.73942 -3418.22 -3.73942 3.73942 1.71 0.00607733 0.00531502 0.647808 0.554869 -1 -1 -1 -1 36 16279 32 4.25198e+07 9.89071e+06 1.96702e+06 2508.96 9.86 2.33237 2.04039 76483 392433 -1 15198 14 2704 3167 1739681 1219090 5.58949 5.58949 -4496.49 -5.58949 -1697.62 -3.42836 2.42368e+06 3091.42 0.20 2.25 0.55 -1 -1 0.20 0.242641 0.222883 15 950 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_default_fc_pinlocs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_default_fc_pinlocs/config/golden_results.txt index b07d8e1e443..3e3e8b64dd5 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_default_fc_pinlocs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_default_fc_pinlocs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k4_N4_90nm_default_fc_pinloc.xml diffeq.blif common 14.06 vpr 69.08 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 438 64 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70736 64 39 1935 1974 1 1077 541 23 23 529 clb auto 28.9 MiB 0.36 10085 137127 36539 98027 2561 69.1 MiB 1.18 0.02 7.41831 -1418.64 -7.41831 7.41831 0.87 0.00457621 0.0038989 0.327684 0.280049 -1 -1 -1 -1 22 12754 28 983127 976439 735934. 1391.18 7.82 1.0919 0.944895 35322 121345 -1 11109 19 6608 23845 1462488 382373 7.14816 7.14816 -1474.13 -7.14816 0 0 927497. 1753.30 0.17 0.70 0.15 -1 -1 0.17 0.211387 0.189904 + k4_N4_90nm_default_fc_pinloc.xml diffeq.blif common 16.94 vpr 71.64 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 438 64 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73360 64 39 1935 1974 1 1077 541 23 23 529 clb auto 31.5 MiB 0.26 10472 141533 36950 100839 3744 71.6 MiB 1.41 0.02 7.46482 -1369.01 -7.46482 7.46482 0.60 0.00534435 0.00471558 0.398834 0.330633 -1 -1 -1 -1 24 13068 28 983127 976439 797780. 1508.09 11.25 2.1497 1.834 39018 137339 -1 11478 18 6600 23331 1479297 381870 7.27304 7.27304 -1454.66 -7.27304 0 0 1.04508e+06 1975.57 0.04 0.76 0.21 -1 -1 0.04 0.209487 0.18755 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_depop/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_depop/config/golden_results.txt index 58a35002d9a..57a8e16dad9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_depop/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_depop/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 34.24 vpr 86.90 MiB 0.42 29492 -1 -1 4 2.47 -1 -1 38196 -1 -1 169 193 5 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 88984 193 205 2863 2789 1 1374 572 20 20 400 memory auto 43.5 MiB 2.02 11201 252110 92751 131930 27429 84.6 MiB 2.85 0.03 4.45067 -2677.23 -4.45067 4.45067 1.22 0.0117307 0.0109776 1.3522 1.20596 -1 -1 -1 -1 80 22067 39 2.07112e+07 1.18481e+07 2.10510e+06 5262.74 18.77 4.81689 4.2918 53274 447440 -1 19298 16 5571 15462 1144445 252495 4.66289 4.66289 -2899.83 -4.66289 -11.7102 -0.360359 2.64606e+06 6615.15 0.68 0.61 0.38 -1 -1 0.68 0.361937 0.331231 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 26.42 vpr 86.55 MiB 0.39 29568 -1 -1 4 2.92 -1 -1 43300 -1 -1 169 193 5 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 88632 193 205 2863 2789 1 1374 572 20 20 400 memory auto 45.3 MiB 1.96 10985 240245 81936 130873 27436 86.6 MiB 2.98 0.04 4.42447 -2617.73 -4.42447 4.42447 0.87 0.010731 0.00973575 1.17585 1.01795 -1 -1 -1 -1 78 21148 32 2.07112e+07 1.18481e+07 2.06176e+06 5154.39 12.21 3.92596 3.45972 52874 439520 -1 19015 16 5137 14374 1050969 231484 5.06231 5.06231 -2806.44 -5.06231 -11.1461 -0.341744 2.60035e+06 6500.87 0.19 0.81 0.45 -1 -1 0.19 0.495649 0.459932 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_detailed_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_detailed_timing/config/golden_results.txt index 8ee4a9abbe5..7d3c0c996a1 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_detailed_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_detailed_timing/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 5.07 vpr 65.37 MiB 0.06 9596 -1 -1 3 0.31 -1 -1 34612 -1 -1 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66936 99 130 363 493 1 251 298 12 12 144 clb auto 26.0 MiB 0.16 830 72933 24114 36385 12434 65.4 MiB 0.28 0.00 2.31523 -217.996 -2.31523 2.31523 0.35 0.00104781 0.000982566 0.0900921 0.0848773 -1 -1 -1 -1 38 1583 13 5.66058e+06 4.21279e+06 319130. 2216.18 2.23 0.432918 0.394254 12522 62564 -1 1389 8 493 651 37667 12430 2.73633 2.73633 -236.043 -2.73633 0 0 406292. 2821.48 0.13 0.05 0.07 -1 -1 0.13 0.0283815 0.0266422 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 3.83 vpr 67.63 MiB 0.09 9984 -1 -1 3 0.34 -1 -1 39772 -1 -1 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69252 99 130 363 493 1 251 298 12 12 144 clb auto 28.5 MiB 0.20 804 66963 21682 33533 11748 67.6 MiB 0.34 0.01 2.23767 -220.613 -2.23767 2.23767 0.27 0.000902266 0.000807045 0.0650955 0.0583605 -1 -1 -1 -1 38 1665 16 5.66058e+06 4.21279e+06 319130. 2216.18 1.19 0.319458 0.291293 12522 62564 -1 1367 8 564 725 39208 13509 2.60043 2.60043 -237.701 -2.60043 0 0 406292. 2821.48 0.03 0.06 0.09 -1 -1 0.03 0.0273369 0.0256329 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_diff_mux_for_inc_dec_wires/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_diff_mux_for_inc_dec_wires/config/golden_results.txt index f32816621c9..ceb027e03e3 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_diff_mux_for_inc_dec_wires/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_diff_mux_for_inc_dec_wires/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_40nm.xml stereovision0.v common 169.74 vpr 277.27 MiB 2.37 126048 -1 -1 5 83.26 -1 -1 75348 -1 -1 1337 157 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 283924 157 197 21024 21221 1 6369 1691 39 39 1521 clb auto 124.0 MiB 4.94 49118 978481 352716 605570 20195 277.3 MiB 10.50 0.11 3.82872 -14985.1 -3.82872 3.82872 9.61 0.030689 0.0261212 3.24668 2.69757 -1 -1 -1 -1 36 61735 32 2.4642e+07 2.4066e+07 4.11737e+06 2707.01 35.88 15.6221 12.8274 115990 821377 -1 57847 23 29862 63292 2473837 450954 3.66887 3.66887 -15765.9 -3.66887 0 0 5.03985e+06 3313.51 1.56 2.53 0.62 -1 -1 1.56 1.85817 1.5961 - k6_N10_40nm_diff_switch_for_inc_dec_wires.xml stereovision0.v common 171.11 vpr 275.04 MiB 2.66 126004 -1 -1 5 87.20 -1 -1 75432 -1 -1 1356 157 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 281640 157 197 21024 21221 1 6467 1710 39 39 1521 clb auto 124.0 MiB 5.16 51290 993147 360680 608362 24105 275.0 MiB 10.32 0.11 3.26166 -14917.8 -3.26166 3.26166 9.34 0.0308111 0.0262182 3.21737 2.66176 -1 -1 -1 -1 42 62756 33 7.37824e+07 7.30817e+07 4.49269e+06 2953.77 32.95 14.6319 12.0222 122070 906769 -1 60178 20 29762 65352 2439585 446633 3.2534 3.2534 -15909.6 -3.2534 0 0 5.60675e+06 3686.23 1.70 2.67 0.70 -1 -1 1.70 1.88443 1.62 + k6_N10_40nm.xml stereovision0.v common 198.12 vpr 257.14 MiB 2.00 126464 -1 -1 5 139.65 -1 -1 78708 -1 -1 1337 157 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 263312 157 197 21024 21221 1 6369 1691 39 39 1521 clb auto 124.7 MiB 6.38 48812 948271 327778 599083 21410 257.1 MiB 9.39 0.13 3.8487 -15314.7 -3.8487 3.8487 8.33 0.0426525 0.0388129 3.00817 2.44576 -1 -1 -1 -1 38 60857 30 2.4642e+07 2.4066e+07 4.29790e+06 2825.71 13.17 9.3436 7.78726 119030 883757 -1 57009 24 29792 62484 2448958 439074 3.78459 3.78459 -15886.2 -3.78459 0 0 5.41627e+06 3561.00 0.27 2.25 0.65 -1 -1 0.27 1.68943 1.4738 + k6_N10_40nm_diff_switch_for_inc_dec_wires.xml stereovision0.v common 201.99 vpr 255.40 MiB 2.14 126336 -1 -1 5 142.90 -1 -1 78972 -1 -1 1356 157 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 261528 157 197 21024 21221 1 6467 1710 39 39 1521 clb auto 124.6 MiB 7.21 49809 962484 334554 607807 20123 255.4 MiB 9.87 0.12 3.26114 -15027.4 -3.26114 3.26114 7.20 0.0351221 0.0281327 3.06287 2.48936 -1 -1 -1 -1 38 63075 34 7.37824e+07 7.30817e+07 4.16760e+06 2740.04 13.41 9.93144 8.27431 119030 845795 -1 59104 24 31762 70331 2621462 488165 3.1068 3.1068 -15929.2 -3.1068 0 0 5.22668e+06 3436.35 0.24 2.20 0.62 -1 -1 0.24 1.62443 1.4202 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_eblif_vpr/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_eblif_vpr/config/golden_results.txt index f19ec3ad52a..53aa221bde0 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_eblif_vpr/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_eblif_vpr/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml test_eblif.eblif common 0.41 vpr 58.05 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 3 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59448 3 1 5 6 1 4 5 3 3 9 -1 auto 19.6 MiB 0.00 9 12 4 4 4 58.1 MiB 0.00 0.00 0.52647 -0.88231 -0.52647 0.52647 0.00 1.4994e-05 1.045e-05 0.000115391 8.7864e-05 -1 -1 -1 -1 20 9 2 53894 53894 4880.82 542.314 0.01 0.00160683 0.00150489 379 725 -1 5 1 3 3 29 19 0.545526 0.545526 -1.07365 -0.545526 0 0 6579.40 731.044 0.00 0.01 0.00 -1 -1 0.00 0.0017143 0.00166299 - k6_frac_N10_40nm.xml conn_order.eblif common 0.37 vpr 58.13 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 2 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59528 2 1 4 5 1 3 4 3 3 9 -1 auto 19.7 MiB 0.00 6 9 4 1 4 58.1 MiB 0.00 0.00 0.69084 -1.21731 -0.69084 0.69084 0.00 1.8474e-05 1.3622e-05 0.000123757 9.6624e-05 -1 -1 -1 -1 20 7 2 53894 53894 4880.82 542.314 0.01 0.00168156 0.00158496 379 725 -1 15 1 2 2 51 45 1.70808 1.70808 -2.25272 -1.70808 0 0 6579.40 731.044 0.00 0.00 0.00 -1 -1 0.00 0.00150108 0.00146247 + k6_frac_N10_40nm.xml test_eblif.eblif common 0.35 vpr 60.58 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 3 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62036 3 1 5 6 1 4 5 3 3 9 -1 auto 22.0 MiB 0.00 9 12 4 4 4 60.6 MiB 0.00 0.00 0.52647 -0.88231 -0.52647 0.52647 0.00 6.5921e-05 4.9487e-05 0.000173999 0.000134314 -1 -1 -1 -1 20 9 2 53894 53894 4880.82 542.314 0.01 0.00172845 0.00161091 379 725 -1 5 1 3 3 29 19 0.545526 0.545526 -1.07365 -0.545526 0 0 6579.40 731.044 0.00 0.00 0.00 -1 -1 0.00 0.0015722 0.00153245 + k6_frac_N10_40nm.xml conn_order.eblif common 0.43 vpr 60.14 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 2 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61580 2 1 4 5 1 3 4 3 3 9 -1 auto 21.9 MiB 0.01 6 9 4 1 4 60.1 MiB 0.00 0.00 0.69084 -1.21731 -0.69084 0.69084 0.01 1.6713e-05 1.1905e-05 0.000118437 9.2123e-05 -1 -1 -1 -1 20 7 2 53894 53894 4880.82 542.314 0.01 0.00165899 0.00156225 379 725 -1 15 1 2 2 51 45 1.70808 1.70808 -2.25272 -1.70808 0 0 6579.40 731.044 0.00 0.00 0.00 -1 -1 0.00 0.00153235 0.00149153 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_eblif_vpr_write/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_eblif_vpr_write/config/golden_results.txt index cc7ced1ba94..c0c64f8d2c1 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_eblif_vpr_write/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_eblif_vpr_write/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - arch.xml eblif_write.eblif common 0.35 vpr 56.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57852 3 2 5 7 1 5 7 4 4 16 ff_tile io_tile auto 17.8 MiB 0.00 14 18 7 10 1 56.5 MiB 0.00 0.00 0.198536 -0.769354 -0.198536 0.198536 0.00 2.0474e-05 1.286e-05 0.000117644 8.503e-05 -1 -1 -1 -1 1 8 1 59253.6 29626.8 -1 -1 0.00 0.00148217 0.00137435 136 248 -1 8 1 4 4 68 40 0.189392 0.189392 -0.755508 -0.189392 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00134946 0.00130933 + arch.xml eblif_write.eblif common 0.32 vpr 58.97 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60388 3 2 5 7 1 5 7 4 4 16 ff_tile io_tile auto 20.5 MiB 0.00 14 18 7 10 1 59.0 MiB 0.00 0.00 0.198536 -0.769354 -0.198536 0.198536 0.00 2.3959e-05 1.5869e-05 0.000131827 9.7152e-05 -1 -1 -1 -1 1 8 1 59253.6 29626.8 -1 -1 0.00 0.00165685 0.00154691 136 248 -1 8 1 4 4 68 40 0.189392 0.189392 -0.755508 -0.189392 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00177312 0.00171917 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_echo_files/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_echo_files/config/golden_results.txt index 87280012ec8..c750dd52020 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_echo_files/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_echo_files/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 1.90 vpr 63.89 MiB 0.07 9972 -1 -1 4 0.20 -1 -1 33336 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65424 11 30 262 292 2 99 60 7 7 49 clb auto 24.7 MiB 0.22 439 1932 239 1639 54 63.9 MiB 0.17 0.00 2.45279 -180.032 -2.45279 2.33029 0.00 0.000657413 0.000584504 0.0150448 0.0136066 -1 -1 -1 -1 -1 515 20 1.07788e+06 1.02399e+06 90369.8 1844.28 0.06 0.0521894 0.0464461 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.53 vpr 66.67 MiB 0.08 10368 -1 -1 4 0.21 -1 -1 36920 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68272 11 30 262 292 2 99 60 7 7 49 clb auto 27.0 MiB 0.11 431 1932 256 1610 66 66.7 MiB 0.10 0.00 2.45279 -183.914 -2.45279 2.30526 0.00 0.000756181 0.000646522 0.0198618 0.0177275 -1 -1 -1 -1 -1 458 24 1.07788e+06 1.02399e+06 90369.8 1844.28 0.07 0.0693622 0.0613246 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_equivalent_sites/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_equivalent_sites/config/golden_results.txt index 516b44c0fea..41d36d5dda6 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_equivalent_sites/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_equivalent_sites/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - equivalent.xml equivalent.blif common 0.38 vpr 56.39 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57744 1 1 3 4 0 3 4 4 4 16 io_site_1 auto 17.7 MiB 0.00 9 9 4 5 0 56.4 MiB 0.00 0.00 3.8649 -3.8649 -3.8649 nan 0.00 1.6528e-05 1.0245e-05 0.000103641 7.0812e-05 -1 -1 -1 -1 1 3 1 59253.6 29626.8 -1 -1 0.01 0.00155316 0.00143742 72 304 -1 3 1 3 3 37 15 3.69193 nan -3.69193 -3.69193 0 0 -1 -1 0.00 0.02 0.00 -1 -1 0.00 0.00496134 0.00490329 + equivalent.xml equivalent.blif common 0.40 vpr 58.74 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60148 1 1 3 4 0 3 4 4 4 16 io_site_1 auto 20.5 MiB 0.00 9 9 3 6 0 58.7 MiB 0.00 0.00 3.8649 -3.8649 -3.8649 nan 0.00 2.1313e-05 1.5936e-05 0.000108787 8.0593e-05 -1 -1 -1 -1 1 3 1 59253.6 29626.8 -1 -1 0.00 0.00168168 0.00158747 72 304 -1 3 1 3 3 37 15 3.69193 nan -3.69193 -3.69193 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00146867 0.00143048 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fc_abs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fc_abs/config/golden_results.txt index dd9f37179ee..41bceae31db 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fc_abs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fc_abs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm_fc_abs.xml stereovision3.v common 2.34 vpr 63.85 MiB 0.08 9940 -1 -1 4 0.20 -1 -1 33440 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65384 11 30 262 292 2 99 60 7 7 49 clb auto 24.7 MiB 0.14 439 1932 239 1639 54 63.9 MiB 0.04 0.00 2.45862 -180.487 -2.45862 2.33539 0.09 0.00077729 0.000695808 0.0176391 0.0160225 -1 -1 -1 -1 16 612 29 1.07788e+06 1.02399e+06 88828.2 1812.82 0.37 0.136394 0.116654 2520 24504 -1 539 24 882 1910 71912 23696 2.90651 2.60442 -203.665 -2.90651 0 0 104221. 2126.97 0.02 0.08 0.02 -1 -1 0.02 0.0427223 0.0370258 + k6_N10_mem32K_40nm_fc_abs.xml stereovision3.v common 2.46 vpr 66.34 MiB 0.09 10240 -1 -1 4 0.25 -1 -1 36836 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67932 11 30 262 292 2 99 60 7 7 49 clb auto 27.4 MiB 0.10 417 1932 303 1579 50 66.3 MiB 0.03 0.00 2.45862 -181.765 -2.45862 2.33618 0.06 0.000452546 0.000389719 0.0170733 0.0149049 -1 -1 -1 -1 14 566 30 1.07788e+06 1.02399e+06 81563.3 1664.56 0.67 0.279658 0.24196 2472 22196 -1 446 21 890 1897 62387 19776 2.78119 2.51931 -191.416 -2.78119 0 0 98201.7 2004.12 0.00 0.07 0.02 -1 -1 0.00 0.0396875 0.0352228 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fix_clusters/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fix_clusters/config/golden_results.txt index 872db87890a..76744275cd6 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fix_clusters/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fix_clusters/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - fix_clusters_test_arch.xml apex2.blif common 18.65 vpr 72.80 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 132 38 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 74548 38 3 1916 1919 0 1054 173 7 7 49 clb auto 32.1 MiB 4.34 5783 1135 0 0 1135 72.8 MiB 0.08 0.01 5.07028 -15.1308 -5.07028 nan 0.25 0.0047809 0.00426017 0.0574249 0.0545498 -1 -1 -1 -1 164 7801 37 1.34735e+06 7.11401e+06 957298. 19536.7 11.19 2.64641 2.25522 18546 296938 -1 7371 18 5579 21538 954225 313419 5.58606 nan -16.4292 -5.58606 0 0 1.19720e+06 24432.6 0.16 0.49 0.20 -1 -1 0.16 0.251401 0.227559 + fix_clusters_test_arch.xml apex2.blif common 15.55 vpr 74.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 132 38 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 76704 38 3 1916 1919 0 1054 173 7 7 49 clb auto 34.1 MiB 5.08 5783 1135 0 0 1135 74.9 MiB 0.08 0.01 5.08129 -15.1527 -5.08129 nan 0.20 0.00521387 0.00456397 0.054855 0.0516446 -1 -1 -1 -1 164 7880 41 1.34735e+06 7.11401e+06 957298. 19536.7 8.09 2.3336 2.00198 18546 296938 -1 7311 19 6308 26453 1146687 361661 5.58525 nan -16.6102 -5.58525 0 0 1.19720e+06 24432.6 0.03 0.49 0.23 -1 -1 0.03 0.228213 0.208678 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fix_pins_random/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fix_pins_random/config/golden_results.txt index b572ada2e35..2735c09358a 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fix_pins_random/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fix_pins_random/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 2.74 vpr 64.04 MiB 0.08 10112 -1 -1 4 0.22 -1 -1 33448 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65572 11 30 262 292 2 99 60 7 7 49 clb auto 24.9 MiB 0.12 500 1815 77 1659 79 64.0 MiB 0.04 0.00 2.45489 -182.961 -2.45489 2.31533 0.07 0.000651787 0.000580678 0.0147164 0.0132877 -1 -1 -1 -1 20 654 22 1.07788e+06 1.02399e+06 49980.0 1020.00 0.81 0.247093 0.207481 2664 9102 -1 555 29 713 1765 48495 15174 2.71208 2.45165 -189.124 -2.71208 0 0 65453.8 1335.79 0.01 0.10 0.01 -1 -1 0.01 0.046742 0.040451 + k6_N10_mem32K_40nm.xml stereovision3.v common 2.00 vpr 66.73 MiB 0.06 10368 -1 -1 4 0.21 -1 -1 36456 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68336 11 30 262 292 2 99 60 7 7 49 clb auto 27.1 MiB 0.07 499 1698 69 1565 64 66.7 MiB 0.04 0.00 2.45489 -182.908 -2.45489 2.31533 0.06 0.000803566 0.000668095 0.0165762 0.0143795 -1 -1 -1 -1 18 719 39 1.07788e+06 1.02399e+06 45686.6 932.380 0.43 0.155736 0.134195 2616 8308 -1 605 32 901 2129 57619 17801 2.65666 2.40393 -192.483 -2.65666 0 0 59124.6 1206.62 0.00 0.09 0.01 -1 -1 0.00 0.062047 0.0552258 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_flyover_wires/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_flyover_wires/config/golden_results.txt index fe1762c812f..03193b42990 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_flyover_wires/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_flyover_wires/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - shorted_flyover_wires.xml raygentop.v common 28.11 vpr 85.11 MiB 0.48 31828 -1 -1 3 1.48 -1 -1 40620 -1 -1 123 214 0 8 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 87156 214 305 2963 2869 1 1444 650 19 19 361 io clb auto 44.1 MiB 3.89 11650 228584 75365 133281 19938 85.1 MiB 1.70 0.02 4.52591 -2650.49 -4.52591 4.52591 0.90 0.00694747 0.00642845 0.678406 0.627386 -1 -1 -1 -1 62 24826 45 1.65001e+07 9.79696e+06 1.07728e+06 2984.15 12.61 3.53011 3.21334 35161 217957 -1 20696 17 6141 14924 1916680 524150 5.05166 5.05166 -3006.03 -5.05166 0 0 1.33769e+06 3705.50 0.54 1.08 0.21 -1 -1 0.54 0.444555 0.407313 - buffered_flyover_wires.xml raygentop.v common 28.01 vpr 84.93 MiB 0.45 31828 -1 -1 3 1.40 -1 -1 40476 -1 -1 123 214 0 8 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 86964 214 305 2963 2869 1 1444 650 19 19 361 io clb auto 44.0 MiB 3.80 11698 253910 84523 146224 23163 84.9 MiB 1.92 0.03 4.66082 -2769.33 -4.66082 4.66082 0.91 0.00707593 0.00652878 0.742599 0.682762 -1 -1 -1 -1 62 26047 33 1.65001e+07 9.79696e+06 1.11546e+06 3089.92 12.71 3.5903 3.26464 35161 215557 -1 20655 16 5970 13512 1728683 469210 4.89641 4.89641 -3008.4 -4.89641 0 0 1.38748e+06 3843.44 0.40 0.76 0.26 -1 -1 0.40 0.354607 0.333482 + shorted_flyover_wires.xml raygentop.v common 26.23 vpr 87.18 MiB 0.37 31744 -1 -1 3 1.50 -1 -1 43564 -1 -1 123 214 0 8 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 89276 214 305 2963 2869 1 1444 650 19 19 361 io clb auto 46.2 MiB 4.36 11021 242654 80011 140532 22111 87.2 MiB 2.29 0.03 4.72515 -2651.47 -4.72515 4.72515 0.68 0.00870927 0.00789125 0.867466 0.775905 -1 -1 -1 -1 58 24978 46 1.65001e+07 9.79696e+06 1.00638e+06 2787.76 11.26 3.56546 3.21933 34441 208101 -1 21032 16 5966 14058 1826516 536884 5.22938 5.22938 -3010.82 -5.22938 0 0 1.28387e+06 3556.43 0.10 1.10 0.34 -1 -1 0.10 0.47794 0.447984 + buffered_flyover_wires.xml raygentop.v common 23.64 vpr 87.26 MiB 0.39 31872 -1 -1 3 1.51 -1 -1 43828 -1 -1 123 214 0 8 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 89352 214 305 2963 2869 1 1444 650 19 19 361 io clb auto 45.9 MiB 4.72 11369 231398 74152 135293 21953 87.3 MiB 2.16 0.03 4.81413 -2746.12 -4.81413 4.81413 0.60 0.00796315 0.00714187 0.791566 0.711054 -1 -1 -1 -1 64 23029 28 1.65001e+07 9.79696e+06 1.15406e+06 3196.84 8.51 3.3002 2.94744 35881 226057 -1 19740 15 5519 12704 1627954 469106 4.80072 4.80072 -2914.34 -4.80072 0 0 1.44847e+06 4012.38 0.10 0.92 0.36 -1 -1 0.10 0.422967 0.392398 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fpu_hard_block_arch/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fpu_hard_block_arch/config/golden_results.txt index f7b51b17509..b90ac6049e3 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fpu_hard_block_arch/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fpu_hard_block_arch/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - hard_fpu_arch_timing.xml mm3.v common 3.40 vpr 62.06 MiB 0.03 6628 -1 -1 1 0.04 -1 -1 30748 -1 -1 0 193 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 63552 193 32 545 422 1 289 227 21 21 441 io auto 22.7 MiB 1.72 3760 44515 18630 25456 429 62.1 MiB 0.25 0.00 2.985 -824.754 -2.985 2.985 0.00 0.00161493 0.00152336 0.121894 0.115535 -1 -1 -1 -1 4680 16.2500 1223 4.24653 405 405 152557 41043 809148 68766.3 979092. 2220.16 4 24050 197379 -1 2.985 2.985 -815.015 -2.985 -21.7856 -0.0851 0.27 -1 -1 62.1 MiB 0.09 0.150499 0.142754 62.1 MiB -1 0.46 + hard_fpu_arch_timing.xml mm3.v common 3.31 vpr 64.39 MiB 0.03 7296 -1 -1 1 0.04 -1 -1 34228 -1 -1 0 193 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 65940 193 32 545 422 1 289 227 21 21 441 io auto 25.0 MiB 1.91 3735 46591 19762 26388 441 64.4 MiB 0.33 0.00 2.985 -824.634 -2.985 2.985 0.00 0.00288823 0.00269357 0.190083 0.178688 -1 -1 -1 -1 4590 15.9375 1212 4.20833 431 431 162323 43530 809148 68766.3 979092. 2220.16 5 24050 197379 -1 2.985 2.985 -813.802 -2.985 -21.7856 -0.0851 0.43 -1 -1 64.4 MiB 0.09 0.239729 0.22641 64.4 MiB -1 0.09 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fracturable_luts/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fracturable_luts/config/golden_results.txt index 8d04367586b..356e91ccb39 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fracturable_luts/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_fracturable_luts/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time - k6_N8_I80_fleI10_fleO2_ff2_nmodes_2.xml ch_intrinsics.v common 5.28 vpr 65.87 MiB 0.06 9504 -1 -1 3 0.30 -1 -1 34508 -1 -1 69 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67448 99 130 363 493 1 251 299 13 13 169 clb auto 26.3 MiB 0.79 804 78221 18305 27577 32339 65.9 MiB 0.15 0.00 30 1517 12 0 0 423577. 2506.37 2.58 + k6_N8_I80_fleI10_fleO2_ff2_nmodes_2.xml ch_intrinsics.v common 3.01 vpr 67.75 MiB 0.06 9728 -1 -1 3 0.26 -1 -1 39908 -1 -1 69 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69380 99 130 363 493 1 251 299 13 13 169 clb auto 28.2 MiB 0.66 756 79220 19640 31087 28493 67.8 MiB 0.16 0.00 36 1238 7 0 0 481804. 2850.91 0.62 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_full_stats/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_full_stats/config/golden_results.txt index cb598477e98..a8ea9747374 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_full_stats/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_full_stats/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 1.50 vpr 64.39 MiB 0.06 9976 -1 -1 4 0.20 -1 -1 33252 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65940 11 30 262 292 2 99 60 7 7 49 clb auto 24.7 MiB 0.08 439 1932 239 1639 54 64.4 MiB 0.03 0.00 2.45279 -180.032 -2.45279 2.33029 0.00 0.000720509 0.000652851 0.0155916 0.0141569 -1 -1 -1 -1 -1 515 20 1.07788e+06 1.02399e+06 90369.8 1844.28 0.13 0.0559681 0.0494905 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.21 vpr 66.19 MiB 0.08 10368 -1 -1 4 0.19 -1 -1 36516 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67780 11 30 262 292 2 99 60 7 7 49 clb auto 27.2 MiB 0.09 431 1932 256 1610 66 66.2 MiB 0.04 0.00 2.45279 -183.914 -2.45279 2.30526 0.00 0.000582727 0.000489391 0.0185537 0.0162573 -1 -1 -1 -1 -1 458 24 1.07788e+06 1.02399e+06 90369.8 1844.28 0.07 0.0660847 0.0581726 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_func_formal_flow/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_func_formal_flow/config/golden_results.txt index fd632535e93..265af1c4b6f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_func_formal_flow/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_func_formal_flow/config/golden_results.txt @@ -1,21 +1,21 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml const_true.blif common 0.47 vpr 58.11 MiB -1 -1 -1 -1 0 0.02 -1 -1 30028 -1 -1 1 0 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59500 -1 1 1 2 0 1 2 3 3 9 -1 auto 19.3 MiB 0.00 0 3 0 0 3 58.1 MiB 0.00 0.00 nan 0 0 nan 0.00 1.1407e-05 6.644e-06 7.1183e-05 4.7157e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00151053 0.00144317 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml const_false.blif common 0.49 vpr 58.14 MiB -1 -1 -1 -1 0 0.02 -1 -1 30172 -1 -1 1 0 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59532 -1 1 1 2 0 1 2 3 3 9 -1 auto 19.4 MiB 0.00 0 3 0 0 3 58.1 MiB 0.01 0.00 nan 0 0 nan 0.00 1.7072e-05 9.987e-06 0.000106416 7.4745e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00149324 0.00140851 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml always_true.blif common 0.53 vpr 58.27 MiB -1 -1 -1 -1 0 0.02 -1 -1 29980 -1 -1 1 0 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59668 6 1 1 8 0 1 8 3 3 9 -1 auto 19.9 MiB 0.01 0 21 0 10 11 58.3 MiB 0.01 0.00 nan 0 0 nan 0.00 1.3008e-05 7.386e-06 8.9652e-05 6.0451e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00174003 0.00165512 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml always_false.blif common 0.53 vpr 58.11 MiB -1 -1 -1 -1 0 0.02 -1 -1 29992 -1 -1 1 0 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59500 6 1 1 8 0 1 8 3 3 9 -1 auto 19.7 MiB 0.01 0 21 0 10 11 58.1 MiB 0.00 0.00 nan 0 0 nan 0.00 1.5792e-05 1.0252e-05 0.000103309 7.4098e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00156505 0.001486 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml and.blif common 0.56 vpr 57.91 MiB -1 -1 -1 -1 1 0.02 -1 -1 30068 -1 -1 1 2 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59300 2 1 3 4 0 3 4 3 3 9 -1 auto 19.5 MiB 0.00 9 9 3 3 3 57.9 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 2.5498e-05 1.9252e-05 0.000160935 0.000125409 -1 -1 -1 -1 -1 4 1 53894 53894 38783.3 4309.26 0.01 0.00168391 0.00159252 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml multiconnected_lut.blif common 0.62 vpr 58.08 MiB -1 -1 -1 -1 1 0.06 -1 -1 31736 -1 -1 1 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59476 5 1 6 7 0 6 7 3 3 9 -1 auto 19.6 MiB 0.01 18 18 13 5 0 58.1 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 2.2311e-05 1.6717e-05 0.000157551 0.000125745 -1 -1 -1 -1 -1 7 11 53894 53894 38783.3 4309.26 0.01 0.00219389 0.00197302 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml multiconnected_lut2.blif common 0.66 vpr 58.25 MiB -1 -1 -1 -1 1 0.05 -1 -1 31952 -1 -1 1 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59648 5 1 6 7 0 6 7 3 3 9 -1 auto 19.8 MiB 0.01 18 18 13 5 0 58.2 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 1.9477e-05 1.46e-05 0.000163199 0.000132585 -1 -1 -1 -1 -1 7 11 53894 53894 38783.3 4309.26 0.01 0.00211569 0.00193 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml and_latch.blif common 0.41 vpr 58.29 MiB -1 -1 -1 -1 1 0.03 -1 -1 29892 -1 -1 1 3 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59684 3 1 5 6 1 4 5 3 3 9 -1 auto 19.9 MiB 0.00 9 12 7 1 4 58.3 MiB 0.00 0.00 0.52647 -0.88231 -0.52647 0.52647 0.00 1.9413e-05 1.4525e-05 0.000151541 0.000121539 -1 -1 -1 -1 -1 4 1 53894 53894 38783.3 4309.26 0.00 0.00156423 0.0014768 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml false_path_mux.blif common 0.56 vpr 58.16 MiB -1 -1 -1 -1 1 0.05 -1 -1 31904 -1 -1 1 3 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59556 4 1 4 6 0 4 6 3 3 9 -1 auto 19.8 MiB 0.00 12 15 9 3 3 58.2 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 1.6085e-05 1.1811e-05 0.000113992 8.8678e-05 -1 -1 -1 -1 -1 6 11 53894 53894 38783.3 4309.26 0.00 0.00203847 0.00189567 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml mult_2x2.blif common 0.61 vpr 58.12 MiB -1 -1 -1 -1 1 0.05 -1 -1 31660 -1 -1 1 4 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59512 4 4 8 12 0 8 9 3 3 9 -1 auto 19.7 MiB 0.04 24 27 18 6 3 58.1 MiB 0.01 0.00 0.67231 -2.68924 -0.67231 nan 0.00 4.7416e-05 3.8601e-05 0.000343952 0.00030105 -1 -1 -1 -1 -1 10 9 53894 53894 38783.3 4309.26 0.00 0.00246093 0.00226122 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml mult_3x3.blif common 0.62 vpr 58.05 MiB -1 -1 -1 -1 1 0.06 -1 -1 32468 -1 -1 1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59440 6 6 12 18 0 12 13 3 3 9 -1 auto 19.3 MiB 0.01 36 43 32 7 4 58.0 MiB 0.02 0.00 0.69831 -4.13786 -0.69831 nan 0.00 4.0028e-05 3.3256e-05 0.000373397 0.000331328 -1 -1 -1 -1 -1 17 11 53894 53894 38783.3 4309.26 0.02 0.00325081 0.00295479 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml mult_3x4.blif common 0.68 vpr 58.19 MiB -1 -1 -1 -1 2 0.06 -1 -1 32136 -1 -1 3 7 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59588 7 8 22 30 0 15 18 4 4 16 clb auto 19.3 MiB 0.01 55 64 20 42 2 58.2 MiB 0.01 0.00 1.29035 -7.83841 -1.29035 nan 0.00 9.5936e-05 8.43e-05 0.000897006 0.000832614 -1 -1 -1 -1 -1 46 5 215576 161682 99039.1 6189.95 0.01 0.00479868 0.00447043 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml mult_4x4.blif common 0.69 vpr 58.24 MiB -1 -1 -1 -1 4 0.07 -1 -1 32308 -1 -1 2 8 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59640 8 8 29 37 0 21 18 4 4 16 clb auto 19.3 MiB 0.02 76 64 16 48 0 58.2 MiB 0.03 0.01 2.08631 -12.2832 -2.08631 nan 0.00 0.000311196 0.000286678 0.00152412 0.00143184 -1 -1 -1 -1 -1 58 14 215576 107788 99039.1 6189.95 0.03 0.00857602 0.00778066 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml mult_5x5.blif common 0.76 vpr 58.57 MiB -1 -1 -1 -1 4 0.10 -1 -1 32724 -1 -1 4 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59976 10 10 47 57 0 39 24 4 4 16 clb auto 19.3 MiB 0.03 146 364 62 302 0 58.6 MiB 0.01 0.00 2.72561 -18.4747 -2.72561 nan 0.00 0.000163521 0.000149451 0.00302228 0.00280654 -1 -1 -1 -1 -1 114 16 215576 215576 99039.1 6189.95 0.06 0.012168 0.0109894 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml mult_5x6.blif common 0.88 vpr 58.62 MiB -1 -1 -1 -1 5 0.12 -1 -1 33096 -1 -1 5 11 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60032 11 11 61 72 0 51 27 5 5 25 clb auto 19.4 MiB 0.04 211 227 56 171 0 58.6 MiB 0.02 0.00 3.36952 -22.7724 -3.36952 nan 0.00 0.000201904 0.000182149 0.00320164 0.00301283 -1 -1 -1 -1 -1 198 15 485046 269470 186194. 7447.77 0.05 0.0153492 0.0139531 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml rca_1bit.blif common 0.50 vpr 58.10 MiB -1 -1 -1 -1 1 0.06 -1 -1 30808 -1 -1 1 3 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59496 3 2 5 7 0 5 6 3 3 9 -1 auto 19.6 MiB 0.00 15 15 9 5 1 58.1 MiB 0.00 0.00 0.67231 -1.34462 -0.67231 nan 0.00 1.7044e-05 1.2452e-05 0.000160751 0.000129921 -1 -1 -1 -1 -1 6 11 53894 53894 38783.3 4309.26 0.00 0.00226265 0.00209457 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml rca_2bit.blif common 0.52 vpr 58.13 MiB -1 -1 -1 -1 1 0.06 -1 -1 32044 -1 -1 1 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59528 5 3 8 11 0 8 9 3 3 9 -1 auto 19.7 MiB 0.01 24 27 21 6 0 58.1 MiB 0.01 0.00 0.67231 -2.01693 -0.67231 nan 0.00 3.2367e-05 2.4065e-05 0.000233135 0.000195298 -1 -1 -1 -1 -1 10 15 53894 53894 38783.3 4309.26 0.01 0.0026569 0.00237792 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml rca_3bit.blif common 0.52 vpr 58.21 MiB -1 -1 -1 -1 2 0.05 -1 -1 32164 -1 -1 1 7 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59604 7 4 12 16 0 11 12 3 3 9 -1 auto 19.4 MiB 0.01 33 38 24 11 3 58.2 MiB 0.01 0.00 1.08437 -4.00246 -1.08437 nan 0.00 3.5859e-05 2.9193e-05 0.000327122 0.000289863 -1 -1 -1 -1 -1 17 4 53894 53894 38783.3 4309.26 0.01 0.00248269 0.00231913 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml rca_4bit.blif common 0.57 vpr 58.13 MiB -1 -1 -1 -1 2 0.06 -1 -1 32232 -1 -1 1 9 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59528 9 5 15 20 0 14 15 3 3 9 -1 auto 19.3 MiB 0.01 42 51 29 17 5 58.1 MiB 0.00 0.00 1.00731 -4.36655 -1.00731 nan 0.00 6.0384e-05 5.2273e-05 0.000402233 0.000359803 -1 -1 -1 -1 -1 17 14 53894 53894 38783.3 4309.26 0.01 0.00340135 0.00307162 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml rca_5bit.blif common 0.52 vpr 58.16 MiB -1 -1 -1 -1 3 0.06 -1 -1 32252 -1 -1 1 11 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59552 11 6 19 25 0 17 18 3 3 9 -1 auto 19.4 MiB 0.01 51 64 33 24 7 58.2 MiB 0.00 0.00 1.34231 -6.71386 -1.34231 nan 0.00 6.5618e-05 5.3831e-05 0.000508024 0.000461308 -1 -1 -1 -1 -1 25 11 53894 53894 38783.3 4309.26 0.01 0.00370337 0.00337526 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml const_true.blif common 0.39 vpr 60.20 MiB -1 -1 -1 -1 0 0.02 -1 -1 33040 -1 -1 1 0 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61648 -1 1 1 2 0 1 2 3 3 9 -1 auto 21.9 MiB 0.00 0 3 0 0 3 60.2 MiB 0.00 0.00 nan 0 0 nan 0.00 1.1661e-05 6.33e-06 7.7033e-05 5.0742e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00147387 0.00140823 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml const_false.blif common 0.38 vpr 60.24 MiB -1 -1 -1 -1 0 0.02 -1 -1 32912 -1 -1 1 0 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61684 -1 1 1 2 0 1 2 3 3 9 -1 auto 21.9 MiB 0.00 0 3 0 0 3 60.2 MiB 0.00 0.00 nan 0 0 nan 0.00 1.1339e-05 5.859e-06 8.8591e-05 5.8829e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00162493 0.00154875 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml always_true.blif common 0.37 vpr 60.46 MiB -1 -1 -1 -1 0 0.02 -1 -1 32868 -1 -1 1 0 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61908 6 1 1 8 0 1 8 3 3 9 -1 auto 22.1 MiB 0.00 0 21 0 10 11 60.5 MiB 0.00 0.00 nan 0 0 nan 0.00 1.3541e-05 7.635e-06 8.6471e-05 5.7499e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00150205 0.00143129 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml always_false.blif common 0.38 vpr 60.58 MiB -1 -1 -1 -1 0 0.02 -1 -1 32612 -1 -1 1 0 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62036 6 1 1 8 0 1 8 3 3 9 -1 auto 22.3 MiB 0.00 0 21 0 10 11 60.6 MiB 0.00 0.00 nan 0 0 nan 0.00 1.7003e-05 9.772e-06 9.7802e-05 6.5568e-05 -1 -1 -1 -1 -1 0 1 53894 53894 38783.3 4309.26 0.00 0.00166482 0.00158779 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml and.blif common 0.39 vpr 60.29 MiB -1 -1 -1 -1 1 0.03 -1 -1 33040 -1 -1 1 2 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61732 2 1 3 4 0 3 4 3 3 9 -1 auto 21.9 MiB 0.00 9 9 3 3 3 60.3 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 1.5758e-05 1.1048e-05 0.000114967 8.6726e-05 -1 -1 -1 -1 -1 4 1 53894 53894 38783.3 4309.26 0.00 0.00169019 0.00161423 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml multiconnected_lut.blif common 0.50 vpr 60.59 MiB -1 -1 -1 -1 1 0.05 -1 -1 34804 -1 -1 1 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62040 5 1 6 7 0 6 7 3 3 9 -1 auto 22.1 MiB 0.00 18 18 13 5 0 60.6 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 2.5271e-05 1.9684e-05 0.000266101 0.000119821 -1 -1 -1 -1 -1 7 12 53894 53894 38783.3 4309.26 0.00 0.00203214 0.00174712 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml multiconnected_lut2.blif common 0.51 vpr 60.46 MiB -1 -1 -1 -1 1 0.05 -1 -1 35364 -1 -1 1 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61908 5 1 6 7 0 6 7 3 3 9 -1 auto 22.0 MiB 0.00 18 18 13 5 0 60.5 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 2.0382e-05 1.5156e-05 0.000144485 0.00011379 -1 -1 -1 -1 -1 7 12 53894 53894 38783.3 4309.26 0.00 0.00203203 0.0018606 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml and_latch.blif common 0.35 vpr 60.57 MiB -1 -1 -1 -1 1 0.02 -1 -1 33216 -1 -1 1 3 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62024 3 1 5 6 1 4 5 3 3 9 -1 auto 22.1 MiB 0.00 9 12 7 1 4 60.6 MiB 0.00 0.00 0.52647 -0.88231 -0.52647 0.52647 0.00 1.8755e-05 1.3531e-05 0.00012903 9.8796e-05 -1 -1 -1 -1 -1 4 1 53894 53894 38783.3 4309.26 0.00 0.00153901 0.00145183 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml false_path_mux.blif common 0.50 vpr 60.45 MiB -1 -1 -1 -1 1 0.06 -1 -1 35348 -1 -1 1 3 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61904 4 1 4 6 0 4 6 3 3 9 -1 auto 22.1 MiB 0.00 12 15 9 3 3 60.5 MiB 0.00 0.00 0.67231 -0.67231 -0.67231 nan 0.00 2.3369e-05 1.7039e-05 0.000173745 0.000138562 -1 -1 -1 -1 -1 6 12 53894 53894 38783.3 4309.26 0.00 0.00205463 0.00186426 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_2x2.blif common 0.47 vpr 60.48 MiB -1 -1 -1 -1 1 0.06 -1 -1 35020 -1 -1 1 4 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61928 4 4 8 12 0 8 9 3 3 9 -1 auto 22.1 MiB 0.00 24 27 18 6 3 60.5 MiB 0.00 0.00 0.67231 -2.68924 -0.67231 nan 0.00 3.869e-05 2.9429e-05 0.000257326 0.00021682 -1 -1 -1 -1 -1 10 10 53894 53894 38783.3 4309.26 0.00 0.00244349 0.00222744 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_3x3.blif common 0.51 vpr 60.62 MiB -1 -1 -1 -1 1 0.07 -1 -1 36084 -1 -1 1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62076 6 6 12 18 0 12 13 3 3 9 -1 auto 22.1 MiB 0.01 36 43 32 7 4 60.6 MiB 0.00 0.00 0.69831 -4.13786 -0.69831 nan 0.00 7.4526e-05 6.3137e-05 0.000498869 0.000443741 -1 -1 -1 -1 -1 17 12 53894 53894 38783.3 4309.26 0.00 0.00383316 0.00343212 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_3x4.blif common 0.55 vpr 60.62 MiB -1 -1 -1 -1 2 0.07 -1 -1 35568 -1 -1 3 7 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62076 7 8 22 30 0 15 18 4 4 16 clb auto 22.1 MiB 0.01 51 64 26 37 1 60.6 MiB 0.00 0.00 1.24888 -7.62396 -1.24888 nan 0.00 9.8331e-05 8.571e-05 0.000766204 0.000700688 -1 -1 -1 -1 -1 37 6 215576 161682 99039.1 6189.95 0.00 0.00447879 0.00416054 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_4x4.blif common 0.55 vpr 60.55 MiB -1 -1 -1 -1 4 0.08 -1 -1 35668 -1 -1 2 8 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62004 8 8 29 37 0 21 18 4 4 16 clb auto 22.0 MiB 0.02 74 64 20 44 0 60.6 MiB 0.00 0.00 2.04839 -11.7951 -2.04839 nan 0.00 0.000135237 0.000115292 0.00112979 0.00104286 -1 -1 -1 -1 -1 53 12 215576 107788 99039.1 6189.95 0.01 0.00691358 0.00626039 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_5x5.blif common 0.61 vpr 60.96 MiB -1 -1 -1 -1 4 0.10 -1 -1 36048 -1 -1 4 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62424 10 10 47 57 0 39 24 4 4 16 clb auto 22.1 MiB 0.02 149 92 35 57 0 61.0 MiB 0.00 0.00 2.73035 -18.1288 -2.73035 nan 0.00 0.000225575 0.000203726 0.00149243 0.00139063 -1 -1 -1 -1 -1 123 10 215576 215576 99039.1 6189.95 0.01 0.00763482 0.00712644 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_5x6.blif common 0.83 vpr 60.83 MiB -1 -1 -1 -1 5 0.15 -1 -1 36408 -1 -1 5 11 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62288 11 11 61 72 0 51 27 5 5 25 clb auto 21.9 MiB 0.03 192 547 116 431 0 60.8 MiB 0.01 0.00 3.17925 -21.2667 -3.17925 nan 0.00 0.000354484 0.000320379 0.00678629 0.00617992 -1 -1 -1 -1 -1 163 16 485046 269470 186194. 7447.77 0.02 0.0240229 0.0219824 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml rca_1bit.blif common 0.49 vpr 60.46 MiB -1 -1 -1 -1 1 0.06 -1 -1 34452 -1 -1 1 3 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61912 3 2 5 7 0 5 6 3 3 9 -1 auto 22.0 MiB 0.00 15 15 9 5 1 60.5 MiB 0.00 0.00 0.67231 -1.34462 -0.67231 nan 0.00 3.2064e-05 2.4155e-05 0.000200579 0.000160863 -1 -1 -1 -1 -1 6 12 53894 53894 38783.3 4309.26 0.00 0.00242941 0.00220554 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml rca_2bit.blif common 0.46 vpr 60.28 MiB -1 -1 -1 -1 1 0.06 -1 -1 35352 -1 -1 1 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61728 5 3 8 11 0 8 9 3 3 9 -1 auto 21.9 MiB 0.00 24 27 21 6 0 60.3 MiB 0.00 0.00 0.67231 -2.01693 -0.67231 nan 0.00 3.5791e-05 2.5977e-05 0.000240831 0.000201092 -1 -1 -1 -1 -1 10 16 53894 53894 38783.3 4309.26 0.00 0.00270512 0.00243979 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml rca_3bit.blif common 0.52 vpr 60.61 MiB -1 -1 -1 -1 2 0.06 -1 -1 35400 -1 -1 1 7 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62060 7 4 12 16 0 11 12 3 3 9 -1 auto 22.3 MiB 0.01 33 38 24 11 3 60.6 MiB 0.00 0.00 1.08437 -4.00246 -1.08437 nan 0.00 4.7612e-05 3.9433e-05 0.000326091 0.000287024 -1 -1 -1 -1 -1 17 4 53894 53894 38783.3 4309.26 0.00 0.00528941 0.00513278 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml rca_4bit.blif common 0.64 vpr 60.55 MiB -1 -1 -1 -1 2 0.07 -1 -1 35520 -1 -1 1 9 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62008 9 5 15 20 0 14 15 3 3 9 -1 auto 22.2 MiB 0.01 42 51 29 17 5 60.6 MiB 0.00 0.00 1.00731 -4.36655 -1.00731 nan 0.00 8.1588e-05 7.0424e-05 0.000516653 0.000461489 -1 -1 -1 -1 -1 17 14 53894 53894 38783.3 4309.26 0.01 0.00432254 0.00385687 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml rca_5bit.blif common 0.56 vpr 60.67 MiB -1 -1 -1 -1 3 0.07 -1 -1 35440 -1 -1 1 11 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62124 11 6 19 25 0 17 18 3 3 9 -1 auto 22.1 MiB 0.01 51 64 33 24 7 60.7 MiB 0.00 0.00 1.34231 -6.71386 -1.34231 nan 0.00 5.6728e-05 4.4391e-05 0.000433977 0.000387108 -1 -1 -1 -1 -1 25 11 53894 53894 38783.3 4309.26 0.00 0.00412344 0.0036326 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_func_formal_vpr/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_func_formal_vpr/config/golden_results.txt index 853ef78bd3a..33183dc0a9f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_func_formal_vpr/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_func_formal_vpr/config/golden_results.txt @@ -1,7 +1,7 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml const_true.blif common 0.29 vpr 58.05 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 0 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59448 -1 1 1 2 0 1 2 3 3 9 -1 auto 19.6 MiB 0.00 0 3 0 0 3 58.1 MiB 0.00 0.00 nan 0 0 nan 0.00 1.35e-05 7.476e-06 9.9741e-05 6.9647e-05 -1 -1 -1 -1 -1 0 1 53894 53894 20487.3 2276.37 0.00 0.00158 0.00150277 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml const_false.blif common 0.31 vpr 58.01 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 0 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59404 -1 1 1 2 0 1 2 3 3 9 -1 auto 19.3 MiB 0.00 0 3 0 0 3 58.0 MiB 0.00 0.00 nan 0 0 nan 0.00 1.9235e-05 1.1306e-05 9.7577e-05 6.3163e-05 -1 -1 -1 -1 -1 0 1 53894 53894 20487.3 2276.37 0.00 0.00153532 0.00145564 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml always_true.blif common 0.30 vpr 58.08 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59472 6 1 7 8 0 7 8 3 3 9 -1 auto 19.6 MiB 0.00 21 21 14 7 0 58.1 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.8514e-05 2.102e-05 0.000170414 0.00013803 -1 -1 -1 -1 -1 10 1 53894 53894 20487.3 2276.37 0.00 0.00176222 0.00167853 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml always_false.blif common 0.28 vpr 58.23 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59628 6 1 7 8 0 7 8 3 3 9 -1 auto 19.8 MiB 0.00 21 21 14 7 0 58.2 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.2171e-05 1.4899e-05 0.000148119 0.000118181 -1 -1 -1 -1 -1 10 1 53894 53894 20487.3 2276.37 0.00 0.00158746 0.00149915 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml multiconnected_lut.blif common 0.35 vpr 58.05 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59444 5 1 6 7 0 6 7 3 3 9 -1 auto 19.6 MiB 0.00 18 18 13 5 0 58.1 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.235e-05 1.6891e-05 0.00016969 0.000139695 -1 -1 -1 -1 -1 7 1 53894 53894 20487.3 2276.37 0.00 0.001707 0.00162633 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_frac_N10_40nm.xml multiconnected_lut2.blif common 0.36 vpr 57.94 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 5 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59328 5 1 6 7 0 6 7 3 3 9 -1 auto 19.5 MiB 0.00 18 18 13 5 0 57.9 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.3308e-05 1.8079e-05 0.000169741 0.000137603 -1 -1 -1 -1 -1 7 1 53894 53894 20487.3 2276.37 0.00 0.00174494 0.0016591 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml const_true.blif common 0.33 vpr 60.57 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 0 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62024 -1 1 1 2 0 1 2 3 3 9 -1 auto 22.3 MiB 0.00 0 3 0 0 3 60.6 MiB 0.00 0.00 nan 0 0 nan 0.00 1.3821e-05 7.858e-06 8.9635e-05 6.0426e-05 -1 -1 -1 -1 -1 0 1 53894 53894 20487.3 2276.37 0.00 0.00127569 0.00120513 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml const_false.blif common 0.27 vpr 60.31 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 0 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61760 -1 1 1 2 0 1 2 3 3 9 -1 auto 22.0 MiB 0.00 0 3 0 0 3 60.3 MiB 0.00 0.00 nan 0 0 nan 0.00 1.2203e-05 6.662e-06 7.9048e-05 5.258e-05 -1 -1 -1 -1 -1 0 1 53894 53894 20487.3 2276.37 0.00 0.00167909 0.00160437 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml always_true.blif common 0.30 vpr 60.27 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61712 6 1 7 8 0 7 8 3 3 9 -1 auto 22.1 MiB 0.00 21 21 14 7 0 60.3 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 3.2696e-05 2.3426e-05 0.000197822 0.000159059 -1 -1 -1 -1 -1 10 1 53894 53894 20487.3 2276.37 0.00 0.0018336 0.0017293 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml always_false.blif common 0.27 vpr 60.58 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62036 6 1 7 8 0 7 8 3 3 9 -1 auto 22.3 MiB 0.00 21 21 14 7 0 60.6 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.7376e-05 1.9376e-05 0.000172772 0.000138442 -1 -1 -1 -1 -1 10 1 53894 53894 20487.3 2276.37 0.00 0.00173443 0.0016423 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml multiconnected_lut.blif common 0.26 vpr 60.36 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61804 5 1 6 7 0 6 7 3 3 9 -1 auto 22.1 MiB 0.00 18 18 13 5 0 60.4 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.1966e-05 1.6158e-05 0.000132177 0.000102284 -1 -1 -1 -1 -1 7 1 53894 53894 20487.3 2276.37 0.00 0.00191949 0.00184253 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml multiconnected_lut2.blif common 0.34 vpr 60.59 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 5 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62040 5 1 6 7 0 6 7 3 3 9 -1 auto 22.1 MiB 0.00 18 18 13 5 0 60.6 MiB 0.00 0.00 0.69831 -0.69831 -0.69831 nan 0.00 2.5373e-05 1.8911e-05 0.000175189 0.000138808 -1 -1 -1 -1 -1 7 1 53894 53894 20487.3 2276.37 0.00 0.00175813 0.00166803 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_global_nonuniform/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_global_nonuniform/config/golden_results.txt index 34014839d66..eaf5555874c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_global_nonuniform/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_global_nonuniform/config/golden_results.txt @@ -1,7 +1,7 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - x_gaussian_y_uniform.xml stereovision3.v common 1.80 vpr 64.59 MiB 0.05 9920 -1 -1 4 0.20 -1 -1 33316 -1 -1 13 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66140 11 30 262 292 2 110 54 7 7 49 clb auto 25.5 MiB 0.13 437 1482 306 1120 56 64.6 MiB 0.03 0.00 1.91988 -135.359 -1.91988 1.85222 0.01 0.000643567 0.000574681 0.0143423 0.0131271 -1 -1 -1 -1 12 342 4 1.07788e+06 700622 -1 -1 0.19 0.089611 0.0789696 2680 3516 -1 329 3 168 254 12149 6156 1.91988 1.85222 -135.359 -1.91988 0 0 -1 -1 0.00 0.03 0.00 -1 -1 0.00 0.0184131 0.0175473 - x_uniform_y_gaussian.xml stereovision3.v common 1.90 vpr 64.60 MiB 0.07 10112 -1 -1 4 0.20 -1 -1 33352 -1 -1 13 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66152 11 30 262 292 2 110 54 7 7 49 clb auto 25.5 MiB 0.13 407 2196 418 1692 86 64.6 MiB 0.04 0.00 1.91988 -135.359 -1.91988 1.85222 0.01 0.000658043 0.000585568 0.0188587 0.0171531 -1 -1 -1 -1 12 297 4 1.07788e+06 700622 -1 -1 0.25 0.0992671 0.0872902 2680 3516 -1 290 2 161 238 9382 4536 1.91988 1.85222 -135.359 -1.91988 0 0 -1 -1 0.00 0.03 0.00 -1 -1 0.00 0.0180057 0.0172294 - x_gaussian_y_gaussian.xml stereovision3.v common 2.15 vpr 64.69 MiB 0.06 9984 -1 -1 4 0.20 -1 -1 33348 -1 -1 13 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66244 11 30 262 292 2 110 54 7 7 49 clb auto 25.6 MiB 0.13 454 1584 320 1205 59 64.7 MiB 0.03 0.00 1.91988 -135.359 -1.91988 1.85222 0.01 0.000634493 0.000563945 0.0146242 0.0133184 -1 -1 -1 -1 14 366 11 1.07788e+06 700622 -1 -1 0.52 0.179464 0.155257 2680 3516 -1 348 15 235 399 18124 8998 1.91988 1.85222 -135.359 -1.91988 0 0 -1 -1 0.00 0.06 0.00 -1 -1 0.00 0.0336258 0.0306404 - x_delta_y_uniform.xml stereovision3.v common 2.15 vpr 65.34 MiB 0.06 10036 -1 -1 4 0.19 -1 -1 33492 -1 -1 13 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66908 11 30 262 292 2 110 54 7 7 49 clb auto 25.5 MiB 0.13 447 1584 304 1234 46 65.3 MiB 0.03 0.00 1.91988 -135.359 -1.91988 1.85222 0.01 0.00060675 0.000538932 0.0145363 0.0132998 -1 -1 -1 -1 58 343 10 1.07788e+06 700622 -1 -1 0.55 0.240804 0.206885 2680 3516 -1 344 2 158 235 10766 5342 1.91988 1.85222 -135.359 -1.91988 0 0 -1 -1 0.00 0.03 0.00 -1 -1 0.00 0.017128 0.0164094 - x_delta_y_delta.xml stereovision3.v common 2.08 vpr 64.78 MiB 0.07 10108 -1 -1 4 0.21 -1 -1 33260 -1 -1 13 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66336 11 30 262 292 2 110 54 7 7 49 clb auto 25.6 MiB 0.12 507 2196 485 1634 77 64.8 MiB 0.03 0.00 1.91988 -135.359 -1.91988 1.85222 0.01 0.000513893 0.000456075 0.0159956 0.0145097 -1 -1 -1 -1 54 397 16 1.07788e+06 700622 -1 -1 0.50 0.221994 0.188707 2680 3516 -1 391 16 432 703 33205 16363 1.91988 1.85222 -135.359 -1.91988 0 0 -1 -1 0.00 0.06 0.00 -1 -1 0.00 0.0309187 0.0279413 - x_uniform_y_delta.xml stereovision3.v common 2.38 vpr 65.23 MiB 0.06 9988 -1 -1 4 0.20 -1 -1 33480 -1 -1 13 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66796 11 30 262 292 2 110 54 7 7 49 clb auto 25.5 MiB 0.11 434 2604 541 1982 81 65.2 MiB 0.04 0.00 1.91988 -135.359 -1.91988 1.85222 0.01 0.000790777 0.00071626 0.0195938 0.0177623 -1 -1 -1 -1 34 315 15 1.07788e+06 700622 -1 -1 0.64 0.293892 0.25135 2680 3516 -1 312 15 303 587 23249 9988 1.91988 1.85222 -135.359 -1.91988 0 0 -1 -1 0.01 0.11 0.01 -1 -1 0.01 0.0358566 0.0326766 + x_gaussian_y_uniform.xml stereovision3.v common 1.83 vpr 66.90 MiB 0.07 10496 -1 -1 4 0.18 -1 -1 36452 -1 -1 13 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68504 11 30 262 292 2 110 54 7 7 49 clb auto 28.0 MiB 0.20 415 2196 413 1711 72 66.9 MiB 0.04 0.00 1.91988 -135.359 -1.91988 1.85222 0.01 0.0007561 0.000646489 0.0218848 0.0192021 -1 -1 -1 -1 12 302 11 1.07788e+06 700622 -1 -1 0.20 0.128028 0.113742 2680 3516 -1 297 3 164 241 11232 5767 1.91988 1.85222 -135.359 -1.91988 0 0 -1 -1 0.00 0.03 0.01 -1 -1 0.00 0.0191965 0.0182163 + x_uniform_y_gaussian.xml stereovision3.v common 1.88 vpr 67.18 MiB 0.07 10624 -1 -1 4 0.21 -1 -1 36664 -1 -1 13 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68792 11 30 262 292 2 110 54 7 7 49 clb auto 28.1 MiB 0.13 404 2298 458 1774 66 67.2 MiB 0.03 0.00 1.91988 -135.359 -1.91988 1.85222 0.00 0.000687045 0.000583062 0.0174363 0.0155371 -1 -1 -1 -1 12 308 8 1.07788e+06 700622 -1 -1 0.31 0.110517 0.0976349 2680 3516 -1 297 3 168 247 11340 5786 1.91988 1.85222 -135.359 -1.91988 0 0 -1 -1 0.00 0.03 0.01 -1 -1 0.00 0.0178597 0.0169935 + x_gaussian_y_gaussian.xml stereovision3.v common 1.87 vpr 66.84 MiB 0.06 10496 -1 -1 4 0.16 -1 -1 36536 -1 -1 13 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68444 11 30 262 292 2 110 54 7 7 49 clb auto 27.9 MiB 0.18 410 2298 443 1773 82 66.8 MiB 0.05 0.00 1.91988 -135.359 -1.91988 1.85222 0.01 0.000756768 0.000647761 0.0255781 0.0225686 -1 -1 -1 -1 14 303 4 1.07788e+06 700622 -1 -1 0.40 0.162052 0.141633 2680 3516 -1 295 3 165 244 11438 5780 1.91988 1.85222 -135.359 -1.91988 0 0 -1 -1 0.00 0.03 0.00 -1 -1 0.00 0.0211596 0.0200797 + x_delta_y_uniform.xml stereovision3.v common 1.95 vpr 67.15 MiB 0.06 10496 -1 -1 4 0.21 -1 -1 36504 -1 -1 13 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68764 11 30 262 292 2 110 54 7 7 49 clb auto 28.2 MiB 0.14 450 3012 620 2301 91 67.2 MiB 0.05 0.00 1.91988 -135.359 -1.91988 1.85222 0.01 0.000754694 0.000686961 0.028 0.0247412 -1 -1 -1 -1 48 342 3 1.07788e+06 700622 -1 -1 0.49 0.268135 0.232895 2680 3516 -1 342 3 170 251 11060 5468 1.91988 1.85222 -135.359 -1.91988 0 0 -1 -1 0.00 0.03 0.01 -1 -1 0.00 0.0196307 0.0186303 + x_delta_y_delta.xml stereovision3.v common 2.11 vpr 67.59 MiB 0.07 10496 -1 -1 4 0.22 -1 -1 36664 -1 -1 13 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69212 11 30 262 292 2 110 54 7 7 49 clb auto 28.0 MiB 0.13 519 3012 615 2292 105 67.6 MiB 0.05 0.00 1.91988 -135.359 -1.91988 1.85222 0.01 0.000782235 0.000651544 0.0273675 0.0237579 -1 -1 -1 -1 54 442 17 1.07788e+06 700622 -1 -1 0.52 0.268766 0.234157 2680 3516 -1 431 4 215 308 16404 8615 1.91988 1.85222 -135.359 -1.91988 0 0 -1 -1 0.00 0.04 0.01 -1 -1 0.00 0.0214181 0.0202532 + x_uniform_y_delta.xml stereovision3.v common 2.05 vpr 67.14 MiB 0.07 10496 -1 -1 4 0.21 -1 -1 36668 -1 -1 13 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68756 11 30 262 292 2 110 54 7 7 49 clb auto 28.2 MiB 0.15 435 2502 457 1952 93 67.1 MiB 0.05 0.00 1.91988 -135.359 -1.91988 1.85222 0.01 0.000965335 0.000843275 0.027473 0.0239971 -1 -1 -1 -1 34 323 16 1.07788e+06 700622 -1 -1 0.51 0.30529 0.26214 2680 3516 -1 317 16 376 682 28098 12512 1.91988 1.85222 -135.359 -1.91988 0 0 -1 -1 0.00 0.06 0.01 -1 -1 0.00 0.0352499 0.0319547 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_global_routing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_global_routing/config/golden_results.txt index 45783bae7b7..a4fce4cf22f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_global_routing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_global_routing/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - timing/k6_N10_mem32K_40nm.xml stereovision3.v common 1.81 vpr 63.86 MiB 0.06 9972 -1 -1 4 0.20 -1 -1 33444 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65396 11 30 262 292 2 99 60 7 7 49 clb auto 24.7 MiB 0.09 425 2049 385 1607 57 63.9 MiB 0.03 0.00 1.93141 -140.772 -1.93141 1.88461 0.01 0.000539136 0.000477079 0.0137959 0.0125271 -1 -1 -1 -1 8 277 16 1.07788e+06 1.02399e+06 -1 -1 0.23 0.0995243 0.0866078 2100 3116 -1 273 21 567 1118 57694 28121 1.93141 1.88461 -140.772 -1.93141 0 0 -1 -1 0.00 0.08 0.00 -1 -1 0.00 0.0377719 0.0335488 - nonuniform_chan_width/k6_N10_mem32K_40nm_nonuniform.xml stereovision3.v common 1.77 vpr 64.53 MiB 0.07 9928 -1 -1 4 0.20 -1 -1 33420 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66080 11 30 262 292 2 99 60 7 7 49 clb auto 24.7 MiB 0.08 437 1698 295 1347 56 64.5 MiB 0.03 0.00 1.93141 -140.772 -1.93141 1.88461 0.01 0.000564734 0.000501615 0.0121215 0.0110071 -1 -1 -1 -1 12 311 21 1.07788e+06 1.02399e+06 -1 -1 0.20 0.103275 0.0897267 2100 3116 -1 280 16 541 967 52659 26082 1.93141 1.88461 -140.772 -1.93141 0 0 -1 -1 0.00 0.07 0.00 -1 -1 0.00 0.0337495 0.0305254 - nonuniform_chan_width/k6_N10_mem32K_40nm_pulse.xml stereovision3.v common 1.73 vpr 64.50 MiB 0.07 9852 -1 -1 4 0.19 -1 -1 33472 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66048 11 30 262 292 2 99 60 7 7 49 clb auto 24.8 MiB 0.09 458 2049 322 1664 63 64.5 MiB 0.04 0.00 1.93141 -140.772 -1.93141 1.88461 0.01 0.00067398 0.000608186 0.0192321 0.0176286 -1 -1 -1 -1 14 304 18 1.07788e+06 1.02399e+06 -1 -1 0.18 0.09714 0.0842011 2100 3116 -1 308 19 560 1062 59748 29836 1.93141 1.88461 -140.772 -1.93141 0 0 -1 -1 0.00 0.06 0.00 -1 -1 0.00 0.0318622 0.028394 + timing/k6_N10_mem32K_40nm.xml stereovision3.v common 2.02 vpr 67.00 MiB 0.08 10496 -1 -1 4 0.20 -1 -1 36452 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68608 11 30 262 292 2 99 60 7 7 49 clb auto 27.4 MiB 0.11 419 1815 318 1436 61 67.0 MiB 0.04 0.00 1.93141 -140.772 -1.93141 1.88461 0.01 0.000706488 0.000606458 0.0228093 0.0209659 -1 -1 -1 -1 8 283 18 1.07788e+06 1.02399e+06 -1 -1 0.38 0.186498 0.171178 2100 3116 -1 280 18 572 1139 59841 29637 1.93141 1.88461 -140.772 -1.93141 0 0 -1 -1 0.00 0.07 0.00 -1 -1 0.00 0.0346595 0.0310733 + nonuniform_chan_width/k6_N10_mem32K_40nm_nonuniform.xml stereovision3.v common 2.04 vpr 66.32 MiB 0.07 10496 -1 -1 4 0.26 -1 -1 36580 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67916 11 30 262 292 2 99 60 7 7 49 clb auto 27.3 MiB 0.08 428 1698 248 1401 49 66.3 MiB 0.04 0.00 1.93141 -140.772 -1.93141 1.88461 0.01 0.000764131 0.000648712 0.0183265 0.0161998 -1 -1 -1 -1 10 297 21 1.07788e+06 1.02399e+06 -1 -1 0.45 0.176554 0.15406 2100 3116 -1 286 18 539 1058 53794 27022 1.93141 1.88461 -140.772 -1.93141 0 0 -1 -1 0.00 0.08 0.00 -1 -1 0.00 0.0402826 0.0356284 + nonuniform_chan_width/k6_N10_mem32K_40nm_pulse.xml stereovision3.v common 1.99 vpr 66.21 MiB 0.09 10368 -1 -1 4 0.24 -1 -1 36668 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67800 11 30 262 292 2 99 60 7 7 49 clb auto 27.1 MiB 0.09 447 1815 292 1481 42 66.2 MiB 0.07 0.00 1.93141 -140.772 -1.93141 1.88461 0.01 0.00090328 0.000782565 0.0181809 0.0161296 -1 -1 -1 -1 16 296 17 1.07788e+06 1.02399e+06 -1 -1 0.25 0.115099 0.100427 2100 3116 -1 300 17 545 1102 57605 27890 1.93141 1.88461 -140.772 -1.93141 0 0 -1 -1 0.00 0.12 0.01 -1 -1 0.00 0.0397962 0.0356125 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_graphics_commands/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_graphics_commands/config/golden_results.txt index 0367bfe7230..e6884fa004e 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_graphics_commands/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_graphics_commands/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 5.37 vpr 63.99 MiB 0.10 9968 -1 -1 4 0.20 -1 -1 33400 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65528 11 30 262 292 2 99 60 7 7 49 clb auto 24.8 MiB 0.09 421 2049 269 1715 65 64.0 MiB 1.89 0.00 2.53105 -179.908 -2.53105 2.34917 0.00 0.00057386 0.000512781 0.0146636 0.0132498 -1 -1 -1 -1 -1 424 16 1.07788e+06 1.02399e+06 207176. 4228.08 1.13 0.0484194 0.0434429 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common 6.17 vpr 66.89 MiB 0.08 10368 -1 -1 4 0.22 -1 -1 36612 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68492 11 30 262 292 2 99 60 7 7 49 clb auto 27.3 MiB 0.11 425 2283 406 1804 73 66.9 MiB 2.36 0.00 2.45115 -182.341 -2.45115 2.3368 0.00 0.00076202 0.000633481 0.0213386 0.0169977 -1 -1 -1 -1 -1 414 20 1.07788e+06 1.02399e+06 207176. 4228.08 1.35 0.0886305 0.079884 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_manual_annealing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_manual_annealing/config/golden_results.txt index acbedece480..b4a9052cd04 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_manual_annealing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_manual_annealing/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml stereovision3.v common 1.99 vpr 60.15 MiB 0.06 9668 -1 -1 4 0.17 -1 -1 33476 -1 -1 13 11 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61592 11 30 262 292 2 110 54 6 6 36 clb auto 20.4 MiB 0.13 423 4182 3462 630 90 60.1 MiB 0.08 0.00 2.57043 -171.237 -2.57043 2.32238 0.05 0.00089131 0.000815522 0.0388204 0.0350413 -1 -1 -1 -1 32 775 32 862304 700622 60095.3 1669.31 0.30 0.160018 0.138863 2828 10782 -1 624 11 377 562 17524 7204 2.60136 2.32286 -183.634 -2.60136 0 0 72928.5 2025.79 0.01 0.04 0.01 -1 -1 0.01 0.0300283 0.0274063 + k6_frac_N10_40nm.xml stereovision3.v common 2.01 vpr 61.71 MiB 0.06 10112 -1 -1 4 0.22 -1 -1 36708 -1 -1 13 11 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63196 11 30 262 292 2 110 54 6 6 36 clb auto 22.9 MiB 0.13 442 4182 3410 664 108 61.7 MiB 0.07 0.00 2.55648 -171.707 -2.55648 2.31607 0.04 0.000697499 0.000590032 0.035108 0.0301305 -1 -1 -1 -1 36 688 16 862304 700622 64877.6 1802.15 0.32 0.199199 0.171554 2900 12076 -1 568 12 312 493 15436 6065 2.62572 2.28031 -177.78 -2.62572 0 0 80896.3 2247.12 0.00 0.04 0.02 -1 -1 0.00 0.0300653 0.0274931 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_mcnc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_mcnc/config/golden_results.txt index ce0ea8dc839..8d2903c2d48 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_mcnc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_mcnc/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k4_N4_90nm.xml diffeq.blif common 14.82 vpr 69.00 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 438 64 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70652 64 39 1935 1974 1 1077 541 23 23 529 clb auto 28.8 MiB 0.46 10085 137127 36539 98027 2561 69.0 MiB 1.29 0.02 7.41831 -1418.64 -7.41831 7.41831 0.93 0.00542256 0.0043447 0.391364 0.336096 -1 -1 -1 -1 22 12754 28 983127 976439 735934. 1391.18 8.01 1.19718 1.03739 35322 121345 -1 11109 19 6608 23845 1462488 382373 7.14816 7.14816 -1474.13 -7.14816 0 0 927497. 1753.30 0.20 0.73 0.16 -1 -1 0.20 0.232845 0.209152 - k4_N4_90nm.xml ex5p.blif common 30.39 vpr 64.52 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 366 8 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66072 8 63 1072 1135 0 894 437 22 22 484 clb auto 25.1 MiB 0.32 11802 104828 31007 71723 2098 64.5 MiB 0.99 0.02 6.54351 -290.193 -6.54351 nan 0.80 0.00510866 0.00465601 0.247547 0.215944 -1 -1 -1 -1 34 15886 35 891726 815929 1.00654e+06 2079.64 24.52 1.13626 0.978644 45600 169672 -1 13479 18 7616 26985 2968727 818222 6.43932 nan -293.77 -6.43932 0 0 1.27783e+06 2640.15 0.20 0.82 0.22 -1 -1 0.20 0.123294 0.109373 - k4_N4_90nm.xml s298.blif common 53.24 vpr 70.93 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 580 4 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 72636 4 6 1942 1948 1 1169 590 27 27 729 clb auto 30.8 MiB 0.42 13579 163808 47993 114862 953 70.9 MiB 1.53 0.02 12.0403 -94.3066 -12.0403 12.0403 1.25 0.00553281 0.00453291 0.434948 0.364606 -1 -1 -1 -1 24 19358 45 1.39333e+06 1.29301e+06 1.12265e+06 1539.99 44.05 2.01627 1.70098 54650 192211 -1 16862 23 9147 49878 4855038 894292 11.751 11.751 -96.5615 -11.751 0 0 1.47093e+06 2017.74 0.26 1.56 0.23 -1 -1 0.26 0.263955 0.229636 + k4_N4_90nm.xml diffeq.blif common 18.17 vpr 71.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 438 64 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 72740 64 39 1935 1974 1 1077 541 23 23 529 clb auto 31.4 MiB 0.53 10472 141533 36950 100839 3744 71.0 MiB 1.46 0.02 7.46482 -1369.01 -7.46482 7.46482 0.64 0.00605549 0.00528423 0.429203 0.364085 -1 -1 -1 -1 24 13068 28 983127 976439 797780. 1508.09 11.91 2.29628 1.96589 39018 137339 -1 11478 18 6600 23331 1479297 381870 7.27304 7.27304 -1454.66 -7.27304 0 0 1.04508e+06 1975.57 0.03 0.83 0.15 -1 -1 0.03 0.252853 0.225541 + k4_N4_90nm.xml ex5p.blif common 20.35 vpr 67.02 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 366 8 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68624 8 63 1072 1135 0 894 437 22 22 484 clb auto 27.6 MiB 0.32 12004 99857 28319 69545 1993 67.0 MiB 1.07 0.02 6.86459 -313.968 -6.86459 nan 0.52 0.0035933 0.00315668 0.2475 0.211473 -1 -1 -1 -1 32 16530 34 891726 815929 949946. 1962.70 14.36 0.89434 0.759948 43920 162796 -1 14048 22 8455 31174 3329435 847924 6.8764 nan -316.234 -6.8764 0 0 1.22393e+06 2528.78 0.08 1.43 0.30 -1 -1 0.08 0.237816 0.212077 + k4_N4_90nm.xml s298.blif common 19.44 vpr 73.23 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 580 4 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 74984 4 6 1942 1948 1 1169 590 27 27 729 clb auto 33.0 MiB 0.49 13813 156389 45768 109723 898 73.2 MiB 1.80 0.03 12.2682 -96.384 -12.2682 12.2682 0.93 0.0106993 0.00945176 0.491739 0.389383 -1 -1 -1 -1 26 17490 32 1.39333e+06 1.29301e+06 1.22387e+06 1678.84 10.93 1.63745 1.34189 57250 204657 -1 16420 17 8603 42614 3232268 684840 12.0598 12.0598 -95.4975 -12.0598 0 0 1.55812e+06 2137.34 0.10 1.48 0.31 -1 -1 0.10 0.297955 0.263351 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_minimax_budgets/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_minimax_budgets/config/golden_results.txt index 7b4d40ad61c..4db936a1dd3 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_minimax_budgets/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_minimax_budgets/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 1.89 vpr 67.16 MiB 0.08 10336 -1 -1 5 0.21 -1 -1 33252 -1 -1 14 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68768 11 30 313 321 2 114 55 7 7 49 clb auto 27.4 MiB 0.33 439 1511 357 1028 126 67.2 MiB 0.07 0.00 4.62621 0 0 4.30823 0.00 0.00078995 0.00071828 0.0210334 0.0197012 -1 -1 -1 -1 639 5.91667 232 2.14815 379 726 16470 4839 1.07788e+06 754516 219490. 4479.39 10 5100 32136 -1 4.76665 4.41703 0 0 -164.948 -1.707 0.04 -1 -1 67.2 MiB 0.16 0.162181 0.155936 67.2 MiB -1 0.05 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 1.78 vpr 69.28 MiB 0.09 10496 -1 -1 5 0.19 -1 -1 36448 -1 -1 14 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70944 11 30 313 321 2 114 55 7 7 49 clb auto 29.8 MiB 0.39 459 2031 574 1374 83 69.3 MiB 0.03 0.00 4.6413 0 0 4.31525 0.00 0.000635584 0.000552586 0.0173645 0.0155707 -1 -1 -1 -1 570 5.27778 228 2.11111 239 439 10467 3202 1.07788e+06 754516 219490. 4479.39 8 5100 32136 -1 4.62935 4.30491 0 0 -165.142 -1.707 0.05 -1 -1 69.3 MiB 0.14 0.147679 0.140851 69.3 MiB -1 0.01 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_multiclock/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_multiclock/config/golden_results.txt index aa786b4ddf8..19fe5d4556f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_multiclock/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_multiclock/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params crit_path_delay_mcw clk_to_clk_cpd clk_to_clk2_cpd clk_to_input_cpd clk_to_output_cpd clk2_to_clk2_cpd clk2_to_clk_cpd clk2_to_input_cpd clk2_to_output_cpd input_to_input_cpd input_to_clk_cpd input_to_clk2_cpd input_to_output_cpd output_to_output_cpd output_to_clk_cpd output_to_clk2_cpd output_to_input_cpd clk_to_clk_setup_slack clk_to_clk2_setup_slack clk_to_input_setup_slack clk_to_output_setup_slack clk2_to_clk2_setup_slack clk2_to_clk_setup_slack clk2_to_input_setup_slack clk2_to_output_setup_slack input_to_input_setup_slack input_to_clk_setup_slack input_to_clk2_setup_slack input_to_output_setup_slack output_to_output_setup_slack output_to_clk_setup_slack output_to_clk2_setup_slack output_to_input_setup_slack clk_to_clk_hold_slack clk_to_clk2_hold_slack clk_to_input_hold_slack clk_to_output_hold_slack clk2_to_clk2_hold_slack clk2_to_clk_hold_slack clk2_to_input_hold_slack clk2_to_output_hold_slack input_to_input_hold_slack input_to_clk_hold_slack input_to_clk2_hold_slack input_to_output_hold_slack output_to_output_hold_slack output_to_clk_hold_slack output_to_clk2_hold_slack output_to_input_hold_slack - k6_frac_N10_mem32K_40nm.xml multiclock.blif common 1.59919 0.595 0.841581 -1 -1 0.57 0.814813 -1 1.59919 -1 1.07141 -1 1.37913 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.243 1.71958 -1 -1 0.268 3.24281 -1 1.44782 -1 3.30941 -1 -1.86724 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml multiclock.blif common 1.59919 0.595 0.841581 -1 -1 0.57 0.814813 -1 1.59919 -1 1.1662 -1 1.8371 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.243 1.71958 -1 -1 0.268 3.24281 -1 1.44782 -1 3.4042 -1 -1.40928 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_no_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_no_timing/config/golden_results.txt index 20374de3e27..8285cf5d7b5 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_no_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_no_timing/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml ch_intrinsics.v common 2.74 vpr 66.21 MiB 0.07 9628 -1 -1 3 0.35 -1 -1 34640 -1 -1 66 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67804 99 130 363 493 1 250 296 12 12 144 clb auto 27.0 MiB 0.19 914 65372 15140 25128 25104 66.2 MiB 0.10 0.00 34 2054 14 5.66058e+06 4.105e+06 317980. 2208.19 0.75 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml ch_intrinsics.v common 2.66 vpr 68.27 MiB 0.06 9984 -1 -1 3 0.36 -1 -1 39780 -1 -1 66 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69908 99 130 363 493 1 250 296 12 12 144 clb auto 29.2 MiB 0.21 805 57484 15208 21002 21274 68.3 MiB 0.11 0.00 40 1774 10 5.66058e+06 4.105e+06 360333. 2502.31 0.52 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_pack/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_pack/config/golden_results.txt index 7d08de7d57f..735a18dab2b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_pack/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_pack/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 1.18 vpr 64.60 MiB 0.08 9968 -1 -1 4 0.19 -1 -1 33248 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66148 11 30 262 292 2 99 60 7 7 49 clb auto 24.8 MiB 0.08 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00212704 0.00202414 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.45 vpr 66.93 MiB 0.09 10368 -1 -1 4 0.22 -1 -1 36756 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68532 11 30 262 292 2 99 60 7 7 49 clb auto 27.3 MiB 0.12 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00571426 0.00556672 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_pack_and_place/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_pack_and_place/config/golden_results.txt index a85975233a5..402ade22624 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_pack_and_place/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_pack_and_place/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 1.29 vpr 64.50 MiB 0.08 9892 -1 -1 4 0.20 -1 -1 33360 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66052 11 30 262 292 2 99 60 7 7 49 clb auto 24.8 MiB 0.09 439 1932 239 1639 54 64.5 MiB 0.03 0.00 2.45489 -180.196 -2.45489 2.33213 0.07 0.000557675 0.000497069 0.0140116 0.0127239 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.0161027 0.014718 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.29 vpr 66.33 MiB 0.06 10368 -1 -1 4 0.21 -1 -1 36632 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67920 11 30 262 292 2 99 60 7 7 49 clb auto 27.4 MiB 0.08 427 1815 293 1474 48 66.3 MiB 0.04 0.00 2.45489 -180.219 -2.45489 2.30757 0.05 0.000892007 0.00076546 0.0179963 0.0157273 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.0202445 0.0178347 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_pack_disable/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_pack_disable/config/golden_results.txt index c26de4c60a8..8bf865796ba 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_pack_disable/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_pack_disable/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml mult_5x6.blif common 0.77 vpr 58.57 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 11 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59976 11 11 59 70 0 48 26 4 4 16 clb auto 19.2 MiB 0.04 179 634 146 488 0 58.6 MiB 0.01 0.00 2.51353 -20.6332 -2.51353 nan 0.01 0.000235015 0.000215515 0.00574618 0.00532029 -1 -1 -1 -1 30 199 15 215576 215576 18771.3 1173.21 0.19 0.061719 0.0519663 1016 3020 -1 198 17 257 591 8958 4396 2.73234 nan -23.1489 -2.73234 0 0 22855.5 1428.47 0.01 0.02 0.01 -1 -1 0.01 0.0122134 0.0110341 - k6_frac_N10_40nm_disable_packing.xml mult_5x6.blif common 0.05 vpr 21.55 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 22068 11 11 59 70 0 -1 -1 -1 -1 -1 -1 -1 19.1 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml mult_5x6.blif common 0.57 vpr 60.99 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 11 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62456 11 11 59 70 0 48 26 4 4 16 clb auto 22.0 MiB 0.04 179 862 260 602 0 61.0 MiB 0.01 0.00 2.46139 -19.889 -2.46139 nan 0.01 0.000234107 0.000208327 0.0069198 0.00625105 -1 -1 -1 -1 28 244 41 215576 215576 17602.3 1100.14 0.10 0.0507337 0.0443992 984 2821 -1 165 13 220 476 6314 3099 2.61613 nan -21.1174 -2.61613 0 0 21084.5 1317.78 0.00 0.02 0.00 -1 -1 0.00 0.0119559 0.010883 + k6_frac_N10_40nm_disable_packing.xml mult_5x6.blif common 0.07 vpr 23.38 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 23940 11 11 59 70 0 -1 -1 -1 -1 -1 -1 -1 22.0 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place/config/golden_results.txt index 0266ed5293a..af7706738c0 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml multiclock.blif common 0.29 vpr 62.64 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64140 5 3 11 14 2 9 10 4 4 16 clb auto -1 -1 20 30 10 17 3 62.6 MiB 0.00 0.00 0.645658 -3.51726 -0.645658 0.571 0.01 4.8438e-05 3.4201e-05 0.00152576 0.00147521 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00152576 0.00147521 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml multiclock.blif common 0.24 vpr 64.79 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66340 5 3 11 14 2 9 10 4 4 16 clb auto -1 -1 21 30 9 19 2 64.8 MiB 0.01 0.00 0.646042 -3.51892 -0.646042 0.571 0.01 6.4699e-05 4.5848e-05 0.00182479 0.00174439 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.00182479 0.00174439 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_delay_calc_method/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_delay_calc_method/config/golden_results.txt index 457652c3ee3..25609b75a68 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_delay_calc_method/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_delay_calc_method/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_--place_delta_delay_matrix_calculation_method_astar 38.59 vpr 976.47 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 999904 10 10 168 178 1 68 30 11 8 88 io auto 953.3 MiB 0.63 393 628 105 491 32 976.5 MiB 0.06 0.00 6.51193 -69.1178 -6.51193 6.51193 3.11 0.000480842 0.000431377 0.0112949 0.0105397 -1 -1 -1 -1 20 893 28 0 0 100248. 1139.18 0.66 0.109748 0.0976349 11180 23751 -1 831 19 496 1987 121384 60113 6.91414 6.91414 -78.1319 -6.91414 0 0 150556. 1710.86 0.02 0.09 0.07 -1 -1 0.02 0.0302143 0.0267735 - stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_override_--place_delta_delay_matrix_calculation_method_astar 38.84 vpr 976.57 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 1000004 10 10 168 178 1 68 30 11 8 88 io auto 953.4 MiB 0.62 380 628 91 496 41 976.6 MiB 0.06 0.00 6.52338 -69.1003 -6.52338 6.52338 3.24 0.000527135 0.000462877 0.0115671 0.0108087 -1 -1 -1 -1 30 673 12 0 0 144567. 1642.81 0.58 0.0925956 0.0823255 11730 32605 -1 585 9 216 698 45031 21119 6.8993 6.8993 -73.7008 -6.8993 0 0 194014. 2204.70 0.03 0.06 0.10 -1 -1 0.03 0.0207007 0.0188861 - stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_--place_delta_delay_matrix_calculation_method_dijkstra 40.21 vpr 976.52 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 999952 10 10 168 178 1 68 30 11 8 88 io auto 953.3 MiB 0.62 369 766 101 608 57 976.5 MiB 0.07 0.00 6.29548 -69.1499 -6.29548 6.29548 3.96 0.000642065 0.000578628 0.0144046 0.0134125 -1 -1 -1 -1 20 979 39 0 0 100248. 1139.18 1.54 0.210388 0.179459 11180 23751 -1 730 15 326 1149 70174 36157 6.70251 6.70251 -75.6785 -6.70251 0 0 125464. 1425.72 0.02 0.07 0.07 -1 -1 0.02 0.0262708 0.0234853 - stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_override_--place_delta_delay_matrix_calculation_method_dijkstra 39.65 vpr 976.56 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 999996 10 10 168 178 1 68 30 11 8 88 io auto 953.5 MiB 0.62 393 720 70 599 51 976.6 MiB 0.06 0.00 6.29266 -69.3194 -6.29266 6.29266 3.95 0.000492354 0.000450911 0.0121985 0.0113493 -1 -1 -1 -1 30 792 16 0 0 144567. 1642.81 0.52 0.0829003 0.0732049 11730 32605 -1 644 15 284 1326 83485 37502 6.72776 6.72776 -73.9475 -6.72776 0 0 194014. 2204.70 0.03 0.07 0.10 -1 -1 0.03 0.0265404 0.0237185 + stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_--place_delta_delay_matrix_calculation_method_astar 40.74 vpr 978.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1001944 10 10 168 178 1 68 30 11 8 88 io auto 955.5 MiB 0.61 385 628 76 517 35 978.5 MiB 0.06 0.00 6.37842 -68.9926 -6.37842 6.37842 2.79 0.000585035 0.000506509 0.0125856 0.0113967 -1 -1 -1 -1 28 740 24 0 0 134428. 1527.59 1.17 0.202681 0.177336 11590 29630 -1 638 15 260 898 57405 28552 6.7547 6.7547 -73.7765 -6.7547 0 0 173354. 1969.93 0.01 0.09 0.08 -1 -1 0.01 0.0322374 0.0298184 + stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_override_--place_delta_delay_matrix_calculation_method_astar 42.57 vpr 978.19 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1001668 10 10 168 178 1 68 30 11 8 88 io auto 955.1 MiB 0.58 356 628 86 501 41 978.2 MiB 0.08 0.00 6.32784 -69.1369 -6.32784 6.32784 2.79 0.00106817 0.000941606 0.0173949 0.0157097 -1 -1 -1 -1 26 696 13 0 0 125464. 1425.72 1.41 0.24274 0.212439 11500 28430 -1 625 14 346 1342 78096 38981 6.62332 6.62332 -73.8789 -6.62332 0 0 163463. 1857.53 0.01 0.08 0.08 -1 -1 0.01 0.0393492 0.0368597 + stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_--place_delta_delay_matrix_calculation_method_dijkstra 41.18 vpr 978.46 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1001944 10 10 168 178 1 68 30 11 8 88 io auto 955.2 MiB 0.77 378 628 92 504 32 978.5 MiB 0.06 0.00 6.37842 -68.9795 -6.37842 6.37842 3.93 0.000461318 0.000398366 0.0135017 0.0123478 -1 -1 -1 -1 30 740 27 0 0 144567. 1642.81 1.27 0.212409 0.18717 11730 32605 -1 579 10 219 802 50034 22946 6.80801 6.80801 -73.0986 -6.80801 0 0 194014. 2204.70 0.01 0.06 0.10 -1 -1 0.01 0.0272644 0.0255028 + stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_override_--place_delta_delay_matrix_calculation_method_dijkstra 43.49 vpr 978.56 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1002044 10 10 168 178 1 68 30 11 8 88 io auto 955.6 MiB 0.67 353 582 71 475 36 978.6 MiB 0.10 0.00 6.2342 -69.2052 -6.2342 6.2342 4.26 0.00126519 0.00120018 0.0174025 0.0162066 -1 -1 -1 -1 22 762 19 0 0 110609. 1256.92 0.57 0.121021 0.108951 11258 24748 -1 710 14 413 1547 91286 47129 6.80216 6.80216 -76.023 -6.80216 0 0 134428. 1527.59 0.01 0.08 0.05 -1 -1 0.01 0.0301878 0.0279201 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_delay_model/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_delay_model/config/golden_results.txt index 2d257ead4b6..de612c1c661 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_delay_model/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_delay_model/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta 39.27 vpr 976.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 999936 10 10 168 178 1 68 30 11 8 88 io auto 953.4 MiB 0.61 393 628 105 491 32 976.5 MiB 0.06 0.00 6.51193 -69.1178 -6.51193 6.51193 3.33 0.000569129 0.000496002 0.012275 0.0114625 -1 -1 -1 -1 20 893 28 0 0 100248. 1139.18 0.66 0.116406 0.101755 11180 23751 -1 831 19 496 1987 121384 60113 6.91414 6.91414 -78.1319 -6.91414 0 0 150556. 1710.86 0.02 0.09 0.07 -1 -1 0.02 0.0312385 0.0276729 - stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_override 36.90 vpr 976.67 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 1000112 10 10 168 178 1 68 30 11 8 88 io auto 953.7 MiB 0.60 380 628 91 496 41 976.7 MiB 0.06 0.00 6.52338 -69.1003 -6.52338 6.52338 3.10 0.000501046 0.000450601 0.0117982 0.0109963 -1 -1 -1 -1 30 673 12 0 0 144567. 1642.81 0.47 0.0799941 0.0704629 11730 32605 -1 585 9 216 698 45031 21119 6.8993 6.8993 -73.7008 -6.8993 0 0 194014. 2204.70 0.03 0.06 0.10 -1 -1 0.03 0.0206622 0.0188402 + stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta 41.99 vpr 978.21 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1001688 10 10 168 178 1 68 30 11 8 88 io auto 955.2 MiB 0.85 385 628 76 517 35 978.2 MiB 0.09 0.00 6.37842 -68.9926 -6.37842 6.37842 2.49 0.000740479 0.000647957 0.0145497 0.0133659 -1 -1 -1 -1 28 740 24 0 0 134428. 1527.59 1.45 0.22055 0.192568 11590 29630 -1 638 15 260 898 57405 28552 6.7547 6.7547 -73.7765 -6.7547 0 0 173354. 1969.93 0.01 0.11 0.10 -1 -1 0.01 0.0358167 0.033477 + stratixiv_arch.timing.xml styr.blif common_--place_delay_model_delta_override 41.03 vpr 978.36 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1001836 10 10 168 178 1 68 30 11 8 88 io auto 955.3 MiB 0.66 356 628 86 501 41 978.4 MiB 0.10 0.00 6.32784 -69.1369 -6.32784 6.32784 2.55 0.000491141 0.000429611 0.0148781 0.0136279 -1 -1 -1 -1 26 696 13 0 0 125464. 1425.72 1.47 0.229896 0.203057 11500 28430 -1 625 14 346 1342 78096 38981 6.62332 6.62332 -73.8789 -6.62332 0 0 163463. 1857.53 0.01 0.11 0.09 -1 -1 0.01 0.0316333 0.0293122 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_effort_scaling/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_effort_scaling/config/golden_results.txt index 02e9ce0dfc9..be79764ceb1 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_effort_scaling/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_effort_scaling/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - EArch.xml ex5p.blif common_--place_effort_scaling_circuit 4.11 vpr 74.34 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 76128 8 63 1072 1135 0 619 135 12 12 144 clb auto 34.5 MiB 2.33 6183 12245 2318 9041 886 74.3 MiB 0.42 0.01 4.99539 -218.829 -4.99539 nan 0.40 0.00404212 0.00341765 0.184837 0.163351 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.189101 0.167214 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - EArch.xml ex5p.blif common_--place_effort_scaling_device_circuit 4.01 vpr 74.36 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 76144 8 63 1072 1135 0 619 135 12 12 144 clb auto 34.3 MiB 2.38 6325 11326 2120 8412 794 74.4 MiB 0.36 0.01 4.96391 -216.681 -4.96391 nan 0.38 0.00390508 0.00360049 0.150898 0.136289 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.155447 0.140327 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - EArch.xml ex5p.blif common_--place_effort_scaling_circuit_--target_utilization_0.1 9.78 vpr 78.00 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 79868 8 63 1072 1135 0 619 135 27 27 729 -1 auto 34.2 MiB 2.05 6780 22625 6869 14375 1381 77.8 MiB 0.53 0.01 5.57619 -254.596 -5.57619 nan 3.24 0.00298107 0.00257554 0.222411 0.19574 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.226597 0.199503 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - EArch.xml ex5p.blif common_--place_effort_scaling_device_circuit_--target_utilization_0.1 10.33 vpr 78.05 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 79920 8 63 1072 1135 0 619 135 27 27 729 -1 auto 34.4 MiB 2.32 6916 70425 20372 45422 4631 78.0 MiB 1.06 0.02 5.61138 -254.037 -5.61138 nan 3.32 0.00308249 0.00275482 0.219018 0.196554 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.223523 0.200574 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml ex5p.blif common_--place_effort_scaling_circuit 4.26 vpr 76.45 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 78288 8 63 1072 1135 0 619 135 12 12 144 clb auto 36.4 MiB 2.83 6246 12245 2336 8854 1055 76.5 MiB 0.39 0.01 4.93521 -218.151 -4.93521 nan 0.27 0.00393519 0.00333322 0.167205 0.141172 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.170495 0.143929 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml ex5p.blif common_--place_effort_scaling_device_circuit 3.34 vpr 76.57 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 78408 8 63 1072 1135 0 619 135 12 12 144 clb auto 36.4 MiB 2.32 6248 12409 2316 9051 1042 76.6 MiB 0.27 0.01 5.00015 -217.921 -5.00015 nan 0.18 0.00183948 0.00156955 0.109821 0.0973753 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.11472 0.101715 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml ex5p.blif common_--place_effort_scaling_circuit_--target_utilization_0.1 6.81 vpr 76.72 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 78560 8 63 1072 1135 0 619 135 27 27 729 -1 auto 36.7 MiB 2.45 6557 16051 3559 11939 553 76.7 MiB 0.47 0.01 5.39652 -231.823 -5.39652 nan 1.67 0.00368316 0.00300979 0.191413 0.165252 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.196386 0.169658 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml ex5p.blif common_--place_effort_scaling_device_circuit_--target_utilization_0.1 7.69 vpr 76.65 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 64 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 78492 8 63 1072 1135 0 619 135 27 27 729 -1 auto 36.4 MiB 2.51 6642 53385 10847 39555 2983 76.7 MiB 1.06 0.02 5.30857 -236.309 -5.30857 nan 1.91 0.00201874 0.00161249 0.24975 0.219272 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 0.258981 0.227848 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_quench_slack/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_quench_slack/config/golden_results.txt index 894bbbd344b..b0056cc1abc 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_quench_slack/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_place_quench_slack/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common 2.45 vpr 64.46 MiB 0.06 10080 -1 -1 4 0.19 -1 -1 33316 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66004 11 30 262 292 2 99 60 7 7 49 clb auto 24.8 MiB 0.08 439 1932 239 1639 54 64.5 MiB 0.03 0.00 2.45489 -180.196 -2.45489 2.33213 0.08 0.000661458 0.000589394 0.0146171 0.0132586 -1 -1 -1 -1 20 684 34 1.07788e+06 1.02399e+06 49980.0 1020.00 0.62 0.239102 0.203363 2664 9102 -1 585 25 992 2191 68660 23567 2.62928 2.46785 -187.223 -2.62928 0 0 65453.8 1335.79 0.02 0.09 0.01 -1 -1 0.02 0.0513972 0.044921 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.86 vpr 66.93 MiB 0.06 10496 -1 -1 4 0.21 -1 -1 36668 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68536 11 30 262 292 2 99 60 7 7 49 clb auto 27.3 MiB 0.08 427 1815 293 1474 48 66.9 MiB 0.03 0.00 2.45489 -180.219 -2.45489 2.30757 0.06 0.00072366 0.000614909 0.0157761 0.0136171 -1 -1 -1 -1 18 637 26 1.07788e+06 1.02399e+06 45686.6 932.380 0.27 0.140412 0.11741 2616 8308 -1 528 22 686 1665 42264 14116 2.57724 2.36372 -184.812 -2.57724 0 0 59124.6 1206.62 0.00 0.06 0.01 -1 -1 0.00 0.0578011 0.0529737 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_post_routing_sync/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_post_routing_sync/config/golden_results.txt index d972ea27949..c15f8828261 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_post_routing_sync/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_post_routing_sync/config/golden_results.txt @@ -1,21 +1,21 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml const_true.blif common 0.51 vpr 60.07 MiB -1 -1 -1 -1 0 0.02 -1 -1 30028 -1 -1 1 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61512 -1 1 1 2 0 1 2 3 3 9 -1 auto 21.3 MiB 0.00 0 3 0 0 3 60.1 MiB 0.01 0.00 nan 0 0 nan 0.00 1.7627e-05 1.1573e-05 0.000113513 8.1967e-05 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.01 0.00151028 0.00142155 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml const_false.blif common 0.50 vpr 60.09 MiB -1 -1 -1 -1 0 0.02 -1 -1 30092 -1 -1 1 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61532 -1 1 1 2 0 1 2 3 3 9 -1 auto 21.4 MiB 0.01 0 3 0 0 3 60.1 MiB 0.01 0.00 nan 0 0 nan 0.00 3.6083e-05 2.8637e-05 0.000127329 9.3377e-05 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.01 0.0017273 0.00163154 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml always_true.blif common 0.50 vpr 60.15 MiB -1 -1 -1 -1 0 0.02 -1 -1 30080 -1 -1 1 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61592 6 1 1 8 0 1 8 3 3 9 -1 auto 21.5 MiB 0.00 0 21 0 11 10 60.1 MiB 0.02 0.00 nan 0 0 nan 0.00 5.004e-05 3.7372e-05 0.000166294 0.000125745 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.01 0.00163661 0.00154889 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml always_false.blif common 0.49 vpr 60.31 MiB -1 -1 -1 -1 0 0.02 -1 -1 30084 -1 -1 1 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61760 6 1 1 8 0 1 8 3 3 9 -1 auto 21.6 MiB 0.00 0 21 0 11 10 60.3 MiB 0.01 0.00 nan 0 0 nan 0.00 1.6169e-05 1.0582e-05 9.2682e-05 6.5639e-05 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.01 0.00147233 0.0013956 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml and.blif common 0.58 vpr 60.43 MiB -1 -1 -1 -1 1 0.03 -1 -1 30112 -1 -1 1 2 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61884 2 1 3 4 0 3 4 3 3 9 -1 auto 21.7 MiB 0.00 9 9 5 0 4 60.4 MiB 0.01 0.00 0.443777 -0.443777 -0.443777 nan 0.00 5.398e-05 3.9502e-05 0.000289519 0.000214291 -1 -1 -1 -1 -1 6 9 3900 3900 7855.82 872.868 0.03 0.00192535 0.00172273 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml multiconnected_lut.blif common 0.55 vpr 60.17 MiB -1 -1 -1 -1 2 0.06 -1 -1 31600 -1 -1 1 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61612 5 1 7 8 0 7 7 3 3 9 -1 auto 21.4 MiB 0.00 20 18 12 0 6 60.2 MiB 0.00 0.00 0.70303 -0.70303 -0.70303 nan 0.00 2.2816e-05 1.7643e-05 0.000143814 0.000116096 -1 -1 -1 -1 -1 8 6 3900 3900 7855.82 872.868 0.00 0.00174662 0.00161678 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml multiconnected_lut2.blif common 0.54 vpr 60.26 MiB -1 -1 -1 -1 2 0.06 -1 -1 31636 -1 -1 1 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61704 5 1 7 8 0 7 7 3 3 9 -1 auto 21.6 MiB 0.00 20 18 13 0 5 60.3 MiB 0.00 0.00 0.70303 -0.70303 -0.70303 nan 0.00 2.084e-05 1.5868e-05 0.000134512 0.00010872 -1 -1 -1 -1 -1 11 12 3900 3900 7855.82 872.868 0.00 0.00195725 0.00178645 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml and_latch.blif common 0.38 vpr 60.29 MiB -1 -1 -1 -1 1 0.03 -1 -1 30040 -1 -1 1 3 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61736 3 1 5 6 1 4 5 3 3 9 -1 auto 21.6 MiB 0.00 9 12 9 0 3 60.3 MiB 0.00 0.00 0.274843 -0.536407 -0.274843 0.274843 0.00 2.0381e-05 1.5173e-05 0.000137972 0.000108819 -1 -1 -1 -1 -1 5 8 3900 3900 7855.82 872.868 0.01 0.00181726 0.00166296 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml false_path_mux.blif common 0.50 vpr 60.15 MiB -1 -1 -1 -1 1 0.05 -1 -1 31856 -1 -1 1 3 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61592 4 1 4 6 0 4 6 3 3 9 -1 auto 21.5 MiB 0.00 12 15 11 0 4 60.1 MiB 0.00 0.00 0.443777 -0.443777 -0.443777 nan 0.00 1.7856e-05 1.3398e-05 0.000108277 8.4344e-05 -1 -1 -1 -1 -1 7 16 3900 3900 7855.82 872.868 0.01 0.0019029 0.00171691 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_2x2.blif common 0.50 vpr 60.13 MiB -1 -1 -1 -1 1 0.05 -1 -1 31628 -1 -1 1 4 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61576 4 4 8 12 0 8 9 3 3 9 -1 auto 21.4 MiB 0.01 25 27 23 0 4 60.1 MiB 0.00 0.00 0.443777 -1.77511 -0.443777 nan 0.00 3.0119e-05 2.4685e-05 0.000212004 0.000181584 -1 -1 -1 -1 -1 27 13 3900 3900 7855.82 872.868 0.01 0.0021155 0.0018911 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_3x3.blif common 0.60 vpr 60.32 MiB -1 -1 -1 -1 3 0.06 -1 -1 32464 -1 -1 3 6 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61768 6 6 28 34 0 28 15 5 5 25 clb auto 21.9 MiB 0.01 103 51 13 38 0 60.3 MiB 0.00 0.00 1.2267 -5.62618 -1.2267 nan 0.00 8.5564e-05 7.5629e-05 0.000593143 0.000543033 -1 -1 -1 -1 -1 193 16 23400 11700 33739.5 1349.58 0.02 0.00517237 0.00460917 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_3x4.blif common 0.57 vpr 60.45 MiB -1 -1 -1 -1 4 0.07 -1 -1 32140 -1 -1 5 7 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61904 7 8 39 47 0 39 20 5 5 25 clb auto 21.9 MiB 0.01 172 74 13 56 5 60.5 MiB 0.00 0.00 1.56314 -7.84574 -1.56314 nan 0.00 0.000117668 0.0001038 0.000782581 0.000724192 -1 -1 -1 -1 -1 327 16 23400 19500 33739.5 1349.58 0.02 0.00618715 0.00546308 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_4x4.blif common 0.64 vpr 60.37 MiB -1 -1 -1 -1 8 0.08 -1 -1 32128 -1 -1 7 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61820 8 8 51 59 0 51 23 6 6 36 clb auto 21.8 MiB 0.02 214 503 64 428 11 60.4 MiB 0.01 0.00 2.63385 -12.7463 -2.63385 nan 0.00 0.000148329 0.000135235 0.00292836 0.0026818 -1 -1 -1 -1 -1 520 19 165600 27300 61410.5 1705.85 0.04 0.0103845 0.00916876 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_5x5.blif common 0.80 vpr 60.91 MiB -1 -1 -1 -1 7 0.10 -1 -1 32644 -1 -1 11 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 62376 10 10 95 105 0 95 31 6 6 36 clb auto 21.6 MiB 0.02 451 655 100 526 29 60.9 MiB 0.01 0.00 2.57174 -18.2179 -2.57174 nan 0.00 0.000247651 0.000224086 0.0044842 0.00411671 -1 -1 -1 -1 -1 1075 30 165600 42900 61410.5 1705.85 0.11 0.0228064 0.0198831 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_5x6.blif common 0.88 vpr 60.67 MiB -1 -1 -1 -1 8 0.11 -1 -1 32800 -1 -1 11 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 62124 11 11 94 105 0 94 33 6 6 36 clb auto 21.4 MiB 0.02 445 709 84 587 38 60.7 MiB 0.01 0.00 2.8791 -21.3962 -2.8791 nan 0.00 0.000236171 0.000215994 0.00436128 0.00400831 -1 -1 -1 -1 -1 1004 29 165600 42900 61410.5 1705.85 0.12 0.0229619 0.0201826 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_1bit.blif common 0.57 vpr 60.10 MiB -1 -1 -1 -1 1 0.06 -1 -1 31048 -1 -1 1 3 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61544 3 2 5 7 0 5 6 3 3 9 -1 auto 21.3 MiB 0.00 15 15 11 0 4 60.1 MiB 0.00 0.00 0.443777 -0.887553 -0.443777 nan 0.00 2.2394e-05 1.7356e-05 0.000138272 0.000110194 -1 -1 -1 -1 -1 12 16 3900 3900 7855.82 872.868 0.01 0.00204794 0.00183814 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_2bit.blif common 0.59 vpr 60.23 MiB -1 -1 -1 -1 2 0.06 -1 -1 32036 -1 -1 1 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61680 5 3 9 12 0 9 9 3 3 9 -1 auto 21.4 MiB 0.00 26 27 24 0 3 60.2 MiB 0.00 0.00 0.70303 -1.84984 -0.70303 nan 0.00 2.8297e-05 2.2857e-05 0.000196846 0.000166486 -1 -1 -1 -1 -1 19 17 3900 3900 7855.82 872.868 0.01 0.0024366 0.00217707 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_3bit.blif common 0.60 vpr 60.23 MiB -1 -1 -1 -1 3 0.07 -1 -1 31928 -1 -1 1 7 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61680 7 4 13 17 0 13 12 3 3 9 -1 auto 21.4 MiB 0.01 37 38 34 0 4 60.2 MiB 0.01 0.00 0.962283 -3.07137 -0.962283 nan 0.00 3.752e-05 3.1451e-05 0.000277912 0.000245482 -1 -1 -1 -1 -1 42 18 3900 3900 7855.82 872.868 0.01 0.00310669 0.00275063 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_4bit.blif common 0.61 vpr 60.27 MiB -1 -1 -1 -1 4 0.06 -1 -1 31932 -1 -1 1 9 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61712 9 5 17 22 0 17 15 3 3 9 -1 auto 21.6 MiB 0.01 48 51 43 0 8 60.3 MiB 0.00 0.00 1.22154 -4.55216 -1.22154 nan 0.00 3.2524e-05 2.7271e-05 0.000285083 0.000254871 -1 -1 -1 -1 -1 65 19 3900 3900 7855.82 872.868 0.01 0.00477156 0.00432496 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_5bit.blif common 0.61 vpr 60.07 MiB -1 -1 -1 -1 4 0.06 -1 -1 32012 -1 -1 2 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 61516 11 6 24 30 0 24 19 4 4 16 clb auto 21.7 MiB 0.01 83 69 18 40 11 60.1 MiB 0.00 0.00 1.35387 -6.69849 -1.35387 nan 0.00 6.3642e-05 5.2484e-05 0.000532237 0.000477721 -1 -1 -1 -1 -1 125 12 7800 7800 17482.0 1092.63 0.01 0.00395115 0.00350668 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml const_true.blif common 0.38 vpr 62.46 MiB -1 -1 -1 -1 0 0.02 -1 -1 33172 -1 -1 1 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63964 -1 1 1 2 0 1 2 3 3 9 -1 auto 24.2 MiB 0.00 0 3 0 0 3 62.5 MiB 0.00 0.00 nan 0 0 nan 0.00 1.4078e-05 8.305e-06 7.897e-05 5.195e-05 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.00 0.00158662 0.00151514 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml const_false.blif common 0.40 vpr 62.46 MiB -1 -1 -1 -1 0 0.02 -1 -1 32980 -1 -1 1 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63964 -1 1 1 2 0 1 2 3 3 9 -1 auto 24.2 MiB 0.00 0 3 0 0 3 62.5 MiB 0.00 0.00 nan 0 0 nan 0.00 1.1945e-05 6.486e-06 7.545e-05 4.8841e-05 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.00 0.00148797 0.00142035 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml always_true.blif common 0.44 vpr 62.59 MiB -1 -1 -1 -1 0 0.02 -1 -1 32992 -1 -1 1 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64096 6 1 1 8 0 1 8 3 3 9 -1 auto 24.3 MiB 0.00 0 21 0 11 10 62.6 MiB 0.00 0.00 nan 0 0 nan 0.00 2.0091e-05 1.2082e-05 0.000201085 0.000162772 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.00 0.00181183 0.00172223 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml always_false.blif common 0.43 vpr 62.71 MiB -1 -1 -1 -1 0 0.02 -1 -1 33076 -1 -1 1 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64216 6 1 1 8 0 1 8 3 3 9 -1 auto 24.3 MiB 0.00 0 21 0 11 10 62.7 MiB 0.00 0.00 nan 0 0 nan 0.00 1.9557e-05 1.1746e-05 0.00010411 6.6917e-05 -1 -1 -1 -1 -1 0 1 3900 3900 7855.82 872.868 0.00 0.0019441 0.00185632 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml and.blif common 0.39 vpr 62.59 MiB -1 -1 -1 -1 1 0.02 -1 -1 33300 -1 -1 1 2 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64092 2 1 3 4 0 3 4 3 3 9 -1 auto 24.3 MiB 0.00 9 9 5 0 4 62.6 MiB 0.00 0.00 0.443777 -0.443777 -0.443777 nan 0.00 1.6008e-05 1.0878e-05 0.0001005 7.3545e-05 -1 -1 -1 -1 -1 6 9 3900 3900 7855.82 872.868 0.00 0.00172772 0.00159734 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml multiconnected_lut.blif common 0.62 vpr 62.37 MiB -1 -1 -1 -1 2 0.06 -1 -1 35272 -1 -1 1 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63864 5 1 7 8 0 7 7 3 3 9 -1 auto 24.0 MiB 0.00 20 18 12 0 6 62.4 MiB 0.00 0.00 0.70303 -0.70303 -0.70303 nan 0.00 2.4824e-05 1.9123e-05 0.000151781 0.000121494 -1 -1 -1 -1 -1 8 6 3900 3900 7855.82 872.868 0.00 0.00207121 0.00193926 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml multiconnected_lut2.blif common 0.55 vpr 62.58 MiB -1 -1 -1 -1 2 0.06 -1 -1 35192 -1 -1 1 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64080 5 1 7 8 0 7 7 3 3 9 -1 auto 24.2 MiB 0.00 20 18 13 0 5 62.6 MiB 0.00 0.00 0.70303 -0.70303 -0.70303 nan 0.00 2.4985e-05 1.9224e-05 0.000155624 0.000125459 -1 -1 -1 -1 -1 11 12 3900 3900 7855.82 872.868 0.00 0.002084 0.00190667 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml and_latch.blif common 0.39 vpr 62.40 MiB -1 -1 -1 -1 1 0.02 -1 -1 33452 -1 -1 1 3 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63896 3 1 5 6 1 4 5 3 3 9 -1 auto 24.0 MiB 0.01 9 12 9 0 3 62.4 MiB 0.00 0.00 0.274843 -0.536407 -0.274843 0.274843 0.00 2.7938e-05 2.0741e-05 0.000161269 0.000123343 -1 -1 -1 -1 -1 5 8 3900 3900 7855.82 872.868 0.00 0.00690521 0.00673198 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml false_path_mux.blif common 0.54 vpr 62.71 MiB -1 -1 -1 -1 1 0.06 -1 -1 35264 -1 -1 1 3 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64220 4 1 4 6 0 4 6 3 3 9 -1 auto 24.3 MiB 0.00 12 15 11 0 4 62.7 MiB 0.00 0.00 0.443777 -0.443777 -0.443777 nan 0.00 2.0012e-05 1.4214e-05 0.000118365 8.8919e-05 -1 -1 -1 -1 -1 7 16 3900 3900 7855.82 872.868 0.00 0.00202452 0.00176056 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_2x2.blif common 0.51 vpr 62.46 MiB -1 -1 -1 -1 1 0.08 -1 -1 34880 -1 -1 1 4 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63964 4 4 8 12 0 8 9 3 3 9 -1 auto 24.2 MiB 0.00 25 27 23 0 4 62.5 MiB 0.00 0.00 0.443777 -1.77511 -0.443777 nan 0.00 3.8658e-05 3.1532e-05 0.000235114 0.000199067 -1 -1 -1 -1 -1 27 13 3900 3900 7855.82 872.868 0.00 0.00269657 0.00228426 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_3x3.blif common 0.56 vpr 62.49 MiB -1 -1 -1 -1 3 0.07 -1 -1 36176 -1 -1 3 6 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63992 6 6 28 34 0 28 15 5 5 25 clb auto 24.1 MiB 0.01 107 51 16 35 0 62.5 MiB 0.00 0.00 1.19848 -5.43061 -1.19848 nan 0.00 0.000106199 9.3249e-05 0.000698618 0.000636787 -1 -1 -1 -1 -1 194 14 23400 11700 33739.5 1349.58 0.01 0.00549119 0.00492459 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_3x4.blif common 0.64 vpr 62.82 MiB -1 -1 -1 -1 4 0.07 -1 -1 35824 -1 -1 5 7 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64332 7 8 39 47 0 39 20 5 5 25 clb auto 24.4 MiB 0.01 166 236 59 163 14 62.8 MiB 0.00 0.00 1.46514 -7.47508 -1.46514 nan 0.00 0.000146261 0.000128099 0.00141428 0.00126077 -1 -1 -1 -1 -1 357 19 23400 19500 33739.5 1349.58 0.03 0.00881496 0.00775097 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_4x4.blif common 0.66 vpr 62.64 MiB -1 -1 -1 -1 8 0.08 -1 -1 35884 -1 -1 7 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64144 8 8 51 59 0 51 23 6 6 36 clb auto 24.2 MiB 0.01 202 311 50 255 6 62.6 MiB 0.01 0.00 2.65433 -12.8801 -2.65433 nan 0.00 0.000163326 0.000141298 0.0022585 0.00201925 -1 -1 -1 -1 -1 478 20 165600 27300 61410.5 1705.85 0.05 0.0161231 0.0141988 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_5x5.blif common 0.78 vpr 63.07 MiB -1 -1 -1 -1 7 0.11 -1 -1 36308 -1 -1 11 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64584 10 10 95 105 0 95 31 6 6 36 clb auto 24.3 MiB 0.02 440 559 101 432 26 63.1 MiB 0.01 0.00 2.57669 -18.1473 -2.57669 nan 0.00 0.000305872 0.000268646 0.00468964 0.00413893 -1 -1 -1 -1 -1 952 23 165600 42900 61410.5 1705.85 0.09 0.0216848 0.0191066 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml mult_5x6.blif common 0.82 vpr 63.20 MiB -1 -1 -1 -1 8 0.12 -1 -1 36408 -1 -1 11 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64712 11 11 94 105 0 94 33 6 6 36 clb auto 24.3 MiB 0.02 429 397 56 319 22 63.2 MiB 0.01 0.00 2.82654 -21.1346 -2.82654 nan 0.00 0.000368486 0.00033885 0.00425976 0.00387815 -1 -1 -1 -1 -1 949 23 165600 42900 61410.5 1705.85 0.12 0.0234069 0.0208436 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_1bit.blif common 0.50 vpr 62.71 MiB -1 -1 -1 -1 1 0.06 -1 -1 34248 -1 -1 1 3 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64216 3 2 5 7 0 5 6 3 3 9 -1 auto 24.3 MiB 0.00 15 15 11 0 4 62.7 MiB 0.00 0.00 0.443777 -0.887553 -0.443777 nan 0.00 2.285e-05 1.7069e-05 0.000143404 0.000113483 -1 -1 -1 -1 -1 12 16 3900 3900 7855.82 872.868 0.00 0.00212307 0.00191369 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_2bit.blif common 0.50 vpr 62.46 MiB -1 -1 -1 -1 2 0.06 -1 -1 35352 -1 -1 1 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63964 5 3 9 12 0 9 9 3 3 9 -1 auto 24.1 MiB 0.00 26 27 24 0 3 62.5 MiB 0.00 0.00 0.70303 -1.84984 -0.70303 nan 0.00 3.6475e-05 2.9691e-05 0.000225871 0.000190222 -1 -1 -1 -1 -1 19 17 3900 3900 7855.82 872.868 0.00 0.00267106 0.00239617 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_3bit.blif common 0.53 vpr 62.62 MiB -1 -1 -1 -1 3 0.06 -1 -1 35524 -1 -1 1 7 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64120 7 4 13 17 0 13 12 3 3 9 -1 auto 24.4 MiB 0.01 37 38 34 0 4 62.6 MiB 0.00 0.00 0.962283 -3.07137 -0.962283 nan 0.00 4.8534e-05 4.0443e-05 0.000296152 0.000254964 -1 -1 -1 -1 -1 42 19 3900 3900 7855.82 872.868 0.01 0.00354561 0.00315615 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_4bit.blif common 0.53 vpr 62.46 MiB -1 -1 -1 -1 4 0.07 -1 -1 35528 -1 -1 1 9 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63964 9 5 17 22 0 17 15 3 3 9 -1 auto 24.2 MiB 0.00 48 51 43 0 8 62.5 MiB 0.00 0.00 1.22154 -4.55216 -1.22154 nan 0.00 5.6881e-05 4.8307e-05 0.000354972 0.000312399 -1 -1 -1 -1 -1 65 18 3900 3900 7855.82 872.868 0.01 0.00368757 0.00327372 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k4_N8_topology-0.85sL2-0.15gL4-on-cb-off-sb_22nm_22nm_nonLR.xml rca_5bit.blif common 0.55 vpr 62.61 MiB -1 -1 -1 -1 4 0.07 -1 -1 35636 -1 -1 2 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 64116 11 6 24 30 0 24 19 4 4 16 clb auto 24.1 MiB 0.01 81 219 59 138 22 62.6 MiB 0.00 0.00 1.3375 -6.59285 -1.3375 nan 0.00 7.8708e-05 6.7934e-05 0.000977373 0.000845935 -1 -1 -1 -1 -1 132 15 7800 7800 17482.0 1092.63 0.01 0.0049914 0.00443452 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_power/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_power/config/golden_results.txt index 6a4e552e822..1f6be016ab1 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_power/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_power/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time total_power routing_power_perc clock_power_perc tile_power_perc - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 5.32 vpr 65.56 MiB 0.06 9324 -1 -1 3 0.35 -1 -1 34640 -1 52944 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67136 99 130 363 493 1 251 298 12 12 144 clb auto 26.3 MiB 0.14 892 61988 19791 31117 11080 65.6 MiB 0.20 0.00 2.45187 -222.514 -2.45187 2.45187 0.36 0.000915265 0.000857739 0.0611213 0.0573235 -1 -1 -1 -1 42 1632 14 5.66058e+06 4.21279e+06 345702. 2400.71 1.98 0.420146 0.379604 12810 66778 -1 1532 10 491 638 43042 14380 2.64362 2.64362 -241.091 -2.64362 0 0 434679. 3018.61 0.14 0.06 0.07 -1 -1 0.14 0.0352631 0.033178 0.008708 0.223 0.06667 0.7103 - k6_frac_N10_mem32K_40nm.xml diffeq1.v common 13.86 vpr 69.06 MiB 0.04 9360 -1 -1 15 0.45 -1 -1 34776 -1 54676 38 162 0 5 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70720 162 96 999 932 1 689 301 16 16 256 mult_36 auto 29.7 MiB 0.41 5715 87997 27324 53359 7314 69.1 MiB 0.74 0.01 21.0193 -1891.98 -21.0193 21.0193 0.81 0.00444774 0.00422894 0.323103 0.304448 -1 -1 -1 -1 46 12696 36 1.21132e+07 4.02797e+06 727248. 2840.81 6.60 1.22942 1.13708 24972 144857 -1 9788 21 3242 6502 928862 268718 22.8323 22.8323 -2032.58 -22.8323 0 0 934704. 3651.19 0.32 0.43 0.16 -1 -1 0.32 0.182261 0.17068 0.007695 0.3421 0.01642 0.6414 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 4.79 vpr 67.81 MiB 0.06 9856 -1 -1 3 0.37 -1 -1 39772 -1 54808 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69436 99 130 363 493 1 251 298 12 12 144 clb auto 28.7 MiB 0.13 821 70943 24958 34400 11585 67.8 MiB 0.24 0.00 2.51136 -219.195 -2.51136 2.51136 0.28 0.000896235 0.000803075 0.0731146 0.0664864 -1 -1 -1 -1 40 1499 25 5.66058e+06 4.21279e+06 333335. 2314.82 1.63 0.35542 0.319058 12666 64609 -1 1442 10 553 749 42115 14455 2.64494 2.64494 -235.699 -2.64494 0 0 419432. 2912.72 0.02 0.06 0.11 -1 -1 0.02 0.0374155 0.0350957 0.008441 0.2001 0.06777 0.7321 + k6_frac_N10_mem32K_40nm.xml diffeq1.v common 16.21 vpr 71.75 MiB 0.06 9856 -1 -1 15 0.50 -1 -1 38288 -1 56228 38 162 0 5 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73476 162 96 999 932 1 689 301 16 16 256 mult_36 auto 32.3 MiB 0.49 5426 96061 33445 54809 7807 71.8 MiB 1.03 0.02 21.3991 -1811.48 -21.3991 21.3991 0.51 0.00504368 0.00468153 0.493175 0.461597 -1 -1 -1 -1 56 11482 33 1.21132e+07 4.02797e+06 870502. 3400.40 8.42 2.47741 2.31569 26504 172068 -1 9223 22 3083 6041 811453 269172 22.8885 22.8885 -1951.66 -22.8885 0 0 1.11200e+06 4343.75 0.07 0.47 0.29 -1 -1 0.07 0.221232 0.208061 0.007874 0.3571 0.01689 0.626 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_route_only/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_route_only/config/golden_results.txt index 84ecf217097..d6f9144a21f 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_route_only/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_route_only/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_N10_mem32K_40nm.xml stereovision3.v common 1.42 vpr 64.63 MiB 0.08 10092 -1 -1 4 0.21 -1 -1 33404 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66180 11 30 262 292 2 99 60 7 7 49 clb auto 24.9 MiB 0.09 421 2049 269 1715 65 64.6 MiB 0.04 0.00 2.53105 -179.908 -2.53105 2.34917 0.00 0.000642825 0.000574377 0.0150724 0.0136437 -1 -1 -1 -1 424 4.46316 163 1.71579 617 1399 45810 10033 1.07788e+06 1.02399e+06 207176. 4228.08 16 4440 29880 -1 2.36464 2.27781 -179.43 -2.36464 0 0 0.03 -1 -1 64.6 MiB 0.06 0.0447701 0.039887 64.6 MiB -1 0.04 - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 1.66 vpr 67.05 MiB 0.06 10172 -1 -1 5 0.18 -1 -1 33356 -1 -1 14 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68656 11 30 313 321 2 115 55 7 7 49 clb auto 27.3 MiB 0.32 466 2759 556 2108 95 67.0 MiB 0.06 0.00 2.67362 -172.647 -2.67362 2.30794 0.00 0.000887719 0.000807056 0.0300856 0.0276351 -1 -1 -1 -1 574 5.26606 231 2.11927 216 452 11450 3638 1.07788e+06 754516 219490. 4479.39 7 5100 32136 -1 2.71877 2.35385 -178.475 -2.71877 0 0 0.04 -1 -1 67.0 MiB 0.03 0.057894 0.0532276 67.0 MiB -1 0.04 + k6_N10_mem32K_40nm.xml stereovision3.v common 1.32 vpr 66.34 MiB 0.08 10496 -1 -1 4 0.22 -1 -1 36740 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67936 11 30 262 292 2 99 60 7 7 49 clb auto 27.3 MiB 0.07 425 2283 406 1804 73 66.3 MiB 0.04 0.00 2.45115 -182.341 -2.45115 2.3368 0.00 0.000792071 0.00065667 0.0233552 0.0207856 -1 -1 -1 -1 414 4.35789 166 1.74737 630 1427 58282 13907 1.07788e+06 1.02399e+06 207176. 4228.08 20 4440 29880 -1 2.3823 2.2863 -180.577 -2.3823 0 0 0.05 -1 -1 66.3 MiB 0.07 0.0694098 0.0624777 66.3 MiB -1 0.01 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 1.84 vpr 69.28 MiB 0.07 10496 -1 -1 5 0.19 -1 -1 36360 -1 -1 14 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70940 11 30 313 321 2 115 55 7 7 49 clb auto 29.8 MiB 0.48 448 1927 352 1502 73 69.3 MiB 0.05 0.00 2.6627 -173.06 -2.6627 2.30313 0.00 0.00080657 0.000690329 0.0283624 0.0258806 -1 -1 -1 -1 595 5.45872 228 2.09174 234 449 14202 4622 1.07788e+06 754516 219490. 4479.39 8 5100 32136 -1 2.70461 2.28805 -176.84 -2.70461 0 0 0.06 -1 -1 69.3 MiB 0.04 0.0633117 0.0585851 69.3 MiB -1 0.01 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_route_reconverge/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_route_reconverge/config/golden_results.txt index e9f8c264924..9c4fd28b84b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_route_reconverge/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_route_reconverge/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 38.30 vpr 86.88 MiB 0.47 29360 -1 -1 4 2.33 -1 -1 37992 -1 -1 169 193 5 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 88968 193 205 2863 2789 1 1374 572 20 20 400 memory auto 43.4 MiB 1.96 11201 252110 92751 131930 27429 84.4 MiB 2.44 0.03 4.45067 -2677.23 -4.45067 4.45067 1.78 0.00740583 0.00674714 0.935753 0.830726 -1 -1 -1 -1 80 22067 51 2.07112e+07 1.18481e+07 2.10510e+06 5262.74 22.74 5.25463 4.68017 53274 447440 -1 19298 17 5571 15462 1144445 252495 4.66289 4.66289 -2899.83 -4.66289 -11.7102 -0.360359 2.64606e+06 6615.15 0.68 0.62 0.38 -1 -1 0.68 0.376497 0.344469 + k6_frac_N10_frac_chain_depop50_mem32K_40nm.xml mkSMAdapter4B.v common 29.83 vpr 86.25 MiB 0.45 29568 -1 -1 4 2.98 -1 -1 43168 -1 -1 169 193 5 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 88324 193 205 2863 2789 1 1374 572 20 20 400 memory auto 45.0 MiB 2.27 10985 240245 81936 130873 27436 86.3 MiB 2.86 0.03 4.42447 -2617.73 -4.42447 4.42447 0.89 0.00871072 0.007701 1.03653 0.893245 -1 -1 -1 -1 78 21148 33 2.07112e+07 1.18481e+07 2.06176e+06 5154.39 13.92 4.09327 3.61448 52874 439520 -1 19015 17 5137 14374 1050969 231484 5.06231 5.06231 -2806.44 -5.06231 -11.1461 -0.341744 2.60035e+06 6500.87 0.19 0.98 0.77 -1 -1 0.19 0.584807 0.525478 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_router_init_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_router_init_timing/config/golden_results.txt index 82ee8b5f85d..812f4d3bdb5 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_router_init_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_router_init_timing/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_initial_timing_all_critical 2.28 vpr 69.06 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70720 8 63 748 811 0 455 160 14 14 196 clb auto 29.5 MiB 0.53 4989 14482 2605 10909 968 69.1 MiB 0.29 0.01 4.15324 -188.164 -4.15324 nan 0.00 0.00281296 0.00243514 0.139942 0.124398 -1 -1 -1 -1 6805 14.9560 1830 4.02198 3625 14263 570126 87469 9.20055e+06 4.79657e+06 867065. 4423.80 19 18088 133656 -1 4.17843 nan -185.467 -4.17843 0 0 0.16 -1 -1 69.1 MiB 0.45 0.325496 0.291568 69.1 MiB -1 0.29 - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_initial_timing_lookahead 2.22 vpr 69.11 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70764 8 63 748 811 0 455 160 14 14 196 clb auto 29.5 MiB 0.51 4989 14482 2605 10909 968 69.1 MiB 0.29 0.01 4.15324 -188.164 -4.15324 nan 0.00 0.00262456 0.00232451 0.12615 0.110723 -1 -1 -1 -1 6877 15.1143 1848 4.06154 3712 14523 564432 88116 9.20055e+06 4.79657e+06 867065. 4423.80 19 18088 133656 -1 4.14924 nan -185.7 -4.14924 0 0 0.15 -1 -1 69.1 MiB 0.40 0.298349 0.266584 69.1 MiB -1 0.30 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_initial_timing_all_critical 1.96 vpr 71.51 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73224 8 63 748 811 0 455 160 14 14 196 clb auto 31.8 MiB 0.52 4992 14048 2664 10357 1027 71.5 MiB 0.35 0.02 4.19211 -186.67 -4.19211 nan 0.00 0.00713555 0.00269028 0.139755 0.114328 -1 -1 -1 -1 6642 14.5978 1787 3.92747 3214 12750 489499 77791 9.20055e+06 4.79657e+06 867065. 4423.80 16 18088 133656 -1 4.47188 nan -188.808 -4.47188 0 0 0.22 -1 -1 71.5 MiB 0.32 0.294582 0.254721 71.5 MiB -1 0.05 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_initial_timing_lookahead 2.24 vpr 71.62 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73336 8 63 748 811 0 455 160 14 14 196 clb auto 32.0 MiB 0.64 4992 14048 2664 10357 1027 71.6 MiB 0.33 0.01 4.19211 -186.67 -4.19211 nan 0.00 0.00345084 0.002875 0.154792 0.13937 -1 -1 -1 -1 6701 14.7275 1794 3.94286 3137 12291 459530 73860 9.20055e+06 4.79657e+06 867065. 4423.80 18 18088 133656 -1 4.41143 nan -186.654 -4.41143 0 0 0.26 -1 -1 71.6 MiB 0.37 0.334856 0.303047 71.6 MiB -1 0.08 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_router_lookahead/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_router_lookahead/config/golden_results.txt index 9e26968d0ac..ca94c478175 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_router_lookahead/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_router_lookahead/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_classic 2.11 vpr 69.09 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70752 8 63 748 811 0 455 160 14 14 196 clb auto 29.6 MiB 0.50 4981 18388 4106 12671 1611 69.1 MiB 0.33 0.01 3.67827 -162.703 -3.67827 nan 0.04 0.00316093 0.00267964 0.156067 0.137885 -1 -1 -1 -1 6929 15.2286 1856 4.07912 4031 16057 1191599 209386 9.20055e+06 4.79657e+06 701736. 3580.29 21 16332 105598 -1 4.26894 nan -186.127 -4.26894 0 0 0.12 -1 -1 69.1 MiB 0.45 0.320906 0.286349 -1 -1 -1 - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_map 2.11 vpr 69.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70696 8 63 748 811 0 455 160 14 14 196 clb auto 29.4 MiB 0.50 4947 14048 2843 10376 829 69.0 MiB 0.27 0.01 4.36787 -194.851 -4.36787 nan 0.00 0.00329553 0.00282923 0.129786 0.114331 -1 -1 -1 -1 7013 15.4132 1882 4.13626 4368 18266 702728 114564 9.20055e+06 4.79657e+06 701736. 3580.29 22 16332 105598 -1 4.35011 nan -200.403 -4.35011 0 0 0.12 -1 -1 69.0 MiB 0.41 0.312316 0.278054 69.0 MiB -1 0.23 - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_extended_map 3.39 vpr 69.09 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70752 8 63 748 811 0 455 160 14 14 196 clb auto 29.6 MiB 0.50 4953 17954 4036 12536 1382 69.1 MiB 0.35 0.01 3.75278 -163.938 -3.75278 nan 0.07 0.0033402 0.00290592 0.147752 0.129486 -1 -1 -1 -1 7096 15.5956 1936 4.25495 3839 15635 1250449 222869 9.20055e+06 4.79657e+06 701736. 3580.29 22 16332 105598 -1 4.31984 nan -190.626 -4.31984 0 0 0.14 -1 -1 69.1 MiB 0.53 0.335395 0.295263 -1 -1 -1 - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_extended_map_--reorder_rr_graph_nodes_algorithm_random_shuffle 3.38 vpr 69.12 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70780 8 63 748 811 0 455 160 14 14 196 clb auto 29.6 MiB 0.50 4953 17954 4036 12536 1382 69.1 MiB 0.33 0.01 3.75278 -163.938 -3.75278 nan 0.07 0.00358739 0.00315042 0.148074 0.130756 -1 -1 -1 -1 7096 15.5956 1936 4.25495 3839 15635 1250449 222869 9.20055e+06 4.79657e+06 701736. 3580.29 22 16332 105598 -1 4.31984 nan -190.626 -4.31984 0 0 0.14 -1 -1 69.1 MiB 0.56 0.339968 0.302409 -1 -1 -1 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_classic 2.33 vpr 71.47 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73184 8 63 748 811 0 455 160 14 14 196 clb auto 31.9 MiB 0.53 4993 17086 3593 12286 1207 71.5 MiB 0.39 0.01 3.65588 -160.421 -3.65588 nan 0.05 0.00324947 0.00265148 0.171741 0.145172 -1 -1 -1 -1 7077 15.5538 1900 4.17582 3821 15130 1125339 197021 9.20055e+06 4.79657e+06 701736. 3580.29 19 16332 105598 -1 4.24547 nan -186.357 -4.24547 0 0 0.19 -1 -1 71.5 MiB 0.65 0.403427 0.354208 -1 -1 -1 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_map 2.06 vpr 71.19 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 72900 8 63 748 811 0 455 160 14 14 196 clb auto 31.8 MiB 0.61 4933 15350 2970 11325 1055 71.2 MiB 0.33 0.01 4.27873 -192.837 -4.27873 nan 0.00 0.00354772 0.00306723 0.134491 0.114487 -1 -1 -1 -1 7099 15.6022 1898 4.17143 3600 14045 536072 90036 9.20055e+06 4.79657e+06 701736. 3580.29 22 16332 105598 -1 4.46795 nan -200.148 -4.46795 0 0 0.16 -1 -1 71.2 MiB 0.37 0.342443 0.304101 71.2 MiB -1 0.04 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_extended_map 4.12 vpr 71.37 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73084 8 63 748 811 0 455 160 14 14 196 clb auto 31.9 MiB 0.46 5048 17520 3917 12196 1407 71.4 MiB 0.41 0.01 3.77945 -168.167 -3.77945 nan 0.08 0.0055915 0.00450451 0.174816 0.150375 -1 -1 -1 -1 7182 15.7846 1920 4.21978 4190 17148 1255046 221662 9.20055e+06 4.79657e+06 701736. 3580.29 29 16332 105598 -1 4.52207 nan -194.42 -4.52207 0 0 0.22 -1 -1 71.4 MiB 0.70 0.438594 0.389856 -1 -1 -1 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_lookahead_extended_map_--reorder_rr_graph_nodes_algorithm_random_shuffle 3.79 vpr 71.38 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73092 8 63 748 811 0 455 160 14 14 196 clb auto 31.8 MiB 0.50 5048 17520 3917 12196 1407 71.4 MiB 0.34 0.01 3.77945 -168.167 -3.77945 nan 0.08 0.00371073 0.00310746 0.151921 0.128885 -1 -1 -1 -1 7182 15.7846 1920 4.21978 4190 17148 1255046 221662 9.20055e+06 4.79657e+06 701736. 3580.29 29 16332 105598 -1 4.52207 nan -194.42 -4.52207 0 0 0.16 -1 -1 71.4 MiB 0.65 0.390878 0.342895 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_router_update_lb_delays/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_router_update_lb_delays/config/golden_results.txt index 42d0070c39d..73afad51c48 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_router_update_lb_delays/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_router_update_lb_delays/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_update_lower_bound_delays_off 1.98 vpr 69.08 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70736 8 63 748 811 0 455 160 14 14 196 clb auto 29.5 MiB 0.45 5081 14916 3009 10977 930 69.1 MiB 0.27 0.01 4.4281 -198.501 -4.4281 nan 0.00 0.00300537 0.00251178 0.118094 0.103153 -1 -1 -1 -1 6741 14.8154 1803 3.96264 3315 13570 497205 81432 9.20055e+06 4.79657e+06 787177. 4016.21 19 17112 118924 -1 4.40099 nan -202.066 -4.40099 0 0 0.13 -1 -1 69.1 MiB 0.34 0.282273 0.252167 69.1 MiB -1 0.26 - k6_N10_mem32K_40nm.xml ex5p.blif common_--router_update_lower_bound_delays_on 2.02 vpr 69.16 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 70816 8 63 748 811 0 455 160 14 14 196 clb auto 29.6 MiB 0.53 5081 14916 3009 10977 930 69.2 MiB 0.26 0.01 4.4281 -198.501 -4.4281 nan 0.00 0.00303209 0.00274882 0.116032 0.102412 -1 -1 -1 -1 6767 14.8725 1813 3.98462 3295 13377 489418 80231 9.20055e+06 4.79657e+06 787177. 4016.21 18 17112 118924 -1 4.40099 nan -201.997 -4.40099 0 0 0.13 -1 -1 69.2 MiB 0.33 0.270765 0.24325 69.2 MiB -1 0.28 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_update_lower_bound_delays_off 2.09 vpr 71.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73036 8 63 748 811 0 455 160 14 14 196 clb auto 31.9 MiB 0.54 5066 14916 2828 10927 1161 71.3 MiB 0.32 0.01 4.20607 -183.516 -4.20607 nan 0.00 0.00299665 0.00251735 0.139481 0.119628 -1 -1 -1 -1 6988 15.3582 1874 4.11868 3892 16491 596262 97679 9.20055e+06 4.79657e+06 787177. 4016.21 23 17112 118924 -1 4.23403 nan -187.789 -4.23403 0 0 0.17 -1 -1 71.3 MiB 0.42 0.337391 0.298836 71.3 MiB -1 0.06 + k6_N10_mem32K_40nm.xml ex5p.blif common_--router_update_lower_bound_delays_on 2.37 vpr 71.40 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 89 8 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 73112 8 63 748 811 0 455 160 14 14 196 clb auto 31.9 MiB 0.54 5066 14916 2828 10927 1161 71.4 MiB 0.36 0.01 4.20607 -183.516 -4.20607 nan 0.00 0.00287338 0.00244407 0.145055 0.130505 -1 -1 -1 -1 6949 15.2725 1858 4.08352 3794 15906 573229 94207 9.20055e+06 4.79657e+06 787177. 4016.21 23 17112 118924 -1 4.30087 nan -188.544 -4.30087 0 0 0.23 -1 -1 71.4 MiB 0.57 0.403361 0.368877 71.4 MiB -1 0.07 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_routing_differing_modes/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_routing_differing_modes/config/golden_results.txt index 8e0c47bf03f..d51a6534507 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_routing_differing_modes/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_routing_differing_modes/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - slicem.xml carry_chain.blif common 0.90 vpr 57.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58700 1 -1 48 34 1 35 6 5 5 25 BLK_IG-SLICEM auto 18.2 MiB 0.24 70 15 2 12 1 57.3 MiB 0.00 0.00 0.645672 -5.8162 -0.645672 0.645672 0.00 0.000120184 0.000109173 0.000865636 0.00080802 -1 -1 -1 -1 25 294 14 133321 74067 -1 -1 0.23 0.0266638 0.0220764 1252 5405 -1 287 14 116 116 21465 12891 1.98076 1.98076 -20.8107 -1.98076 0 0 -1 -1 0.01 0.02 0.00 -1 -1 0.01 0.0055322 0.00492928 + slicem.xml carry_chain.blif common 1.14 vpr 59.68 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 1 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 61108 1 -1 48 34 1 35 6 5 5 25 BLK_IG-SLICEM auto 20.9 MiB 0.25 70 15 4 10 1 59.7 MiB 0.00 0.00 0.532448 -5.19346 -0.532448 0.532448 0.00 0.000126011 0.000111009 0.000872338 0.000798258 -1 -1 -1 -1 25 262 18 133321 74067 -1 -1 0.48 0.0703731 0.0607281 1252 5405 -1 274 13 122 122 23159 13821 1.78919 1.78919 -18.223 -1.78919 0 0 -1 -1 0.00 0.02 0.01 -1 -1 0.00 0.00593831 0.00529293 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_routing_modes/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_routing_modes/config/golden_results.txt index d6a3abf2024..2974b610be2 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_routing_modes/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_routing_modes/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - arch.xml ndff.blif common 0.46 vpr 56.42 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57772 4 4 10 14 1 10 11 4 4 16 ff_tile io_tile auto 17.6 MiB 0.01 31 35 6 27 2 56.4 MiB 0.01 0.00 0.212927 -2.22016 -0.212927 0.212927 0.00 5.0007e-05 3.8931e-05 0.000238974 0.000194906 -1 -1 -1 -1 4 28 4 59253.6 44440.2 -1 -1 0.06 0.0047932 0.00391937 184 632 -1 29 2 13 18 795 367 0.309802 0.309802 -2.85512 -0.309802 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00161011 0.00154347 + arch.xml ndff.blif common 0.30 vpr 59.09 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60508 4 4 10 14 1 10 11 4 4 16 ff_tile io_tile auto 20.6 MiB 0.00 31 59 13 43 3 59.1 MiB 0.00 0.00 0.247067 -2.25231 -0.247067 0.247067 0.00 3.5462e-05 2.8363e-05 0.000299521 0.0002448 -1 -1 -1 -1 3 28 27 59253.6 44440.2 -1 -1 0.01 0.00397217 0.00338578 160 440 -1 25 3 17 25 782 371 0.259819 0.259819 -2.4911 -0.259819 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00197845 0.00188555 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_scale_delay_budgets/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_scale_delay_budgets/config/golden_results.txt index 282c80c20d3..936401071c3 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_scale_delay_budgets/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_scale_delay_budgets/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 1.68 vpr 67.04 MiB 0.07 10084 -1 -1 5 0.18 -1 -1 33256 -1 -1 14 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68648 11 30 313 321 2 114 55 7 7 49 clb auto 27.3 MiB 0.34 439 1511 357 1028 126 67.0 MiB 0.04 0.00 4.62621 0 0 4.30823 0.00 0.000680839 0.000625665 0.0199326 0.0187542 -1 -1 -1 -1 639 5.91667 232 2.14815 338 674 15463 4560 1.07788e+06 754516 219490. 4479.39 10 5100 32136 -1 4.76665 4.41703 0 0 -164.948 -1.707 0.04 -1 -1 67.0 MiB 0.05 0.0545015 0.0506933 67.0 MiB -1 0.04 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 1.54 vpr 68.95 MiB 0.06 10496 -1 -1 5 0.18 -1 -1 36448 -1 -1 14 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70604 11 30 313 321 2 114 55 7 7 49 clb auto 29.5 MiB 0.39 459 2031 574 1374 83 68.9 MiB 0.04 0.00 4.6413 0 0 4.31525 0.00 0.000717512 0.000626394 0.019463 0.0174272 -1 -1 -1 -1 569 5.26852 227 2.10185 207 393 9602 2945 1.07788e+06 754516 219490. 4479.39 7 5100 32136 -1 4.62935 4.30491 0 0 -165.142 -1.707 0.05 -1 -1 68.9 MiB 0.06 0.0634405 0.0562085 68.9 MiB -1 0.01 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sdc/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sdc/config/config.txt index 2f93f53041c..440149973b7 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sdc/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sdc/config/config.txt @@ -24,7 +24,7 @@ qor_parse_file=qor_standard.txt pass_requirements_file=pass_requirements.txt # Script parameters -script_params_common = -starting_stage vpr +script_params_common = -starting_stage vpr --seed 6 script_params_list_add = -sdc_file sdc/samples/A.sdc script_params_list_add = -sdc_file sdc/samples/B.sdc script_params_list_add = -sdc_file sdc/samples/C.sdc diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sdc/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sdc/config/golden_results.txt index 93ad79df1cb..f1ae2610488 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sdc/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sdc/config/golden_results.txt @@ -1,7 +1,7 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/A.sdc 0.46 vpr 63.03 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64540 5 3 11 14 2 9 10 4 4 16 clb auto 24.3 MiB 0.01 22 30 9 14 7 63.0 MiB 0.00 0.00 0.814339 -2.77068 -0.814339 0.571 0.01 4.6237e-05 3.9054e-05 0.0002174 0.000180615 -1 -1 -1 -1 8 18 2 107788 107788 4794.78 299.674 0.02 0.00197825 0.00183539 564 862 -1 18 4 10 10 199 87 0.757297 0.571 -2.63894 -0.757297 0 0 5401.54 337.596 0.00 0.01 0.00 -1 -1 0.00 0.00215654 0.00203426 - k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/B.sdc 0.51 vpr 62.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64424 5 3 11 14 2 9 10 4 4 16 clb auto 24.2 MiB 0.01 23 30 6 15 9 62.9 MiB 0.01 0.00 0.571 0 0 0.571 0.02 3.7988e-05 2.9784e-05 0.000252809 0.000212792 -1 -1 -1 -1 8 26 3 107788 107788 4794.78 299.674 0.03 0.00214308 0.00198029 564 862 -1 25 5 13 13 435 272 0.571 0.571 0 0 0 0 5401.54 337.596 0.00 0.01 0.00 -1 -1 0.00 0.00179325 0.00170005 - k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/C.sdc 0.53 vpr 63.05 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64568 5 3 11 14 2 9 10 4 4 16 clb auto 24.3 MiB 0.01 20 30 10 18 2 63.1 MiB 0.00 0.00 0.645658 -2.18842 -0.645658 0.571 0.01 4.2928e-05 3.0736e-05 0.000257589 0.000202685 -1 -1 -1 -1 8 17 3 107788 107788 4794.78 299.674 0.01 0.00208504 0.00188215 564 862 -1 14 5 15 15 285 110 0.571526 0.571 -1.89284 -0.571526 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00184632 0.00172634 - k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/D.sdc 0.57 vpr 62.89 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64396 5 3 11 14 2 9 10 4 4 16 clb auto 24.2 MiB 0.01 20 30 12 17 1 62.9 MiB 0.01 0.00 1.64534 -5.31677 -1.64534 0.571 0.01 5.3727e-05 3.88e-05 0.000288614 0.00022112 -1 -1 -1 -1 8 19 8 107788 107788 4794.78 299.674 0.05 0.00254374 0.00221143 564 862 -1 15 2 8 8 156 74 1.57153 0.571 -4.92067 -1.57153 0 0 5401.54 337.596 0.00 0.01 0.00 -1 -1 0.00 0.00180866 0.00171184 - k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/E.sdc 0.51 vpr 62.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64496 5 3 11 14 2 9 10 4 4 16 clb auto 24.2 MiB 0.01 20 30 8 18 4 63.0 MiB 0.00 0.00 1.44871 -2.90839 -1.44871 0.571 0.01 3.8739e-05 2.8831e-05 0.000233335 0.000188434 -1 -1 -1 -1 8 33 10 107788 107788 4794.78 299.674 0.02 0.0025966 0.00229332 564 862 -1 19 2 11 11 275 141 1.39454 0.571 -2.72425 -1.39454 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00164291 0.00155522 - k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/F.sdc 0.51 vpr 62.82 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64328 5 3 11 14 2 9 10 4 4 16 clb auto 24.0 MiB 0.01 20 110 34 46 30 62.8 MiB 0.00 0.00 0.145339 0 0 0.571 0.01 3.5398e-05 2.9142e-05 0.000512135 0.000417096 -1 -1 -1 -1 8 25 4 107788 107788 4794.78 299.674 0.02 0.00232795 0.00209925 564 862 -1 36 5 15 15 690 511 0.0724097 0.571 0 0 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00186912 0.00175661 + k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/A.sdc 0.44 vpr 65.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66884 5 3 11 14 2 9 10 4 4 16 clb auto 27.1 MiB 0.01 21 30 5 21 4 65.3 MiB 0.00 0.00 0.814658 -2.77132 -0.814658 0.571 0.01 3.9163e-05 3.0734e-05 0.00023521 0.000191167 -1 -1 -1 -1 8 19 2 107788 107788 4794.78 299.674 0.01 0.00213897 0.00197887 564 862 -1 18 4 13 13 306 148 0.739641 0.571 -2.62128 -0.739641 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00188153 0.00176769 + k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/B.sdc 0.42 vpr 65.25 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66820 5 3 11 14 2 9 10 4 4 16 clb auto 26.9 MiB 0.01 22 30 6 14 10 65.3 MiB 0.00 0.00 0.571 0 0 0.571 0.01 6.1332e-05 3.1443e-05 0.00028123 0.000216755 -1 -1 -1 -1 8 30 5 107788 107788 4794.78 299.674 0.01 0.00219985 0.00199813 564 862 -1 22 5 17 17 362 153 0.571 0.571 0 0 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.0028018 0.00269609 + k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/C.sdc 0.40 vpr 65.20 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66764 5 3 11 14 2 9 10 4 4 16 clb auto 26.8 MiB 0.01 21 30 5 22 3 65.2 MiB 0.00 0.00 0.646297 -2.19033 -0.646297 0.571 0.01 3.8778e-05 3.099e-05 0.000214053 0.000176854 -1 -1 -1 -1 8 20 3 107788 107788 4794.78 299.674 0.01 0.00219902 0.00204346 564 862 -1 19 5 16 16 356 157 0.57241 0.571 -2.00713 -0.57241 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00216696 0.00200612 + k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/D.sdc 0.40 vpr 65.30 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66872 5 3 11 14 2 9 10 4 4 16 clb auto 27.0 MiB 0.01 21 30 7 16 7 65.3 MiB 0.00 0.00 1.6463 -5.31965 -1.6463 0.571 0.01 4.3301e-05 3.3225e-05 0.000258104 0.000204952 -1 -1 -1 -1 8 19 2 107788 107788 4794.78 299.674 0.01 0.00214578 0.0019473 564 862 -1 18 4 13 13 292 139 1.57153 0.571 -4.99677 -1.57153 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00224405 0.00207678 + k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/E.sdc 0.38 vpr 65.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66984 5 3 11 14 2 9 10 4 4 16 clb auto 27.0 MiB 0.01 22 30 8 15 7 65.4 MiB 0.00 0.00 1.44967 -2.9103 -1.44967 0.571 0.01 5.0024e-05 3.2956e-05 0.000205951 0.000157313 -1 -1 -1 -1 8 20 11 107788 107788 4794.78 299.674 0.01 0.00254156 0.00219599 564 862 -1 25 5 17 17 497 261 1.46961 0.571 -2.77989 -1.46961 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.0022282 0.00180897 + k6_N10_mem32K_40nm.xml multiclock.blif common_-sdc_file_sdc/samples/F.sdc 0.40 vpr 65.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66984 5 3 11 14 2 9 10 4 4 16 clb auto 27.0 MiB 0.00 21 30 5 23 2 65.4 MiB 0.00 0.00 0.146298 0 0 0.571 0.01 5.2455e-05 4.3444e-05 0.00030806 0.000256078 -1 -1 -1 -1 8 20 2 107788 107788 4794.78 299.674 0.01 0.00225516 0.00206503 564 862 -1 19 5 16 16 368 166 0.0724097 0.571 0 0 0 0 5401.54 337.596 0.00 0.00 0.00 -1 -1 0.00 0.00187829 0.00175106 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_soft_multipliers/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_soft_multipliers/config/golden_results.txt index c791c5fd70f..5a4eb2784da 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_soft_multipliers/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_soft_multipliers/config/golden_results.txt @@ -1,7 +1,7 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_4x4.v common 1.90 vpr 63.86 MiB 0.01 6660 -1 -1 1 0.03 -1 -1 30168 -1 -1 3 9 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65396 9 8 75 70 1 36 20 5 5 25 clb auto 24.5 MiB 0.67 99 236 93 142 1 63.9 MiB 0.01 0.00 2.64007 -27.5545 -2.64007 2.64007 0.03 0.000150101 0.000136839 0.0027255 0.00257173 -1 -1 -1 -1 44 132 11 151211 75605.7 54748.7 2189.95 0.29 0.0536282 0.0447591 2196 9177 -1 123 7 84 95 3114 1581 2.22275 2.22275 -27.95 -2.22275 0 0 71025.7 2841.03 0.01 0.01 0.01 -1 -1 0.01 0.00628819 0.00587337 13 18 19 7 0 0 - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_5x5.v common 4.06 vpr 64.08 MiB 0.01 6644 -1 -1 1 0.03 -1 -1 30172 -1 -1 2 11 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65620 11 10 108 97 1 47 23 4 4 16 clb auto 24.7 MiB 2.90 130 119 34 71 14 64.1 MiB 0.02 0.00 3.45122 -42.2328 -3.45122 3.45122 0.02 0.00022162 0.000202735 0.00277225 0.00266397 -1 -1 -1 -1 32 246 49 50403.8 50403.8 20844.1 1302.76 0.19 0.0635376 0.0536173 1004 2840 -1 156 14 159 209 5514 3395 3.66504 3.66504 -47.9227 -3.66504 0 0 24991.0 1561.94 0.00 0.02 0.00 -1 -1 0.00 0.0101538 0.0089849 15 27 29 8 0 0 - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_6x6.v common 6.21 vpr 64.25 MiB 0.02 6556 -1 -1 1 0.03 -1 -1 30528 -1 -1 7 13 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65792 13 12 149 129 1 69 32 6 6 36 clb auto 24.8 MiB 4.92 213 1182 380 774 28 64.2 MiB 0.02 0.00 3.51316 -53.0648 -3.51316 3.51316 0.05 0.000206158 0.000187115 0.00735065 0.00685129 -1 -1 -1 -1 50 378 21 403230 176413 107229. 2978.57 0.23 0.0627034 0.0537731 3946 19047 -1 356 16 276 387 14108 5999 3.51316 3.51316 -57.4904 -3.51316 0 0 134937. 3748.26 0.02 0.02 0.02 -1 -1 0.02 0.014322 0.0130425 25 38 42 9 0 0 - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_7x7.v common 4.02 vpr 64.81 MiB 0.01 6608 -1 -1 1 0.05 -1 -1 30256 -1 -1 6 15 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66368 15 14 196 165 1 93 35 5 5 25 clb auto 25.0 MiB 2.37 300 1346 309 1009 28 64.8 MiB 0.03 0.00 3.75042 -65.1319 -3.75042 3.75042 0.03 0.000351053 0.000323744 0.0137524 0.0128999 -1 -1 -1 -1 52 464 29 151211 151211 63348.9 2533.96 0.55 0.128869 0.11071 2316 10503 -1 401 15 409 589 20950 9847 4.67732 4.67732 -77.987 -4.67732 0 0 82390.3 3295.61 0.02 0.05 0.01 -1 -1 0.02 0.0215504 0.0198136 36 51 57 11 0 0 - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_8x8.v common 8.23 vpr 64.92 MiB 0.01 6684 -1 -1 1 0.04 -1 -1 30264 -1 -1 5 17 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66480 17 16 251 206 1 119 38 5 5 25 clb auto 25.3 MiB 6.53 402 1424 302 1116 6 64.9 MiB 0.06 0.00 4.01364 -77.5944 -4.01364 4.01364 0.04 0.000638029 0.00057449 0.0201591 0.0188678 -1 -1 -1 -1 52 572 17 151211 126010 63348.9 2533.96 0.57 0.18627 0.160969 2316 10503 -1 511 21 627 1044 32807 14764 4.80785 4.80785 -90.325 -4.80785 0 0 82390.3 3295.61 0.01 0.04 0.01 -1 -1 0.01 0.025349 0.0229586 44 66 75 13 0 0 - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_9x9.v common 7.93 vpr 65.04 MiB 0.01 6560 -1 -1 1 0.04 -1 -1 30652 -1 -1 8 19 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66600 19 18 308 249 1 137 45 6 6 36 clb auto 25.5 MiB 5.82 477 1245 268 971 6 65.0 MiB 0.03 0.00 4.8546 -99.0847 -4.8546 4.8546 0.05 0.000431245 0.000395584 0.0118328 0.0111482 -1 -1 -1 -1 62 774 36 403230 201615 131137. 3642.71 0.93 0.239205 0.206338 4226 23319 -1 685 20 672 1088 36828 14161 5.13584 5.13584 -108.067 -5.13584 0 0 160622. 4461.73 0.02 0.07 0.02 -1 -1 0.02 0.037832 0.0338494 55 83 93 14 0 0 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_4x4.v common 1.55 vpr 66.09 MiB 0.01 7168 -1 -1 1 0.03 -1 -1 33640 -1 -1 3 9 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67672 9 8 75 70 1 36 20 5 5 25 clb auto 27.1 MiB 0.69 94 695 228 460 7 66.1 MiB 0.01 0.00 2.48207 -26.1618 -2.48207 2.48207 0.03 0.000181733 0.000161557 0.00585234 0.0052913 -1 -1 -1 -1 52 134 15 151211 75605.7 63348.9 2533.96 0.11 0.0432562 0.0374575 2316 10503 -1 114 8 106 124 3566 1793 2.40307 2.40307 -27.5996 -2.40307 0 0 82390.3 3295.61 0.00 0.01 0.02 -1 -1 0.00 0.0069119 0.0064541 13 18 19 7 0 0 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_5x5.v common 4.05 vpr 66.50 MiB 0.01 7040 -1 -1 1 0.03 -1 -1 33588 -1 -1 2 11 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68092 11 10 108 97 1 47 23 4 4 16 clb auto 27.2 MiB 3.14 125 439 123 270 46 66.5 MiB 0.01 0.00 3.45122 -41.5692 -3.45122 3.45122 0.01 0.000220655 0.000197533 0.0046684 0.00428671 -1 -1 -1 -1 30 238 26 50403.8 50403.8 19887.8 1242.99 0.18 0.0734016 0.0633094 992 2748 -1 177 19 176 222 5882 3651 3.90204 3.90204 -49.9067 -3.90204 0 0 24232.7 1514.54 0.00 0.02 0.00 -1 -1 0.00 0.013296 0.0120352 15 27 29 8 0 0 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_6x6.v common 5.44 vpr 66.68 MiB 0.01 7040 -1 -1 1 0.03 -1 -1 33700 -1 -1 7 13 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68284 13 12 149 129 1 69 32 6 6 36 clb auto 27.4 MiB 4.03 199 682 229 444 9 66.7 MiB 0.02 0.00 3.51316 -53.1567 -3.51316 3.51316 0.06 0.000399911 0.000364812 0.00775114 0.00715794 -1 -1 -1 -1 40 438 24 403230 176413 88484.8 2457.91 0.50 0.147128 0.127344 3734 16003 -1 329 29 379 534 18280 7787 3.72931 3.72931 -57.4119 -3.72931 0 0 110337. 3064.92 0.00 0.02 0.01 -1 -1 0.00 0.0175574 0.0158074 25 38 42 9 0 0 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_7x7.v common 3.95 vpr 66.76 MiB 0.02 7040 -1 -1 1 0.04 -1 -1 33776 -1 -1 6 15 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68364 15 14 196 165 1 93 35 5 5 25 clb auto 27.2 MiB 2.85 306 947 216 708 23 66.8 MiB 0.02 0.00 3.70693 -62.6491 -3.70693 3.70693 0.02 0.000376121 0.000333163 0.00931262 0.00852228 -1 -1 -1 -1 44 480 22 151211 151211 54748.7 2189.95 0.20 0.0954411 0.083284 2196 9177 -1 392 18 349 466 14859 7098 4.20858 4.20858 -72.9456 -4.20858 0 0 71025.7 2841.03 0.00 0.03 0.01 -1 -1 0.00 0.0211201 0.0192846 36 51 57 11 0 0 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_8x8.v common 8.23 vpr 67.12 MiB 0.01 7040 -1 -1 1 0.06 -1 -1 33688 -1 -1 5 17 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68736 17 16 251 206 1 119 38 5 5 25 clb auto 27.6 MiB 7.05 397 2054 481 1553 20 67.1 MiB 0.04 0.00 3.86806 -74.2346 -3.86806 3.86806 0.03 0.00048716 0.000431817 0.0193024 0.0173986 -1 -1 -1 -1 50 602 24 151211 126010 61632.8 2465.31 0.24 0.130358 0.114428 2268 9834 -1 534 19 619 1012 32161 14755 4.95834 4.95834 -93.7979 -4.95834 0 0 77226.2 3089.05 0.00 0.04 0.01 -1 -1 0.00 0.0271021 0.0248239 44 66 75 13 0 0 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml mult_9x9.v common 7.16 vpr 67.18 MiB 0.02 7040 -1 -1 1 0.04 -1 -1 33916 -1 -1 8 19 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68792 19 18 308 249 1 137 45 6 6 36 clb auto 27.7 MiB 5.92 455 2365 460 1885 20 67.2 MiB 0.03 0.00 4.8546 -99.6039 -4.8546 4.8546 0.03 0.000494067 0.000457319 0.0145592 0.0132203 -1 -1 -1 -1 62 737 27 403230 201615 131137. 3642.71 0.40 0.139917 0.121866 4226 23319 -1 634 19 613 910 31131 12187 5.08188 5.08188 -101.573 -5.08188 0 0 160622. 4461.73 0.00 0.04 0.02 -1 -1 0.00 0.0328006 0.0301511 55 83 93 14 0 0 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sub_tiles/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sub_tiles/config/golden_results.txt index 6d0dc10b927..8a6305788b3 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sub_tiles/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sub_tiles/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - sub_tiles.xml sub_tiles.blif common 6.87 vpr 56.78 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 58140 6 7 19 26 0 19 26 3 3 9 -1 auto 18.1 MiB 0.00 51 216 43 63 110 56.8 MiB 0.00 0.00 3.682 -25.774 -3.682 nan 5.75 4.0855e-05 3.4458e-05 0.0004697 0.000404466 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.11 0.00249677 0.00223949 1370 14749 -1 19 3 36 39 5809 2843 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.01 0.04 -1 -1 0.00 0.00171008 0.00161397 + sub_tiles.xml sub_tiles.blif common 17.34 vpr 59.06 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 6 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60480 6 7 19 26 0 19 26 3 3 9 -1 auto 20.6 MiB 0.00 51 216 43 63 110 59.1 MiB 0.00 0.00 3.682 -25.774 -3.682 nan 15.93 4.894e-05 4.1022e-05 0.00272802 0.000370563 -1 -1 -1 -1 6 19 3 14813.4 192574 -1 -1 0.13 0.00475066 0.00224296 1370 14749 -1 19 3 36 39 5813 2852 3.87729 nan -27.141 -3.87729 0 0 -1 -1 0.00 0.00 0.05 -1 -1 0.00 0.00235645 0.00226006 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sub_tiles_directs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sub_tiles_directs/config/golden_results.txt index effb073b2f4..518626ca870 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sub_tiles_directs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sub_tiles_directs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - heterogeneous_tile.xml sub_tile_directs.blif common 0.44 vpr 56.48 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 57836 2 2 4 5 0 4 5 3 3 9 -1 auto 17.7 MiB 0.04 8 12 0 0 12 56.5 MiB 0.00 0.00 1.899 -3.798 -1.899 nan 0.02 2.1799e-05 1.5659e-05 0.000138032 0.000105158 -1 -1 -1 -1 3 8 1 0 0 -1 -1 0.01 0.00174536 0.00159206 132 326 -1 8 1 4 4 200 164 2.09013 nan -4.05732 -2.09013 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.00138279 0.00133491 + heterogeneous_tile.xml sub_tile_directs.blif common 0.35 vpr 58.87 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 4 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 60280 2 2 4 5 0 4 5 3 3 9 -1 auto 20.6 MiB 0.00 8 12 0 0 12 58.9 MiB 0.00 0.00 1.899 -3.798 -1.899 nan 0.03 1.7797e-05 1.2853e-05 0.000104532 7.7041e-05 -1 -1 -1 -1 3 8 1 0 0 -1 -1 0.01 0.00210372 0.00170648 132 326 -1 8 1 4 4 200 164 2.09013 nan -4.05732 -2.09013 0 0 -1 -1 0.00 0.00 0.00 -1 -1 0.00 0.0014857 0.00144705 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sweep_constant_outputs/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sweep_constant_outputs/config/golden_results.txt index 423988067a7..2adfcb2953c 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sweep_constant_outputs/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_sweep_constant_outputs/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml ch_intrinsics.v common 2.62 vpr 64.77 MiB 0.12 9348 -1 -1 3 0.34 -1 -1 34748 -1 -1 19 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66324 99 74 307 381 1 199 193 8 8 64 io memory auto 25.0 MiB 0.09 843 15232 2608 11323 1301 64.8 MiB 0.09 0.00 2.09714 -214.522 -2.09714 2.09714 0.11 0.00118231 0.00110602 0.0292446 0.027405 -1 -1 -1 -1 32 1663 43 2.23746e+06 1.57199e+06 106908. 1670.44 0.66 0.202684 0.181951 4378 18911 -1 1205 26 736 1206 90558 33684 2.26594 2.26594 -220.489 -2.26594 0 0 130676. 2041.82 0.04 0.09 0.03 -1 -1 0.04 0.0490947 0.0443597 + k6_N10_mem32K_40nm.xml ch_intrinsics.v common 2.13 vpr 66.94 MiB 0.07 9984 -1 -1 3 0.37 -1 -1 39768 -1 -1 19 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68544 99 74 307 381 1 199 193 8 8 64 io memory auto 27.3 MiB 0.07 869 22473 4565 15889 2019 66.9 MiB 0.09 0.00 2.15432 -215.614 -2.15432 2.15432 0.09 0.000919068 0.000833008 0.0321902 0.029066 -1 -1 -1 -1 32 1554 36 2.23746e+06 1.57199e+06 106908. 1670.44 0.41 0.172041 0.155343 4378 18911 -1 1152 12 699 1089 60199 20903 2.21433 2.21433 -220.084 -2.21433 0 0 130676. 2041.82 0.01 0.06 0.03 -1 -1 0.01 0.0316397 0.0293511 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_target_pin_util/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_target_pin_util/config/golden_results.txt index 88f38747492..b4f05d4d127 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_target_pin_util/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_target_pin_util/config/golden_results.txt @@ -1,14 +1,14 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - EArch.xml styr.blif common_--target_ext_pin_util_1 1.86 vpr 66.18 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67772 10 10 168 178 1 73 31 6 6 36 clb auto 26.5 MiB 0.22 396 511 91 400 20 66.2 MiB 0.02 0.00 2.39024 -27.2311 -2.39024 2.39024 0.05 0.000501126 0.000456082 0.0105682 0.00992181 -1 -1 -1 -1 28 809 33 646728 592834 52494.1 1458.17 0.69 0.187639 0.16041 2620 9165 -1 829 25 747 2300 88210 34485 2.99961 2.99961 -36.9596 -2.99961 0 0 62803.0 1744.53 0.01 0.07 0.01 -1 -1 0.01 0.0401307 0.0361321 - EArch.xml styr.blif common_--target_ext_pin_util_0.7 1.85 vpr 66.26 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67848 10 10 168 178 1 73 31 6 6 36 clb auto 26.7 MiB 0.24 396 511 91 400 20 66.3 MiB 0.02 0.00 2.39024 -27.2311 -2.39024 2.39024 0.05 0.00050814 0.000464386 0.0102811 0.00966555 -1 -1 -1 -1 28 809 33 646728 592834 52494.1 1458.17 0.71 0.196479 0.168384 2620 9165 -1 829 25 747 2300 88210 34485 2.99961 2.99961 -36.9596 -2.99961 0 0 62803.0 1744.53 0.01 0.07 0.01 -1 -1 0.01 0.0330747 0.0297042 - EArch.xml styr.blif common_--target_ext_pin_util_0.1,0.5 5.19 vpr 66.62 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 91 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68216 10 10 168 178 1 162 111 14 14 196 clb auto 26.9 MiB 0.89 1456 5963 865 4880 218 66.6 MiB 0.06 0.00 3.05524 -37.9348 -3.05524 3.05524 0.65 0.000523477 0.000473123 0.0172523 0.0158836 -1 -1 -1 -1 26 2865 15 9.20055e+06 4.90435e+06 387483. 1976.95 1.88 0.188569 0.163851 18784 74779 -1 2696 13 472 1947 107713 24081 3.50167 3.50167 -42.0838 -3.50167 0 0 467681. 2386.13 0.17 0.07 0.07 -1 -1 0.17 0.0274362 0.0252919 - EArch.xml styr.blif common_--target_ext_pin_util_0.5,0.3 1.60 vpr 66.12 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 13 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67712 10 10 168 178 1 75 33 7 7 49 clb auto 26.6 MiB 0.24 404 813 125 661 27 66.1 MiB 0.04 0.00 2.45517 -27.3027 -2.45517 2.45517 0.08 0.00050798 0.000465116 0.0193336 0.0182759 -1 -1 -1 -1 26 1116 28 1.07788e+06 700622 75813.7 1547.22 0.35 0.112548 0.0995004 3816 13734 -1 925 18 487 1699 71725 25249 2.97305 2.97305 -35.2593 -2.97305 0 0 91376.6 1864.83 0.02 0.09 0.01 -1 -1 0.02 0.036074 0.0329384 - EArch.xml styr.blif common_--target_ext_pin_util_0.0 4.47 vpr 66.50 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 104 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68092 10 10 168 178 1 163 124 14 14 196 clb auto 26.8 MiB 1.03 1516 7540 1142 6103 295 66.5 MiB 0.06 0.00 3.06133 -37.7953 -3.06133 3.06133 0.57 0.000550538 0.000486087 0.0169228 0.0153939 -1 -1 -1 -1 20 2911 18 9.20055e+06 5.60498e+06 354876. 1810.58 1.28 0.0956201 0.0845563 18004 60473 -1 2874 12 603 2265 131794 29163 3.74152 3.74152 -44.1586 -3.74152 0 0 387483. 1976.95 0.13 0.05 0.05 -1 -1 0.13 0.0197556 0.0180756 - EArch.xml styr.blif common_--target_ext_pin_util_clb_0.7 1.87 vpr 66.14 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67724 10 10 168 178 1 73 31 6 6 36 clb auto 26.5 MiB 0.19 396 511 91 400 20 66.1 MiB 0.02 0.00 2.39024 -27.2311 -2.39024 2.39024 0.05 0.00050848 0.000463468 0.0108023 0.0101532 -1 -1 -1 -1 28 809 33 646728 592834 52494.1 1458.17 0.76 0.210723 0.180638 2620 9165 -1 829 25 747 2300 88210 34485 2.99961 2.99961 -36.9596 -2.99961 0 0 62803.0 1744.53 0.01 0.07 0.01 -1 -1 0.01 0.0400523 0.0363482 - EArch.xml styr.blif common_--target_ext_pin_util_clb_0.7_0.8 1.86 vpr 66.30 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67892 10 10 168 178 1 73 31 6 6 36 clb auto 26.6 MiB 0.24 396 511 91 400 20 66.3 MiB 0.02 0.00 2.39024 -27.2311 -2.39024 2.39024 0.04 0.000515808 0.000471915 0.0113979 0.0107292 -1 -1 -1 -1 28 809 33 646728 592834 52494.1 1458.17 0.69 0.195893 0.168677 2620 9165 -1 829 25 747 2300 88210 34485 2.99961 2.99961 -36.9596 -2.99961 0 0 62803.0 1744.53 0.01 0.07 0.01 -1 -1 0.01 0.0384733 0.0348156 - EArch.xml styr.blif common_--target_ext_pin_util_clb_0.1_0.8 5.12 vpr 66.80 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 91 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68400 10 10 168 178 1 162 111 14 14 196 clb auto 27.1 MiB 0.91 1456 5963 865 4880 218 66.8 MiB 0.06 0.00 3.05524 -37.9348 -3.05524 3.05524 0.57 0.000858666 0.000789693 0.0217968 0.0201256 -1 -1 -1 -1 26 2865 15 9.20055e+06 4.90435e+06 387483. 1976.95 1.84 0.178818 0.155234 18784 74779 -1 2696 13 472 1947 107713 24081 3.50167 3.50167 -42.0838 -3.50167 0 0 467681. 2386.13 0.17 0.06 0.08 -1 -1 0.17 0.0255381 0.023411 - EArch.xml styr.blif common_--target_ext_pin_util_io_0.1,0.1_clb_0.7_0.8,1.0 1.90 vpr 66.23 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67816 10 10 168 178 1 73 31 6 6 36 clb auto 26.6 MiB 0.23 396 511 91 400 20 66.2 MiB 0.02 0.00 2.39024 -27.2311 -2.39024 2.39024 0.05 0.000710376 0.000647785 0.0115236 0.0108448 -1 -1 -1 -1 28 809 33 646728 592834 52494.1 1458.17 0.72 0.206379 0.177028 2620 9165 -1 829 25 747 2300 88210 34485 2.99961 2.99961 -36.9596 -2.99961 0 0 62803.0 1744.53 0.01 0.11 0.01 -1 -1 0.01 0.0399536 0.0360531 - EArch.xml styr.blif common_--target_ext_pin_util_-0.1 0.21 vpr 27.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 28648 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 25.4 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - EArch.xml styr.blif common_--target_ext_pin_util_1.1 0.21 vpr 27.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 28656 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 25.6 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - EArch.xml styr.blif common_--target_ext_pin_util_io_0.1,0.1_clb_0.7_0.8,1.0_1.0 0.19 vpr 28.04 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 28716 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 25.6 MiB 0.01 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - EArch.xml styr.blif common_--target_ext_pin_util_io_0.1,0.1_clb_0.7_0.8,1.0_clb_1.0 0.19 vpr 28.15 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 28828 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 25.6 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml styr.blif common_--target_ext_pin_util_1 1.31 vpr 68.68 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70324 10 10 168 178 1 73 31 6 6 36 clb auto 29.0 MiB 0.20 399 703 140 536 27 68.7 MiB 0.02 0.00 2.34639 -26.9899 -2.34639 2.34639 0.04 0.000310541 0.000262563 0.00936798 0.00854482 -1 -1 -1 -1 30 794 18 646728 592834 55714.4 1547.62 0.46 0.175458 0.152388 2692 9921 -1 727 18 505 1726 58085 22424 2.63063 2.63063 -33.1038 -2.63063 0 0 68154.2 1893.17 0.00 0.04 0.01 -1 -1 0.00 0.0280432 0.0256776 + EArch.xml styr.blif common_--target_ext_pin_util_0.7 1.18 vpr 68.53 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70172 10 10 168 178 1 73 31 6 6 36 clb auto 28.9 MiB 0.19 399 703 140 536 27 68.5 MiB 0.01 0.00 2.34639 -26.9899 -2.34639 2.34639 0.02 0.000328824 0.000280405 0.00830952 0.00754823 -1 -1 -1 -1 30 794 18 646728 592834 55714.4 1547.62 0.31 0.10752 0.0932813 2692 9921 -1 727 18 505 1726 58085 22424 2.63063 2.63063 -33.1038 -2.63063 0 0 68154.2 1893.17 0.00 0.05 0.01 -1 -1 0.00 0.0371511 0.0342602 + EArch.xml styr.blif common_--target_ext_pin_util_0.1,0.5 4.10 vpr 69.07 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 91 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70728 10 10 168 178 1 162 111 14 14 196 clb auto 29.4 MiB 0.90 1467 5165 686 4267 212 69.1 MiB 0.05 0.00 2.95542 -36.8348 -2.95542 2.95542 0.39 0.000594399 0.00050939 0.0158932 0.0140866 -1 -1 -1 -1 24 2876 16 9.20055e+06 4.90435e+06 355930. 1815.97 1.49 0.204118 0.178235 18592 71249 -1 2738 14 605 2492 132798 29734 3.39858 3.39858 -42.8555 -3.39858 0 0 449262. 2292.15 0.04 0.10 0.10 -1 -1 0.04 0.0402804 0.0376719 + EArch.xml styr.blif common_--target_ext_pin_util_0.5,0.3 1.27 vpr 68.66 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 13 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70312 10 10 168 178 1 75 33 7 7 49 clb auto 29.2 MiB 0.22 414 605 98 486 21 68.7 MiB 0.02 0.00 2.40687 -27.3475 -2.40687 2.40687 0.06 0.000598343 0.000517434 0.011833 0.0108149 -1 -1 -1 -1 26 1062 27 1.07788e+06 700622 75813.7 1547.22 0.28 0.112886 0.100348 3816 13734 -1 940 18 540 1691 67850 23781 2.86939 2.86939 -35.5441 -2.86939 0 0 91376.6 1864.83 0.00 0.05 0.02 -1 -1 0.00 0.0342617 0.0315172 + EArch.xml styr.blif common_--target_ext_pin_util_0.0 2.32 vpr 68.98 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 104 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70636 10 10 168 178 1 163 124 14 14 196 clb auto 29.3 MiB 0.71 1526 7540 1144 6026 370 69.0 MiB 0.04 0.00 3.12689 -38.2571 -3.12689 3.12689 0.24 0.000341831 0.000287957 0.0139093 0.0123738 -1 -1 -1 -1 20 3129 15 9.20055e+06 5.60498e+06 295730. 1508.82 0.36 0.0484505 0.0438885 18004 60473 -1 3052 13 680 3211 188673 40435 3.88935 3.88935 -46.4141 -3.88935 0 0 387483. 1976.95 0.03 0.08 0.07 -1 -1 0.03 0.0262959 0.0240352 + EArch.xml styr.blif common_--target_ext_pin_util_clb_0.7 1.51 vpr 68.54 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70184 10 10 168 178 1 73 31 6 6 36 clb auto 29.0 MiB 0.19 399 703 140 536 27 68.5 MiB 0.03 0.00 2.34639 -26.9899 -2.34639 2.34639 0.04 0.000592996 0.000513744 0.0148871 0.0136124 -1 -1 -1 -1 30 794 18 646728 592834 55714.4 1547.62 0.60 0.233947 0.201424 2692 9921 -1 727 18 505 1726 58085 22424 2.63063 2.63063 -33.1038 -2.63063 0 0 68154.2 1893.17 0.00 0.05 0.01 -1 -1 0.00 0.0337396 0.0309919 + EArch.xml styr.blif common_--target_ext_pin_util_clb_0.7_0.8 1.26 vpr 68.30 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69936 10 10 168 178 1 73 31 6 6 36 clb auto 28.8 MiB 0.14 399 703 140 536 27 68.3 MiB 0.02 0.00 2.34639 -26.9899 -2.34639 2.34639 0.04 0.000607215 0.000528624 0.0138198 0.0125584 -1 -1 -1 -1 30 794 18 646728 592834 55714.4 1547.62 0.45 0.178959 0.157093 2692 9921 -1 727 18 505 1726 58085 22424 2.63063 2.63063 -33.1038 -2.63063 0 0 68154.2 1893.17 0.00 0.04 0.01 -1 -1 0.00 0.0299846 0.0274172 + EArch.xml styr.blif common_--target_ext_pin_util_clb_0.1_0.8 4.05 vpr 68.82 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 91 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70468 10 10 168 178 1 162 111 14 14 196 clb auto 29.3 MiB 0.89 1467 5165 686 4267 212 68.8 MiB 0.05 0.00 2.95542 -36.8348 -2.95542 2.95542 0.31 0.000662054 0.000579378 0.0167691 0.0149922 -1 -1 -1 -1 24 2876 16 9.20055e+06 4.90435e+06 355930. 1815.97 1.58 0.235946 0.204687 18592 71249 -1 2738 14 605 2492 132798 29734 3.39858 3.39858 -42.8555 -3.39858 0 0 449262. 2292.15 0.03 0.07 0.12 -1 -1 0.03 0.0296338 0.0273566 + EArch.xml styr.blif common_--target_ext_pin_util_io_0.1,0.1_clb_0.7_0.8,1.0 1.49 vpr 68.34 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 11 10 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69976 10 10 168 178 1 73 31 6 6 36 clb auto 28.8 MiB 0.21 399 703 140 536 27 68.3 MiB 0.02 0.00 2.34639 -26.9899 -2.34639 2.34639 0.04 0.000326035 0.000277526 0.0147842 0.0134826 -1 -1 -1 -1 30 794 18 646728 592834 55714.4 1547.62 0.61 0.214908 0.181228 2692 9921 -1 727 18 505 1726 58085 22424 2.63063 2.63063 -33.1038 -2.63063 0 0 68154.2 1893.17 0.00 0.04 0.02 -1 -1 0.00 0.0279877 0.0256826 + EArch.xml styr.blif common_--target_ext_pin_util_-0.1 0.10 vpr 29.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 30628 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 28.7 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml styr.blif common_--target_ext_pin_util_1.1 0.11 vpr 30.41 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 31144 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 28.9 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml styr.blif common_--target_ext_pin_util_io_0.1,0.1_clb_0.7_0.8,1.0_1.0 0.11 vpr 30.29 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 31020 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 29.2 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + EArch.xml styr.blif common_--target_ext_pin_util_io_0.1,0.1_clb_0.7_0.8,1.0_clb_1.0 0.11 vpr 30.00 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 30716 10 10 168 178 1 -1 -1 -1 -1 -1 -1 -1 29.0 MiB 0.00 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_tight_floorplan/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_tight_floorplan/config/golden_results.txt index af6269be78f..95f2081009b 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_tight_floorplan/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_tight_floorplan/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_40nm.xml bigkey.blif common_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_tight_floorplan/bigkey_tight.xml 8.71 vpr 73.06 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 150 229 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 74812 229 197 2152 2349 1 1013 576 16 16 256 io auto 33.5 MiB 3.13 8848 180201 52690 111830 15681 73.1 MiB 1.31 0.03 2.99388 -664.24 -2.99388 2.99388 0.00 0.00538594 0.00461218 0.480806 0.428342 -1 -1 -1 -1 -1 11423 9 1.05632e+07 8.0841e+06 4.24953e+06 16599.7 0.23 0.688229 0.621836 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_40nm.xml bigkey.blif common_-read_vpr_constraints_tasks/regression_tests/vtr_reg_strong/strong_tight_floorplan/bigkey_tight.xml 9.08 vpr 75.28 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 150 229 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 77084 229 197 2152 2349 1 1013 576 16 16 256 io auto 35.8 MiB 4.29 8858 177806 51921 111135 14750 75.3 MiB 1.45 0.02 2.93018 -671.396 -2.93018 2.93018 0.00 0.00692729 0.00619106 0.572476 0.497763 -1 -1 -1 -1 -1 11350 10 1.05632e+07 8.0841e+06 4.24953e+06 16599.7 0.34 0.862195 0.757255 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing/config/golden_results.txt index 956417a33e9..a285dc5eca4 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 5.18 vpr 65.63 MiB 0.10 9360 -1 -1 3 0.29 -1 -1 34572 -1 -1 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67208 99 130 363 493 1 251 298 12 12 144 clb auto 26.3 MiB 0.15 830 72933 24114 36385 12434 65.6 MiB 0.26 0.00 2.31523 -217.996 -2.31523 2.31523 0.32 0.000917378 0.000858642 0.0838167 0.0788673 -1 -1 -1 -1 38 1583 13 5.66058e+06 4.21279e+06 319130. 2216.18 2.38 0.45942 0.419671 12522 62564 -1 1389 8 493 651 37667 12430 2.73633 2.73633 -236.043 -2.73633 0 0 406292. 2821.48 0.13 0.04 0.07 -1 -1 0.13 0.0261213 0.0244905 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common 3.34 vpr 67.74 MiB 0.06 9856 -1 -1 3 0.39 -1 -1 39776 -1 -1 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69364 99 130 363 493 1 251 298 12 12 144 clb auto 28.5 MiB 0.15 804 66963 21682 33533 11748 67.7 MiB 0.29 0.00 2.23767 -220.613 -2.23767 2.23767 0.27 0.00107588 0.000959454 0.0879605 0.0803385 -1 -1 -1 -1 38 1665 16 5.66058e+06 4.21279e+06 319130. 2216.18 0.81 0.341856 0.310926 12522 62564 -1 1367 8 564 725 39208 13509 2.60043 2.60043 -237.701 -2.60043 0 0 406292. 2821.48 0.03 0.05 0.14 -1 -1 0.03 0.0261531 0.0245164 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_fail/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_fail/config/golden_results.txt index 3fa9e969200..ddf76e6dee9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_fail/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_fail/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common_-sdc_file_sdc/samples/impossible_pass_timing.sdc 3.11 vpr 65.57 MiB 0.10 9356 -1 -1 3 0.35 -1 -1 34624 -1 -1 68 99 1 0 exited with return code 1 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 67140 99 130 363 493 1 251 298 12 12 144 clb auto 26.2 MiB 0.16 850 68953 24096 34301 10556 65.6 MiB 0.21 0.00 2.17528 -135.263 -2.17528 2.17528 0.36 0.000607948 0.000558569 0.0540788 0.0494279 -1 -1 -1 -1 36 1722 23 5.66058e+06 4.21279e+06 305235. 2119.69 0.88 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common_-sdc_file_sdc/samples/impossible_pass_timing.sdc 3.16 vpr 67.80 MiB 0.06 9984 -1 -1 3 0.37 -1 -1 39748 -1 -1 68 99 1 0 exited with return code 1 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69432 99 130 363 493 1 251 298 12 12 144 clb auto 28.7 MiB 0.14 877 59998 22493 27317 10188 67.8 MiB 0.17 0.00 2.17528 -133.517 -2.17528 2.17528 0.25 0.000598743 0.00053199 0.0416228 0.0366674 -1 -1 -1 -1 40 1685 15 5.66058e+06 4.21279e+06 333335. 2314.82 1.35 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_no_fail/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_no_fail/config/golden_results.txt index 13ad07bee3b..4503f0925f9 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_no_fail/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_no_fail/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common_-sdc_file_sdc/samples/easy_pass_timing.sdc 6.73 vpr 65.30 MiB 0.11 9496 -1 -1 3 0.35 -1 -1 34624 -1 -1 68 99 1 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66864 99 130 363 493 1 252 298 12 12 144 clb auto 26.0 MiB 0.14 765 78903 26762 38665 13476 65.3 MiB 0.25 0.00 2.31285 0 0 2.31285 0.36 0.000595835 0.000546064 0.0568252 0.0524192 -1 -1 -1 -1 38 1556 15 5.66058e+06 4.21279e+06 319130. 2216.18 3.88 0.700323 0.59673 12522 62564 -1 1352 7 424 536 26689 9017 2.96222 2.96222 0 0 0 0 406292. 2821.48 0.13 0.03 0.07 -1 -1 0.13 0.0171393 0.0157816 + k6_frac_N10_mem32K_40nm.xml ch_intrinsics.v common_-sdc_file_sdc/samples/easy_pass_timing.sdc 3.64 vpr 67.62 MiB 0.06 9856 -1 -1 3 0.30 -1 -1 39896 -1 -1 68 99 1 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 69248 99 130 363 493 1 252 298 12 12 144 clb auto 28.5 MiB 0.14 956 73928 27133 34341 12454 67.6 MiB 0.26 0.00 2.30557 0 0 2.30557 0.25 0.000962793 0.000867177 0.0597068 0.0524058 -1 -1 -1 -1 38 1840 8 5.66058e+06 4.21279e+06 319130. 2216.18 1.37 0.282428 0.244945 12522 62564 -1 1734 8 415 510 29213 8865 2.61298 2.61298 0 0 0 0 406292. 2821.48 0.02 0.03 0.09 -1 -1 0.02 0.0187719 0.0170722 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_report_detail/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_report_detail/config/golden_results.txt index 26ddabd3b5a..0a5e59f0296 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_report_detail/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_report_detail/config/golden_results.txt @@ -1,4 +1,4 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_mem32K_40nm.xml multiclock.blif common_--timing_report_detail_netlist 0.68 vpr 65.00 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66556 5 3 11 14 2 9 10 4 4 16 clb auto 26.3 MiB 0.02 20 30 10 17 3 65.0 MiB 0.01 0.00 0.619658 -3.41326 -0.619658 0.545 0.01 3.9442e-05 2.8479e-05 0.000264801 0.000213415 -1 -1 -1 -1 20 15 1 107788 107788 10441.3 652.579 0.05 0.00220927 0.00202353 750 1675 -1 15 1 7 7 94 62 0.562699 0.545 -3.33969 -0.562699 0 0 13752.8 859.551 0.00 0.00 0.00 -1 -1 0.00 0.00165203 0.0015765 - k6_frac_N10_frac_chain_mem32K_40nm.xml multiclock.blif common_--timing_report_detail_aggregated 0.64 vpr 64.77 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66324 5 3 11 14 2 9 10 4 4 16 clb auto 26.0 MiB 0.02 20 30 10 17 3 64.8 MiB 0.01 0.00 0.619658 -3.41326 -0.619658 0.545 0.01 4.7331e-05 3.4794e-05 0.000313679 0.000255977 -1 -1 -1 -1 20 15 1 107788 107788 10441.3 652.579 0.02 0.00210853 0.00193266 750 1675 -1 15 1 7 7 94 62 0.562699 0.545 -3.33969 -0.562699 0 0 13752.8 859.551 0.00 0.00 0.00 -1 -1 0.00 0.0016735 0.00159778 - k6_frac_N10_frac_chain_mem32K_40nm.xml multiclock.blif common_--timing_report_detail_detailed 0.70 vpr 64.80 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66360 5 3 11 14 2 9 10 4 4 16 clb auto 26.0 MiB 0.03 20 30 10 17 3 64.8 MiB 0.04 0.00 0.619658 -3.41326 -0.619658 0.545 0.01 5.5384e-05 4.0883e-05 0.000339161 0.000274106 -1 -1 -1 -1 20 15 1 107788 107788 10441.3 652.579 0.02 0.00305328 0.00284599 750 1675 -1 15 1 7 7 94 62 0.562699 0.545 -3.33969 -0.562699 0 0 13752.8 859.551 0.00 0.00 0.00 -1 -1 0.00 0.00161855 0.0015492 + k6_frac_N10_frac_chain_mem32K_40nm.xml multiclock.blif common_--timing_report_detail_netlist 0.62 vpr 67.01 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68616 5 3 11 14 2 9 10 4 4 16 clb auto 28.6 MiB 0.01 21 30 9 19 2 67.0 MiB 0.00 0.00 0.620042 -3.41492 -0.620042 0.545 0.01 4.8501e-05 3.4711e-05 0.00027851 0.000219913 -1 -1 -1 -1 20 24 1 107788 107788 10441.3 652.579 0.01 0.00215999 0.00198392 750 1675 -1 23 1 7 7 146 95 0.563256 0.545 -3.71515 -0.563256 0 0 13752.8 859.551 0.00 0.01 0.00 -1 -1 0.00 0.00181366 0.00173531 + k6_frac_N10_frac_chain_mem32K_40nm.xml multiclock.blif common_--timing_report_detail_aggregated 0.62 vpr 67.03 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68636 5 3 11 14 2 9 10 4 4 16 clb auto 28.5 MiB 0.01 21 30 9 19 2 67.0 MiB 0.00 0.00 0.620042 -3.41492 -0.620042 0.545 0.01 5.1152e-05 3.666e-05 0.000287379 0.000227035 -1 -1 -1 -1 20 24 1 107788 107788 10441.3 652.579 0.03 0.0023614 0.00216487 750 1675 -1 23 1 7 7 146 95 0.563256 0.545 -3.71515 -0.563256 0 0 13752.8 859.551 0.00 0.01 0.00 -1 -1 0.00 0.0022135 0.00167838 + k6_frac_N10_frac_chain_mem32K_40nm.xml multiclock.blif common_--timing_report_detail_detailed 0.55 vpr 67.32 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 2 5 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68936 5 3 11 14 2 9 10 4 4 16 clb auto 28.9 MiB 0.01 21 30 9 19 2 67.3 MiB 0.00 0.00 0.620042 -3.41492 -0.620042 0.545 0.01 5.7208e-05 4.2383e-05 0.000322556 0.000257546 -1 -1 -1 -1 20 24 1 107788 107788 10441.3 652.579 0.02 0.00215648 0.00197387 750 1675 -1 23 1 7 7 146 95 0.563256 0.545 -3.71515 -0.563256 0 0 13752.8 859.551 0.00 0.01 0.00 -1 -1 0.00 0.00181267 0.00173458 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_update_diff/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_update_diff/config/golden_results.txt index d75c4e0f2bb..9d457582f18 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_update_diff/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_update_diff/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.86 vpr 66.88 MiB 0.12 10144 -1 -1 5 0.18 -1 -1 33460 -1 -1 14 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 68484 11 30 313 321 2 115 55 7 7 49 clb auto 27.2 MiB 0.36 466 2759 556 2108 95 66.9 MiB 0.06 0.00 2.67362 -172.647 -2.67362 2.30794 0.00 0.000854492 0.000777061 0.032919 0.0303032 -1 -1 -1 -1 -1 574 7 1.07788e+06 754516 219490. 4479.39 0.04 0.063998 0.0591785 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 2.68 vpr 69.25 MiB 0.08 10496 -1 -1 5 0.17 -1 -1 36364 -1 -1 14 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 70908 11 30 313 321 2 115 55 7 7 49 clb auto 29.8 MiB 0.39 448 1927 352 1502 73 69.2 MiB 0.04 0.00 2.6627 -173.06 -2.6627 2.30313 0.00 0.000798161 0.000674358 0.0213182 0.0191108 -1 -1 -1 -1 -1 595 8 1.07788e+06 754516 219490. 4479.39 0.04 0.060298 0.0550487 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_update_type/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_update_type/config/golden_results.txt index 2c41099abe5..070113b9371 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_update_type/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_timing_update_type/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time - k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_auto 1.56 vpr 64.55 MiB 0.11 10036 -1 -1 4 0.23 -1 -1 33332 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66096 11 30 262 292 2 99 60 7 7 49 clb auto 24.8 MiB 0.09 421 2049 269 1715 65 64.5 MiB 0.05 0.00 2.53105 -179.908 -2.53105 2.34917 0.00 0.000901868 0.000816005 0.0182749 0.0165852 -1 -1 -1 -1 -1 424 16 1.07788e+06 1.02399e+06 207176. 4228.08 0.07 0.0493513 0.0440772 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_full 1.56 vpr 64.68 MiB 0.09 9896 -1 -1 4 0.22 -1 -1 33244 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 66236 11 30 262 292 2 99 60 7 7 49 clb auto 24.9 MiB 0.09 421 2049 269 1715 65 64.7 MiB 0.03 0.00 2.53105 -179.908 -2.53105 2.34917 0.00 0.000505662 0.000447982 0.0141131 0.0127631 -1 -1 -1 -1 -1 424 16 1.07788e+06 1.02399e+06 207176. 4228.08 0.07 0.0443633 0.0397038 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_incremental 1.55 vpr 64.02 MiB 0.12 10036 -1 -1 4 0.21 -1 -1 33388 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65552 11 30 262 292 2 99 60 7 7 49 clb auto 24.8 MiB 0.09 421 2049 269 1715 65 64.0 MiB 0.03 0.00 2.53105 -179.908 -2.53105 2.34917 0.00 8.432e-06 2.592e-06 0.00561579 0.00470719 -1 -1 -1 -1 -1 424 16 1.07788e+06 1.02399e+06 207176. 4228.08 0.05 0.0266554 0.0212939 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 - k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_incremental_--quench_recompute_divider_999999999 1.55 vpr 63.92 MiB 0.12 9868 -1 -1 4 0.21 -1 -1 33312 -1 -1 19 11 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 65456 11 30 262 292 2 99 60 7 7 49 clb auto 24.8 MiB 0.09 421 2049 269 1715 65 63.9 MiB 0.03 0.00 2.53105 -179.908 -2.53105 2.34917 0.00 0.000527965 0.000121671 0.00657296 0.00525257 -1 -1 -1 -1 -1 424 16 1.07788e+06 1.02399e+06 207176. 4228.08 0.05 0.0298469 0.0235615 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_auto 1.31 vpr 66.76 MiB 0.06 10368 -1 -1 4 0.22 -1 -1 36924 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68364 11 30 262 292 2 99 60 7 7 49 clb auto 27.3 MiB 0.08 425 2283 406 1804 73 66.8 MiB 0.04 0.00 2.45115 -182.341 -2.45115 2.3368 0.00 0.000550429 0.00044745 0.0205892 0.0175295 -1 -1 -1 -1 -1 414 20 1.07788e+06 1.02399e+06 207176. 4228.08 0.07 0.0715823 0.0554655 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_full 1.35 vpr 67.11 MiB 0.08 10368 -1 -1 4 0.22 -1 -1 36664 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 68720 11 30 262 292 2 99 60 7 7 49 clb auto 27.5 MiB 0.09 425 2283 406 1804 73 67.1 MiB 0.03 0.00 2.45115 -182.341 -2.45115 2.3368 0.00 0.000592669 0.000483133 0.0176652 0.0153201 -1 -1 -1 -1 -1 414 20 1.07788e+06 1.02399e+06 207176. 4228.08 0.08 0.0695138 0.0618702 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_incremental 1.40 vpr 66.21 MiB 0.07 10368 -1 -1 4 0.18 -1 -1 36668 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67804 11 30 262 292 2 99 60 7 7 49 clb auto 27.1 MiB 0.09 425 2283 406 1804 73 66.2 MiB 0.03 0.00 2.45115 -182.341 -2.45115 2.3368 0.00 0.000259913 0.000168736 0.00804711 0.00632437 -1 -1 -1 -1 -1 414 20 1.07788e+06 1.02399e+06 207176. 4228.08 0.05 0.0326926 0.0257255 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 + k6_N10_mem32K_40nm.xml stereovision3.v common_--timing_update_type_incremental_--quench_recompute_divider_999999999 1.40 vpr 66.24 MiB 0.07 10368 -1 -1 4 0.22 -1 -1 36412 -1 -1 19 11 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 67828 11 30 262 292 2 99 60 7 7 49 clb auto 27.3 MiB 0.09 425 2283 406 1804 73 66.2 MiB 0.03 0.00 2.45115 -182.341 -2.45115 2.3368 0.00 0.000820125 0.000270462 0.0105947 0.00836537 -1 -1 -1 -1 -1 414 20 1.07788e+06 1.02399e+06 207176. 4228.08 0.07 0.0358221 0.028376 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_titan/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_titan/config/golden_results.txt index 676a758e26d..ec4372e5ea5 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_titan/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_titan/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error num_io num_LAB num_DSP num_M9K num_M144K num_PLL vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 69.07 vpr 1.16 GiB 42 758 0 0 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 1213468 13 29 26295 20086 1 12439 800 39 29 1131 LAB auto 1063.1 MiB 14.23 70903 253216 51547 191577 10092 1176.3 MiB 10.33 0.14 4.99319 -5223.26 -3.99319 2.64446 0.01 0.0393935 0.0325965 2.91026 2.41968 83183 6.68835 19827 1.59419 25954 36248 10076288 1815088 0 0 2.05929e+07 18207.7 15 331560 3499109 -1 5.28806 2.7363 -5589.94 -4.28806 0 0 6.16 -1 -1 1176.3 MiB 4.02 4.55065 3.84457 1176.3 MiB -1 12.94 + stratixiv_arch.timing.xml ucsb_152_tap_fir_stratixiv_arch_timing.blif common 76.86 vpr 1.16 GiB 42 758 0 0 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1215732 13 29 26295 20086 1 12439 800 39 29 1131 LAB auto 1063.5 MiB 14.49 75097 245792 47628 188491 9673 1158.4 MiB 19.32 0.31 4.99421 -5497.03 -3.99421 2.87584 0.01 0.0645942 0.0566793 4.57717 3.66743 87123 7.00515 21186 1.70347 25964 36365 9630576 1720385 0 0 2.05929e+07 18207.7 13 331560 3499109 -1 5.30154 2.77187 -5700.98 -4.30154 0 0 8.99 -1 -1 1158.4 MiB 6.77 7.11559 5.86421 1158.4 MiB -1 3.90 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_two_chains/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_two_chains/config/golden_results.txt index f9dc973d7ee..9097fbde85d 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_two_chains/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_two_chains/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time num_le num_luts num_add_blocks max_add_chain_length num_sub_blocks max_sub_chain_length - k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml diffeq2.v common 16.79 vpr 67.83 MiB 0.08 9652 -1 -1 6 0.16 -1 -1 34060 -1 -1 15 66 0 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 69456 66 96 1000 687 1 578 192 18 18 324 mult_27 auto 28.6 MiB 1.69 5343 48856 15321 28109 5426 67.8 MiB 0.58 0.01 16.5319 -985.557 -16.5319 16.5319 1.33 0.00284838 0.00269661 0.271323 0.256526 -1 -1 -1 -1 56 12644 31 6.4517e+06 1.13409e+06 1.55150e+06 4788.57 8.30 0.994052 0.922886 50684 323660 -1 11612 24 4774 11145 2601588 732828 16.8532 16.8532 -1120.15 -16.8532 0 0 1.95585e+06 6036.58 0.72 0.84 0.31 -1 -1 0.72 0.163283 0.153391 133 202 146 33 66 33 + k6_frac_N10_4add_2chains_depop50_mem20K_22nm.xml diffeq2.v common 18.00 vpr 70.38 MiB 0.05 10112 -1 -1 6 0.25 -1 -1 38052 -1 -1 15 66 0 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 72072 66 96 1000 687 1 578 192 18 18 324 mult_27 auto 31.1 MiB 2.15 5241 46091 14804 26339 4948 70.4 MiB 0.71 0.01 16.7702 -967.772 -16.7702 16.7702 0.75 0.00350611 0.00326694 0.374139 0.351063 -1 -1 -1 -1 54 12671 42 6.4517e+06 1.13409e+06 1.49609e+06 4617.55 10.37 1.47511 1.37701 50360 316156 -1 11227 19 3612 7762 1892477 579383 16.9221 16.9221 -1089.8 -16.9221 0 0 1.91711e+06 5917.01 0.13 0.79 0.45 -1 -1 0.13 0.185679 0.177041 133 202 146 33 66 33 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_unroute_analysis/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_unroute_analysis/config/golden_results.txt index bb1d1e6ccea..9b26c986ccf 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_unroute_analysis/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_unroute_analysis/config/golden_results.txt @@ -1,5 +1,5 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_20 0.67 vpr 62.91 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64420 6 8 39 47 1 20 17 5 5 25 clb auto 24.5 MiB 0.03 69 227 71 153 3 62.9 MiB 0.01 0.00 1.42251 -15.9524 -1.42251 1.42251 0.00 0.000117366 0.000105443 0.00207021 0.0019072 -1 -1 -1 -1 86 4.52632 45 2.36842 140 253 6063 2435 323364 161682 20103.2 804.128 19 1140 2762 -1 1.32969 1.32969 -16.56 -1.32969 0 0 0.00 -1 -1 62.9 MiB 0.02 0.00917762 0.00816725 62.9 MiB -1 0.00 - k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_20_--analysis 0.65 vpr 62.88 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64384 6 8 39 47 1 20 17 5 5 25 clb auto 24.5 MiB 0.03 69 227 71 153 3 62.9 MiB 0.01 0.00 1.42251 -15.9524 -1.42251 1.42251 0.00 0.000188315 0.000170732 0.00297437 0.002743 -1 -1 -1 -1 86 4.52632 45 2.36842 140 253 6063 2435 323364 161682 20103.2 804.128 19 1140 2762 -1 1.32969 1.32969 -16.56 -1.32969 0 0 0.00 -1 -1 62.9 MiB 0.02 0.0122453 0.0107752 62.9 MiB -1 0.00 - k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_8 0.36 vpr 63.08 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 exited with return code 2 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64592 6 8 39 47 1 20 17 5 5 25 clb auto 24.7 MiB 0.03 69 227 71 153 3 63.1 MiB 0.01 0.00 1.42347 -15.9604 -1.42347 1.42347 0.00 0.000131128 0.000118797 0.00230482 0.00213538 -1 -1 -1 -1 -1 -1 -1 -1 723 1098 45498 29013 -1 -1 -1 -1 -1 996 1634 -1 -1 -1 -1 -1 -1 -1 0.00 -1 -1 63.1 MiB 0.05 -1 -1 63.1 MiB -1 0.00 - k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_8_--analysis 0.36 vpr 62.83 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 exited with return code 2 v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 64336 6 8 39 47 1 20 17 5 5 25 clb auto 24.4 MiB 0.05 69 227 71 153 3 62.8 MiB 0.01 0.00 1.42347 -15.9604 -1.42347 1.42347 0.00 0.000130321 0.00011848 0.00234416 0.00216136 -1 -1 -1 -1 142 7.47368 68 3.57895 723 1098 45498 29013 323364 161682 9037.03 361.481 -1 996 1634 -1 1.87665 1.87665 -21.7004 -1.87665 0 0 0.00 -1 -1 62.8 MiB 0.05 -1 -1 62.8 MiB -1 0.00 + k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_20 0.53 vpr 65.10 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66664 6 8 39 47 1 20 17 5 5 25 clb auto 26.9 MiB 0.03 88 59 31 28 0 65.1 MiB 0.01 0.00 1.35996 -15.7932 -1.35996 1.35996 0.00 0.00022667 0.0001997 0.00145978 0.00134015 -1 -1 -1 -1 77 4.05263 38 2.00000 131 232 5197 2020 323364 161682 20103.2 804.128 18 1140 2762 -1 1.30886 1.30886 -16.2255 -1.30886 0 0 0.01 -1 -1 65.1 MiB 0.01 0.0151269 0.0141345 65.1 MiB -1 0.00 + k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_20_--analysis 0.49 vpr 65.18 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66748 6 8 39 47 1 20 17 5 5 25 clb auto 26.8 MiB 0.02 88 59 31 28 0 65.2 MiB 0.00 0.00 1.35996 -15.7932 -1.35996 1.35996 0.00 0.000166651 0.000146123 0.00118945 0.00110009 -1 -1 -1 -1 77 4.05263 38 2.00000 131 232 5197 2020 323364 161682 20103.2 804.128 18 1140 2762 -1 1.30886 1.30886 -16.2255 -1.30886 0 0 0.00 -1 -1 65.2 MiB 0.01 0.0110046 0.0100571 65.2 MiB -1 0.00 + k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_8 0.25 vpr 65.20 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 exited with return code 2 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66768 6 8 39 47 1 20 17 5 5 25 clb auto 26.9 MiB 0.02 88 59 31 28 0 65.2 MiB 0.00 0.00 1.36028 -15.8 -1.36028 1.36028 0.00 0.000189994 0.000167385 0.00110293 0.00101544 -1 -1 -1 -1 -1 -1 -1 -1 654 1027 31303 15229 -1 -1 -1 -1 -1 996 1634 -1 -1 -1 -1 -1 -1 -1 0.00 -1 -1 65.2 MiB 0.03 -1 -1 65.2 MiB -1 0.00 + k6_N10_mem32K_40nm.xml traffic.blif common_--route_chan_width_8_--analysis 0.27 vpr 65.18 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 3 6 0 0 exited with return code 2 v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 66748 6 8 39 47 1 20 17 5 5 25 clb auto 26.9 MiB 0.02 88 59 31 28 0 65.2 MiB 0.00 0.00 1.36028 -15.8 -1.36028 1.36028 0.00 0.000187343 0.000161123 0.00133988 0.00123837 -1 -1 -1 -1 142 7.47368 66 3.47368 654 1027 31303 15229 323364 161682 9037.03 361.481 -1 996 1634 -1 1.84852 1.84852 -21.9824 -1.84852 0 0 0.00 -1 -1 65.2 MiB 0.04 -1 -1 65.2 MiB -1 0.00 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_verify_rr_graph/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_verify_rr_graph/config/golden_results.txt index 949cccb520a..a8c8aed1d54 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_verify_rr_graph/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_verify_rr_graph/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k4_N4_90nm.xml stereovision3.v common 2.82 vpr 58.30 MiB 0.12 9420 -1 -1 6 0.20 -1 -1 33332 -1 -1 69 11 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59700 11 30 336 366 2 175 110 11 11 121 clb auto 19.3 MiB 0.08 1069 7737 1075 6148 514 58.3 MiB 0.07 0.00 3.58466 -257.927 -3.58466 3.35525 0.00 0.000795143 0.000713058 0.0296855 0.0265178 -1 -1 -1 -1 1020 5.96491 1020 5.96491 830 2510 115386 26241 180575 153823 597941. 4941.66 12 20106 83797 -1 3.41136 3.2074 -256.892 -3.41136 -0.21991 -0.0734 0.14 -1 -1 58.3 MiB 0.12 0.0657665 0.0592709 58.3 MiB -1 0.11 - k6_frac_N10_40nm.xml stereovision3.v common 2.09 vpr 59.37 MiB 0.15 9312 -1 -1 4 0.21 -1 -1 33464 -1 -1 13 11 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60796 11 30 262 292 2 110 54 6 6 36 clb auto 20.2 MiB 0.14 411 1380 237 1087 56 59.4 MiB 0.03 0.00 2.57043 -171.117 -2.57043 2.32238 0.00 0.000673202 0.000603541 0.0138837 0.0127263 -1 -1 -1 -1 489 4.61321 218 2.05660 216 331 9092 3075 862304 700622 161034. 4473.17 7 3844 24048 -1 2.49787 2.27724 -178.279 -2.49787 0 0 0.03 -1 -1 59.4 MiB 0.04 0.0384913 0.0354677 59.4 MiB -1 0.02 + k4_N4_90nm.xml stereovision3.v common 2.42 vpr 61.41 MiB 0.07 9984 -1 -1 6 0.21 -1 -1 36540 -1 -1 69 11 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62880 11 30 336 366 2 175 110 11 11 121 clb auto 21.7 MiB 0.07 1099 5370 731 4291 348 61.4 MiB 0.07 0.00 3.52668 -265.051 -3.52668 3.51868 0.00 0.000895008 0.000764708 0.0287001 0.0253164 -1 -1 -1 -1 1048 6.12865 1048 6.12865 944 2940 139156 30294 180575 153823 597941. 4941.66 16 20106 83797 -1 3.39028 3.32725 -266.23 -3.39028 -0.21991 -0.0734 0.19 -1 -1 61.4 MiB 0.11 0.0797492 0.0714232 61.4 MiB -1 0.02 + k6_frac_N10_40nm.xml stereovision3.v common 1.89 vpr 62.21 MiB 0.06 9984 -1 -1 4 0.20 -1 -1 36668 -1 -1 13 11 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63704 11 30 262 292 2 110 54 6 6 36 clb auto 22.6 MiB 0.15 403 1584 300 1231 53 62.2 MiB 0.04 0.00 2.57043 -171.01 -2.57043 2.32238 0.00 0.000808513 0.00071129 0.0212101 0.0190689 -1 -1 -1 -1 496 4.67925 221 2.08491 205 325 10915 3976 862304 700622 161034. 4473.17 9 3844 24048 -1 2.61311 2.27483 -177.098 -2.61311 0 0 0.05 -1 -1 62.2 MiB 0.04 0.0510796 0.0464992 62.2 MiB -1 0.00 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_verify_rr_graph_bin/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_verify_rr_graph_bin/config/golden_results.txt index 43fe9e64de6..c745d2940f2 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_verify_rr_graph_bin/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_verify_rr_graph_bin/config/golden_results.txt @@ -1,3 +1,3 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - k4_N4_90nm.xml stereovision3.v common 2.46 vpr 58.19 MiB 0.15 9392 -1 -1 6 0.20 -1 -1 33308 -1 -1 69 11 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 59584 11 30 336 366 2 175 110 11 11 121 clb auto 19.2 MiB 0.06 1069 7737 1075 6148 514 58.2 MiB 0.11 0.00 3.58466 -257.927 -3.58466 3.35525 0.00 0.00113995 0.0010258 0.0368023 0.0330354 -1 -1 -1 -1 1020 5.96491 1020 5.96491 830 2510 115386 26241 180575 153823 597941. 4941.66 12 20106 83797 -1 3.41136 3.2074 -256.892 -3.41136 -0.21991 -0.0734 0.11 -1 -1 58.2 MiB 0.08 0.070273 0.063129 58.2 MiB -1 0.09 - k6_frac_N10_40nm.xml stereovision3.v common 2.05 vpr 59.43 MiB 0.14 9392 -1 -1 4 0.20 -1 -1 33336 -1 -1 13 11 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 60860 11 30 262 292 2 110 54 6 6 36 clb auto 20.2 MiB 0.14 411 1380 237 1087 56 59.4 MiB 0.04 0.00 2.57043 -171.117 -2.57043 2.32238 0.00 0.000753376 0.000667515 0.0140933 0.0129404 -1 -1 -1 -1 489 4.61321 218 2.05660 216 331 9092 3075 862304 700622 161034. 4473.17 7 3844 24048 -1 2.49787 2.27724 -178.279 -2.49787 0 0 0.03 -1 -1 59.4 MiB 0.04 0.0397773 0.0366976 59.4 MiB -1 0.02 + k4_N4_90nm.xml stereovision3.v common 2.36 vpr 61.16 MiB 0.06 9984 -1 -1 6 0.24 -1 -1 36564 -1 -1 69 11 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 62624 11 30 336 366 2 175 110 11 11 121 clb auto 21.6 MiB 0.08 1099 5370 731 4291 348 61.2 MiB 0.08 0.00 3.52668 -265.051 -3.52668 3.51868 0.00 0.00109238 0.000939106 0.0296397 0.0256861 -1 -1 -1 -1 1048 6.12865 1048 6.12865 944 2940 139156 30294 180575 153823 597941. 4941.66 16 20106 83797 -1 3.39028 3.32725 -266.23 -3.39028 -0.21991 -0.0734 0.18 -1 -1 61.2 MiB 0.09 0.0740596 0.0653877 61.2 MiB -1 0.03 + k6_frac_N10_40nm.xml stereovision3.v common 1.71 vpr 62.40 MiB 0.03 10112 -1 -1 4 0.22 -1 -1 36668 -1 -1 13 11 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 63900 11 30 262 292 2 110 54 6 6 36 clb auto 22.9 MiB 0.13 403 1584 300 1231 53 62.4 MiB 0.04 0.00 2.57043 -171.01 -2.57043 2.32238 0.00 0.000733682 0.000641677 0.0178767 0.0155436 -1 -1 -1 -1 496 4.67925 221 2.08491 205 325 10915 3976 862304 700622 161034. 4473.17 9 3844 24048 -1 2.61311 2.27483 -177.098 -2.61311 0 0 0.04 -1 -1 62.4 MiB 0.04 0.0527381 0.04796 62.4 MiB -1 0.01 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_verify_rr_graph_titan/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_verify_rr_graph_titan/config/golden_results.txt index 369954619cf..8249d51c4a6 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_verify_rr_graph_titan/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_strong_odin/strong_verify_rr_graph_titan/config/golden_results.txt @@ -1,2 +1,2 @@ arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time routed_wirelength avg_routed_wirelength routed_wiresegment avg_routed_wiresegment total_nets_routed total_connections_routed total_heap_pushes total_heap_pops logic_block_area_total logic_block_area_used routing_area_total routing_area_per_tile crit_path_route_success_iteration num_rr_graph_nodes num_rr_graph_edges collapsed_nodes critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS create_rr_graph_time create_intra_cluster_rr_graph_time adding_internal_edges route_mem crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time router_lookahead_mem tile_lookahead_computation_time router_lookahead_computation_time - stratixiv_arch.timing.xml styr.blif common 33.96 vpr 976.78 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-11852-g026644d7f-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2024-11-21T16:04:00 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/temp/temp2/vtr-verilog-to-routing 1000220 10 10 168 178 1 68 30 11 8 88 io auto 953.8 MiB 0.62 354 536 67 434 35 976.8 MiB 0.06 0.00 6.57169 -72.0462 -6.57169 6.57169 0.00 0.000450859 0.000408422 0.0103745 0.00973574 -1 -1 -1 -1 586 8.74627 178 2.65672 259 971 58705 26468 0 0 194014. 2204.70 13 11730 32605 -1 6.82307 6.82307 -73.1617 -6.82307 0 0 0.08 -1 -1 976.8 MiB 0.07 0.0397393 0.0369452 976.8 MiB -1 0.04 + stratixiv_arch.timing.xml styr.blif common 34.23 vpr 978.34 MiB -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 10 -1 -1 success v8.0.0-12163-g0dba7016b-dirty Release VTR_ASSERT_LEVEL=2 GNU 11.4.0 on Linux-6.8.0-51-generic x86_64 2025-02-19T17:54:19 haydar-Precision-5820-Tower /home/haydar/vtr-verilog-to-routing 1001816 10 10 168 178 1 68 30 11 8 88 io auto 955.5 MiB 0.58 371 490 69 397 24 978.3 MiB 0.06 0.00 6.66046 -72.2933 -6.66046 6.66046 0.00 0.000593468 0.00051514 0.0111956 0.0102241 -1 -1 -1 -1 549 8.19403 169 2.52239 264 964 62268 28521 0 0 194014. 2204.70 13 11730 32605 -1 6.70864 6.70864 -73.3171 -6.70864 0 0 0.08 -1 -1 978.3 MiB 0.07 0.0418866 0.0386921 978.3 MiB -1 0.01 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/f4pga_button_controller/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/f4pga_button_controller/config/config.txt index f20ab703070..5005a12aaca 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/f4pga_button_controller/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/f4pga_button_controller/config/config.txt @@ -12,12 +12,14 @@ archs_dir=arch/timing # Add circuits to list to sweep -include_list_add=display_control.sv -include_list_add=timer.sv -include_list_add=debounce.sv +#include_list_add=display_control.sv +#include_list_add=timer.sv +#include_list_add=debounce.sv # Add circuits to list to sweep -circuit_list_add=button_controller.sv +#circuit_list_add=button_controller.sv + +circuit_list_add=flattened_button_controller.sv # Add architectures to list to sweep diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/f4pga_pulse_width_led/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/f4pga_pulse_width_led/config/config.txt index 6c7dd369b44..c59221ce1d4 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/f4pga_pulse_width_led/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/f4pga_pulse_width_led/config/config.txt @@ -12,11 +12,12 @@ archs_dir=arch/timing # Add circuits to list to sweep -include_list_add=PWM.v +#include_list_add=PWM.v # Add circuits to list to sweep -circuit_list_add=pulse_led.v +#circuit_list_add=pulse_led.v +circuit_list_add=flattened_pulse_width_led.sv # Add architectures to list to sweep arch_list_add=k6_frac_N10_frac_chain_mem32K_40nm.xml diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/f4pga_timer/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/f4pga_timer/config/config.txt index 6b753bb4aa6..c2c180dab1d 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/f4pga_timer/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/f4pga_timer/config/config.txt @@ -12,13 +12,13 @@ archs_dir=arch/timing # Add circuits to list to sweep -include_list_add=timer.sv -include_list_add=display_control.sv -include_list_add=time_counter.sv -include_list_add=modify_count.sv +#include_list_add=timer.sv +#include_list_add=display_control.sv +#include_list_add=time_counter.sv +#include_list_add=modify_count.sv # Add circuits to list to sweep -circuit_list_add=clock.sv +circuit_list_add=flattened_timer.sv # Add architectures to list to sweep diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/task_list.txt b/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/task_list.txt index 27a696e2c05..509f77a6434 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/task_list.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_system_verilog/task_list.txt @@ -1,3 +1,3 @@ regression_tests/vtr_reg_system_verilog/f4pga_button_controller/ regression_tests/vtr_reg_system_verilog/f4pga_pulse_width_led/ -regression_tests/vtr_reg_system_verilog/f4pga_timer/ \ No newline at end of file +regression_tests/vtr_reg_system_verilog/f4pga_timer/ diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_valgrind_small/valgrind_small/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_valgrind_small/valgrind_small/config/golden_results.txt index dc27ff97318..cc1a9e173eb 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_valgrind_small/valgrind_small/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_valgrind_small/valgrind_small/config/golden_results.txt @@ -1,3 +1,3 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 235.14 - - -1 -1 -1 -1 3 6.08 -1 -1 -1 -1 -1 68 99 1 0 success v8.0.0-6991-g9a34a83d8-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T19:57:36 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing -1 99 130 343 473 1 217 298 12 12 144 clb auto 327.6 MiB 19.26 527 387.6 MiB 18.62 0.28 1.48813 -109.046 -1.48813 1.48813 14.66 0.0431227 0.0369718 2.52447 2.22009 44 1192 28 5.66058e+06 4.21279e+06 360780. 2505.42 69.79 14.6655 13.3453 1046 10 388 639 24800 7975 1.92172 1.92172 -132.535 -1.92172 0 0 470760. 3269.17 4.55 2.00 0.855374 0.779811 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 171.10 - - -1 -1 -1 -1 4 7.64 -1 -1 -1 -1 -1 15 11 0 0 success v8.0.0-6991-g9a34a83d8-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T19:57:36 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing -1 11 2 303 283 2 80 28 7 7 49 clb auto 325.4 MiB 14.61 267 384.7 MiB 2.15 0.04 1.86151 -149.067 -1.86151 1.77041 3.18 0.026727 0.0244673 0.629531 0.531796 20 457 19 1.07788e+06 808410 52439.0 1070.18 11.18 3.19863 2.80245 388 18 286 492 8676 3081 2.28191 2.05156 -171.957 -2.28191 0 0 68696.0 1401.96 0.49 2.13 1.0398 0.920285 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time +k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 239.31 - - -1 -1 -1 -1 3 17.61 -1 -1 -1 -1 -1 68 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing -1 99 130 344 474 1 227 298 12 12 144 clb auto 322.7 MiB 20.06 749 71938 22933 33485 15520 381.0 MiB 16.97 0.33 1.86413 -118.59 -1.86413 1.86413 11.65 0.0732576 0.068454 5.52499 5.15173 -1 -1 -1 -1 42 1520 10 5.66058e+06 4.21279e+06 345696. 2400.67 36.36 14.4547 13.0534 13090 66981 -1 1349 11 399 648 28156 8528 2.01841 2.01841 -138.411 -2.01841 0 0 434636. 3018.30 1.10 3.62 6.08 -1 -1 1.10 2.02601 1.79237 +k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 253.64 - - -1 -1 -1 -1 4 20.25 -1 -1 -1 -1 -1 15 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing -1 11 2 303 283 2 78 28 7 7 49 clb auto 317.6 MiB 15.14 262 1078 238 765 75 376.4 MiB 3.35 0.07 2.0391 -163.079 -2.0391 1.90116 2.96 0.058501 0.053558 1.68082 1.53185 -1 -1 -1 -1 28 333 12 1.07788e+06 808410 72669.7 1483.05 25.62 14.1524 12.0861 3564 12808 -1 288 8 200 345 4799 1871 2.11979 1.94261 -165.174 -2.11979 0 0 87745.0 1790.71 0.23 2.68 1.60 -1 -1 0.23 1.45763 1.25544 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_valgrind_small/valgrind_small_odin/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_valgrind_small/valgrind_small_odin/config/golden_results.txt index 2ad6115ccf5..f9583dc46a1 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_valgrind_small/valgrind_small_odin/config/golden_results.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_valgrind_small/valgrind_small_odin/config/golden_results.txt @@ -1,3 +1,3 @@ -arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_total_timing_analysis_time crit_path_total_sta_time -k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 216.22 - - 5.36 -1 -1 -1 3 13.41 -1 -1 -1 -1 -1 65 99 1 0 success v8.0.0-6991-g9a34a83d8-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T19:57:36 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing -1 99 130 363 493 1 251 295 12 12 144 clb auto 318.1 MiB 14.93 645 379.8 MiB 18.45 0.40 2.20394 -203.734 -2.20394 2.20394 15.22 0.0227102 0.0199136 2.38954 2.11394 44 1525 10 5.66058e+06 4.05111e+06 360780. 2505.42 75.30 14.1754 12.8861 1443 8 574 715 52691 16759 2.7395 2.7395 -240.01 -2.7395 0 0 470760. 3269.17 4.60 2.25 0.801898 0.732428 -k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 142.75 - - 5.84 -1 -1 -1 5 8.14 -1 -1 -1 -1 -1 14 11 0 0 success v8.0.0-6991-g9a34a83d8-dirty release IPO VTR_ASSERT_LEVEL=3 GNU 11.3.0 on Linux-5.15.0-58-generic x86_64 2023-02-04T19:57:36 dev /home/dev/Desktop/CAS-Atlantic/vtr-verilog-to-routing -1 11 30 313 321 2 118 55 7 7 49 clb auto 323.5 MiB 19.41 406 383.2 MiB 3.65 0.05 2.27568 -159.51 -2.27568 2.03262 3.30 0.018455 0.0155492 1.00517 0.862416 30 877 23 1.07788e+06 754516 77114.5 1573.76 27.47 8.76219 7.74656 676 13 411 718 20274 7142 2.45236 2.25315 -180.16 -2.45236 0 0 95414.1 1947.23 0.85 2.72 1.20489 1.04235 +arch circuit script_params vtr_flow_elapsed_time vtr_max_mem_stage vtr_max_mem error odin_synth_time max_odin_mem parmys_synth_time max_parmys_mem abc_depth abc_synth_time abc_cec_time abc_sec_time max_abc_mem ace_time max_ace_mem num_clb num_io num_memories num_mult vpr_status vpr_revision vpr_build_info vpr_compiler vpr_compiled hostname rundir max_vpr_mem num_primary_inputs num_primary_outputs num_pre_packed_nets num_pre_packed_blocks num_netlist_clocks num_post_packed_nets num_post_packed_blocks device_width device_height device_grid_tiles device_limiting_resources device_name pack_mem pack_time placed_wirelength_est total_swap accepted_swap rejected_swap aborted_swap place_mem place_time place_quench_time placed_CPD_est placed_setup_TNS_est placed_setup_WNS_est placed_geomean_nonvirtual_intradomain_critical_path_delay_est place_delay_matrix_lookup_time place_quench_timing_analysis_time place_quench_sta_time place_total_timing_analysis_time place_total_sta_time ap_mem ap_time ap_full_legalizer_mem ap_full_legalizer_time min_chan_width routed_wirelength min_chan_width_route_success_iteration logic_block_area_total logic_block_area_used min_chan_width_routing_area_total min_chan_width_routing_area_per_tile min_chan_width_route_time min_chan_width_total_timing_analysis_time min_chan_width_total_sta_time crit_path_num_rr_graph_nodes crit_path_num_rr_graph_edges crit_path_collapsed_nodes crit_path_routed_wirelength crit_path_route_success_iteration crit_path_total_nets_routed crit_path_total_connections_routed crit_path_total_heap_pushes crit_path_total_heap_pops critical_path_delay geomean_nonvirtual_intradomain_critical_path_delay setup_TNS setup_WNS hold_TNS hold_WNS crit_path_routing_area_total crit_path_routing_area_per_tile router_lookahead_computation_time crit_path_route_time crit_path_create_rr_graph_time crit_path_create_intra_cluster_rr_graph_time crit_path_tile_lookahead_computation_time crit_path_router_lookahead_computation_time crit_path_total_timing_analysis_time crit_path_total_sta_time +k6_frac_N10_frac_chain_mem32K_40nm.xml ch_intrinsics.v common 214.19 - - 6.74 -1 -1 -1 3 31.59 -1 -1 -1 -1 -1 68 99 1 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing -1 99 130 363 493 1 251 298 12 12 144 clb auto 315.5 MiB 16.63 825 66963 24629 31658 10676 375.0 MiB 16.45 0.29 2.18081 -216.806 -2.18081 2.18081 11.68 0.0738834 0.069041 5.19912 4.84873 -1 -1 -1 -1 42 1542 18 5.66058e+06 4.21279e+06 345696. 2400.67 39.10 15.39 13.8531 13090 66981 -1 1416 8 543 745 35361 11414 2.49575 2.49575 -234.092 -2.49575 0 0 434636. 3018.30 1.10 3.44 6.11 -1 -1 1.10 1.69917 1.5038 +k6_frac_N10_frac_chain_mem32K_40nm.xml stereovision3.v common 185.29 - - 7.24 -1 -1 -1 5 21.35 -1 -1 -1 -1 -1 14 11 0 0 success v8.0.0-11925-ga544f5fea-dirty release IPO VTR_ASSERT_LEVEL=2 GNU 9.4.0 on Linux-4.15.0-213-generic x86_64 2025-01-14T21:35:49 betzgrp-wintermute.eecg.utoronto.ca /home/elgamma8/research/release/vtr-verilog-to-routing -1 11 30 313 321 2 115 55 7 7 49 clb auto 318.7 MiB 19.99 456 2239 413 1740 86 377.4 MiB 3.57 0.10 2.65898 -171.948 -2.65898 2.30209 2.96 0.064002 0.0582579 1.57937 1.43364 -1 -1 -1 -1 30 934 29 1.07788e+06 754516 77114.5 1573.76 17.77 10.2264 8.76037 3660 13876 -1 783 19 469 889 29198 10184 2.65817 2.36697 -188.291 -2.65817 0 0 95414.1 1947.23 0.24 4.44 1.68 -1 -1 0.24 2.66573 2.27986 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_bwave_float_large/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_bwave_large/config/config.txt similarity index 92% rename from vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_bwave_float_large/config/config.txt rename to vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_bwave_large/config/config.txt index 95b8603ec5b..ba529fa1152 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_bwave_float_large/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_bwave_large/config/config.txt @@ -14,6 +14,7 @@ includes_dir=benchmarks/verilog/koios # Add circuits to list to sweep circuit_list_add=bwave_like.float.large.v +circuit_list_add=bwave_like.fixed.large.v # Add architectures to list to sweep arch_list_add=k6FracN10LB_mem20K_complexDSP_customSB_22nm.xml @@ -35,4 +36,4 @@ qor_parse_file=qor_standard.txt pass_requirements_file=pass_requirements.txt #Script parameters -script_params=-track_memory_usage -crit_path_router_iterations 100 --route_chan_width 300 --target_utilization 0.28 \ No newline at end of file +script_params=-track_memory_usage -crit_path_router_iterations 100 --route_chan_width 400 --target_utilization 0.12 diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_bwave_float_large/config/golden_results.txt b/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_bwave_large/config/golden_results.txt similarity index 100% rename from vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_bwave_float_large/config/golden_results.txt rename to vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_bwave_large/config/golden_results.txt diff --git a/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_large/config/config.txt b/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_large/config/config.txt index e4261a2b31f..0f11b550df2 100644 --- a/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_large/config/config.txt +++ b/vtr_flow/tasks/regression_tests/vtr_reg_weekly/koios_large/config/config.txt @@ -21,7 +21,6 @@ circuit_list_add=lstm.v circuit_list_add=gemm_layer.v circuit_list_add=tpu_like.large.os.v circuit_list_add=tpu_like.large.ws.v -circuit_list_add=tdarknet_like.small.v circuit_list_add=tdarknet_like.large.v circuit_list_add=dla_like.medium.v